WorldWideScience

Sample records for temperature wafer fusion

  1. Bond strength tests between silicon wafers and duran tubes (fusion bonded fluidic interconnects)

    NARCIS (Netherlands)

    Fazal, I.; Berenschot, Johan W.; de Boer, J.H.; Jansen, Henricus V.; Elwenspoek, Michael Curt

    2005-01-01

    The fusion bond strength of glass tubes with standard silicon wafers is presented. Experiments with plain silicon wafers and those coated with silicon oxide and silicon nitride are presented. Results obtained are discussed in terms of homogeneity and strength of fusion bond. High pressure testing

  2. Modeling the wafer temperature profile in a multiwafer LPCVD furnace

    Energy Technology Data Exchange (ETDEWEB)

    Badgwell, T.A. [Rice Univ., Houston, TX (United States). Dept. of Chemical Engineering; Trachtenberg, I.; Edgar, T.F. [Univ. of Texas, Austin, TX (United States). Dept. of Chemical Engineering

    1994-01-01

    A mathematical model has been developed to predict wafer temperatures within a hot-wall multiwafer low pressure chemical vapor deposition (LPCVD) reactor. The model predicts both axial (wafer-to-wafer) and radial (across-wafer) temperature profiles. Model predictions compare favorably with in situ wafer temperature measurements described in an earlier paper. Measured axial and radial temperature nonuniformities are explained in terms of radiative heat-transfer effects. A simulation study demonstrates how changes in the outer tube temperature profile and reactor geometry affect wafer temperatures. Reactor design changes which could improve the wafer temperature profile are discussed.

  3. Temperature Dependent Electrical Properties of PZT Wafer

    Science.gov (United States)

    Basu, T.; Sen, S.; Seal, A.; Sen, A.

    2016-04-01

    The electrical and electromechanical properties of lead zirconate titanate (PZT) wafers were investigated and compared with PZT bulk. PZT wafers were prepared by tape casting technique. The transition temperature of both the PZT forms remained the same. The transition from an asymmetric to a symmetric shape was observed for PZT wafers at higher temperature. The piezoelectric coefficient (d 33) values obtained were 560 pc/N and 234 pc/N, and the electromechanical coupling coefficient (k p) values were 0.68 and 0.49 for bulk and wafer, respectively. The reduction in polarization after fatigue was only ~3% in case of PZT bulk and ~7% for PZT wafer.

  4. Fluorine-enhanced low-temperature wafer bonding of native-oxide covered Si wafers

    Science.gov (United States)

    Tong, Q.-Y.; Gan, Q.; Fountain, G.; Enquist, P.; Scholz, R.; Gösele, U.

    2004-10-01

    The bonding energy of bonded native-oxide-covered silicon wafers treated in the HNO3/H2O/HF or the HNO3/HF solution prior to room-temperature contact is significantly higher than bonded standard RCA1 cleaned wafer pairs after low-temperature annealing. The bonding energy reaches over 2000mJ/m2 after annealing at 100 °C. The very slight etching and fluorine in the chemically grown oxide are believed to be the main contributors to the enhanced bonding energy. Transmission-electron-microscopic images have shown that the chemically formed native oxide at bonding interface is embedded with many flake-like cavities. The cavities can absorb the by-products of the interfacial reactions that result in covalent bond formation at low temperatures allowing the strong bond to be retained.

  5. Correlation study of actual temperature profile and in-line metrology measurements for within-wafer uniformity improvement and wafer edge yield enhancement (Conference Presentation)

    Science.gov (United States)

    Fang, Fang; Vaid, Alok; Vinslava, Alina; Casselberry, Richard; Mishra, Shailendra; Dixit, Dhairya; Timoney, Padraig; Chu, Dinh; Porter, Candice; Song, Da; Ren, Zhou

    2018-03-01

    It is getting more important to monitor all aspects of influencing parameters in critical etch steps and utilize them as tuning knobs for within-wafer uniformity improvement and wafer edge yield enhancement. Meanwhile, we took a dive in pursuing "measuring what matters" and challenged ourselves for more aspects of signals acquired in actual process conditions. Among these factors which are considered subtle previously, we identified Temperature, especially electrostatic chuck (ESC) Temperature measurement in real etch process conditions have direct correlation to in-line measurements. In this work, we used SensArray technique (EtchTemp-SE wafer) to measure ESC temperature profile on a 300mm wafer with plasma turning on to reproduce actual temperature pattern on wafers in real production process conditions. In field applications, we observed substantial correlation between ESC temperature and in-line optical metrology measurements and since temperature is a process factor that can be tuning through set-temperature modulations, we have identified process knobs with known impact on physical profile variations. Furthermore, ESC temperature profile on a 300mm wafer is configured as multiple zones upon radius and SensArray measurements mechanism could catch such zonal distribution as well, which enables detailed temperature modulations targeting edge ring only where most of chips can be harvested and critical zone for yield enhancement. Last but not least, compared with control reference (ESC Temperature in static plasma-off status), we also get additional factors to investigate in chamber-to-chamber matching study and make process tool fleet match on the basis really matters in production. KLA-Tencor EtchTemp-SE wafer enables Plasma On wafer temperature monitoring of silicon etch process. This wafer is wireless and has 65 sensors with measurement range from 20 to 140°C. the wafer is designed to run in real production recipe plasma on condition with maximum RF power up

  6. Temperature Uniformity of Wafer on a Large-Sized Susceptor for a Nitride Vertical MOCVD Reactor

    International Nuclear Information System (INIS)

    Li Zhi-Ming; Jiang Hai-Ying; Han Yan-Bin; Li Jin-Ping; Yin Jian-Qin; Zhang Jin-Cheng

    2012-01-01

    The effect of coil location on wafer temperature is analyzed in a vertical MOCVD reactor by induction heating. It is observed that the temperature distribution in the wafer with the coils under the graphite susceptor is more uniform than that with the coils around the outside wall of the reactor. For the case of coils under the susceptor, we find that the thickness of the susceptor, the distance from the coils to the susceptor bottom and the coil turns significantly affect the temperature uniformity of the wafer. An optimization process is executed for a 3-inch susceptor with this kind of structure, resulting in a large improvement in the temperature uniformity. A further optimization demonstrates that the new susceptor structure is also suitable for either multiple wafers or large-sized wafers approaching 6 and 8 inches

  7. Fusion bonding of Si wafers investigated by x ray diffraction

    DEFF Research Database (Denmark)

    Weichel, Steen; Grey, Francois; Rasmussen, Kurt

    2000-01-01

    The interface structure of bonded Si(001) wafers with twist angle 6.5 degrees is studied as a function of annealing temperature. An ordered structure is observed in x-ray diffraction by monitoring a satellite reflection due to the periodic modulation near the interface, which results from...

  8. Muon nuclear fusion and low temperature nuclear fusion

    International Nuclear Information System (INIS)

    Nagamine, Kanetada

    1990-01-01

    Low temperature (or normal temperature) nuclear fusion is one of the phenomena causing nuclear fusion without requiring high temperature. In thermal nuclear fusion, the Coulomb barrier is overcome with the help of thermal energy, but in the low temperature nuclear fusion, the Coulomb barrier is neutralized by the introduction of the particles having larger mass than electrons and negative charges, at this time, if two nuclei can approach to the distance of 10 -13 cm in the neutral state, the occurrence of nuclear fusion reaction is expected. As the mass of the particles is heavier, the neutral region is smaller, and nuclear fusion is easy to occur. The particles to meet this purpose are the electrons within substances and muons. The research on muon nuclear fusion became suddenly active in the latter half of 1970s, the cause of which was the discovery of the fact that the formation of muons occurs resonantly rapidly in D-T and D-D systems. Muons are the unstable elementary particles having the life of 2.2 μs, and they can have positive and negative charges. In the muon catalyzed fusion, the muons with negative charge take part. The principle of the muon catalyzed fusion, its present status and future perspective, and the present status of low temperature nuclear fusion are reported. (K.I.)

  9. Determination of thicknesses and temperatures of crystalline silicon wafers from optical measurements in the far infrared region

    Science.gov (United States)

    Franta, Daniel; Franta, Pavel; Vohánka, Jiří; Čermák, Martin; Ohlídal, Ivan

    2018-05-01

    Optical measurements of transmittance in the far infrared region performed on crystalline silicon wafers exhibit partially coherent interference effects appropriate for the determination of thicknesses of the wafers. The knowledge of accurate spectral and temperature dependencies of the optical constants of crystalline silicon in this spectral region is crucial for the determination of its thickness and vice versa. The recently published temperature dependent dispersion model of crystalline silicon is suitable for this purpose. Because the linear thermal expansion of crystalline silicon is known, the temperatures of the wafers can be determined with high precision from the evolution of the interference patterns at elevated temperatures.

  10. Fabrication of High Aspect Ratio Through-Wafer Vias in CMOS Wafers for 3-D Packaging Applications

    DEFF Research Database (Denmark)

    Rasmussen, Frank Engel; Frech, J.; Heschel, M.

    2003-01-01

    A process for fabrication of through-wafer vias in CMOS wafers is presented. The process presented offers simple and well controlled fabrication of through-wafer vias using DRIE formation of wafer through-holes, low temperature deposition of through-hole insulation, doubled sided sputtering of Cr...

  11. Investigation of room-temperature wafer bonded GaInP/GaAs/InGaAsP triple-junction solar cells

    Energy Technology Data Exchange (ETDEWEB)

    Yang, Wen-xian; Dai, Pan; Ji, Lian; Tan, Ming; Wu, Yuan-yuan [Key Lab of Nanodevices and Applications, Suzhou Institute of Nano-Tech and Nano-Bionics, Chinese Academy of Sciences (CAS), Suzhou 215123 (China); Uchida, Shiro [Department of Mechanical Science and Engineering Faculty of Engineering, Chiba Institute of Technology, 2-17-1, Tsudanuma, Narashino, Chiba 275-0016 (Japan); Lu, Shu-long, E-mail: sllu2008@sinano.ac.cn [Key Lab of Nanodevices and Applications, Suzhou Institute of Nano-Tech and Nano-Bionics, Chinese Academy of Sciences (CAS), Suzhou 215123 (China); Yang, Hui [Key Lab of Nanodevices and Applications, Suzhou Institute of Nano-Tech and Nano-Bionics, Chinese Academy of Sciences (CAS), Suzhou 215123 (China)

    2016-12-15

    Highlights: • High quality InGaAsP material with a bandgap of 1.0 eV was grown by MBE. • Room-temperature wafer-bonded GaInP/GaAs/InGaAsP SCs were fabricated. • An efficiency of 30.3% of wafer-bonded triple-junction SCs was obtained. - Abstract: We report on the fabrication of III–V compound semiconductor multi-junction solar cells using the room-temperature wafer bonding technique. GaInP/GaAs dual-junction solar cells on GaAs substrate and InGaAsP single junction solar cell on InP substrate were separately grown by all-solid state molecular beam epitaxy (MBE). The two cells were then bonded to a triple-junction solar cell at room-temperature. A conversion efficiency of 30.3% of GaInP/GaAs/InGaAsP wafer-bonded solar cell was obtained at 1-sun condition under the AM1.5G solar simulator. The result suggests that the room-temperature wafer bonding technique and MBE technique have a great potential to improve the performance of multi-junction solar cell.

  12. Low temperature sacrificial wafer bonding for planarization after very deep etching

    NARCIS (Netherlands)

    Spiering, V.L.; Spiering, V.L.; Berenschot, Johan W.; Elwenspoek, Michael Curt; Fluitman, J.H.J.

    1994-01-01

    A new technique, at temperatures of 150°C or 450°C, that provides planarization after a very deep etching step in silicon is presented. Resist spinning and layer patterning as well as realization of bridges or cantilevers across deep holes becomes possible. The sacrificial wafer bonding technique

  13. Introduction of high oxygen concentrations into silicon wafers by high-temperature diffusion

    International Nuclear Information System (INIS)

    Casse, G.; Glaser, M.; Lemeilleur, F.; Ruzin, A.; Wegrzecki, M.

    1999-01-01

    The tolerance of silicon detectors to hadron irradiation can be improved by the introduction of a high concentration of oxygen into the starting material. High-resistivity Floating-Zone (FZ) silicon is required for detectors used in particle physics applications. A significantly high oxygen concentration (>10 17 atoms cm -3 ) cannot readily be achieved during the FZ silicon refinement. The diffusion of oxygen at elevated temperatures from a SiO 2 layer grown on both sides of a silicon wafer is a simple and effective technique to achieve high and uniform concentrations of oxygen throughout the bulk of a 300 μm thick silicon wafer

  14. Fusion-bonded fluidic interconnects

    International Nuclear Information System (INIS)

    Fazal, I; Elwenspoek, M C

    2008-01-01

    A new approach to realize fluidic interconnects based on the fusion bonding of glass tubes with silicon is presented. Fusion bond strength analyses have been carried out. Experiments with plain silicon wafers and coated with silicon oxide and silicon nitride are performed. The obtained results are discussed in terms of the homogeneity and strength of fusion bond. High pressure testing shows that the bond strength is large enough for most applications of fluidic interconnects. The bond strength for 525 µm thick silicon, with glass tubes having an outer diameter of 6 mm and with a wall thickness of 2 mm, is more than 60 bars after annealing at a temperature of 800 °C

  15. Thermoelectric properties of boron and boron phosphide CVD wafers

    Energy Technology Data Exchange (ETDEWEB)

    Kumashiro, Y.; Yokoyama, T.; Sato, A.; Ando, Y. [Yokohama National Univ. (Japan)

    1997-10-01

    Electrical and thermal conductivities and thermoelectric power of p-type boron and n-type boron phosphide wafers with amorphous and polycrystalline structures were measured up to high temperatures. The electrical conductivity of amorphous boron wafers is compatible to that of polycrystals at high temperatures and obeys Mott`s T{sup -{1/4}} rule. The thermoelectric power of polycrystalline boron decreases with increasing temperature, while that of amorphous boron is almost constant in a wide temperature range. The weak temperature dependence of the thermal conductivity of BP polycrystalline wafers reflects phonon scattering by grain boundaries. Thermal conductivity of an amorphous boron wafer is almost constant in a wide temperature range, showing a characteristic of a glass. The figure of merit of polycrystalline BP wafers is 10{sup -7}/K at high temperatures while that of amorphous boron is 10{sup -5}/K.

  16. Physical mechanisms of Cu-Cu wafer bonding

    International Nuclear Information System (INIS)

    Rebhan, B.

    2014-01-01

    Modern manufacturing processes of complex integrated semiconductor devices are based on wafer-level manufacturing of components which are subsequently interconnected. When compared with classical monolithic bi-dimensional integrated circuits (2D ICs), the new approach of three-dimensional integrated circuits (3D ICs) exhibits significant benefits in terms of signal propagation delay and power consumption due to the reduced metal interconnection length and allows high integration levels with reduced form factor. Metal thermo-compression bonding is a process suitable for 3D interconnects applications at wafer level, which facilitates the electrical and mechanical connection of two wafers even processed in different technologies, such as complementary metal oxide semiconductor (CMOS) and microelectromechanical systems (MEMS). Due to its high electrical conductivity, copper is a very attractive material for electrical interconnects. For Cu-Cu wafer bonding the process requires typically bonding for around 1 h at 400°C and high contact pressure applied during bonding. Temperature reduction below such values is required in order to solve issues regarding (i) throughput in the wafer bonder, (ii) wafer-to-wafer misalignment after bonding and (iii) to minimise thermo-mechanical stresses or device degradation. The aim of this work was to study the physical mechanisms of Cu-Cu bonding and based on this study to further optimise the bonding process for low temperatures. The critical sample parameters (roughness, oxide, crystallinity) were identified using selected analytical techniques and correlated with the characteristics of the bonded Cu-Cu interfaces. Based on the results of this study the impact of several materials and process specifications on the bonding result were theoretically defined and experimentally proven. These fundamental findings subsequently facilitated low temperature (LT) metal thermo-compression Cu-Cu wafer bonding and even room temperature direct

  17. Low-temperature magnetotransport in Si/SiGe heterostructures on 300 mm Si wafers

    Science.gov (United States)

    Scappucci, Giordano; Yeoh, L.; Sabbagh, D.; Sammak, A.; Boter, J.; Droulers, G.; Kalhor, N.; Brousse, D.; Veldhorst, M.; Vandersypen, L. M. K.; Thomas, N.; Roberts, J.; Pillarisetty, R.; Amin, P.; George, H. C.; Singh, K. J.; Clarke, J. S.

    Undoped Si/SiGe heterostructures are a promising material stack for the development of spin qubits in silicon. To deploy a qubit into high volume manufacturing in a quantum computer requires stringent control over substrate uniformity and quality. Electron mobility and valley splitting are two key electrical metrics of substrate quality relevant for qubits. Here we present low-temperature magnetotransport measurements of strained Si quantum wells with mobilities in excess of 100000 cm2/Vs fabricated on 300 mm wafers within the framework of advanced semiconductor manufacturing. These results are benchmarked against the results obtained in Si quantum wells deposited on 100 mm Si wafers in an academic research environment. To ensure rapid progress in quantum wells quality we have implemented fast feedback loops from materials growth, to heterostructure FET fabrication, and low temperature characterisation. On this topic we will present recent progress in developing a cryogenic platform for high-throughput magnetotransport measurements.

  18. Wafer-level vacuum/hermetic packaging technologies for MEMS

    Science.gov (United States)

    Lee, Sang-Hyun; Mitchell, Jay; Welch, Warren; Lee, Sangwoo; Najafi, Khalil

    2010-02-01

    An overview of wafer-level packaging technologies developed at the University of Michigan is presented. Two sets of packaging technologies are discussed: (i) a low temperature wafer-level packaging processes for vacuum/hermeticity sealing, and (ii) an environmentally resistant packaging (ERP) technology for thermal and mechanical control as well as vacuum packaging. The low temperature wafer-level encapsulation processes are implemented using solder bond rings which are first patterned on a cap wafer and then mated with a device wafer in order to encircle and encapsulate the device at temperatures ranging from 200 to 390 °C. Vacuum levels below 10 mTorr were achieved with yields in an optimized process of better than 90%. Pressures were monitored for more than 4 years yielding important information on reliability and process control. The ERP adopts an environment isolation platform in the packaging substrate. The isolation platform is designed to provide low power oven-control, vibration isolation and shock protection. It involves batch flip-chip assembly of a MEMS device onto the isolation platform wafer. The MEMS device and isolation structure are encapsulated at the wafer-level by another substrate with vertical feedthroughs for vacuum/hermetic sealing and electrical signal connections. This technology was developed for high performance gyroscopes, but can be applied to any type of MEMS device.

  19. Fabrication and Characterization of Capacitive Micromachined Ultrasonic Transducers with Low-Temperature Wafer Direct Bonding

    Directory of Open Access Journals (Sweden)

    Xiaoqing Wang

    2016-12-01

    Full Text Available This paper presents a fabrication method of capacitive micromachined ultrasonic transducers (CMUTs by wafer direct bonding, which utilizes both the wet chemical and O2plasma activation processes to decrease the bonding temperature to 400 °C. Two key surface properties, the contact angle and surface roughness, are studied in relation to the activation processes, respectively. By optimizing the surface activation parameters, a surface roughness of 0.274 nm and a contact angle of 0° are achieved. The infrared images and static deflection of devices are assessed to prove the good bonding effect. CMUTs having silicon membranes with a radius of 60 μm and a thickness of 2 μm are fabricated. Device properties have been characterized by electrical and acoustic measurements to verify their functionality and thus to validate this low-temperature process. A resonant frequency of 2.06 MHz is obtained by the frequency response measurements. The electrical insertion loss and acoustic signal have been evaluated. This study demonstrates that the CMUT devices can be fabricated by low-temperature wafer direct bonding, which makes it possible to integrate them directly on top of integrated circuit (IC substrates.

  20. Water saving in IC wafer washing process; IC wafer senjo deno sessui taisaku

    Energy Technology Data Exchange (ETDEWEB)

    Harada, H. [Mitsubishi Corp., Tokyo (Japan); Araki, M.; Nakazawa, T.

    1997-11-30

    This paper reports features of a wafer washing technology, a new IC wafer washing process, its pure water saving effect, and a `QC washing` which has pure water saving effect in the wafer washing. Wafer washing processes generally include the SC1 process (using ammonia + hydrogen peroxide aqueous solution) purposed for removing contamination due to ultrafine particles, the SC2 process (using hydrochloric acid + hydrogen peroxide aqueous solution) purposed for removing contamination due to heavy metals, the piranha washing process (using hot sulfuric acid + hydrogen peroxide aqueous solution) purposed for removing contamination due to organic matters, and the DHF (using dilute hydrofluoric acid) purposed for removing natural oxide films. Natural oxide films are now remained as surface protection films, by which surface contamination has been reduced remarkably. A high-temperature washing chemical circulating and filtering technology developed in Japan has brought about a reform in wafer washing processes having been used previously. Spin washing is used as a water saving measure, in which washing chemicals or pure water are sprayed onto one each of wafers which is spin-rotated, allowing washing and rinsing to be made with small amount of washing chemicals and pure water. The QC washing is a method to replace tank interior with pure was as quick as possible in order to increase the rinsing effect. 7 refs., 5 figs.

  1. Fusion-bonded fluidic interconnects

    NARCIS (Netherlands)

    Fazal, I.; Elwenspoek, Michael Curt

    2008-01-01

    A new approach to realize fluidic interconnects based on the fusion bonding of glass tubes with silicon is presented. Fusion bond strength analyses have been carried out. Experiments with plain silicon wafers and coated with silicon oxide and silicon nitride are performed. The obtained results are

  2. High temperature superconductor cable concepts for fusion magnets

    CERN Document Server

    AUTHOR|(CDS)2078397

    2013-01-01

    Three concepts of high temperature superconductor cables carrying kA currents (RACC, CORC and TSTC) are investigated, optimized and evaluated in the scope of their applicability as conductor in fusion magnets. The magnetic field and temperature dependence of the cables is measured; the thermal expansion and conductivity of structure, insulation and filling materials are investigated. High temperature superconductor winding packs for fusion magnets are calculated and compared with corresponding low temperature superconductor cases.

  3. High temperature superconductivity and cold fusion

    International Nuclear Information System (INIS)

    Rabinowitz, M.

    1990-01-01

    There are numerous historical and scientific parallels between high temperature superconductivity (HTSC) and the newly emerging field of cold fusion (CF). Just as the charge carrier effective mass plays an important role in SC, the deuteron effective mass may play a vital role in CF. A new theory including effects of proximity, electron shielding, and decreased effective mass of the fusing nuclei can account for the reported CF results. A quantum-gas model that covers the range from low temperature to superhigh temperature SC indicates an increased T c with reduced dimensionality. A reduced dimensionality effect may also enhance CF. A relation is shown between CF and the significant cluster-impact fusion experiments

  4. Wafer size effect on material removal rate in copper CMP process

    Energy Technology Data Exchange (ETDEWEB)

    Yuh, Minjong; Jang, Soocheon; Park, Inho; Jeong, Haedo [Pusan National University, Busan (Korea, Republic of)

    2017-06-15

    The semiconductor industry has employed the Chemical mechanical planarization (CMP) to enable surface topography control. Copper has been used to build interconnects because of its low-resistivity and high-electromigration. In this study, the effect of wafer size on the Material removal rate (MRR) in copper CMP process was investigated. CMP experiments were conducted using copper blanket wafers with diameter of 100, 150, 200 and 300 mm, while temperature and friction force were measured by infrared and piezoelectric sen-sors. The MRR increases with an increase in wafer size under the same process conditions. The wafer size increased the sliding distance of pad, resulting in an increase in the process temperature. This increased the process temperature, accelerating the chemical etching rate and the dynamic etch rate. The sliding distance of the pad was proportional to the square of the wafer radius; it may be used to predict CMP results and design a CMP machine.

  5. Dislocation behavior of surface-oxygen-concentration controlled Si wafers

    International Nuclear Information System (INIS)

    Asazu, Hirotada; Takeuchi, Shotaro; Sannai, Hiroya; Sudo, Haruo; Araki, Koji; Nakamura, Yoshiaki; Izunome, Koji; Sakai, Akira

    2014-01-01

    We have investigated dislocation behavior in the surface area of surface-oxygen-concentration controlled Si wafers treated by a high temperature rapid thermal oxidation (HT-RTO). The HT-RTO process allows us to precisely control the interstitial oxygen concentration ([O i ]) in the surface area of the Si wafers. Sizes of rosette patterns, generated by nano-indentation and subsequent thermal annealing at 900 °C for 1 h, were measured for the Si wafers with various [O i ]. It was found that the rosette size decreases in proportion to the − 0.25 power of [O i ] in the surface area of the Si wafers, which were higher than [O i ] of 1 × 10 17 atoms/cm 3 . On the other hand, [O i ] of lower than 1 × 10 17 atoms/cm 3 did not affect the rosette size very much. These experimental results demonstrate the ability of the HT-RTO process to suppress the dislocation movements in the surface area of the Si wafer. - Highlights: • Surface-oxygen-concentration controlled Si wafers have been made. • The oxygen concentration was controlled by high temperature rapid thermal oxidation. • Dislocation behavior in the surface area of the Si wafers has been investigated. • Rosette size decreased with increasing of interstitial oxygen atoms. • The interstitial oxygen atoms have a pinning effect of dislocations at the surface

  6. Cost-Efficient Wafer-Level Capping for MEMS and Imaging Sensors by Adhesive Wafer Bonding

    Directory of Open Access Journals (Sweden)

    Simon J. Bleiker

    2016-10-01

    Full Text Available Device encapsulation and packaging often constitutes a substantial part of the fabrication cost of micro electro-mechanical systems (MEMS transducers and imaging sensor devices. In this paper, we propose a simple and cost-effective wafer-level capping method that utilizes a limited number of highly standardized process steps as well as low-cost materials. The proposed capping process is based on low-temperature adhesive wafer bonding, which ensures full complementary metal-oxide-semiconductor (CMOS compatibility. All necessary fabrication steps for the wafer bonding, such as cavity formation and deposition of the adhesive, are performed on the capping substrate. The polymer adhesive is deposited by spray-coating on the capping wafer containing the cavities. Thus, no lithographic patterning of the polymer adhesive is needed, and material waste is minimized. Furthermore, this process does not require any additional fabrication steps on the device wafer, which lowers the process complexity and fabrication costs. We demonstrate the proposed capping method by packaging two different MEMS devices. The two MEMS devices include a vibration sensor and an acceleration switch, which employ two different electrical interconnection schemes. The experimental results show wafer-level capping with excellent bond quality due to the re-flow behavior of the polymer adhesive. No impediment to the functionality of the MEMS devices was observed, which indicates that the encapsulation does not introduce significant tensile nor compressive stresses. Thus, we present a highly versatile, robust, and cost-efficient capping method for components such as MEMS and imaging sensors.

  7. The preparation and thermoelectric properties of molten salt electrodeposited boron wafers

    International Nuclear Information System (INIS)

    Kumashiro, Y.; Ozaki, S.; Sato, K.; Kataoka, Y.; Hirata, K.; Yokoyama, T.; Nagatani, S.; Kajiyama, K.

    2004-01-01

    We have prepared electrodeposited boron wafer by molten salts with KBF 4 -KF at 680 deg. C using graphite crucible for anode and silicon wafer and nickel plate for cathodes. Experiments were performed by various molar ratios KBF 4 /KF and current densities. Amorphous p-type boron wafers with purity 87% was deposited on nickel plate for 1 h. Thermal diffusivity by ring-flash method and heat capacity by DSC method produced thermal conductivity showing amorphous behavior in the entire temperature range. The systematical results on thermoelectric properties were obtained for the wafers prepared with KBF 4 -KF (66-34 mol%) under various current densities in the range 1-2 A/cm 2 . The temperature dependencies of electrical conductivity showed thermal activated type with activation energy of 0.5 eV. Thermoelectric power tended to increase with increasing temperature up to high temperatures with high values of (1-10) mV/K. Thermoelectric figure-of-merit was 10 -4 /K at high temperatures. Estimated efficiency of thermoelectric energy conversion would be calculated to be 4-5%

  8. Si-to-Si wafer bonding using evaporated glass

    DEFF Research Database (Denmark)

    Reus, Roger De; Lindahl, M.

    1997-01-01

    Anodic bonding of Si to Si four inch wafers using evaporated glass was performed in air at temperatures ranging from 300°C to 450°C. Although annealing of Si/glass structures around 340°C for 15 minutes eliminates stress, the bonded wafer pairs exhibit compressive stress. Pull testing revealed...

  9. Non-invasive thermal profiling of silicon wafer surface during RTP using acoustic and signal processing techniques

    Science.gov (United States)

    Syed, Ahmed Rashid

    Among the great physical challenges faced by the current front-end semiconductor equipment manufacturers is the accurate and repeatable surface temperature measurement of wafers during various fabrication steps. Close monitoring of temperature is essential in that it ensures desirable device characteristics to be reliably reproduced across various wafer lots. No where is the need to control temperature more pronounced than it is during Rapid Thermal Processing (RTP) which involves temperature ramp rates in excess of 200°C/s. This dissertation presents an elegant and practical approach to solve the wafer surface temperature estimation problem, in context of RTP, by deploying hardware that acquires the necessary data while preserving the integrity and purity of the wafer. In contrast to the widely used wafer-contacting (and hence contaminating) methods, such as bonded thermocouples, or environment sensitive schemes, such as light-pipes and infrared pyrometry, the proposed research explores the concept of utilizing Lamb (acoustic) waves to detect changes in wafer surface temperature, during RTP. Acoustic waves are transmitted to the wafer via an array of quartz rods that normally props the wafer inside an RTP chamber. These waves are generated using piezoelectric transducers affixed to the bases of the quartz rods. The group velocity of Lamb waves traversing the wafer surface undergoes a monotonic decrease with rise in wafer temperature. The correspondence of delay in phase of the received Lamb waves and the ambient temperature, along all direct paths between sending and receiving transducers, yields a psuedo real-time thermal image of the wafer. Although the custom built hardware-setup implements the above "proof-of-concept" scheme by transceiving acoustic signals at a single frequency, the real-world application will seek to enhance the data acquistion. rate (>1000 temperature measurements per seconds) by sending and receiving Lamb waves at multiple frequencies (by

  10. Characteristics of ZnO Wafers Implanted with 60 keV Sn+ Ions at Room Temperature and at 110 K

    International Nuclear Information System (INIS)

    Dang, Giang T.; Taniwaki, Masafumi; Kawaharamura, Toshiyuki; Hirao, Takashi; Nitta, Noriko

    2011-01-01

    ZnO wafers implanted with 60 keV Sn + ions at room temperature (RT) and at 110 K are investigated by means of X-ray diffraction (XRD) and photoluminescence (PL) techniques. The effect of implantation temperature is evident in the XRD and PL data. A yellow-orange (YO) band near 600 nm appears in the PL spectra of the ZnO wafers implanted to the doses of 4x10 14 and 8x10 14 ions/cm 2 at RT. The intensity of this band increases and the peak position blue-shifts after illumination of the samples with the 325 nm line of a He-Cd laser. The PL data suggests that the CB (conduction band)→V O + and Zn i + →V Zn - transitions contribute to the photoemission of the YO band.

  11. Physical mechanisms of copper-copper wafer bonding

    International Nuclear Information System (INIS)

    Rebhan, B.; Hingerl, K.

    2015-01-01

    The study of the physical mechanisms driving Cu-Cu wafer bonding allowed for reducing the bonding temperatures below 200 °C. Metal thermo-compression Cu-Cu wafer bonding results obtained at such low temperatures are very encouraging and suggest that the process is possible even at room temperature if some boundary conditions are fulfilled. Sputtered (PVD) and electroplated Cu thin layers were investigated, and the analysis of both metallization techniques demonstrated the importance of decreasing Cu surface roughness. For an equal surface roughness, the bonding temperature of PVD Cu wafers could be even further reduced due to the favorable microstructure. Their smaller grain size enhances the length of the grain boundaries (observed on the surface prior bonding), acting as efficient mass transfer channels across the interface, and hence the grains are able to grow over the initial bonding interface. Due to the higher concentration of random high-angle grain boundaries, this effect is intensified. The model presented is explaining the microstructural changes based on atomic migration, taking into account that the reduction of the grain boundary area is the major driving force to reduce the Gibbs free energy, and predicts the subsequent microstructure evolution (grain growth) during thermal annealing

  12. Low-temperature wafer-level gold thermocompression bonding: modeling of flatness deviations and associated process optimization for high yield and tough bonds

    Science.gov (United States)

    Stamoulis, Konstantinos; Tsau, Christine H.; Spearing, S. Mark

    2005-01-01

    Wafer-level, thermocompression bonding is a promising technique for MEMS packaging. The quality of the bond is critically dependent on the interaction between flatness deviations, the gold film properties and the process parameters and tooling used to achieve the bonds. The effect of flatness deviations on the resulting bond is investigated in the current work. The strain energy release rate associated with the elastic deformation required to overcome wafer bow is calculated. A contact yield criterion is used to examine the pressure and temperature conditions required to flatten surface roughness asperities in order to achieve bonding over the full apparent area. The results are compared to experimental data of bond yield and toughness obtained from four-point bend delamination testing and microscopic observations of the fractured surfaces. Conclusions from the modeling and experiments indicate that wafer bow has negligible effect on determining the variability of bond quality and that the well-bonded area is increased with increasing bonding pressure. The enhanced understanding of the underlying deformation mechanisms allows for a better controlled trade-off between the bonding pressure and temperature.

  13. Application of high temperature superconductors for fusion

    International Nuclear Information System (INIS)

    Fietz, W.H.; Heller, R.; Schlachter, S.I.; Goldacker, W.

    2011-01-01

    The use of High Temperature Superconductor (HTS) materials in future fusion machines can increase the efficiency drastically. For ITER, W7-X and JT-60SA the economic benefit of HTS current leads was recognized after a 70 kA HTS current lead demonstrator was designed, fabricated and successfully tested by Karlsruhe Institute of Technology (KIT, which is a merge of former Forschungszentrum Karlsruhe and University of Karlsruhe). For ITER, the Chinese Domestic Agency will provide the current leads as a part of the superconducting feeder system. KIT is in charge of design, construction and test of HTS current leads for W7-X and JT-60SA. For W7-X 14 current leads with a maximum current of 18.2 kA are required that are oriented with the room temperature end at the bottom. JT60-SA will need 26 current leads (20 leads - 20 kA and 6 leads - 25.7 kA) which are mounted in vertical, normal position. These current leads are based on BiSCCO HTS superconductors, demonstrating that HTS material is now state of the art for highly efficient current leads. With respect to future fusion reactors, it would be very promising to use HTS material not only in current leads but also in coils. This would allow a large increase of efficiency if the coils could be operated at temperatures ≥65 K. With such a high temperature it would be possible to omit the radiation shield of the coils, resulting in a less complex cryostat and a size reduction of the machine. In addition less refrigeration power is needed saving investment and operating costs. However, to come to an HTS fusion coil it is necessary to develop low ac loss HTS cables for currents well above 20 kA at high fields well above 10 T. The high field rules BiSCCO superconductors out at temperatures above 50 K, but RE-123 superconductors are promising. The development of a high current, high field RE-123 HTS fusion cable will not be targeted outside fusion community and has to be in the frame of a long term development programme for

  14. Subsequent development of the normal temperature fusion reaction. Joon kakuyugo sonogo no shinten

    Energy Technology Data Exchange (ETDEWEB)

    Matsumoto, T. (Hokkaido University, Sapporo (Japan). Faculty of Engineering)

    1991-04-24

    This paper reports on a NATTOH model made public in May 1989 by T. Matsumoto who took notice of abnormality of the normal temperature fusion reaction. The NATTO model is based on a chain reaction by hydrogen with a hydrogen-catalyzed fusion reaction which is the normal temperature fusion reaction as an elementary process. If a high temperature fusion reaction is a small-size simulation of the fusion reaction rising on the surface of the sparkling star like the sun, the normal temperature fusion reaction can be a small-size simulation of the phenomena in the last years of the star in the far distance of the space. This gives reality to the normal temperature fusion reaction. The reaction mechanism of the normal temperature fusion reaction is almost being clarified by a NATTOH model. There remain problems on a possibility of generation of unknown radioactive rays and identification of radioactive wastes, but it seems that a prospect of commercialization can be talked about now. As for the utilization as energy, sea water may be used as it is. 10 ref., 5 figs.

  15. HYFIRE: fusion-high temperature electrolysis system

    International Nuclear Information System (INIS)

    Fillo, J.A.; Powell, J.R.; Steinberg, M.; Benenati, R.; Dang, V.D.; Horn, F.; Isaacs, H.; Lazareth, O.; Makowitz, H.; Usher, J.

    1980-01-01

    The Brookhaven National Laboratory (BNL) is carrying out a comprehensive conceptual design study called HYFIRE of a commercial fusion Tokamak reactor, high-temperature electrolysis system. The study is placing particular emphasis on the adaptability of the STARFIRE power reactor to a synfuel application. The HYFIRE blanket must perform three functions: (a) provide high-temperature (approx. 1400 0 C) process steam at moderate pressures (in the range of 10 to 30 atm) to the high-temperature electrolysis (HTE) units; (b) provide high-temperature (approx. 700 to 800 0 C) heat to a thermal power cycle for generation of electricity to the HTE units; and (c) breed enough tritium to sustain the D-T fuel cycle. In addition to thermal energy for the decomposition of steam into its constitutents, H 2 and O 2 , electrical input is required. Power cycle efficiencies of approx. 40% require He cooling for steam superheat. Fourteen hundred degree steam coupled with 40% power cycle efficiency results in a process efficiency (conversion of fusion energy to hydrogen chemical energy) of 50%

  16. Making Porous Luminescent Regions In Silicon Wafers

    Science.gov (United States)

    Fathauer, Robert W.; Jones, Eric W.

    1994-01-01

    Regions damaged by ion implantation stain-etched. Porous regions within single-crystal silicon wafers fabricated by straightforward stain-etching process. Regions exhibit visible photoluminescence at room temperature and might constitute basis of novel class of optoelectronic devices. Stain-etching process has advantages over recently investigated anodic-etching process. Process works on both n-doped and p-doped silicon wafers. Related development reported in article, "Porous Si(x)Ge(1-x) Layers Within Single Crystals of Si," (NPO-18836).

  17. Hydrogen production from high temperature electrolysis and fusion reactor

    International Nuclear Information System (INIS)

    Dang, V.D.; Steinberg, J.F.; Issacs, H.S.; Lazareth, O.; Powell, J.R.; Salzano, F.J.

    1978-01-01

    Production of hydrogen from high temperature electrolysis of steam coupled with a fusion reactor is studied. The process includes three major components: the fusion reactor, the high temperature electrolyzer and the power conversion cycle each of which is discussed in the paper. Detailed process design and analysis of the system is examined. A parametric study on the effect of process efficiency is presented

  18. Wafer-level testing and test during burn-in for integrated circuits

    CERN Document Server

    Bahukudumbi, Sudarshan

    2010-01-01

    Wafer-level testing refers to a critical process of subjecting integrated circuits and semiconductor devices to electrical testing while they are still in wafer form. Burn-in is a temperature/bias reliability stress test used in detecting and screening out potential early life device failures. This hands-on resource provides a comprehensive analysis of these methods, showing how wafer-level testing during burn-in (WLTBI) helps lower product cost in semiconductor manufacturing.Engineers learn how to implement the testing of integrated circuits at the wafer-level under various resource constrain

  19. Bondability of processed glass wafers

    NARCIS (Netherlands)

    Pandraud, G.; Gui, C.; Lambeck, Paul; Pigeon, F.; Parriaux, O.; Gorecki, Christophe

    1999-01-01

    The mechanism of direct bonding at room temperature has been attributed to the short range inter-molecular and inter-atomic attraction forces, such as Van der Waals forces. Consequently, the wafer surface smoothness becomes one of the most critical parameters in this process. High surface roughness

  20. Graphitized silicon carbide microbeams: wafer-level, self-aligned graphene on silicon wafers

    International Nuclear Information System (INIS)

    Cunning, Benjamin V; Ahmed, Mohsin; Mishra, Neeraj; Kermany, Atieh Ranjbar; Iacopi, Francesca; Wood, Barry

    2014-01-01

    Currently proven methods that are used to obtain devices with high-quality graphene on silicon wafers involve the transfer of graphene flakes from a growth substrate, resulting in fundamental limitations for large-scale device fabrication. Moreover, the complex three-dimensional structures of interest for microelectromechanical and nanoelectromechanical systems are hardly compatible with such transfer processes. Here, we introduce a methodology for obtaining thousands of microbeams, made of graphitized silicon carbide on silicon, through a site-selective and wafer-scale approach. A Ni-Cu alloy catalyst mediates a self-aligned graphitization on prepatterned SiC microstructures at a temperature that is compatible with silicon technologies. The graphene nanocoating leads to a dramatically enhanced electrical conductivity, which elevates this approach to an ideal method for the replacement of conductive metal films in silicon carbide-based MEMS and NEMS devices. (paper)

  1. Distillation of combustibles at temperatures below fusion

    Energy Technology Data Exchange (ETDEWEB)

    Dalin, D

    1946-09-26

    A process is described for combustion and distillation for dry fuels, such as bituminous shales, below the temperature of fusion of the ash, for the production of heat, in which the temperature in the charge of fuel forming a vertical column is maintained beneath the temperature of fusion of the ash by a withdrawal of the heat from the combustible charge by means of a fluid absorbing this heat. This fluid being constituted, for example, by water in a suitable form, so that it can be circulated through a convenient cooling system, extending through the different parts of the charge. The fluid circulating also through the desired parts of the charge and absorbing the heat, the quantity of fluid or the surface of absorption increasing with the intensity of the combustion in the part of the combustible charge traversed by the fluid.

  2. Hysteresis-free high-temperature precise bimorph actuators produced by direct bonding of lithium niobate wafers

    Energy Technology Data Exchange (ETDEWEB)

    Shur, V. Ya.; Baturin, I. S.; Mingaliev, E. A.; Zorikhin, D. V.; Udalov, A. R.; Greshnyakov, E. D. [Ferroelectric Laboratory, Institute of Natural Sciences, Ural Federal University, 51 Lenin Ave., 620000 Ekaterinburg (Russian Federation)

    2015-02-02

    The current paper presents a piezoelectric bimorph actuator produced by direct bonding of lithium niobate wafers with the mirrored Y and Z axes. Direct bonding technology allowed to fabricate bidomain plate with precise positioning of ideally flat domain boundary. By optimizing the cutting angle (128° Y-cut), the piezoelectric constant became as large as 27.3 pC/N. Investigation of voltage dependence of bending displacement confirmed that bimorph actuator has excellent linearity and hysteresis-free. Decrease of the applied voltage down to mV range showed the perfect linearity up to the sub-nm deflection amplitude. The frequency and temperature dependences of electromechanical transmission coefficient in wide temperature range (from 300 to 900 K) were investigated.

  3. Study of Si wafer surfaces irradiated by gas cluster ion beams

    International Nuclear Information System (INIS)

    Isogai, H.; Toyoda, E.; Senda, T.; Izunome, K.; Kashima, K.; Toyoda, N.; Yamada, I.

    2007-01-01

    The surface structures of Si (1 0 0) wafers subjected to gas cluster ion beam (GCIB) irradiation have been analyzed by cross-sectional transmission electron microscopy (XTEM) and atomic force microscopy (AFM). GCIB irradiation is a promising technique for both precise surface etching and planarization of Si wafers. However, it is very important to understand the crystalline structure of Si wafers after GCIB irradiation. An Ar-GCIB used for the physically sputtering of Si atoms and a SF 6 -GCIB used for the chemical etching of the Si surface are also analyzed. The GCIB irradiation increases the surface roughness of the wafers, and amorphous Si layers are formed on the wafer surface. However, when the Si wafers are annealed in hydrogen at a high temperature after the GCIB irradiation, the surface roughness decreases to the same level as that before the irradiation. Moreover, the amorphous Si layers disappear completely

  4. Nonuniformities of electrical resistivity in undoped 6H-SiC wafers

    International Nuclear Information System (INIS)

    Li, Q.; Polyakov, A.Y.; Skowronski, M.; Sanchez, E.K.; Loboda, M.J.; Fanton, M.A.; Bogart, T.; Gamble, R.D.

    2005-01-01

    Chemical elemental analysis, temperature-dependent Hall measurements, deep-level transient spectroscopy, and contactless resistivity mapping were performed on undoped semi-insulating (SI) and lightly nitrogen-doped conducting 6H-SiC crystals grown by physical vapor transport (PVT). Resistivity maps of commercial semi-insulating SiC wafers revealed resistivity variations across the wafers between one and two orders of magnitude. Two major types of variations were identified. First is the U-shape distribution with low resistivity in the center and high in the periphery of the wafer. The second type had an inverted U-shape distribution. Secondary-ion-mass spectrometry measurements of the distribution of nitrogen concentration along the growth axis and across the wafers sliced from different locations of lightly nitrogen-doped 6H-SiC boules were conducted. The measured nitrogen concentration gradually decreased along the growth direction and from the center to the periphery of the wafers. This change gives rise to the U-like distribution of resistivity in wafers of undoped SI-SiC. The concentrations of deep electron traps exhibited similar dependence. Compensation of nitrogen donors by these traps can result in the inverted U-like distribution of resistivity. Possible reasons for the observed nonuniformities include formation of a (0001) facet in PVT growth coupled with orientation-dependent nitrogen incorporation, systematic changes of the gas phase composition, and increase of the deposition temperature during boule growth

  5. Graphene-Decorated Nanocomposites for Printable Electrodes in Thin Wafer Devices

    Science.gov (United States)

    Bakhshizadeh, N.; Sivoththaman, S.

    2017-12-01

    Printable electrodes that induce less stress and require lower curing temperatures compared to traditional screen-printed metal pastes are needed in thin wafer devices such as future solar cells, and in flexible electronics. The synthesis of nanocomposites by incorporating graphene nanopowders as well as silver nanowires into epoxy-based electrically conductive adhesives (ECA) is examined to improve electrical conductivity and to develop alternate printable electrode materials that induce less stress on the wafer. For the synthesized graphene and Ag nanowire-decorated ECA nanocomposites, the curing kinetics were studied by dynamic and isothermal differential scanning calorimetry measurements. Thermogravimetric analysis on ECA, ECA-AG and ECA/graphene nanopowder nanocomposites showed that the temperatures for onset of decomposition are higher than their corresponding glass transition temperature ( T g) indicating an excellent thermal resistance. Printed ECA/Ag nanowire nanocomposites showed 90% higher electrical conductivity than ECA films, whereas the ECA/graphene nanocomposites increased the conductivity by over two orders of magnitude. Scanning electron microscopy results also revealed the effect of fillers morphology on the conductivity improvement and current transfer mechanisms in nanocomposites. Residual stress analysis performed on Si wafers showed that the ECA and nanocomposite printed wafers are subjected to much lower stress compared to those printed with metallic pastes. The observed parameters of low curing temperature, good thermal resistance, reasonably high conductivity, and low residual stress in the ECA/graphene nanocomposite makes this material a promising alternative in screen-printed electrode formation in thin substrates.

  6. Thermal stress during RTP processes and its possible effect on the light induced degradation in Cz-Si wafers

    Science.gov (United States)

    Kouhlane, Yacine; Bouhafs, Djoudi; Khelifati, Nabil; Guenda, Abdelkader; Demagh, Nacer-Eddine; Demagh, Assia; Pfeiffer, Pierre; Mezghiche, Salah; Hetatache, Warda; Derkaoui, Fahima; Nasraoui, Chahinez; Nwadiaru, Ogechi Vivian

    2018-04-01

    In this study, the carrier lifetime variation of p-type boron-doped Czochralski silicon (Cz-Si) wafers was investigated after a direct rapid thermal processing (RTP). Two wafers were passivated by silicon nitride (SiNx:H) layers, deposited by a PECVD system on both surfaces. Then the wafers were subjected to an RTP cycle at a peak temperature of 620 °C. The first wafer was protected (PW) from the direct radiative heating of the RTP furnace by placing the wafer between two as-cut Cz-Si shield wafers during the heat processing. The second wafer was not protected (NPW) and followed the same RTP cycle procedure. The carrier lifetime τ eff was measured using the QSSPC technique before and after illumination for 5 h duration at 0.5 suns. The immediate results of the measured lifetime (τ RTP ) after the RTP process have shown a regeneration in the lifetime of the two wafers with the PW wafer exhibiting an important enhancement in τ RTP as compared to the NPW wafer. The QSSPC measurements have indicated a good stable lifetime (τ d ) and a weak degradation effect was observed in the case of the PW wafer as compared to their initial lifetime value. Interferometry technique analyses have shown an enhancement in the surface roughness for the NPW wafer as compared to the protected one. Additionally, to improve the correlation between the RTP heat radiation stress and the carrier lifetime behavior, a simulation of the thermal stress and temperature profile using the finite element method on the wafers surface at RTP peak temperature of 620 °C was performed. The results confirm the reduction of the thermal stress with less heat losses for the PW wafer. Finally, the proposed method can lead to improving the lifetime of wafers by an RTP process at minimum energy costs.

  7. Fusion neutron irradiation of Ni(Si) alloys at high temperature

    International Nuclear Information System (INIS)

    Huang, J.S.; Guinan, M.W.; Hahn, P.A.

    1987-09-01

    Two Ni-4% Si alloys, with different cold work levels, are irradiated with 14 MeV fusion neutrons at 623 K, and their Curie temperatures are monitored during irradiation. The results are compared to those of an identical alloy irradiated by 2 MeV electrons. The results show that increasing dislocation density increases the Curie temperature change rate. At the same damage rate, the Curie temperature change rate for the alloy irradiated by 14 MeV fusion neutrons is only 6 to 7% of that for an identical alloy irradiated by 2 MeV electrons. It is well known that the migration of radiation induced defects contributes to segregation of silicon atoms at sinks in this alloy, causing the Curie temperature changes. The current results imply that the relative free defect production efficiency decreases from one for the electron irradiated sample to 6 to 7% for the fusion neutron irradiated sample. 17 refs., 4 figs., 1 tab

  8. Fusion neutron irradiation of Ni(Si) alloys at high temperature

    Energy Technology Data Exchange (ETDEWEB)

    Huang, J.S.; Guinan, M.W.; Hahn, P.A.

    1987-09-01

    Two Ni-4% Si alloys, with different cold work levels, are irradiated with 14 MeV fusion neutrons at 623 K, and their Curie temperatures are monitored during irradiation. The results are compared to those of an identical alloy irradiated by 2 MeV electrons. The results show that increasing dislocation density increases the Curie temperature change rate. At the same damage rate, the Curie temperature change rate for the alloy irradiated by 14 MeV fusion neutrons is only 6 to 7% of that for an identical alloy irradiated by 2 MeV electrons. It is well known that the migration of radiation induced defects contributes to segregation of silicon atoms at sinks in this alloy, causing the Curie temperature changes. The current results imply that the relative free defect production efficiency decreases from one for the electron irradiated sample to 6 to 7% for the fusion neutron irradiated sample. 17 refs., 4 figs., 1 tab.

  9. Science and technology of plasma activated direct wafer bonding

    Science.gov (United States)

    Roberds, Brian Edward

    This dissertation studied the kinetics of silicon direct wafer bonding with emphasis on low temperature bonding mechanisms. The project goals were to understand the topological requirements for initial bonding, develop a tensile test to measure the bond strength as a function of time and temperature and, using the kinetic information obtained, develop lower temperature methods of bonding. A reproducible surface metrology metric for bonding was best described by power spectral density derived from atomic force microscopy measurements. From the tensile strength kinetics study it was found that low annealing temperatures could be used to obtain strong bonds, but at the expense of longer annealing times. Three models were developed to describe the kinetics. A diffusion controlled model and a reaction rate controlled model were developed for the higher temperature regimes (T > 600sp°C), and an electric field assisted oxidation model was proposed for the low temperature range. An in situ oxygen plasma treatment was used to further enhance the field-controlled mechanism which resulted in dramatic increases in the low temperature bonding kinetics. Multiple internal transmission Fourier transform infrared spectroscopy (MIT-FTIR) was used to monitor species evolution at the bonded interface and a capacitance-voltage (CV) study was undertaken to investigate charge distribution and surface states resulting from plasma activation. A short, less than a minute, plasma exposure prior to contacting the wafers was found to obtain very strong bonds for hydrophobic silicon wafers at very low temperatures (100sp°C). This novel bonding method may enable new technologies involving heterogeneous material systems or bonding partially fabricated devices to become realities.

  10. Comparative TEM study of bonded silicon/silicon interfaces fabricated by hydrophilic, hydrophobic and UHV wafer bonding

    International Nuclear Information System (INIS)

    Reznicek, A.; Scholz, R.; Senz, S.; Goesele, U.

    2003-01-01

    Wafers of Czochralski-grown silicon were bonded hydrophilically, hydrophobically and in ultrahigh vacuum (UHV) at room temperature. Wafers bonded hydrophilically adhere together by hydrogen bonds, those bonded hydrophobically by van der Waals forces and UHV-bonded ones by covalent bonds. Annealing the pre-bonded hydrophilic and hydrophobic wafer pairs in argon for 2 h at different temperatures increases the initially low bonding energy. UHV-bonded wafer pairs were also annealed to compare the results. Transmission electron microscopy (TEM) investigations show nano-voids at the interface. The void density depends on the initial bonding strength. During annealing the shape, coverage and density of the voids change significantly

  11. Improvements to the solar cell efficiency and production yields of low-lifetime wafers with effective phosphorus gettering

    International Nuclear Information System (INIS)

    Lu, Jiunn-Chenn; Chen, Ping-Nan; Chen, Chih-Min; Wu, Chung-Han

    2013-01-01

    Highlights: • Variable-temperature gettering improves efficiencies when the wafer quality is poor. • High-quality wafers need not be used for variable-temperature gettering. • The proposed gettering method is based on an existing diffusion process. • It has a potential interest for hot-spot prevention. -- Abstract: This research focuses on the improvement of solar cell efficiencies in low-lifetime wafers by implementing an appropriate gettering method of the diffusion process. The study also considers a reduction in the value of the reverse current at −12 V, an important electrical parameter related to the hot-spot heating of solar cells and modules, to improve the product's quality during commercial mass production. A practical solar cell production case study is examined to illustrate the use of the proposed method. The results of this case study indicate that variable-temperature gettering significantly improves solar cell efficiencies by 0.14% compared to constant-temperature methods when the wafer quality is poor. Moreover, this study finds that variable-temperature gettering raises production yields of low quality wafers by more than 30% by restraining the measurement value of the reverse current at −12 V during solar cell manufacturing

  12. Temperature derivatives for fusion reactivity of D-D and D-T

    Energy Technology Data Exchange (ETDEWEB)

    Langenbrunner, James R. [Los Alamos National Lab. (LANL), Los Alamos, NM (United States); Makaruk, Hanna Ewa [Los Alamos National Lab. (LANL), Los Alamos, NM (United States)

    2016-11-29

    Deuterium-tritium (D-T) and deuterium-deuterium (D-D) fusion reaction rates are observable using leakage gamma flux. A direct measurement of γ-rays with equipment that exhibits fast temporal response could be used to infer temperature, if the detector signal is amenable for taking the logarithmic time-derivative, alpha. We consider the temperature dependence for fusion cross section reactivity.

  13. Fusion neutron irradiation of Ni-Si alloys at high temperature*1

    Science.gov (United States)

    Huang, J. S.; Guinan, M. W.; Hahn, P. A.

    1988-07-01

    Two Ni-4% Si alloys, with different cold work levels, have been irradiated with 14-MeV fusion neutrons at 623 K, and their Curie temperatures have been monitored during irradiation. The results are compared to those of an identical alloy irradiated by 2-MeV electrons. The results show that increasing dislocation density increases the Curie temperature change rate. At the same damage rate, the Curie temperature change rate for the alloy irradiated by 14-MeV fusion neutrons is only 6-7% of that for an identical alloy irradiated by 2-MeV electrons. It is well known that the migration of radiation induced defects contributes to segregation of silicon atoms at sinks in this alloy, causing the Curie temperature changes. The current results imply that the relative free defect production efficiency decreases from one for the electron irradiated sample to 6-7% for the fusion neutron irradiated sample.

  14. Hydrogen production from fusion reactors coupled with high temperature electrolysis

    International Nuclear Information System (INIS)

    Fillo, J.A.; Powell, J.R.; Steinberg, M.

    The decreasing availability of fossil fuels emphasizes the need to develop systems which will produce synthetic fuel to substitute for and complement the natural supply. An important first step in the synthesis of liquid and gaseous fuels is the production of hydrogen. Thermonuclear fusion offers an inexhaustible source of energy for the production of hydrogen from water. Processes which may be considered for this purpose include electrolysis, thermochemical decomposition or thermochemical-electrochemical hybrid cycles. Preliminary studies at Brookhaven indicate that high temperature electrolysis has the highest potential efficiency for production of hydrogen from fusion. Depending on design electric generation efficiencies of approximately 40 to 60 percent and hydrogen production efficiencies of approximately 50 to 70 percent are projected for fusion reactors using high temperature blankets

  15. Sol-gel bonding of silicon wafers

    International Nuclear Information System (INIS)

    Barbe, C.J.; Cassidy, D.J.; Triani, G.; Latella, B.A.; Mitchell, D.R.G.; Finnie, K.S.; Short, K.; Bartlett, J.R.; Woolfrey, J.L.; Collins, G.A.

    2005-01-01

    Sol-gel bonds have been produced between smooth, clean silicon substrates by spin-coating solutions containing partially hydrolysed silicon alkoxides. The two coated substrates were assembled and the resulting sandwich fired at temperatures ranging from 60 to 600 deg. C. The sol-gel coatings were characterised using attenuated total reflectance Fourier transform infrared spectroscopy, ellipsometry, and atomic force microscopy, while the corresponding bonded specimens were investigated using scanning electron microscopy and cross-sectional transmission electron microscopy. Mechanical properties were characterised using both microindentation and tensile testing. Bonding of silicon wafers has been successfully achieved at temperatures as low as 60 deg. C. At 300 deg. C, the interfacial fracture energy was 1.55 J/m 2 . At 600 deg. C, sol-gel bonding provided superior interfacial fracture energy over classical hydrophilic bonding (3.4 J/m 2 vs. 1.5 J/m 2 ). The increase in the interfacial fracture energy is related to the increase in film density due to the sintering of the sol-gel interface with increasing temperature. The superior interfacial fracture energy obtained by sol-gel bonding at low temperature is due to the formation of an interfacial layer, which chemically bonds the two sol-gel coatings on each wafer. Application of a tensile stress on the resulting bond leads to fracture of the samples at the silicon/sol-gel interface

  16. Fusion reactors-high temperature electrolysis (HTE)

    International Nuclear Information System (INIS)

    Fillo, J.A.

    1978-01-01

    Results of a study to identify and develop a reference design for synfuel production based on fusion reactors are given. The most promising option for hydrogen production was high-temperature electrolysis (HTE). The main findings of this study are: 1. HTE has the highest potential efficiency for production of synfuels from fusion; a fusion to hydrogen energy efficiency of about 70% appears possible with 1800 0 C HTE units and 60% power cycle efficiency; an efficiency of about 50% possible with 1400 0 C HTE units and 40% power cycle efficiency. 2. Relative to thermochemical or direct decomposition methods HTE technology is in a more advanced state of development, 3. Thermochemical or direct decomposition methods must have lower unit process or capital costs if they are to be more attractive than HTE. 4. While design efforts are required, HTE units offer the potential to be quickly run in reverse as fuel cells to produce electricity for restart of Tokamaks and/or provide spinning reserve for a grid system. 5. Because of the short timescale of the study, no detailed economic evaluation could be carried out.A comparison of costs could be made by employing certain assumptions. For example, if the fusion reactor-electrolyzer capital installation is $400/(KW(T) [$1000/KW(E) equivalent], the H 2 energy production cost for a high efficiency (about 70 %) fusion-HTE system is on the same order of magnitude as a coal based SNG plant based on 1976 dollars. 6. The present reference design indicates that a 2000 MW(th) fusion reactor could produce as much at 364 x 10 6 scf/day of hydrogen which is equivalent in heating value to 20,000 barrels/day of gasoline. This would fuel about 500,000 autos based on average driving patterns. 7. A factor of three reduction in coal feed (tons/day) could be achieved for syngas production if hydrogen from a fusion-HTE system were used to gasify coal, as compared to a conventional syngas plant using coal-derived hydrogen

  17. MEMS packaging with etching and thinning of lid wafer to form lids and expose device wafer bond pads

    Science.gov (United States)

    Chanchani, Rajen; Nordquist, Christopher; Olsson, Roy H; Peterson, Tracy C; Shul, Randy J; Ahlers, Catalina; Plut, Thomas A; Patrizi, Gary A

    2013-12-03

    In wafer-level packaging of microelectromechanical (MEMS) devices a lid wafer is bonded to a MEMS wafer in a predermined aligned relationship. Portions of the lid wafer are removed to separate the lid wafer into lid portions that respectively correspond in alignment with MEMS devices on the MEMS wafer, and to expose areas of the MEMS wafer that respectively contain sets of bond pads respectively coupled to the MEMS devices.

  18. High temperature superconductors for fusion magnets -influence of neutron irradiation

    International Nuclear Information System (INIS)

    Chudy, M.; Eisterer, M.; Weber, H. W.

    2010-01-01

    In this work authors present the results of study of influence of neutron irradiation of high temperature superconductors for fusion magnets. High temperature superconductors (type of YBCO (Yttrium-Barium-Copper-Oxygen)) are strong candidates to be applied in the next step of fusion devices. Defects induced by fast neutrons are effective pinning centres, which can significantly improve critical current densities and reduce J c anisotropy. Due to induced lattice disorder, T c is reduced. Requirements for ITER (DEMO) are partially achieved at 64 K.

  19. Modeling of direct wafer bonding: Effect of wafer bow and etch patterns

    Science.gov (United States)

    Turner, K. T.; Spearing, S. M.

    2002-12-01

    Direct wafer bonding is an important technology for the manufacture of silicon-on-insulator substrates and microelectromechanical systems. As devices become more complex and require the bonding of multiple patterned wafers, there is a need to understand the mechanics of the bonding process. A general bonding criterion based on the competition between the strain energy accumulated in the wafers and the surface energy that is dissipated as the bond front advances is developed. The bonding criterion is used to examine the case of bonding bowed wafers. An analytical expression for the strain energy accumulation rate, which is the quantity that controls bonding, and the final curvature of a bonded stack is developed. It is demonstrated that the thickness of the wafers plays a large role and bonding success is independent of wafer diameter. The analytical results are verified through a finite element model and a general method for implementing the bonding criterion numerically is presented. The bonding criterion developed permits the effect of etched features to be assessed. Shallow etched patterns are shown to make bonding more difficult, while it is demonstrated that deep etched features can facilitate bonding. Model results and their process design implications are discussed in detail.

  20. Fabrication of Ge-on-insulator wafers by Smart-CutTM with thermal management for undamaged donor Ge wafers

    Science.gov (United States)

    Kim, Munho; Cho, Sang June; Jayeshbhai Dave, Yash; Mi, Hongyi; Mikael, Solomon; Seo, Jung-Hun; Yoon, Jung U.; Ma, Zhenqiang

    2018-01-01

    Newly engineered substrates consisting of semiconductor-on-insulator are gaining much attention as starting materials for the subsequent transfer of semiconductor nanomembranes via selective etching of the insulating layer. Germanium-on-insulator (GeOI) substrates are critically important because of the versatile applications of Ge nanomembranes (Ge NMs) toward electronic and optoelectronic devices. Among various fabrication techniques, the Smart-CutTM technique is more attractive than other methods because a high temperature annealing process can be avoided. Another advantage of Smart-CutTM is the reusability of the donor Ge wafer. However, it is very difficult to realize an undamaged Ge wafer because there exists a large mismatch in the coefficient of thermal expansion among the layers. Although an undamaged donor Ge wafer is a prerequisite for its reuse, research related to this issue has not yet been reported. Here we report the fabrication of 4-inch GeOI substrates using the direct wafer bonding and Smart-CutTM process with a low thermal budget. In addition, a thermo-mechanical simulation of GeOI was performed by COMSOL to analyze induced thermal stress in each layer of GeOI. Crack-free donor Ge wafers were obtained by annealing at 250 °C for 10 h. Raman spectroscopy and x-ray diffraction (XRD) indicated similarly favorable crystalline quality of the Ge layer in GeOI compared to that of bulk Ge. In addition, Ge p-n diodes using transferred Ge NM indicate a clear rectifying behavior with an on and off current ratio of 500 at ±1 V. This demonstration offers great promise for high performance transferrable Ge NM-based device applications.

  1. Electrical Interconnections Through CMOS Wafers

    DEFF Research Database (Denmark)

    Rasmussen, Frank Engel

    2003-01-01

    Chips with integrated vias are currently the ultimate miniaturizing solution for 3D packaging of microsystems. Previously the application of vias has almost exclusively been demonstrated within MEMS technology, and only a few of these via technologies have been CMOS compatible. This thesis...... describes the development of vias through a silicon wafer containing Complementary Metal-Oxide Semiconductor (CMOS) circuitry. Two via technologies have been developed and fabricated in blank silicon wafers; one based on KOH etching of wafer through-holes and one based on DRIE of wafer through......-holes. The most promising of these technologies --- the DRIE based process --- has been implemented in CMOS wafers containing hearing aid amplifiers. The main challenges in the development of a CMOS compatible via process depend on the chosen process for etching of wafer through-holes. In the case of KOH etching...

  2. SiC epitaxial layer growth in a novel multi-wafer VPE reactor

    Energy Technology Data Exchange (ETDEWEB)

    Burk, A.A. Jr.; O`Loughlin, M.J. [Northrop Grumman Advanced Technology Lab., Baltimore, MD (United States); Mani, S.S. [Northrop Grumman Science and Technology Center, Pittsburgh, PA (United States)

    1998-06-01

    Preliminary results are presented for SiC epitaxial layer growth employing a unique planetary SiC-VPE reactor. The high-throughput, multi-wafer (7 x 2-inch) reactor, was designed for atmospheric and reduced pressure operation at temperatures up to and exceeding 1600 C. Specular epitaxial layers have been grown in the reactor at growth rates from 3-5 {mu}m/hr. The thickest layer grown to data was 42 {mu}m. The layers exhibit minimum unintentional n-type doping of {proportional_to}1 x 10{sup 15} cm{sup -3}, room temperature mobilities of {proportional_to}1000 cm{sup 2}/Vs, and intentional n-type doping from {proportional_to}5 x 10{sup 15} cm{sup -3} to >1 x 10{sup 19} cm{sup -3}. Intrawafer thickness and doping uniformities of 4% and 7% (standard deviation/mean) have been obtained, respectively, on 35 mm diameter substrates. Recently, 3% thickness uniformity has been demonstrated on a 50 mm substrate. Within a run, wafer-to-wafer thickness deviation is {proportional_to}4-14%. Doping variation is currently larger, ranging as much as a factor of two from the highest to the lowest doped wafer. Continuing efforts to improve the susceptor temperature uniformity and reduce unintentional hydrocarbon generation to improve layer uniformity and reproducibility, are presented. (orig.) 18 refs.

  3. Microemulsion-Based Mucoadhesive Buccal Wafers: Wafer Formation, In Vitro Release, and Ex Vivo Evaluation.

    Science.gov (United States)

    Pham, Minh Nguyet; Van Vo, Toi; Tran, Van-Thanh; Tran, Phuong Ha-Lien; Tran, Thao Truong-Dinh

    2017-10-01

    Microemulsion has the potentials to enhance dissolution as well as facilitate absorption and permeation of poorly water-soluble drugs through biological membranes. However, its application to govern a controlled release buccal delivery for local treatment has not been discovered. The aim of this study is to develop microemulsion-based mucoadhesive wafers for buccal delivery based on an incorporation of the microemulsion with mucoadhesive agents and mannitol. Ratio of oil to surfactant to water in the microemulsion significantly impacted quality of the wafers. Furthermore, the combination of carbopol and mannitol played a key role in forming the desired buccal wafers. The addition of an extra 50% of water to the formulation was suitable for wafer formation by freeze-drying, which affected the appearance and distribution of carbopol in the wafers. The amount of carbopol was critical for the enhancement of mucoadhesive properties and the sustained drug release patterns. Release study presented a significant improvement of the drug release profile following sustained release for 6 h. Ex vivo mucoadhesive studies provided decisive evidence to the increased retention time of wafers along with the increased carbopol content. The success of this study indicates an encouraging strategy to formulate a controlled drug delivery system by incorporating microemulsions into mucoadhesive wafers.

  4. High temperature fusion reactor design

    International Nuclear Information System (INIS)

    Harkness, S.D.; dePaz, J.F.; Gohar, M.Y.; Stevens, H.C.

    1979-01-01

    Fusion energy may have unique advantages over other systems as a source for high temperature process heat. A conceptual design of a blanket for a 7 m tokamak reactor has been developed that is capable of producing 1100 0 C process heat at a pressure of approximately 10 atmospheres. The design is based on the use of a falling bed of MgO spheres as the high temperature heat transfer system. By preheating the spheres with energy taken from the low temperature tritium breeding part of the blanket, 1086 MW of energy can be generated at 1100 0 C from a system that produces 3000 MW of total energy while sustaining a tritium breeding ratio of 1.07. The tritium breeding is accomplished using Li 2 O modules both in front of (6 cm thick) and behind (50 cm thick) the high temperature ducts. Steam is used as the first wall and front tritium breeding module coolant while helium is used in the rear tritium breeding region. The system produces 600 MW of net electricity for use on the grid

  5. Use of high temperature superconductors for future fusion magnet systems

    Energy Technology Data Exchange (ETDEWEB)

    Fietz, W H [Forschungszentrum Karlsruhe, Institut fuer Technische Physik, Karlsruhe (Germany); Celentano, G; Della Corte, A [Superconductivity Division, ENEA - Frascati Research Center, Frascati (Italy); Goldacker, W; Heller, R; Komarek, P; Kotzyba, G; Nast, R; Obst, B; Schlachter, S I; Schmidt, C; Zahn, G [Forschungszentrum Karlsruhe, Institut fuer Technische Physik, Karlsruhe (Germany); Pasztor, G; Wesche, R [Centre de Recherches en Physique des Plasmas, Villingen (Switzerland); Salpietro, E; Vostner, A [European Fusion Development Agreement, Close Support Unit, Garching (Germany)

    2005-01-01

    With the construction of ITER the feasibility of a fusion machine will be demonstrated. To commercialize fusion it is essential to keep losses as small as possible in future fusion power plants. One major component where losses can be strongly reduced is the cooling system. For example in ITER where efficiency is not a major goal, a cooling power of 64 kW at 4.4 K is foreseen taking more than 20 MW electric power. Considering the size of future commercial fusion machines this consumption of electric power for cooling will even be higher. With a magnet system working at 20 K a fusion machine would work more efficient by a factor of 5-10 with respect to electric power consumption for cryogenics. Even better than that, would be a machine with a magnet system operating at 65 K to 77 K. In this case liquid nitrogen could be used as coolant saving money for investment and operation costs. Such an increase in the operating temperature of the magnet system can be achieved by the use of High- Temperature Superconductors (HTS). In addition the use of HTS would allow much smaller efforts for thermal shielding and alternative thermal insulation concepts may be possible, e.g. for an HTS bus bar system. This contribution will give an overview about status, promises and challenges of HTS conductors on the way to an HTS fusion magnet system beyond ITER. (author)

  6. Preconceptual design of hyfire. A fusion driven high temperature electrolysis plant

    International Nuclear Information System (INIS)

    Varljen, T.C.; Chi, J.W.H.; Karbowski, J.S.

    1983-01-01

    Brookhaven National Laboratory has been engaged in a scoping study to investigate the potential merits of coupling a fusion reactor with a high temperature blanket to a high temperature electrolysis (HTE) process to produce hydrogen and oxygen. Westinghouse is assisting this study in the areas of systems design integration, plasma engineering, balance of plant design and electrolyzer technology. The aim of the work done in the past year has been to focus on a reference design point for the plant, which has been designated HYFIRE. In prior work, the STARFIRE commercial tokamak fusion reactor was directly used as the fusion driver. This report describes a new design obtained by scaling the basic STARFIRE design to permit the achievement of a blanket power of 6000 MWt. The high temperature blanket design employs a thermally insulated refractory oxide region which provides high temperature (>1000 deg. C) steam at moderate pressures to high temperature electrolysis units. The electrolysis process selected is based on the high temperature, solid electrolyte fuel cell technology developed by Westinghouse. An initial process design and plant layout has been completed; component cost and plant economics studies are now underway to develop estimates of hydrogen production costs and to determine the sensitivity of this cost to changes in major design parameters. (author)

  7. High throughput batch wafer handler for 100 to 200 mm wafers

    International Nuclear Information System (INIS)

    Rathmell, R.D.; Raatz, J.E.; Becker, B.L.; Kitchen, R.L.; Luck, T.R.; Decker, J.H.

    1989-01-01

    A new batch processing end station for ion implantation has been developed for wafers of 100 to 200 mm diameter. It usilizes a spinning disk with clampless wafer support. All wafer transport is done with backside handling and is carried out in vacuum. This end station incorporates a new dose control scheme which is able to monitor the incident particle current independently of the charge state of the ions. This technique prevents errors which may be caused by charge exchange between the beam and residual gas. The design and features of this system will be reviewed and the performance to date will be presented. (orig.)

  8. Wafer-level MOCVD growth of AlGaN/GaN-on-Si HEMT structures with ultra-high room temperature 2DEG mobility

    Directory of Open Access Journals (Sweden)

    Xiaoqing Xu

    2016-11-01

    Full Text Available In this work, we investigate the influence of growth temperature, impurity concentration, and metal contact structure on the uniformity and two-dimensional electron gas (2DEG properties of AlGaN/GaN high electron mobility transistor (HEMT structure grown by metal-organic chemical vapor deposition (MOCVD on 4-inch Si substrate. High uniformity of 2DEG mobility (standard deviation down to 0.72% across the radius of the 4-inch wafer has been achieved, and 2DEG mobility up to 1740.3 cm2/V⋅s at room temperature has been realized at low C and O impurity concentrations due to reduced ionized impurity scattering. The 2DEG mobility is further enhanced to 2161.4 cm2/V⋅s which is comparable to the highest value reported to date when the contact structure is switched from a square to a cross pattern due to reduced piezoelectric scattering at lower residual strain. This work provides constructive insights and promising results to the field of wafer-scale fabrication of AlGaN/GaN HEMT on Si.

  9. Prospects of High Temperature Superconductors for fusion magnets and power applications

    International Nuclear Information System (INIS)

    Fietz, Walter H.; Barth, Christian; Drotziger, Sandra; Goldacker, Wilfried; Heller, Reinhard; Schlachter, Sonja I.; Weiss, Klaus-Peter

    2013-01-01

    Highlights: • An overview of HTS application in fusion is given. • BSCCO application for current leads is discussed. • Several approaches to come to a high current HTS cable are shown. • Open issues and benefits of REBCO high current HTS cables are discussed. -- Abstract: During the last few years, progress in the field of second-generation High Temperature Superconductors (HTS) was breathtaking. Industry has taken up production of long length coated REBCO conductors with reduced angular dependency on external magnetic field and excellent critical current density jc. Consequently these REBCO tapes are used more and more in power application. For fusion magnets, high current conductors in the kA range are needed to limit the voltage during fast discharge. Several designs for high current cables using High Temperature Superconductors have been proposed. With the REBCO tape performance at hand, the prospects of fusion magnets based on such high current cables are promising. An operation at 4.5 K offers a comfortable temperature margin, more mechanical stability and the possibility to reach even higher fields compared to existing solutions with Nb 3 Sn which could be interesting with respect to DEMO. After a brief overview of HTS use in power application the paper will give an overview of possible use of HTS material for fusion application. Present high current HTS cable designs are reviewed and the potential using such concepts for future fusion magnets is discussed

  10. Silicon-to-silicon wafer bonding using evaporated glass

    DEFF Research Database (Denmark)

    Weichel, Steen; Reus, Roger De; Lindahl, M.

    1998-01-01

    Anodic bending of silicon to silicon 4-in. wafers using an electron-beam evaporated glass (Schott 8329) was performed successfully in air at temperatures ranging from 200 degrees C to 450 degrees C. The composition of the deposited glass is enriched in sodium as compared to the target material....... The roughness of the as-deposited films was below 5 nm and was found to be unchanged by annealing at 500 degrees C for 1 h in air. No change in the macroscopic edge profiles of the glass film was found as a function of annealing; however, small extrusions appear when annealing above 450 degrees C. Annealing...... of silicon/glass structures in air around 340 degrees C for 15 min leads to stress-free structures. Bonded wafer pairs, however, show no reduction in stress and always exhibit compressive stress. The bond yield is larger than 95% for bonding temperatures around 350 degrees C and is above 80% for bonding...

  11. InP-based photonic integrated circuit platform on SiC wafer.

    Science.gov (United States)

    Takenaka, Mitsuru; Takagi, Shinichi

    2017-11-27

    We have numerically investigated the properties of an InP-on-SiC wafer as a photonic integrated circuit (PIC) platform. By bonding a thin InP-based semiconductor on a SiC wafer, SiC can be used as waveguide cladding, a heat sink, and a support substrate simultaneously. Since the refractive index of SiC is sufficiently low, PICs can be fabricated using InP-based strip and rib waveguides with a minimum bend radius of approximately 7 μm. High-thermal-conductivity SiC underneath an InP-based waveguide core markedly improves heat dissipation, resulting in superior thermal properties of active devices such as laser diodes. The InP-on-SiC wafer has significantly smaller thermal stress than InP-on-SiO 2 /Si wafer, which prevents the thermal degradation of InP-based devices during high-temperature processes. Thus, InP on SiC provides an ideal platform for high-performance PICs.

  12. Temperature control in vacuum

    International Nuclear Information System (INIS)

    Dearnaley, G.

    1986-01-01

    The patent concerns a method for controlling the temperature of silicon wafers (or samples), during ion beam treatment of the wafers, in a vacuum. The apparatus and method are described for irradiation and temperature control of the samples. The wafers are mounted on a drum which is rotated through the ion beam, and are additionally heated by infra-red lamps to achieve the desired temperature. (U.K.)

  13. Investigation of the heating behavior of carbide-bonded graphene coated silicon wafer used for hot embossing

    Science.gov (United States)

    Yang, Gao; Li, Lihua; Lee, Wing Bun; Ng, Man Cheung; Chan, Chang Yuen

    2018-03-01

    A recently developed carbide-bonded graphene (CBG) coated silicon wafer was found to be an effective micro-patterned mold material for implementing rapid heating in hot embossing processes owing to its superior electrical and thermal conductivity, in addition to excellent mechanical properties. To facilitate the achievement of precision temperature control in the hot embossing, the heating behavior of a CBG coated silicon wafer sample was experimentally investigated. First, two groups of controlled experiments were conducted for quantitatively evaluating the influence of the main factors such as the vacuum pressure and gaseous environment (vacuum versus nitrogen) on its heating performance. The electrical and thermal responses of this sample under a voltage of 60 V were then intensively analyzed, and revealed that it had somewhat semi-conducting properties. Further, we compared its thermal profiles under different settings of the input voltage and current limiting threshold. Moreover, the strong temperature dependence of electrical resistance for this material was observed and determined. Ultimately, the surface temperature of CBG coated silicon wafer could be as high as 1300 ℃, but surprisingly the graphene coating did not detach from the substrate under such an elevated temperature due to its strong thermal coupling with the silicon wafer.

  14. X-ray analytics for 450-mm wafer; Roentgenanalytik fuer 450-mm-Wafer

    Energy Technology Data Exchange (ETDEWEB)

    Anon.

    2014-09-15

    The introduction of the 450-mm technology in the wafer fabrication and the further reduction of critical dimensions requires improved X-ray analysis methods. Therefor the PTB has concipated a metrology chamber for the characterization of 450-mm wafers, the crucial element of which is a multi-axis patent-pending manipulator.

  15. Influence of the temperature on the composites' fusion bonding quality

    Science.gov (United States)

    Harkous, Ali; Jurkowski, Tomasz; Bailleul, Jean-Luc; Le Corre, Steven

    2017-10-01

    Thermoplastic composite parts are increasingly used to replace metal pieces in automotive field due to their mechanical properties, chemical properties and recycling potential [1]. To assemble and give them new mechanical functions, fusion bonding is often used. It is a type of welding carried out at a higher temperature than the fusion one [2]. The mechanical quality of the final adhesion depends on the process parameters like pressure, temperature and cycle time [3]. These parameters depend on two phenomena at the origin of the bonding formation: intimate contact [4] and reptation and healing [5]. In this study, we analyze the influence of the temperature on the bonding quality, disregarding in this first steps the pressure influence. For that, two polyamide composite parts are welded using a specific setup. Then, they undergo a mechanical test of peeling in order to quantify the adhesion quality.

  16. Effect of Rapid Thermal Processing on Light-Induced Degradation of Carrier Lifetime in Czochralski p-Type Silicon Bare Wafers

    Science.gov (United States)

    Kouhlane, Y.; Bouhafs, D.; Khelifati, N.; Belhousse, S.; Menari, H.; Guenda, A.; Khelfane, A.

    2016-11-01

    The electrical properties of Czochralski silicon (Cz-Si) p-type boron-doped bare wafers have been investigated after rapid thermal processing (RTP) with different peak temperatures. Treated wafers were exposed to light for various illumination times, and the effective carrier lifetime ( τ eff) measured using the quasi-steady-state photoconductance (QSSPC) technique. τ eff values dropped after prolonged illumination exposure due to light-induced degradation (LID) related to electrical activation of boron-oxygen (BO) complexes, except in the sample treated with peak temperature of 785°C, for which the τ eff degradation was less pronounced. Also, a reduction was observed when using the 830°C peak temperature, an effect that was enhanced by alteration of the wafer morphology (roughness). Furthermore, the electrical resistivity presented good stability under light exposure as a function of temperature compared with reference wafers. Additionally, the optical absorption edge shifted to higher wavelength, leading to increased free-carrier absorption by treated wafers. Moreover, a theoretical model is used to understand the lifetime degradation and regeneration behavior as a function of illumination time. We conclude that RTP plays an important role in carrier lifetime regeneration for Cz-Si wafers via modification of optoelectronic and structural properties. The balance between an optimized RTP cycle and the rest of the solar cell elaboration process can overcome the negative effect of LID and contribute to achievement of higher solar cell efficiency and module performance.

  17. Wafer scale oblique angle plasma etching

    Science.gov (United States)

    Burckel, David Bruce; Jarecki, Jr., Robert L.; Finnegan, Patrick Sean

    2017-05-23

    Wafer scale oblique angle etching of a semiconductor substrate is performed in a conventional plasma etch chamber by using a fixture that supports a multiple number of separate Faraday cages. Each cage is formed to include an angled grid surface and is positioned such that it will be positioned over a separate one of the die locations on the wafer surface when the fixture is placed over the wafer. The presence of the Faraday cages influences the local electric field surrounding each wafer die, re-shaping the local field to be disposed in alignment with the angled grid surface. The re-shaped plasma causes the reactive ions to follow a linear trajectory through the plasma sheath and angled grid surface, ultimately impinging the wafer surface at an angle. The selected geometry of the Faraday cage angled grid surface thus determines the angle at with the reactive ions will impinge the wafer.

  18. Gasification of high ash, high ash fusion temperature bituminous coals

    Science.gov (United States)

    Liu, Guohai; Vimalchand, Pannalal; Peng, WanWang

    2015-11-13

    This invention relates to gasification of high ash bituminous coals that have high ash fusion temperatures. The ash content can be in 15 to 45 weight percent range and ash fusion temperatures can be in 1150.degree. C. to 1500.degree. C. range as well as in excess of 1500.degree. C. In a preferred embodiment, such coals are dealt with a two stage gasification process--a relatively low temperature primary gasification step in a circulating fluidized bed transport gasifier followed by a high temperature partial oxidation step of residual char carbon and small quantities of tar. The system to process such coals further includes an internally circulating fluidized bed to effectively cool the high temperature syngas with the aid of an inert media and without the syngas contacting the heat transfer surfaces. A cyclone downstream of the syngas cooler, operating at relatively low temperatures, effectively reduces loading to a dust filtration unit. Nearly dust- and tar-free syngas for chemicals production or power generation and with over 90%, and preferably over about 98%, overall carbon conversion can be achieved with the preferred process, apparatus and methods outlined in this invention.

  19. Laser wafering for silicon solar

    International Nuclear Information System (INIS)

    Friedmann, Thomas Aquinas; Sweatt, William C.; Jared, Bradley Howell

    2011-01-01

    Current technology cuts solar Si wafers by a wire saw process, resulting in 50% 'kerf' loss when machining silicon from a boule or brick into a wafer. We want to develop a kerf-free laser wafering technology that promises to eliminate such wasteful wire saw processes and achieve up to a ten-fold decrease in the g/W p (grams/peak watt) polysilicon usage from the starting polysilicon material. Compared to today's technology, this will also reduce costs (∼20%), embodied energy, and green-house gas GHG emissions (∼50%). We will use short pulse laser illumination sharply focused by a solid immersion lens to produce subsurface damage in silicon such that wafers can be mechanically cleaved from a boule or brick. For this concept to succeed, we will need to develop optics, lasers, cleaving, and high throughput processing technologies capable of producing wafers with thicknesses < 50 (micro)m with high throughput (< 10 sec./wafer). Wafer thickness scaling is the 'Moore's Law' of silicon solar. Our concept will allow solar manufacturers to skip entire generations of scaling and achieve grid parity with commercial electricity rates. Yet, this idea is largely untested and a simple demonstration is needed to provide credibility for a larger scale research and development program. The purpose of this project is to lay the groundwork to demonstrate the feasibility of laser wafering. First, to design and procure on optic train suitable for producing subsurface damage in silicon with the required damage and stress profile to promote lateral cleavage of silicon. Second, to use an existing laser to produce subsurface damage in silicon, and third, to characterize the damage using scanning electron microscopy and confocal Raman spectroscopy mapping.

  20. Laser wafering for silicon solar.

    Energy Technology Data Exchange (ETDEWEB)

    Friedmann, Thomas Aquinas; Sweatt, William C.; Jared, Bradley Howell

    2011-03-01

    Current technology cuts solar Si wafers by a wire saw process, resulting in 50% 'kerf' loss when machining silicon from a boule or brick into a wafer. We want to develop a kerf-free laser wafering technology that promises to eliminate such wasteful wire saw processes and achieve up to a ten-fold decrease in the g/W{sub p} (grams/peak watt) polysilicon usage from the starting polysilicon material. Compared to today's technology, this will also reduce costs ({approx}20%), embodied energy, and green-house gas GHG emissions ({approx}50%). We will use short pulse laser illumination sharply focused by a solid immersion lens to produce subsurface damage in silicon such that wafers can be mechanically cleaved from a boule or brick. For this concept to succeed, we will need to develop optics, lasers, cleaving, and high throughput processing technologies capable of producing wafers with thicknesses < 50 {micro}m with high throughput (< 10 sec./wafer). Wafer thickness scaling is the 'Moore's Law' of silicon solar. Our concept will allow solar manufacturers to skip entire generations of scaling and achieve grid parity with commercial electricity rates. Yet, this idea is largely untested and a simple demonstration is needed to provide credibility for a larger scale research and development program. The purpose of this project is to lay the groundwork to demonstrate the feasibility of laser wafering. First, to design and procure on optic train suitable for producing subsurface damage in silicon with the required damage and stress profile to promote lateral cleavage of silicon. Second, to use an existing laser to produce subsurface damage in silicon, and third, to characterize the damage using scanning electron microscopy and confocal Raman spectroscopy mapping.

  1. 120 mm Single-crystalline perovskite and wafers: towards viable applications

    Institute of Scientific and Technical Information of China (English)

    Yucheng Liu; Bo Wang; Qingbo Wei; Fengwei Xiao; Haibo Fan; Hao Deng; Liangping Deng; Shengzhong (Frank) Liu; Xiaodong Ren; Jing Zhang; Zhou Yang; Dong Yang; Fengyang Yu; Jiankun Sun; Changming Zhao; Zhun Yao

    2017-01-01

    As the large single-crystalline silicon wafers have revolutionized many industries including electronics and solar cells,it is envisioned that the availability of large single-crystalline perovskite crystals and wafers will revolutionize its broad applications in photovoltaics,optoelectronics,lasers,photodetectors,light emitting diodes (LEDs),etc.Here we report a method to grow large single-crystalline perovskites including single-halide crystals:CH3NH3PbX3 (X=Ⅰ,Br,Cl),and dual-halide ones:CH3NH3Pb(ClxBr1-x)3 and CH3NH3Pb(BrxI1-x)3,with the largest crystal being 120 mm in length.Meanwhile,we have advanced a process to slice the large perovskite crystals into thin wafers.It is found that the wafers exhibit remarkable features:(1) its trap-state density is a million times smaller than that in the microcrystalline perovskite thin films (MPTF);(2) its carrier mobility is 410 times higher than its most popular organic counterpart P3HT;(3) its optical absorption is expanded to as high as 910 nm comparing to 797 nm for the MPTF;(4) while MPTF decomposes at 150 ℃,the wafer is stable at high temperature up to 270 ℃;(5) when exposed to high humidity (75% RH),MPTF decomposes in 5 h while the wafer shows no change for overnight;(6) its photocurrent response is 250 times higher than its MPTF counterpart.A few electronic devices have been fabricated using the crystalline wafers.Among them,the Hall test gives low carrier concentration with high mobility.The trap-state density is measured much lower than common semiconductors.Moreover,the large SC-wafer is found particularly useful for mass production of integrated circuits.By adjusting the halide composition,both the optical absorption and the light emission can be fine-tuned across the entire visible spectrum from 400 nm to 800 nm.It is envisioned that a range of visible lasers and LEDs may be developed using the dual-halide perovskites.With fewer trap states,high mobility,broader absorption,and humidity resistance,it is

  2. Carbon dioxide capture using resin-wafer electrodeionization

    Science.gov (United States)

    Lin, YuPo J.; Snyder, Seth W.; Trachtenberg, Michael S.; Cowan, Robert M.; Datta, Saurav

    2015-09-08

    The present invention provides a resin-wafer electrodeionization (RW-EDI) apparatus including cathode and anode electrodes separated by a plurality of porous solid ion exchange resin wafers, which when in use are filled with an aqueous fluid. The apparatus includes one or more wafers comprising a basic ion exchange medium, and preferably includes one or more wafers comprising an acidic ion exchange medium. The wafers are separated from one another by ion exchange membranes. The fluid within the acidic and/or basic ion exchange wafers preferably includes, or is in contact with, a carbonic anhydrase (CA) enzyme to facilitate conversion of bicarbonate ion to carbon dioxide within the acidic medium. A pH suitable for exchange of CO.sub.2 is electrochemically maintained within the basic and acidic ion exchange wafers by applying an electric potential across the cathode and anode.

  3. Methane production using resin-wafer electrodeionization

    Science.gov (United States)

    Snyder, Seth W; Lin, YuPo; Urgun-Demirtas, Meltem

    2014-03-25

    The present invention provides an efficient method for creating natural gas including the anaerobic digestion of biomass to form biogas, and the electrodeionization of biogas to form natural gas and carbon dioxide using a resin-wafer deionization (RW-EDI) system. The method may be further modified to include a wastewater treatment system and can include a chemical conditioning/dewatering system after the anaerobic digestion system. The RW-EDI system, which includes a cathode and an anode, can either comprise at least one pair of wafers, each a basic and acidic wafer, or at least one wafer comprising of a basic portion and an acidic portion. A final embodiment of the RW-EDI system can include only one basic wafer for creating natural gas.

  4. Ash fusion temperatures and the transformations of coal ash particles to slag

    Energy Technology Data Exchange (ETDEWEB)

    Gupta, S.K.; Wall, T.F.; Creelman, R.A.; Gupta, R.P. [University of Newcastle, Newcastle, NSW (Australia). CRC for Black Coal Utilisation

    1998-07-01

    A mechanistic study is detailed in which coal ash is heated with its shrinkage measured continuously up to a temperature of 1600{degree}C. The temperature corresponding to the rapid rate of shrinkage correspond to the formation of eutectics identified on phase diagrams. Samples were therefore heated to these temperatures, cooled rapidly and examined using a scanning electron microscope (SEM) to identify the associated chemical and physical changes. The progressive changes in the range of chemical composition (from SEM), the extent of undissolved ash particles and porosity were then quantified and related to homogenisation, viscosity and ash fusion mechanisms. Alternate ash fusion temperatures based on different levels of shrinkage have also been suggested to characterise the ash deposition tendency of the coals. 13 refs., 9 figs.

  5. Surface etching technologies for monocrystalline silicon wafer solar cells

    Science.gov (United States)

    Tang, Muzhi

    With more than 200 GW of accumulated installations in 2015, photovoltaics (PV) has become an important green energy harvesting method. The PV market is dominated by solar cells made from crystalline silicon wafers. The engineering of the wafer surfaces is critical to the solar cell cost reduction and performance enhancement. Therefore, this thesis focuses on the development of surface etching technologies for monocrystalline silicon wafer solar cells. It aims to develop a more efficient alkaline texturing method and more effective surface cleaning processes. Firstly, a rapid, isopropanol alcohol free texturing method is successfully demonstrated to shorten the process time and reduce the consumption of chemicals. This method utilizes the special chemical properties of triethylamine, which can form Si-N bonds with wafer surface atoms. Secondly, a room-temperature anisotropic emitter etch-back process is developed to improve the n+ emitter passivation. Using this method, 19.0% efficient screen-printed aluminium back surface field solar cells are developed that show an efficiency gain of 0.15% (absolute) compared with conventionally made solar cells. Finally, state-of-the-art silicon surface passivation results are achieved using hydrogen plasma etching as a dry alternative to the classical hydrofluoric acid wet-chemical process. The effective native oxide removal and the hydrogenation of the silicon surface are shown to be the reasons for the excellent level of surface passivation achieved with this novel method.

  6. High temperature experiment for accelerator inertial fusion

    International Nuclear Information System (INIS)

    Lee, E.P.

    1985-01-01

    The High Temperature Experiment (HTE) is intended to produce temperatures of 50-100 eV in solid density targets driven by heavy ion beams from a multiple beam induction linac. The fundamental variables (particle species, energy number of beamlets, current and pulse length) must be fixed to achieve the temperature at minimum cost, subject to criteria of technical feasibility and relevance to the development of a Fusion Driver. The conceptual design begins with an assumed (radiation-limited) target temperature and uses limitations due to particle range, beamlet perveance, and target disassembly to bound the allowable values of mass number (A) and energy (E). An accelerator model is then applied to determine the minimum length accelerator, which is a guide to total cost. The accelerator model takes into account limits on transportable charge, maximum gradient, core mass per linear meter, and head-to-tail momentum variation within a pulse

  7. Alternate applications of fusion power: development of a high-temperature blanket for synthetic-fuel production

    International Nuclear Information System (INIS)

    Howard, P.A.; Mattas, R.F.; Krajcinovic, D.; DePaz, J.; Gohar, Y.

    1981-11-01

    This study has shown that utilization of the unique features of a fusion reactor can result in a novel and potentially economical method of decomposing steam into hydrogen and oxygen. Most of the power of fusion reactors is in the form of energetic neutrons. If this power could be used to produce high temperature uncontaminated steam, a large fraction of the energy needed to decomposee the steam could be supplied as thermal energy by the fusion reaction. Proposed high temperature electrolysis processes require steam temperature in excess of 1000 0 C for high efficiency. The design put forth in this study details a system that can accomplish that end

  8. Silicon wafer wettability and aging behaviors: Impact on gold thin-film morphology

    KAUST Repository

    Yang, Xiaoming

    2014-10-01

    This paper reports on the wettability and aging behaviors of the silicon wafers that had been cleaned using a piranha (3:1 mixture of sulfuric acid (H2SO4, 96%) and hydrogen peroxide (H2O 2, 30%), 120 °C), SC1 (1:1:5 mixture of NH4OH, H 2O2 and H2O, at 80°C) or HF solution (6 parts of 40% NH4F and 1 part of 49% HF, at room temperature) solution, and treated with gaseous plasma. The silicon wafers cleaned using the piranha or SC1 solution were hydrophilic, and the water contact angles on the surfaces would increase along with aging time, until they reached the saturated points of around 70°. The contact angle increase rate of these wafers in a vacuum was much faster than that in the open air, because of loss of water, which was physically adsorbed on the wafer surfaces. The silicon wafers cleaned with the HF solution were hydrophobic. Their contact angle decreased in the atmosphere, while it increased in the vacuum up to 95°. Gold thin films deposited on the hydrophilic wafers were smoother than that deposited on the hydrophobic wafers, because the numerous oxygen groups formed on the hydrophilic surfaces would react with gold adatoms in the sputtering process to form a continuous thin film at the nucleation stage. The argon, nitrogen, oxygen gas plasma treatments could change the silicon wafer surfaces from hydrophobic to hydrophilic by creating a thin (around 2.5 nm) silicon dioxide film, which could be utilized to improve the roughness and adhesion of the gold thin film. © 2014 Elsevier Ltd. All rights reserved.

  9. Silicon wafer wettability and aging behaviors: Impact on gold thin-film morphology

    KAUST Repository

    Yang, Xiaoming; Zhong, Zhaowei; Diallo, Elhadj; Wang, Zhihong; Yue, Weisheng

    2014-01-01

    This paper reports on the wettability and aging behaviors of the silicon wafers that had been cleaned using a piranha (3:1 mixture of sulfuric acid (H2SO4, 96%) and hydrogen peroxide (H2O 2, 30%), 120 °C), SC1 (1:1:5 mixture of NH4OH, H 2O2 and H2O, at 80°C) or HF solution (6 parts of 40% NH4F and 1 part of 49% HF, at room temperature) solution, and treated with gaseous plasma. The silicon wafers cleaned using the piranha or SC1 solution were hydrophilic, and the water contact angles on the surfaces would increase along with aging time, until they reached the saturated points of around 70°. The contact angle increase rate of these wafers in a vacuum was much faster than that in the open air, because of loss of water, which was physically adsorbed on the wafer surfaces. The silicon wafers cleaned with the HF solution were hydrophobic. Their contact angle decreased in the atmosphere, while it increased in the vacuum up to 95°. Gold thin films deposited on the hydrophilic wafers were smoother than that deposited on the hydrophobic wafers, because the numerous oxygen groups formed on the hydrophilic surfaces would react with gold adatoms in the sputtering process to form a continuous thin film at the nucleation stage. The argon, nitrogen, oxygen gas plasma treatments could change the silicon wafer surfaces from hydrophobic to hydrophilic by creating a thin (around 2.5 nm) silicon dioxide film, which could be utilized to improve the roughness and adhesion of the gold thin film. © 2014 Elsevier Ltd. All rights reserved.

  10. Noncontacting acoustics-based temperature measurement techniques in rapid thermal processing

    Science.gov (United States)

    Lee, Yong J.; Chou, Ching-Hua; Khuri-Yakub, Butrus T.; Saraswat, Krishna C.

    1991-04-01

    Temperature measurement of silicon wafers based on the temperature dependence of acoustic waves is studied. The change in the temperature-dependent dispersion relations of the plate modes through the wafer can be exploited to provide a viable temperature monitoring scheme with advantages over both thermocouples and pyrometers. Velocity measurements of acoustic waves through a thin layer of ambient directly above the wafer provides the temperature of the wafer-ambient interface. 1.

  11. Porous solid ion exchange wafer for immobilizing biomolecules

    Science.gov (United States)

    Arora, Michelle B.; Hestekin, Jamie A.; Lin, YuPo J.; St. Martin, Edward J.; Snyder, Seth W.

    2007-12-11

    A porous solid ion exchange wafer having a combination of a biomolecule capture-resin and an ion-exchange resin forming a charged capture resin within said wafer. Also disclosed is a porous solid ion exchange wafer having a combination of a biomolecule capture-resin and an ion-exchange resin forming a charged capture resin within said wafer containing a biomolecule with a tag. A separate bioreactor is also disclosed incorporating the wafer described above.

  12. Wafer bonding applications and technology

    CERN Document Server

    Gösele, Ulrich

    2004-01-01

    During the past decade direct wafer bonding has developed into a mature materials integration technology. This book presents state-of-the-art reviews of the most important applications of wafer bonding written by experts from industry and academia. The topics include bonding-based fabrication methods of silicon-on-insulator, photonic crystals, VCSELs, SiGe-based FETs, MEMS together with hybrid integration and laser lift-off. The non-specialist will learn about the basics of wafer bonding and its various application areas, while the researcher in the field will find up-to-date information about this fast-moving area, including relevant patent information.

  13. Influence of Wafer Edge Geometry on Removal Rate Profile in Chemical Mechanical Polishing: Wafer Edge Roll-Off and Notch

    Science.gov (United States)

    Fukuda, Akira; Fukuda, Tetsuo; Fukunaga, Akira; Tsujimura, Manabu

    2012-05-01

    In the chemical mechanical polishing (CMP) process, uniform polishing up to near the wafer edge is essential to reduce edge exclusion and improve yield. In this study, we examine the influences of inherent wafer edge geometries, i.e., wafer edge roll-off and notch, on the CMP removal rate profile. We clarify the areas in which the removal rate profile is affected by the wafer edge roll-off and the notch, as well as the intensity of their effects on the removal rate profile. In addition, we propose the use of a small notch to reduce the influence of the wafer notch and present the results of an examination by finite element method (FEM) analysis.

  14. Joining and fabrication techniques for high temperature structures including the first wall in fusion reactor

    International Nuclear Information System (INIS)

    Lee, Ho Jin; Lee, B. S.; Kim, K. B.

    2003-09-01

    The materials for PFC's (Plasma Facing Components) in a fusion reactor are severely irradiated with fusion products in facing the high temperature plasma during the operation. The refractory materials can be maintained their excellent properties in severe operating condition by lowering surface temperature by bonding them to the high thermal conducting materials of heat sink. Hence, the joining and bonding techniques between dissimilar materials is considered to be important in case of the fusion reactor or nuclear reactor which is operated at high temperature. The first wall in the fusion reactor is heated to approximately 1000 .deg. C and irradiated severely by the plasma. In ITER, beryllium is expected as the primary armour candidate for the PFC's; other candidates including W, Mo, SiC, B4C, C/C and Si 3 N 4 . Since the heat affected zones in the PFC's processed by conventional welding are reported to have embrittlement and degradation in the sever operation condition, both brazing and diffusion bonding are being considered as prime candidates for the joining technique. In this report, both the materials including ceramics and the fabrication techniques including joining technique between dissimilar materials for PFC's are described. The described joining technique between the refractory materials and the dissimilar materials may be applicable for the fusion reactor and Generation-4 future nuclear reactor which are operated at high temperature and high irradiation

  15. Effect of nanoscale surface roughness on the bonding energy of direct-bonded silicon wafers

    Science.gov (United States)

    Miki, N.; Spearing, S. M.

    2003-11-01

    Direct wafer bonding of silicon wafers is a promising technology for manufacturing three-dimensional complex microelectromechanical systems as well as silicon-on-insulator substrates. Previous work has reported that the bond quality declines with increasing surface roughness, however, this relationship has not been quantified. This article explicitly correlates the bond quality, which is quantified by the apparent bonding energy, and the surface morphology via the bearing ratio, which describes the area of surface lying above a given depth. The apparent bonding energy is considered to be proportional to the real area of contact. The effective area of contact is defined as the area sufficiently close to contribute to the attractive force between the two bonding wafers. Experiments were conducted with silicon wafers whose surfaces were roughened by a buffered oxide etch solution (BOE, HF:NH4F=1:7) and/or a potassium hydroxide solution. The surface roughness was measured by atomic force microscopy. The wafers were direct bonded to polished "monitor" wafers following a standard RCA cleaning and the resulting bonding energy was measured by the crack-opening method. The experimental results revealed a clear correlation between the bonding energy and the bearing ratio. A bearing depth of ˜1.4 nm was found to be appropriate for the characterization of direct-bonded silicon at room temperature, which is consistent with the thickness of the water layer at the interface responsible for the hydrogen bonds that link the mating wafers.

  16. Eutectic and solid-state wafer bonding of silicon with gold

    International Nuclear Information System (INIS)

    Abouie, Maryam; Liu, Qi; Ivey, Douglas G.

    2012-01-01

    Highlights: ► Eutectic and solid-state Au-Si bonding are compared for both a-Si and c-Si samples. ► Exchange of a-Si and Au layer was observed in both types of bonded samples. ► Use of c-Si for bonding resulted in formation of craters at the Au/c-Si interface. ► Solid-state Au-Si bonding produces better bonds in terms of microstructure. - Abstract: The simple Au-Si eutectic, which melts at 363 °C, can be used to bond Si wafers. However, faceted craters can form at the Au/Si interface as a result of anisotropic and non-uniform reaction between Au and crystalline silicon (c-Si). These craters may adversely affect active devices on the wafers. Two possible solutions to this problem were investigated in this study. One solution was to use an amorphous silicon layer (a-Si) that was deposited on the c-Si substrate to bond with the Au. The other solution was to use solid-state bonding instead of eutectic bonding, and the wafers were bonded at a temperature (350 °C) below the Au-Si eutectic temperature. The results showed that the a-Si layer prevented the formation of craters and solid-state bonding not only required a lower bonding temperature than eutectic bonding, but also prevented spill out of the solder resulting in strong bonds with high shear strength in comparison with eutectic bonding. Using amorphous silicon, the maximum shear strength for the solid-state Au-Si bond reached 15.2 MPa, whereas for the eutectic Au-Si bond it was 13.2 MPa.

  17. Noise temperature improvement for magnetic fusion plasma millimeter wave imaging systems

    Energy Technology Data Exchange (ETDEWEB)

    Lai, J.; Domier, C. W.; Luhmann, N. C. [Department of Electrical and Computer Engineering, University of California at Davis, Davis, California 95616 (United States)

    2014-03-15

    Significant progress has been made in the imaging and visualization of magnetohydrodynamic and microturbulence phenomena in magnetic fusion plasmas [B. Tobias et al., Plasma Fusion Res. 6, 2106042 (2011)]. Of particular importance have been microwave electron cyclotron emission imaging and microwave imaging reflectometry systems for imaging T{sub e} and n{sub e} fluctuations. These instruments have employed heterodyne receiver arrays with Schottky diode mixer elements directly connected to individual antennas. Consequently, the noise temperature has been strongly determined by the conversion loss with typical noise temperatures of ∼60 000 K. However, this can be significantly improved by making use of recent advances in Monolithic Microwave Integrated Circuit chip low noise amplifiers to insert a pre-amplifier in front of the Schottky diode mixer element. In a proof-of-principle design at V-Band (50–75 GHz), significant improvement of noise temperature from the current 60 000 K to measured 4000 K has been obtained.

  18. Noise temperature improvement for magnetic fusion plasma millimeter wave imaging systems.

    Science.gov (United States)

    Lai, J; Domier, C W; Luhmann, N C

    2014-03-01

    Significant progress has been made in the imaging and visualization of magnetohydrodynamic and microturbulence phenomena in magnetic fusion plasmas [B. Tobias et al., Plasma Fusion Res. 6, 2106042 (2011)]. Of particular importance have been microwave electron cyclotron emission imaging and microwave imaging reflectometry systems for imaging T(e) and n(e) fluctuations. These instruments have employed heterodyne receiver arrays with Schottky diode mixer elements directly connected to individual antennas. Consequently, the noise temperature has been strongly determined by the conversion loss with typical noise temperatures of ~60,000 K. However, this can be significantly improved by making use of recent advances in Monolithic Microwave Integrated Circuit chip low noise amplifiers to insert a pre-amplifier in front of the Schottky diode mixer element. In a proof-of-principle design at V-Band (50-75 GHz), significant improvement of noise temperature from the current 60,000 K to measured 4000 K has been obtained.

  19. Noise temperature improvement for magnetic fusion plasma millimeter wave imaging systems

    International Nuclear Information System (INIS)

    Lai, J.; Domier, C. W.; Luhmann, N. C.

    2014-01-01

    Significant progress has been made in the imaging and visualization of magnetohydrodynamic and microturbulence phenomena in magnetic fusion plasmas [B. Tobias et al., Plasma Fusion Res. 6, 2106042 (2011)]. Of particular importance have been microwave electron cyclotron emission imaging and microwave imaging reflectometry systems for imaging T e and n e fluctuations. These instruments have employed heterodyne receiver arrays with Schottky diode mixer elements directly connected to individual antennas. Consequently, the noise temperature has been strongly determined by the conversion loss with typical noise temperatures of ∼60 000 K. However, this can be significantly improved by making use of recent advances in Monolithic Microwave Integrated Circuit chip low noise amplifiers to insert a pre-amplifier in front of the Schottky diode mixer element. In a proof-of-principle design at V-Band (50–75 GHz), significant improvement of noise temperature from the current 60 000 K to measured 4000 K has been obtained

  20. Wafer-Level Membrane-Transfer Process for Fabricating MEMS

    Science.gov (United States)

    Yang, Eui-Hyeok; Wiberg, Dean

    2003-01-01

    A process for transferring an entire wafer-level micromachined silicon structure for mating with and bonding to another such structure has been devised. This process is intended especially for use in wafer-level integration of microelectromechanical systems (MEMS) that have been fabricated on dissimilar substrates. Unlike in some older membrane-transfer processes, there is no use of wax or epoxy during transfer. In this process, the substrate of a wafer-level structure to be transferred serves as a carrier, and is etched away once the transfer has been completed. Another important feature of this process is that two electrodes constitutes an electrostatic actuator array. An SOI wafer and a silicon wafer (see Figure 1) are used as the carrier and electrode wafers, respectively. After oxidation, both wafers are patterned and etched to define a corrugation profile and electrode array, respectively. The polysilicon layer is deposited on the SOI wafer. The carrier wafer is bonded to the electrode wafer by using evaporated indium bumps. The piston pressure of 4 kPa is applied at 156 C in a vacuum chamber to provide hermetic sealing. The substrate of the SOI wafer is etched in a 25 weight percent TMAH bath at 80 C. The exposed buried oxide is then removed by using 49 percent HF droplets after an oxygen plasma ashing. The SOI top silicon layer is etched away by using an SF6 plasma to define the corrugation profile, followed by the HF droplet etching of the remaining oxide. The SF6 plasma with a shadow mask selectively etches the polysilicon membrane, if the transferred membrane structure needs to be patterned. Electrostatic actuators with various electrode gaps have been fabricated by this transfer technique. The gap between the transferred membrane and electrode substrate is very uniform ( 0.1 m across a wafer diameter of 100 mm, provided by optimizing the bonding control). Figure 2 depicts the finished product.

  1. Laser cutting sandwich structure glass-silicon-glass wafer with laser induced thermal-crack propagation

    Science.gov (United States)

    Cai, Yecheng; Wang, Maolu; Zhang, Hongzhi; Yang, Lijun; Fu, Xihong; Wang, Yang

    2017-08-01

    Silicon-glass devices are widely used in IC industry, MEMS and solar energy system because of their reliability and simplicity of the manufacturing process. With the trend toward the wafer level chip scale package (WLCSP) technology, the suitable dicing method of silicon-glass bonded structure wafer has become necessary. In this paper, a combined experimental and computational approach is undertaken to investigate the feasibility of cutting the sandwich structure glass-silicon-glass (SGS) wafer with laser induced thermal-crack propagation (LITP) method. A 1064 nm semiconductor laser cutting system with double laser beams which could simultaneously irradiate on the top and bottom of the sandwich structure wafer has been designed. A mathematical model for describing the physical process of the interaction between laser and SGS wafer, which consists of two surface heating sources and two volumetric heating sources, has been established. The temperature stress distribution are simulated by using finite element method (FEM) analysis software ABAQUS. The crack propagation process is analyzed by using the J-integral method. In the FEM model, a stationary planar crack is embedded in the wafer and the J-integral values around the crack front edge are determined using the FEM. A verification experiment under typical parameters is conducted and the crack propagation profile on the fracture surface is examined by the optical microscope and explained from the stress distribution and J-integral value.

  2. Low-cost silicon wafer dicing using a craft cutter

    KAUST Repository

    Fan, Yiqiang

    2014-05-20

    This paper reports a low-cost silicon wafer dicing technique using a commercial craft cutter. The 4-inch silicon wafers were scribed using a crafter cutter with a mounted diamond blade. The pre-programmed automated process can reach a minimum die feature of 3 mm by 3 mm. We performed this scribing process on the top polished surface of a silicon wafer; we also created a scribing method for the back-unpolished surface in order to protect the structures on the wafer during scribing. Compared with other wafer dicing methods, our proposed dicing technique is extremely low cost (lower than $1,000), and suitable for silicon wafer dicing in microelectromechanical or microfluidic fields, which usually have a relatively large die dimension. The proposed dicing technique is also usable for dicing multiple project wafers, a process where dies of different dimensions are diced on the same wafer.

  3. A facility for plastic deformation of germanium single-crystal wafers

    DEFF Research Database (Denmark)

    Lebech, B.; Theodor, K.; Breiting, B.

    1998-01-01

    . All movements and temperature changes are done by a robot via a PLC-control system. Two nine-crystal focusing monochromators (54 x 116 and 70 x 116 mm(2)) made from 100 wafers with average mosaicity similar to 13' have been constructed. Summaries of the test results are presented. (C) 1998 Elsevier...

  4. Bonding of Si wafers by surface activation method for the development of high efficiency high counting rate radiation detectors

    International Nuclear Information System (INIS)

    Kanno, Ikuo; Yamashita, Makoto; Onabe, Hideaki

    2006-01-01

    Si wafers with two different resistivities ranging over two orders of magnitude were bonded by the surface activation method. The resistivities of bonded Si wafers were measured as a function of annealing temperature. Using calculations based on a model, the interface resistivities of bonded Si wafers were estimated as a function of the measured resistivities of bonded Si wafers. With thermal treatment from 500degC to 900degC, all interfaces showed high resistivity, with behavior that was close to that of an insulator. Annealing at 1000degC decreased the interface resistivity and showed close to ideal bonding after thermal treatment at 1100degC. (author)

  5. Joining and fabrication techniques for high temperature structures including the first wall in fusion reactor

    Energy Technology Data Exchange (ETDEWEB)

    Lee, Ho Jin; Lee, B. S.; Kim, K. B

    2003-09-01

    The materials for PFC's (Plasma Facing Components) in a fusion reactor are severely irradiated with fusion products in facing the high temperature plasma during the operation. The refractory materials can be maintained their excellent properties in severe operating condition by lowering surface temperature by bonding them to the high thermal conducting materials of heat sink. Hence, the joining and bonding techniques between dissimilar materials is considered to be important in case of the fusion reactor or nuclear reactor which is operated at high temperature. The first wall in the fusion reactor is heated to approximately 1000 .deg. C and irradiated severely by the plasma. In ITER, beryllium is expected as the primary armour candidate for the PFC's; other candidates including W, Mo, SiC, B4C, C/C and Si{sub 3}N{sub 4}. Since the heat affected zones in the PFC's processed by conventional welding are reported to have embrittlement and degradation in the sever operation condition, both brazing and diffusion bonding are being considered as prime candidates for the joining technique. In this report, both the materials including ceramics and the fabrication techniques including joining technique between dissimilar materials for PFC's are described. The described joining technique between the refractory materials and the dissimilar materials may be applicable for the fusion reactor and Generation-4 future nuclear reactor which are operated at high temperature and high irradiation.

  6. Wafer-Level Vacuum Packaging of Smart Sensors.

    Science.gov (United States)

    Hilton, Allan; Temple, Dorota S

    2016-10-31

    The reach and impact of the Internet of Things will depend on the availability of low-cost, smart sensors-"low cost" for ubiquitous presence, and "smart" for connectivity and autonomy. By using wafer-level processes not only for the smart sensor fabrication and integration, but also for packaging, we can further greatly reduce the cost of sensor components and systems as well as further decrease their size and weight. This paper reviews the state-of-the-art in the wafer-level vacuum packaging technology of smart sensors. We describe the processes needed to create the wafer-scale vacuum microchambers, focusing on approaches that involve metal seals and that are compatible with the thermal budget of complementary metal-oxide semiconductor (CMOS) integrated circuits. We review choices of seal materials and structures that are available to a device designer, and present techniques used for the fabrication of metal seals on device and window wafers. We also analyze the deposition and activation of thin film getters needed to maintain vacuum in the ultra-small chambers, and the wafer-to-wafer bonding processes that form the hermetic seal. We discuss inherent trade-offs and challenges of each seal material set and the corresponding bonding processes. Finally, we identify areas for further research that could help broaden implementations of the wafer-level vacuum packaging technology.

  7. Wafer-Level Vacuum Packaging of Smart Sensors

    Directory of Open Access Journals (Sweden)

    Allan Hilton

    2016-10-01

    Full Text Available The reach and impact of the Internet of Things will depend on the availability of low-cost, smart sensors—“low cost” for ubiquitous presence, and “smart” for connectivity and autonomy. By using wafer-level processes not only for the smart sensor fabrication and integration, but also for packaging, we can further greatly reduce the cost of sensor components and systems as well as further decrease their size and weight. This paper reviews the state-of-the-art in the wafer-level vacuum packaging technology of smart sensors. We describe the processes needed to create the wafer-scale vacuum microchambers, focusing on approaches that involve metal seals and that are compatible with the thermal budget of complementary metal-oxide semiconductor (CMOS integrated circuits. We review choices of seal materials and structures that are available to a device designer, and present techniques used for the fabrication of metal seals on device and window wafers. We also analyze the deposition and activation of thin film getters needed to maintain vacuum in the ultra-small chambers, and the wafer-to-wafer bonding processes that form the hermetic seal. We discuss inherent trade-offs and challenges of each seal material set and the corresponding bonding processes. Finally, we identify areas for further research that could help broaden implementations of the wafer-level vacuum packaging technology.

  8. Size of silicon strip sensor from 6 inch wafer (right) compared to that from a 4 inch wafer (left).

    CERN Multimedia

    Honma, Alan

    1999-01-01

    Silicon strip sensors made from 6 inch wafers will allow for much larger surface area coverage at a reduced cost per unit surface area. A prototype sensor of size 8cm x 11cm made by Hamamatsu from a 6 inch wafer is shown next to a traditional 6cm x 6cm sensor from a 4 inch wafer.

  9. Noncontact sheet resistance measurement technique for wafer inspection

    Science.gov (United States)

    Kempa, Krzysztof; Rommel, J. Martin; Litovsky, Roman; Becla, Peter; Lojek, Bohumil; Bryson, Frank; Blake, Julian

    1995-12-01

    A new technique, MICROTHERM, has been developed for noncontact sheet resistance measurements of semiconductor wafers. It is based on the application of microwave energy to the wafer, and simultaneous detection of the infrared radiation resulting from ohmic heating. The pattern of the emitted radiation corresponds to the sheet resistance distribution across the wafer. This method is nondestructive, noncontact, and allows for measurements of very small areas (several square microns) of the wafer.

  10. Thermal modelling of the multi-stage heating system with variable boundary conditions in the wafer based precision glass moulding process

    DEFF Research Database (Denmark)

    Sarhadi, Ali; Hattel, Jesper Henri; Hansen, Hans Nørgaard

    2012-01-01

    pressures. Finally, the three-dimensional modelling of the multi-stage heating system in the wafer based glass moulding process is simulated with the FEM software ABAQUS for a particular industrial application for mobile phone camera lenses to obtain the temperature distribution in the glass wafer...

  11. Interfaces in Si/Ge atomic layer superlattices on (001)Si: Effect of growth temperature and wafer misorientation

    Science.gov (United States)

    Baribeau, J.-M.; Lockwood, D. J.; Syme, R. W. G.

    1996-08-01

    We have used x-ray diffraction, specular reflectivity, and diffuse scattering, complemented by Raman spectroscopy, to study the interfaces in a series of (0.5 nm Ge/2 nm Si)50 atomic layer superlattices on (001)Si grown by molecular beam epitaxy in the temperature range 150-650 °C. X-ray specular reflectivity revealed that the structures have a well-defined periodicity with interface widths of about 0.2-0.3 nm in the 300-590 °C temperature range. Offset reflectivity scans showed that the diffuse scattering peaks at values of perpendicular wave vector transfer corresponding to the superlattice satellite peaks, indicating that the interfaces are vertically correlated. Transverse rocking scans of satellite peaks showed a diffuse component corresponding to an interface corrugation of typical length scale of ˜0.5 μm. The wavelength of the undulations is a minimum along the miscut direction and is typically 30-40 times larger than the surface average terrace width assuming monolayer steps, independently of the magnitude of the wafer misorientation. The amplitude of the undulation evolves with growth temperature and is minimum for growth at ˜460 °C and peaks at ˜520 °C. Raman scattering showed the chemical abruptness of the interfaces at low growth temperatures and indicated a change in the growth mode near 450 °C.

  12. Methods for characterization of wafer-level encapsulation applied on silicon to LTCC anodic bonding

    International Nuclear Information System (INIS)

    Khan, M F; Ghavanini, F A; Enoksson, P; Haasl, S; Löfgren, L; Persson, K; Rusu, C; Schjølberg-Henriksen, K

    2010-01-01

    This paper presents initial results on generic characterization methods for wafer-level encapsulation. The methods, developed specifically to evaluate anodic bonding of low-temperature cofired ceramics (LTCC) to Si, are generally applicable to wafer-level encapsulation. Different microelectromechanical system (MEMS) structures positioned over the whole wafer provide local information about the bond quality. The structures include (i) resonating cantilevers as pressure sensors for bond hermeticity, (ii) resonating bridges as stress sensors for measuring the stress induced by the bonding and (iii) frames/mesas for pull tests. These MEMS structures have been designed, fabricated and characterized indicating that local information can easily be obtained. Buried electrodes to enable localized bonding have been implemented and their effectiveness is indicated from first results of the novel Si to LTCC anodic bonding.

  13. Coal ash fusion temperatures -- New characterization techniques, and associations with phase equilibria

    Energy Technology Data Exchange (ETDEWEB)

    Wall, T.F.; Gupta, R.P.; Gupta, S. [Univ. of Newcastle, New South Wales (Australia). Dept. of Chemical Engineering; Creelman, R.A. [R.A. Creelman and Associates, Epping, New South Wales (Australia); Coin, C. [ACIRL Ipswich, Booval, Queensland (Australia); Lowe, A. [Pacific Power, Sydney, New South Wales (Australia)

    1996-12-31

    The well-documented shortcomings of the standard technique for estimating the fusion temperature of coal ash are its subjective nature and poor accuracy. Alternative measurements based on the shrinkage and electrical conductivity of heating samples are therefore examined with laboratory ash prepared at about 800 C in crucibles, as well as combustion ash sampled from power stations. Sensitive shrinkage measurements indicate temperatures of rapid change which correspond to the formation of liquid phases that can be identified on ternary phase diagrams. The existence and extent of formation of these phases, as quantified by the magnitude of peaks in the test, provide alternative ash fusion temperatures. The peaks from laboratory ashes and corresponding combustion ashes derived from the same coals show clear differences which may be related to the evaporation of potassium during combustion and the reactions of the mineral residues to form combustion ash.

  14. InGaAs-OI Substrate Fabrication on a 300 mm Wafer

    Directory of Open Access Journals (Sweden)

    Sebastien Sollier

    2016-09-01

    Full Text Available In this work, we demonstrate for the first time a 300-mm indium–gallium–arsenic (InGaAs wafer on insulator (InGaAs-OI substrates by splitting in an InP sacrificial layer. A 30-nm-thick InGaAs layer was successfully transferred using low temperature direct wafer bonding (DWB and Smart CutTM technology. Three key process steps of the integration were therefore specifically developed and optimized. The first one was the epitaxial growing process, designed to reduce the surface roughness of the InGaAs film. Second, direct wafer bonding conditions were investigated and optimized to achieve non-defective bonding up to 600 °C. Finally, we adapted the splitting condition to detach the InGaAs layer according to epitaxial stack specifications. The paper presents the overall process flow that achieved InGaAs-OI, the required optimization, and the associated characterizations, namely atomic force microscopy (AFM, scanning acoustic microscopy (SAM, and HR-XRD, to insure the crystalline quality of the post transferred layer.

  15. Temperature-dependent interface characteristic of silicon wafer bonding based on an amorphous germanium layer deposited by DC-magnetron sputtering

    Science.gov (United States)

    Ke, Shaoying; Lin, Shaoming; Ye, Yujie; Mao, Danfeng; Huang, Wei; Xu, Jianfang; Li, Cheng; Chen, Songyan

    2018-03-01

    We report a near-bubble-free low-temperature silicon (Si) wafer bonding with a thin amorphous Ge (a-Ge) intermediate layer. The DC-magnetron-sputtered a-Ge film on Si is demonstrated to be extremely flat (RMS = 0.28 nm) and hydrophilic (contact angle = 3°). The effect of the post-annealing temperature on the surface morphology and crystallinity of a-Ge film at the bonded interface is systematically identified. The relationship among the bubble density, annealing temperature, and crystallinity of a-Ge film is also clearly clarified. The crystallization of a-Ge film firstly appears at the bubble region. More interesting feature is that the crystallization starts from the center of the bubbles and sprawls to the bubble edge gradually. The H2 by-product is finally absorbed by intermediate Ge layer with crystalline phase after post annealing. Moreover, the whole a-Ge film out of the bubble totally crystallizes when the annealing time increases. This Ge integration at the bubble region leads to the decrease of the bubble density, which in turn increases the bonding strength.

  16. Secret high-temperature reactor concept for inertial fusion

    International Nuclear Information System (INIS)

    Monsler, M.J.; Meier, W.R.

    1983-01-01

    The goal of our SCEPTRE project was to create an advanced second-generation inertial fusion reactor that offers the potential for either of the following: (1) generating electricity at 50% efficiency, (2) providing high temperature heat (850 0 C) for hydrogen production, or (3) producing fissile fuel for light-water reactors. We have found that these applications are conceptually feasible with a reactor that is intrinsically free of the hazards of catastrophic fire or tritium release

  17. Coal ash fusion temperatures - new characterization techniques and implications for slagging and fouling

    Energy Technology Data Exchange (ETDEWEB)

    Wall, T.F.; Creelman, R.A.; Gupta, R.P.; Gupta, S.K.; Coin, C.; Lowe, A. [University of Newcastle, Newcastle, NSW (Australia). CRC for Black Coal Utilisation

    1998-09-01

    The ash fusion test (AFT) is the accepted test for the propensity of coal ash to slag in the furnace. The well-documented shortcomings of this technique for estimating the fusion temperature of coal ash are its subjective nature and poor accuracy. Alternative measurements based on the shrinkage and electrical conductivity of heating samples are therefore examined here with laboratory ash prepared at about 800{degree}C in crucibles, as well as combustion ash samples from power stations. Sensitive shrinkage measurements indicate temperatures of rapid change which correspond to the formation of liquid phases that can be identified on ternary phase diagrams. The existence and extent of formation of these phases, as quantified by the magnitude of `peaks` in the test, provide alternative ash fusion temperatures. The peaks from laboratory ashes and corresponding combustion ashes derived from the same coals show clear differences which may be related to the evaporation of potassium during combustion and the reactions of the mineral residues to form combustion ash. A preliminary evaluation of data from nine power stations indicates that shrinkage measurements can provide an alternative approach to characterizing slagging. 15 refs., 9 figs., 2 tabs.

  18. High-κ Al{sub 2}O{sub 3} material in low temperature wafer-level bonding for 3D integration application

    Energy Technology Data Exchange (ETDEWEB)

    Fan, J., E-mail: fanji@hust.edu.cn; Tu, L. C. [MOE Key Laboratory of Fundamental Physical Quantities Measurement, School of Physics, Huazhong University of Science and Technology, Wuhan 430074 (China); Tan, C. S. [School of Electrical and Electronics Engineering, Nanyang Technological University, 50 Nanyang Avenue, Singapore 639798 (Singapore)

    2014-03-15

    This work systematically investigated a high-κ Al{sub 2}O{sub 3} material for low temperature wafer-level bonding for potential applications in 3D microsystems. A clean Si wafer with an Al{sub 2}O{sub 3} layer thickness of 50 nm was applied as our experimental approach. Bonding was initiated in a clean room ambient after surface activation, followed by annealing under inert ambient conditions at 300 °C for 3 h. The investigation consisted of three parts: a mechanical support study using the four-point bending method, hermeticity measurements using the helium bomb test, and thermal conductivity analysis for potential heterogeneous bonding. Compared with samples bonded using a conventional oxide bonding material (SiO{sub 2}), a higher interfacial adhesion energy (∼11.93 J/m{sup 2}) and a lower helium leak rate (∼6.84 × 10{sup −10} atm.cm{sup 3}/sec) were detected for samples bonded using Al{sub 2}O{sub 3}. More importantly, due to the excellent thermal conductivity performance of Al{sub 2}O{sub 3}, this technology can be used in heterogeneous direct bonding, which has potential applications for enhancing the performance of Si photonic integrated devices.

  19. Kerfless epitaxial silicon wafers with 7 ms carrier lifetimes and a wide lift-off process window

    Science.gov (United States)

    Gemmel, Catherin; Hensen, Jan; David, Lasse; Kajari-Schröder, Sarah; Brendel, Rolf

    2018-04-01

    Silicon wafers contribute significantly to the photovoltaic module cost. Kerfless silicon wafers that grow epitaxially on porous silicon (PSI) and are subsequently detached from the growth substrate are a promising lower cost drop-in replacement for standard Czochralski (Cz) wafers. However, a wide technological processing window appears to be a challenge for this process. This holds in particularly for the etching current density of the separation layer that leads to lift-off failures if it is too large or too low. Here we present kerfless PSI wafers of high electronic quality that we fabricate on weakly reorganized porous Si with etch current densities varying in a wide process window from 110 to 150 mA/cm2. We are able to detach all 17 out of 17 epitaxial wafers. All wafers exhibit charge carrier lifetimes in the range of 1.9 to 4.3 ms at an injection level of 1015 cm-3 without additional high-temperature treatment. We find even higher lifetimes in the range of 4.6 to 7.0 ms after applying phosphorous gettering. These results indicate that a weak reorganization of the porous layer can be beneficial for a large lift-off process window while still allowing for high carrier lifetimes.

  20. Friction mechanisms of silicon wafer and silicon wafer coated with diamond-like carbon film and two monolayers

    International Nuclear Information System (INIS)

    Singh, R. Arvind; Yoon, Eui Sung; Han, Hung Gu; Kong, Ho Sung

    2006-01-01

    The friction behaviour of Si-wafer, Diamond-Like Carbon (DLC) and two Self-Assembled Monolayers(SAMs) namely DiMethylDiChlorosilane (DMDC) and DiPhenyl-DiChlorosilane (DPDC) coated on Si-wafer was studied under loading conditions in milli-Newton (mN) range. Experiments were performed using a ball-on-flat type reciprocating micro-tribo tester. Glass balls with various radii 0.25 mm, 0.5 mm and 1 mm were used. The applied normal load was in the range of 1.5 mN to 4.8 mN. Results showed that the friction increased with the applied normal load in the case of all the test materials. It was also observed that friction was affected by the ball size. Friction increased with the increase in the ball size in the case of Si-wafer. The SAMs also showed a similar trend, but had lower values of friction than those of Si-wafer. Interestingly, for DLC it was observed that friction decreased with the increase in the ball size. This distinct difference in the behavior of friction in DLC was attributed to the difference in the operating mechanism. It was observed that Si-wafer and DLC exhibited wear, whereas wear was absent in the SAMs. Observations showed that solid-solid adhesion was dominant in Si-wafer, while plowing in DLC. The wear in these two materials significantly influenced their friction. In the case of SAMs their friction behaviour was largely influenced by the nature of their molecular chains

  1. Characterization of silicon-on-insulator wafers

    Science.gov (United States)

    Park, Ki Hoon

    The silicon-on-insulator (SOI) is attracting more interest as it is being used for an advanced complementary-metal-oxide-semiconductor (CMOS) and a base substrate for novel devices to overcome present obstacles in bulk Si scaling. Furthermore, SOI fabrication technology has improved greatly in recent years and industries produce high quality wafers with high yield. This dissertation investigated SOI material properties with simple, yet accurate methods. The electrical properties of as-grown wafers such as electron and hole mobilities, buried oxide (BOX) charges, interface trap densities, and carrier lifetimes were mainly studied. For this, various electrical measurement techniques were utilized such as pseudo-metal-oxide-semiconductor field-effect-transistor (PseudoMOSFET) static current-voltage (I-V) and transient drain current (I-t), Hall effect, and MOS capacitance-voltage/capacitance-time (C-V/C-t). The electrical characterization, however, mainly depends on the pseudo-MOSFET method, which takes advantage of the intrinsic SOI structure. From the static current-voltage and pulsed measurement, carrier mobilities, lifetimes and interface trap densities were extracted. During the course of this study, a pseudo-MOSFET drain current hysteresis regarding different gate voltage sweeping directions was discovered and the cause was revealed through systematic experiments and simulations. In addition to characterization of normal SOI, strain relaxation of strained silicon-on-insulator (sSOI) was also measured. As sSOI takes advantage of wafer bonding in its fabrication process, the tenacity of bonding between the sSOI and the BOX layer was investigated by means of thermal treatment and high dose energetic gamma-ray irradiation. It was found that the strain did not relax with processes more severe than standard CMOS processes, such as anneals at temperature as high as 1350 degree Celsius.

  2. Wafer-level packaging with compression-controlled seal ring bonding

    Science.gov (United States)

    Farino, Anthony J

    2013-11-05

    A device may be provided in a sealed package by aligning a seal ring provided on a first surface of a first semiconductor wafer in opposing relationship with a seal ring that is provided on a second surface of a second semiconductor wafer and surrounds a portion of the second wafer that contains the device. Forcible movement of the first and second wafer surfaces toward one another compresses the first and second seal rings against one another. A physical barrier against the movement, other than the first and second seal rings, is provided between the first and second wafer surfaces.

  3. On the design and implementation of a wafer yield editor

    NARCIS (Netherlands)

    Pineda de Gyvez, J.; Jess, J.A.G.

    1989-01-01

    An interactive environment is presented for the analysis of yield information required on modern integrated circuit manufacturing lines. The system estimates wafer yields and wafer-yield variations, quantifies regional yield variations within wafers, identifies clusters in wafers and/or in lots, and

  4. Automotive SOI-BCD Technology Using Bonded Wafers

    International Nuclear Information System (INIS)

    Himi, H.; Fujino, S.

    2008-01-01

    The SOI-BCD device is excelling in high temperature operation and noise immunity because the integrated elements can be electrically separated by dielectric isolation. We have promptly paid attention to this feature and have concentrated to develop SOI-BCD devices seeking to match the automotive requirement. In this paper, the feature technologies specialized for automotive SOI-BCD devices, such as buried N + layer for impurity gettering and noise shielding, LDMOS with improved ESD robustness, crystal defect-less process, and wafer direct bonding through the amorphous layer for intelligent power IC are introduced.

  5. A new approach to measure the temperature in rapid thermal processing

    Science.gov (United States)

    Yan, Jiang

    This dissertation has presented the research work about a new method to measure the temperatures for the silicon wafer. The new technology is mainly for the rapid thermal processing (RTP) system. RTP is a promising technology in semiconductor manufacturing especially for the devices with minimum feature size less than 0.5 μm. The technique to measure the temperatures of the silicon wafer accurately is the key factor to apply the RTP technology to more critical processes in the manufacturing. Two methods which are mostly used nowadays, thermocouples and pyrometer, all have the limitation to be applied in the RTP. This is the motivation to study the new method using acoustic waves for the temperature measurement. The test system was designed and built up for the study of the acoustic method. The whole system mainly includes the transducer unit, circuit hardware, control software, the computer, and the chamber. The acoustic wave was generated by the PZT-5H transducer. The wave travels through the quartz rod into the silicon wafer. After traveling a certain distances in the wafer, the acoustic waves could be received by other transducers. By measuring the travel time and with the travel distance, the velocity of the acoustic wave traveling in the silicon wafer can be calculated. Because there is a relationship between the velocity and the temperature: the velocities of the acoustic waves traveling in the silicon wafer decrease as the temperatures of the wafer increase, the temperature of the wafer can be finally obtained. The thermocouples were used to check the measurement accuracy of the acoustic method. The temperature mapping across the 8″ silicon wafer was obtained with four transducer sensor unit. The temperatures of the wafer were measured using acoustic method at both static and dynamic status. The main purpose of the tests is to know the measurement accuracy for the new method. The goal of the research work regarding to the accuracy is acoustic method is

  6. Analytic, empirical and delta method temperature derivatives of D-D and D-T fusion reactivity formulations, as a means of verification

    Energy Technology Data Exchange (ETDEWEB)

    Langenbrunner, James R. [Los Alamos National Lab. (LANL), Los Alamos, NM (United States); Booker, Jane M. [Booker Scientific, Fredericksburg, TX (United States)

    2017-07-21

    We examine the derivatives with respect to temperature, for various deuterium-tritium (DT) and deuterium-deuterium (D-D) fusion-reactivity formulations. Langenbrunner and Makaruk [1] had studied this as a means of understanding the time and temperature domain of reaction history measured in dynamic fusion experiments. Presently, we consider the temperature derivative dependence of fusion reactivity as a means of exercising and verifying the consistency of the various reactivity formulations.

  7. Temperature measuring device

    Energy Technology Data Exchange (ETDEWEB)

    Lauf, R.J.; Bible, D.W.; Sohns, C.W.

    1999-10-19

    Systems and methods are described for a wireless instrumented silicon wafer that can measure temperatures at various points and transmit those temperature readings to an external receiver. The device has particular utility in the processing of semiconductor wafers, where it can be used to map thermal uniformity on hot plates, cold plates, spin bowl chucks, etc. without the inconvenience of wires or the inevitable thermal perturbations attendant with them.

  8. Wafer of Intel Pentium 4 Prescott Chips

    CERN Multimedia

    Silicon wafer with hundreds of Penryn cores (microprocessor). There are around four times as many Prescott chips can be made per wafer than with the previous generation of Northwood-core Pentium 4 processors. It is faster and cheaper.

  9. First wall fusion blanket temperature variation - slab geometry

    International Nuclear Information System (INIS)

    Fillo, J.A.

    1978-01-01

    The first wall of a fusion blanket is approximated by a slab, with the surface facing the plasma subjected to an applied heat flux, while the rear surface is convectively cooled. The relevant parameters affecting the heat transfer during the early phases of heating as well as for large times are established. Analytical solutions for the temperature variation with time and space are derived. Numerical calculations for an aluminum and stainless steel slab are performed for a wall loading of 1 MW(th)/m 2 . Both helium and water cooling are considered. (Auth.)

  10. Wafer edge overlay control solution for N7 and beyond

    Science.gov (United States)

    van Haren, Richard; Calado, Victor; van Dijk, Leon; Hermans, Jan; Kumar, Kaushik; Yamashita, Fumiko

    2018-03-01

    Historically, the on-product overlay performance close to the wafer edge is lagging with respect to the inner part of the wafer. The reason for this is that wafer processing is less controlled close to the wafer edge as opposed to the rest of the wafer. It is generally accepted that Chemical Vapor Deposition (CVD) of stressed layers that cause wafer warp, wafer table contamination, Chemical Mechanical Polishing (CMP), and Reactive Ion Etch (RIE) may deteriorate the overlay performance and/or registration close to the wafer edge. For the N7 technology node and beyond, it is anticipated that the tight on-product overlay specification is required across the full wafer which includes the edge region. In this work, we highlight one contributor that may negatively impact the on-product overlay performance, namely the etch step. The focus will be mainly on the wafer edge region but the remaining part of the wafer is considered as well. Three use-cases are examined: multiple Litho-Etch steps (LEn), contact hole layer etch, and the copper dual damascene etch. We characterize the etch contribution by considering the overlay measurement after resist development inspect (ADI) and after etch inspect (AEI). We show that the Yieldstar diffraction based overlay (μDBO) measurements can be utilized to characterize the etch contribution to the overlay budget. The effects of target asymmetry as well as overlay shifts are considered and compared with SEM measurements. Based on the results above, we propose a control solution aiming to reduce or even eliminate the delta between ADI and AEI. By doing so, target/mark to device offsets due to etch might be avoided.

  11. Automated reticle inspection data analysis for wafer fabs

    Science.gov (United States)

    Summers, Derek; Chen, Gong; Reese, Bryan; Hutchinson, Trent; Liesching, Marcus; Ying, Hai; Dover, Russell

    2009-04-01

    To minimize potential wafer yield loss due to mask defects, most wafer fabs implement some form of reticle inspection system to monitor photomask quality in high-volume wafer manufacturing environments. Traditionally, experienced operators review reticle defects found by an inspection tool and then manually classify each defect as 'pass, warn, or fail' based on its size and location. However, in the event reticle defects are suspected of causing repeating wafer defects on a completed wafer, potential defects on all associated reticles must be manually searched on a layer-by-layer basis in an effort to identify the reticle responsible for the wafer yield loss. This 'problem reticle' search process is a very tedious and time-consuming task and may cause extended manufacturing line-down situations. Often times, Process Engineers and other team members need to manually investigate several reticle inspection reports to determine if yield loss can be tied to a specific layer. Because of the very nature of this detailed work, calculation errors may occur resulting in an incorrect root cause analysis effort. These delays waste valuable resources that could be spent working on other more productive activities. This paper examines an automated software solution for converting KLA-Tencor reticle inspection defect maps into a format compatible with KLA-Tencor's Klarity Defect(R) data analysis database. The objective is to use the graphical charting capabilities of Klarity Defect to reveal a clearer understanding of defect trends for individual reticle layers or entire mask sets. Automated analysis features include reticle defect count trend analysis and potentially stacking reticle defect maps for signature analysis against wafer inspection defect data. Other possible benefits include optimizing reticle inspection sample plans in an effort to support "lean manufacturing" initiatives for wafer fabs.

  12. Application of D-S Evidence Fusion Method in the Fault Detection of Temperature Sensor

    Directory of Open Access Journals (Sweden)

    Zheng Dou

    2014-01-01

    Full Text Available Due to the complexity and dangerousness of drying process, the fault detection of temperature sensor is very difficult and dangerous in actual working practice and the detection effectiveness is not satisfying. For this problem, in this paper, based on the idea of information fusion and the requirements of D-S evidence method, a D-S evidence fusion structure with two layers was introduced to detect the temperature sensor fault in drying process. The first layer was data layer to establish the basic belief assignment function of evidence which could be realized by BP Neural Network. The second layer was decision layer to detect and locate the sensor fault which could be realized by D-S evidence fusion method. According to the numerical simulation results, the working conditions of sensors could be described effectively and accurately by this method, so that it could be used to detect and locate the sensor fault.

  13. Modelling deformation and fracture in confectionery wafers

    Energy Technology Data Exchange (ETDEWEB)

    Mohammed, Idris K.; Charalambides, Maria N.; Williams, J. Gordon; Rasburn, John [Mechanical Engineering Department, Imperial College London, South Kensington, London, SW7 2AZ, United Kingdom and Nestec York Ltd., Nestlé Product Technology Centre, Haxby Road, PO Box 204, York YO91 1XY (United Kingdom)

    2015-01-22

    The aim of this research is to model the deformation and fracture behaviour of brittle wafers often used in chocolate confectionary products. Three point bending and compression experiments were performed on beam and circular disc samples respectively to determine the 'apparent' stress-strain curves in bending and compression. The deformation of the wafer for both these testing types was observed in-situ within an SEM. The wafer is modeled analytically and numerically as a composite material with a core which is more porous than the skins. X-ray tomography was used to generate a three dimensional volume of the wafer microstructure which was then meshed and used for quantitative analysis. A linear elastic material model, with a damage function and element deletion, was used and the XMT generated architecture was loaded in compression. The output from the FE simulations correlates closely to the load-deflection deformation observed experimentally.

  14. Catalyzed deuterium-deuterium and deuterium-tritium fusion blankets for high temperature process heat production

    International Nuclear Information System (INIS)

    Ragheb, M.M.H.; Salimi, B.

    1982-01-01

    Tritiumless blanket designs, associated with a catalyzed deuterium-deuterium (D-D) fusion cycle and using a single high temperature solid pebble or falling bed zone, for process heat production, are proposed. Neutronics and photonics calculations, using the Monte Carlo method, show that an about 90% heat deposition fraction is possible in the high temperature zone, compared to a 30 to 40% fraction if a deuterium-tritium (D-T) fusion cycle is used with separate breeding and heat deposition zones. Such a design is intended primarily for synthetic fuels manufacture through hydrogen production using high temperature water electrolysis. A system analysis involving plant energy balances and accounting for the different fusion energy partitions into neutrons and charged particles showed that plasma amplification factors in the range of 2 are needed. In terms of maximization of process heat and electricity production, and the maximization of the ratio of high temperature process heat to electricity, the catalyzed D-D system outperforms the D-T one by about 20%. The concept is thought competitive to the lithium boiler concept for such applications, with the added potential advantages of lower tritium inventories in the plasma, reduced lithium pumping (in the case of magnetic confinement) and safety problems, less radiation damage at the first wall, and minimized risks of radioactive product contamination by tritium

  15. Formation of III–V-on-insulator structures on Si by direct wafer bonding

    International Nuclear Information System (INIS)

    Yokoyama, Masafumi; Iida, Ryo; Ikku, Yuki; Kim, Sanghyeon; Takenaka, Mitsuru; Takagi, Shinichi; Takagi, Hideki; Yasuda, Tetsuji; Yamada, Hisashi; Ichikawa, Osamu; Fukuhara, Noboru; Hata, Masahiko

    2013-01-01

    We have studied the formation of III–V-compound-semiconductors-on-insulator (III–V-OI) structures with thin buried oxide (BOX) layers on Si wafers by using developed direct wafer bonding (DWB). In order to realize III–V-OI MOSFETs with ultrathin body and extremely thin body (ETB) InGaAs-OI channel layers and ultrathin BOX layers, we have developed an electron-cyclotron resonance (ECR) O 2 plasma-assisted DWB process with ECR sputtered SiO 2 BOX layers and a DWB process based on atomic-layer-deposition Al 2 O 3 (ALD-Al 2 O 3 ) BOX layers. It is essential to suppress micro-void generation during wafer bonding process to achieve excellent wafer bonding. We have found that major causes of micro-void generation in DWB processes with ECR-SiO 2 and ALD-Al 2 O 3 BOX layers are desorption of Ar and H 2 O gas, respectively. In order to suppress micro-void generation in the ECR-SiO 2 BOX layers, it is effective to introduce the outgas process before bonding wafers. On the other hand, it is a possible solution for suppressing micro-void generation in the ALD-Al 2 O 3 BOX layers to increase the deposition temperature of the ALD-Al 2 O 3 BOX layers. It is also another possible solution to deposit ALD-Al 2 O 3 BOX layers on thermally oxidized SiO 2 layers, which can absorb the desorption gas from ALD-Al 2 O 3 BOX layers. (invited paper)

  16. Denuded zone in Czochralski silicon wafer with high carbon content

    International Nuclear Information System (INIS)

    Chen Jiahe; Yang Deren; Ma Xiangyang; Que Duanlin

    2006-01-01

    The thermal stability of the denuded zone (DZ) created by high-low-high-temperature annealing in high carbon content (H[C]) and low carbon content (L[C]) Czochralski silicon (Cz-Si) has been investigated in a subsequent ramping and isothermal 1050 deg. C annealing. The tiny oxygen precipitates which might occur in the DZ were checked. It was found in the L[C] Cz-Si that the DZ shrank and the density of bulk micro-defects (BMDs) reduced with the increase of time spent at 1050 deg. C. Also, the DZs above 15 μm of thickness present in the H[C] Cz-Si wafers continuously and the density and total volume of BMDs first decreased then increased and finally decreased again during the treatments. Moreover, tiny oxygen precipitates were hardly generated inside the DZs, indicating that H[C] Cz-Si wafers could support the fabrication of integrated circuits

  17. Denuded zone in Czochralski silicon wafer with high carbon content

    Science.gov (United States)

    Chen, Jiahe; Yang, Deren; Ma, Xiangyang; Que, Duanlin

    2006-12-01

    The thermal stability of the denuded zone (DZ) created by high-low-high-temperature annealing in high carbon content (H[C]) and low carbon content (L[C]) Czochralski silicon (Cz-Si) has been investigated in a subsequent ramping and isothermal 1050 °C annealing. The tiny oxygen precipitates which might occur in the DZ were checked. It was found in the L[C] Cz-Si that the DZ shrank and the density of bulk micro-defects (BMDs) reduced with the increase of time spent at 1050 °C. Also, the DZs above 15 µm of thickness present in the H[C] Cz-Si wafers continuously and the density and total volume of BMDs first decreased then increased and finally decreased again during the treatments. Moreover, tiny oxygen precipitates were hardly generated inside the DZs, indicating that H[C] Cz-Si wafers could support the fabrication of integrated circuits.

  18. Uncertainty evaluation of thickness and warp of a silicon wafer measured by a spectrally resolved interferometer

    Science.gov (United States)

    Praba Drijarkara, Agustinus; Gergiso Gebrie, Tadesse; Lee, Jae Yong; Kang, Chu-Shik

    2018-06-01

    Evaluation of uncertainty of thickness and gravity-compensated warp of a silicon wafer measured by a spectrally resolved interferometer is presented. The evaluation is performed in a rigorous manner, by analysing the propagation of uncertainty from the input quantities through all the steps of measurement functions, in accordance with the ISO Guide to the Expression of Uncertainty in Measurement. In the evaluation, correlation between input quantities as well as uncertainty attributed to thermal effect, which were not included in earlier publications, are taken into account. The temperature dependence of the group refractive index of silicon was found to be nonlinear and varies widely within a wafer and also between different wafers. The uncertainty evaluation described here can be applied to other spectral interferometry applications based on similar principles.

  19. Ash fusion temperatures and the transformations of coal ash particles to slag

    Energy Technology Data Exchange (ETDEWEB)

    Wall, T.F.; Creelman, R.A.; Gupta, R.; Gupta, S. [Univ. of Newcastle (Australia)

    1996-10-01

    A mechanistic study is detailed in which coal ash is heated with the shrinkage and electrical resistance measured continuously up to a temperature of 1600{degrees}C. The temperatures corresponding to rapid rates of shrinkage are shown to correspond to the formation of eutectics identified on phase diagrams. Samples where therefore heated to these temperatures, cooled rapidly and examined with an SEM to identify the associated chemical and physical changes. The progressive changes in the range of chemical analysis (from SEM), the extent of undissolved ash particles and porosity are then quantified and related to the shrinkage events and standard ash fusion temperatures.

  20. Full Wafer Redistribution and Wafer Embedding as Key Technologies for a Multi-Scale Neuromorphic Hardware Cluster

    OpenAIRE

    Zoschke, Kai; Güttler, Maurice; Böttcher, Lars; Grübl, Andreas; Husmann, Dan; Schemmel, Johannes; Meier, Karlheinz; Ehrmann, Oswin

    2018-01-01

    Together with the Kirchhoff-Institute for Physics(KIP) the Fraunhofer IZM has developed a full wafer redistribution and embedding technology as base for a large-scale neuromorphic hardware system. The paper will give an overview of the neuromorphic computing platform at the KIP and the associated hardware requirements which drove the described technological developments. In the first phase of the project standard redistribution technologies from wafer level packaging were adapted to enable a ...

  1. Controllable laser thermal cleavage of sapphire wafers

    Science.gov (United States)

    Xu, Jiayu; Hu, Hong; Zhuang, Changhui; Ma, Guodong; Han, Junlong; Lei, Yulin

    2018-03-01

    Laser processing of substrates for light-emitting diodes (LEDs) offers advantages over other processing techniques and is therefore an active research area in both industrial and academic sectors. The processing of sapphire wafers is problematic because sapphire is a hard and brittle material. Semiconductor laser scribing processing suffers certain disadvantages that have yet to be overcome, thereby necessitating further investigation. In this work, a platform for controllable laser thermal cleavage was constructed. A sapphire LED wafer was modeled using the finite element method to simulate the thermal and stress distributions under different conditions. A guide groove cut by laser ablation before the cleavage process was observed to guide the crack extension and avoid deviation. The surface and cross section of sapphire wafers processed using controllable laser thermal cleavage were characterized by scanning electron microscopy and optical microscopy, and their morphology was compared to that of wafers processed using stealth dicing. The differences in luminous efficiency between substrates prepared using these two processing methods are explained.

  2. High Temperature Fusion Reactor Cooling Using Brayton Cycle Based Partial Energy Conversion

    Science.gov (United States)

    Juhasz, Albert J.; Sawicki, Jerzy T.

    2003-01-01

    For some future space power systems using high temperature nuclear heat sources most of the output energy will be used in other than electrical form, and only a fraction of the total thermal energy generated will need to be converted to electrical work. The paper describes the conceptual design of such a partial energy conversion system, consisting of a high temperature fusion reactor operating in series with a high temperature radiator and in parallel with dual closed cycle gas turbine (CCGT) power systems, also referred to as closed Brayton cycle (CBC) systems, which are supplied with a fraction of the reactor thermal energy for conversion to electric power. Most of the fusion reactor's output is in the form of charged plasma which is expanded through a magnetic nozzle of the interplanetary propulsion system. Reactor heat energy is ducted to the high temperature series radiator utilizing the electric power generated to drive a helium gas circulation fan. In addition to discussing the thermodynamic aspects of the system design the authors include a brief overview of the gas turbine and fan rotor-dynamics and proposed bearing support technology along with performance characteristics of the three phase AC electric power generator and fan drive motor.

  3. Large-aperture focusing of x rays with micropore optics using dry etching of silicon wafers.

    Science.gov (United States)

    Ezoe, Yuichiro; Moriyama, Teppei; Ogawa, Tomohiro; Kakiuchi, Takuya; Mitsuishi, Ikuyuki; Mitsuda, Kazuhisa; Aoki, Tatsuhiko; Morishita, Kohei; Nakajima, Kazuo

    2012-03-01

    Large-aperture focusing of Al K(α) 1.49 keV x-ray photons using micropore optics made from a dry-etched 4 in. (100 mm) silicon wafer is demonstrated. Sidewalls of the micropores are smoothed with high-temperature annealing to work as x-ray mirrors. The wafer is bent to a spherical shape to collect parallel x rays into a focus. Our result supports that this new type of optics allows for the manufacturing of ultralight-weight and high-performance x-ray imaging optics with large apertures at low cost. © 2012 Optical Society of America

  4. Guided ultrasonic wave beam skew in silicon wafers

    Science.gov (United States)

    Pizzolato, Marco; Masserey, Bernard; Robyr, Jean-Luc; Fromme, Paul

    2018-04-01

    In the photovoltaic industry, monocrystalline silicon wafers are employed for solar cells with high conversion efficiency. Micro-cracks induced by the cutting process in the thin wafers can lead to brittle wafer fracture. Guided ultrasonic waves would offer an efficient methodology for the in-process non-destructive testing of wafers to assess micro-crack density. The material anisotropy of the monocrystalline silicon leads to variations of the guided wave characteristics, depending on the propagation direction relative to the crystal orientation. Selective guided ultrasonic wave excitation was achieved using a contact piezoelectric transducer with custom-made wedges for the A0 and S0 Lamb wave modes and a transducer holder to achieve controlled contact pressure and orientation. The out-of-plane component of the guided wave propagation was measured using a non-contact laser interferometer. The phase slowness (velocity) of the two fundamental Lamb wave modes was measured experimentally for varying propagation directions relative to the crystal orientation and found to match theoretical predictions. Significant wave beam skew was observed experimentally, especially for the S0 mode, and investigated from 3D finite element simulations. Good agreement was found with the theoretical predictions based on nominal material properties of the silicon wafer. The important contribution of guided wave beam skewing effects for the non-destructive testing of silicon wafers was demonstrated.

  5. Properties of plasma sheath with ion temperature in magnetic fusion devices

    International Nuclear Information System (INIS)

    Liu Jinyuan; Wang Feng; Sun Jizhong

    2011-01-01

    The plasma sheath properties in a strong magnetic field are investigated in this work using a steady state two-fluid model. The motion of ions is affected heavily by the strong magnetic field in fusion devices; meanwhile, the effect of ion temperature cannot be neglected for the plasma in such devices. A criterion for the plasma sheath in a strong magnetic field, which differs from the well-known Bohm criterion for low temperature plasma sheath, is established theoretically with a fluid model. The fluid model is then solved numerically to obtain detailed sheath information under different ion temperatures, plasma densities, and magnetic field strengths.

  6. HYFIRE II: fusion/high-temperature electrolysis conceptual-design study. Annual report

    International Nuclear Information System (INIS)

    Fillo, J.A.

    1983-08-01

    As in the previous HYFIRE design study, the current study focuses on coupling a Tokamak fusion reactor with a high-temperature blanket to a High-Temperature Electrolyzer (HTE) process to produce hydrogen and oxygen. Scaling of the STARFIRE reactor to allow a blanket power to 6000 MW(th) is also assumed. The primary difference between the two studies is the maximum inlet steam temperature to the electrolyzer. This temperature is decreased from approx. 1300 0 to approx. 1150 0 C, which is closer to the maximum projected temperature of the Westinghouse fuel cell design. The process flow conditions change but the basic design philosophy and approaches to process design remain the same as before. Westinghouse assisted in the study in the areas of systems design integration, plasma engineering, balance-of-plant design, and electrolyzer technology

  7. Cohesive zone model for direct silicon wafer bonding

    Science.gov (United States)

    Kubair, D. V.; Spearing, S. M.

    2007-05-01

    Direct silicon wafer bonding and decohesion are simulated using a spectral scheme in conjunction with a rate-dependent cohesive model. The cohesive model is derived assuming the presence of a thin continuum liquid layer at the interface. Cohesive tractions due to the presence of a liquid meniscus always tend to reduce the separation distance between the wafers, thereby opposing debonding, while assisting the bonding process. In the absence of the rate-dependence effects the energy needed to bond a pair of wafers is equal to that needed to separate them. When rate-dependence is considered in the cohesive law, the experimentally observed asymmetry in the energetics can be explained. The derived cohesive model has the potential to form a bridge between experiments and a multiscale-modelling approach to understand the mechanics of wafer bonding.

  8. Low-temperature Au/a-Si wafer bonding

    International Nuclear Information System (INIS)

    Jing, Errong; Xiong, Bin; Wang, Yuelin

    2011-01-01

    The Si/SiO 2 /Ti/Au–Au/Ti/a-Si/SiO 2 /Si bonding structure, which can also be used for the bonding of non-silicon material, was investigated for the first time in this paper. The bond quality test showed that the bond yield, bond repeatability and average shear strength are higher for this bonding structure. The interfacial microstructure analysis indicated that the Au-induced crystallization of the amorphous silicon process leads to big Si grains extending across the bond interface and Au filling the other regions of the bond interface, which result into a strong and void-free bond interface. In addition, the Au-induced crystallization reaction leads to a change in the IR images of the bond interface. Therefore, the IR microscope can be used to evaluate and compare the different bond strengths qualitatively. Furthermore, in order to verify the superiority of the bonding structure, the Si/SiO 2 /Ti/Au–a-Si/SiO 2 /Si (i.e. no Ti/Au layer on the a-Si surface) and Si/SiO 2 /Ti/Au–Au/Ti/SiO 2 /Si bonding structures (i.e. Au thermocompression bonding) were also investigated. For the Si/SiO 2 /Ti/Au–a-Si/SiO 2 /Si bonding structure, the poor bond quality is due to the native oxide layer on the a-Si surface, and for the Si/SiO 2 /Ti/Au–Au/Ti/SiO 2 /Si bonding structure, the poor bond quality is caused by the wafer surface roughness which prevents intimate contact and limits the interdiffusion at the bond interface.

  9. High quality single atomic layer deposition of hexagonal boron nitride on single crystalline Rh(111) four-inch wafers

    Energy Technology Data Exchange (ETDEWEB)

    Hemmi, A.; Bernard, C.; Cun, H.; Roth, S.; Klöckner, M.; Kälin, T.; Osterwalder, J.; Greber, T., E-mail: greber@physik.uzh.ch [Physik-Institut, Universität Zürich, CH-8057 Zürich (Switzerland); Weinl, M.; Gsell, S.; Schreck, M. [Institut für Physik, Universität Augsburg, D-86135 Augsburg (Germany)

    2014-03-15

    The setup of an apparatus for chemical vapor deposition (CVD) of hexagonal boron nitride (h-BN) and its characterization on four-inch wafers in ultra high vacuum (UHV) environment is reported. It provides well-controlled preparation conditions, such as oxygen and argon plasma assisted cleaning and high temperature annealing. In situ characterization of a wafer is accomplished with target current spectroscopy. A piezo motor driven x-y stage allows measurements with a step size of 1 nm on the complete wafer. To benchmark the system performance, we investigated the growth of single layer h-BN on epitaxial Rh(111) thin films. A thorough analysis of the wafer was performed after cutting in atmosphere by low energy electron diffraction, scanning tunneling microscopy, and ultraviolet and X-ray photoelectron spectroscopies. The apparatus is located in a clean room environment and delivers high quality single layers of h-BN and thus grants access to large area UHV processed surfaces, which had been hitherto restricted to expensive, small area single crystal substrates. The facility is versatile enough for customization to other UHV-CVD processes, e.g., graphene on four-inch wafers.

  10. Silicon wafers for integrated circuit process

    OpenAIRE

    Leroy , B.

    1986-01-01

    Silicon as a substrate material will continue to dominate the market of integrated circuits for many years. We first review how crystal pulling procedures impact the quality of silicon. We then investigate how thermal treatments affect the behaviour of oxygen and carbon, and how, as a result, the quality of silicon wafers evolves. Gettering techniques are then presented. We conclude by detailing the requirements that wafers must satisfy at the incoming inspection.

  11. Fusion characterization of biomass ash

    Energy Technology Data Exchange (ETDEWEB)

    Ma, Teng [State Key Laboratory ofMultiphase Complex Systems, Institute of Process Engineering, Chinese Academy of Sciences, No. 1 Zhongguancun North Second Street, Beijing 100190 (China); Sino-Danish Center for Education and Research, Beijing, 100190 (China); University of Chinese Academy of Sciences, Beijing 100049 (China); Fan, Chuigang; Hao, Lifang [State Key Laboratory ofMultiphase Complex Systems, Institute of Process Engineering, Chinese Academy of Sciences, No. 1 Zhongguancun North Second Street, Beijing 100190 (China); Li, Songgeng, E-mail: sgli@ipe.ac.cn [State Key Laboratory ofMultiphase Complex Systems, Institute of Process Engineering, Chinese Academy of Sciences, No. 1 Zhongguancun North Second Street, Beijing 100190 (China); Song, Wenli [State Key Laboratory ofMultiphase Complex Systems, Institute of Process Engineering, Chinese Academy of Sciences, No. 1 Zhongguancun North Second Street, Beijing 100190 (China); Lin, Weigang [State Key Laboratory ofMultiphase Complex Systems, Institute of Process Engineering, Chinese Academy of Sciences, No. 1 Zhongguancun North Second Street, Beijing 100190 (China); Department of Chemical and Biochemical Engineering, Technical University of Denmark, 2800 Kgs. Lyngby (Denmark)

    2016-08-20

    Highlights: • A novel method is proposed to analyze fusion characteristics of biomass ash. • T{sub m} can represent the severe melting temperature of biomass ash. • Compared with AFT, TMA is the better choice to analyze the fusion characteristics of biomass ash. - Abstract: The ash fusion characteristics are important parameters for thermochemical utilization of biomass. In this research, a method for measuring the fusion characteristics of biomass ash by Thermo-mechanical Analyzer, TMA, is described. The typical TMA shrinking ratio curve can be divided into two stages, which are closely related to ash melting behaviors. Several characteristics temperatures based on the TMA curves are used to assess the ash fusion characteristics. A new characteristics temperature, T{sub m}, is proposed to represent the severe melting temperature of biomass ash. The fusion characteristics of six types of biomass ash have been measured by TMA. Compared with standard ash fusibility temperatures (AFT) test, TMA is more suitable for measuring the fusion characteristics of biomass ash. The glassy molten areas of the ash samples are sticky and mainly consist of K-Ca-silicates.

  12. Reticle variation influence on manufacturing line and wafer device performance

    Science.gov (United States)

    Nistler, John L.; Spurlock, Kyle

    1994-01-01

    Cost effective manufacturing of devices at 0.5, 0.35 and 0.25μm geometries will be highly dependent on a companys' ability to obtain an economic return on investment. The high capital investment in equipment and facilities, not to mention the related chemical and wafer costs, for producing 200mm silicon wafers requires aspects of wafer processing to be tightly controlled. Reduction in errors and enhanced yield management requires early correction or avoidance of reticle problems. It is becoming increasingly important to recognize and track all pertinent factors impacting both the technical and financial viability of a wafer manufacturing fabrication area. Reticle related effects on wafer manufacturing can be costly and affect the total quality perceived by the device customer.

  13. Candida parapsilosis meningitis associated with Gliadel (BCNU) wafer implants.

    LENUS (Irish Health Repository)

    O'Brien, Deirdre

    2012-02-01

    A 58-year old male presented with meningitis associated with subgaleal and subdural collections 6 weeks following a temporal craniotomy for resection of recurrent glioblastoma multiforme and Gliadel wafer implantation. Candida parapsilosis was cultured from cerebrospinal fluid (CSF) and Gliadel wafers removed during surgical debridement. He was successfully treated with liposomal amphotericin B. To our knowledge, this is the first reported case of Candida parapsilosis meningitis secondary to Gliadel wafer placement.

  14. Candida parapsilosis meningitis associated with Gliadel (BCNU) wafer implants.

    LENUS (Irish Health Repository)

    O'brien, Deirdre

    2010-12-15

    A 58-year old male presented with meningitis associated with subgaleal and subdural collections 6 weeks following a temporal craniotomy for resection of recurrent glioblastoma multiforme and Gliadel wafer implantation. Candida parapsilosis was cultured from cerebrospinal fluid (CSF) and Gliadel wafers removed during surgical debridement. He was successfully treated with liposomal amphotericin B. To our knowledge, this is the first reported case of Candida parapsilosis meningitis secondary to Gliadel wafer placement.

  15. High frequency guided wave propagation in monocrystalline silicon wafers

    OpenAIRE

    Pizzolato, M.; Masserey, B.; Robyr, J. L.; Fromme, P.

    2017-01-01

    Monocrystalline silicon wafers are widely used in the photovoltaic industry for solar panels with high conversion efficiency. The cutting process can introduce micro-cracks in the thin wafers and lead to varying thickness. High frequency guided ultrasonic waves are considered for the structural monitoring of the wafers. The anisotropy of the monocrystalline silicon leads to variations of the wave characteristics, depending on the propagation direction relative to the crystal orientation. Full...

  16. Ash fusion temperatures and the transformations of coal ash particles to slag

    Energy Technology Data Exchange (ETDEWEB)

    Gupta, S.; Wall, T.F.; Creelman, R.A.; Gupta, R. [Univ. of Newcastle, Callaghan (Australia)

    1996-12-31

    A mechanistic study is detailed in which coal ash is heated with its shrinkage measured continuously up to a temperature of 1600{degrees}C. The temperatures corresponding to the rapid rate of shrinkage are shown to correspond to the formation of eutectics identified on phase diagrams. Samples were therefore heated to these temperatures, cooled rapidly and examined with an SEM to identify the associated chemical and physical changes. The progressive changes in the range of chemical analysis (from SEM), the extent of undissolved ash particles and porosity were then quantified and related to homogenization, viscosity and ash fusion mechanisms.

  17. Review of fusion synfuels

    International Nuclear Information System (INIS)

    Fillo, J.A.

    1980-01-01

    Thermonuclear fusion offers an inexhaustible source of energy for the production of hydrogen from water. Depending on design, electric generation efficiencies of approx. 40 to 60% and hydrogen production efficiencies by high-temperature electrolysis of approx. 50 to 65% are projected for fusion reactors using high-temperatures blankets. Fusion/coal symbiotic systems appear economically promising for the first generation of commercial fusion synfuels plants. Coal production requirements and the environmental effects of large-scale coal usage would be greatly reduced by a fusion/coal system. In the long term, there could be a gradual transition to an inexhaustible energy system based solely on fusion

  18. Direct Electroplating on Highly Doped Patterned Silicon Wafers

    NARCIS (Netherlands)

    Vargas Llona, Laura Dolores; Jansen, Henricus V.; Elwenspoek, Michael Curt

    Nickel thin films have been electrodeposited directly on highly doped silicon wafers after removal of the native oxide layer. These substrates conduct sufficiently well to allow deposition using a periferical electrical contact on the wafer. Films 2 μm thick were deposited using a nickel sulfamate

  19. Stress and phase changes in a low-thermal-expansion Al-3at.%Ge alloy film on oxidized silicon wafers

    International Nuclear Information System (INIS)

    Tu, K.N.; Rodbell, K.P.; Herd, S.R.; Mikalsen, D.J.

    1993-01-01

    The alloy of Al-3at.%Ge has been found to have a low thermal expansion and contraction in the temperature range of room temperature to 400 C. The reason for the low thermal contraction (or expansion) is the precipitation (or dissolution) of Ge in the alloy. The Ge precipitates have a diamond structure in which each Ge atom occupies a much larger atomic volume than a Ge atom dissolved substitutionally in Al. The volume difference compensates for the effect of thermal expansion and contraction with changing temperature which in turn reduces the thermal stress due to thermal mismatch. The technique of wafer bending was used to determine the stress of the alloy film on oxidized silicon wafers upon thermal cycling; indeed, it is much lower than that of pure Al on identical wafers. The morphology of precipitation and dissolution of Ge in Al has been studied by transmission and scanning electron microscopy. It is found that the precipitation follows a discontinuous mode and occurs predominantly along grain boundaries. In dissolving the Ge precipitates into Al, voids are left behind because of the volume difference. It is proposed that this may explain the enhancement of nucleation of voids in the alloy film upon thermal cycling. (orig.)

  20. Impact of SiO2 on Al–Al thermocompression wafer bonding

    International Nuclear Information System (INIS)

    Malik, Nishant; Finstad, Terje G; Schjølberg-Henriksen, Kari; Poppe, Erik U; Taklo, Maaike M V

    2015-01-01

    Al–Al thermocompression bonding suitable for wafer level sealing of MEMS devices has been investigated. This paper presents a comparison of thermocompression bonding of Al films deposited on Si with and without a thermal oxide (SiO 2 film). Laminates of diameter 150 mm containing device sealing frames of width 200 µm were realized. The wafers were bonded by applying a bond force of 36 or 60 kN at bonding temperatures ranging from 300–550 °C for bonding times of 15, 30 or 60 min. The effects of these process variations on the quality of the bonded laminates have been studied. The bond quality was estimated by measurements of dicing yield, tensile strength, amount of cohesive fracture in Si and interfacial characterization. The mean bond strength of the tested structures ranged from 18–61 MPa. The laminates with an SiO 2 film had higher dicing yield and bond strength than the laminates without SiO 2 for a 400 °C bonding temperature. The bond strength increased with increasing bonding temperature and bond force. The laminates bonded for 30 and 60 min at 400 °C and 60 kN had similar bond strength and amount of cohesive fracture in the bulk silicon, while the laminates bonded for 15 min had significantly lower bond strength and amount of cohesive fracture in the bulk silicon. (paper)

  1. Multifunctional medicated lyophilised wafer dressing for effective chronic wound healing.

    Science.gov (United States)

    Pawar, Harshavardhan V; Boateng, Joshua S; Ayensu, Isaac; Tetteh, John

    2014-06-01

    Wafers combining weight ratios of Polyox with carrageenan (75/25) or sodium alginate (50/50) containing streptomycin and diclofenac were prepared to improve chronic wound healing. Gels were freeze-dried using a lyophilisation cycle incorporating an annealing step. Wafers were characterised for morphology, mechanical and in vitro functional (swelling, adhesion, drug release in the presence of simulated wound fluid) characteristics. Both blank (BLK) and drug-loaded (DL) wafers were soft, flexible, elegant in appearance and non-brittle in nature. Annealing helped to improve porous nature of wafers but was affected by the addition of drugs. Mechanical characterisation demonstrated that the wafers were strong enough to withstand normal stresses but also flexible to prevent damage to newly formed skin tissue. Differences in swelling, adhesion and drug release characteristics could be attributed to differences in pore size and sodium sulphate formed because of the salt forms of the two drugs. BLK wafers showed relatively higher swelling and adhesion than DL wafers with the latter showing controlled release of streptomycin and diclofenac. The optimised dressing has the potential to reduce bacterial infection and can also help to reduce swelling and pain associated with injury due to the anti-inflammatory action of diclofenac and help to achieve more rapid wound healing. © 2014 Wiley Periodicals, Inc. and the American Pharmacists Association.

  2. Optimization of nonthermal fusion power consistent with channeling of charged fusion product energy

    International Nuclear Information System (INIS)

    Snyder, P.B.; Herrmann, M.C.; Fisch, N.J.

    1994-01-01

    If the energy of charged fusion products can be diverted directly to fuel ions, non-Maxwellian fuel ion distributions and temperature differences between species will result. To determine the importance of these nonthermal effects, the fusion power density is optimized at constant-β for non-thermal distributions that are self-consistently maintained by channeling of energy from charged fusion products. For D-T and D- 3 He reactors, with 75% of charged fusion product power diverted to fuel ions, temperature differences between electrons and ions increase the reactivity by 40-70%, while non-Maxwellian fuel ion distributions and temperature differences between ionic species increase the reactivity by an additional 3-15%

  3. Achievement of solid-state plasma fusion ('Cold-Fusion')

    International Nuclear Information System (INIS)

    Arata, Yoshiaki; Zhang, Yue-Chang

    1995-01-01

    Using a 'QMS' (Quadrupole Mass Spectrometer), the authors detected a significantly large amount (10 20 -10 21 [cm -3 ]) of helium ( 2 4 He), which was concluded to have been produced by a deuterium nuclear reaction within a host solid. These results were found to be fully repeatable and supported the authors' proposition that solid state plasma fusion ('Cold Fusion') can be generated in energetic deuterium Strongly Coupled Plasma ('SC-plasma'). This fusion reaction is thought to be sustained by localized 'Latticequake' in a solid-state media with the deuterium density equivalent to that of the host solid. While exploring this basic proposition, the characteristic differences when compared with ultra high temperature-state plasma fusion ('Hot Fusion') are clarified. In general, the most essential reaction product in both types of the deuterium plasma fusion is considered to be helium, irrespective of the 'well-known and/or unknown reactions', which is stored within the solid-state medium in abundance as a 'Residual Product', but which generally can not enter into nor be released from host-solid at a room temperature. Even measuring instruments with relatively poor sensitivity should be able to easily detect such residual helium. An absence of residual helium means that no nuclear fusion reaction has occurred, whereas its presence provides crucial evidence that nuclear fusion has, in fact, occurred in the solid. (author)

  4. Fusion characterization of biomass ash

    DEFF Research Database (Denmark)

    Ma, Teng; Fan, Chuigang; Hao, Lifang

    2016-01-01

    The ash fusion characteristics are important parameters for thermochemical utilization of biomass. In this research, a method for measuring the fusion characteristics of biomass ash by Thermo-mechanical Analyzer, TMA, is described. The typical TMA shrinking ratio curve can be divided into two...... stages, which are closely related to ash melting behaviors. Several characteristics temperatures based on the TMA curves are used to assess the ash fusion characteristics. A new characteristics temperature, Tm, is proposed to represent the severe melting temperature of biomass ash. The fusion...... characteristics of six types of biomass ash have been measured by TMA. Compared with standard ash fusibility temperatures (AFT) test, TMA is more suitable for measuring the fusion characteristics of biomass ash. The glassy molten areas of the ash samples are sticky and mainly consist of K-Ca-silicates....

  5. P/N InP solar cells on Ge wafers

    Science.gov (United States)

    Wojtczuk, Steven; Vernon, Stanley; Burke, Edward A.

    1994-01-01

    Indium phosphide (InP) P-on-N one-sun solar cells were epitaxially grown using a metalorganic chemical vapor deposition process on germanium (Ge) wafers. The motivation for this work is to replace expensive InP wafers, which are fragile and must be thick and therefore heavy, with less expensive Ge wafers, which are stronger, allowing use of thinner, lighter weight wafers. An intermediate InxGs1-xP grading layer starting as In(0.49)Ga(0.51) at the GaAs-coated Ge wafer surface and ending as InP at the top of the grading layer (backside of the InP cell) was used to attempt to bend some of the threading dislocations generated by lattice-mismatch between the Ge wafer and InP cell so they would be harmlessly confined in this grading layer. The best InP/Ge cell was independently measured by NASA-Lewis with a one-sun 25 C AMO efficiently measured by NASA-Lewis with a one-circuit photocurrent 22.6 mA/sq cm. We believe this is the first published report of an InP cell grown on a Ge wafer. Why get excited over a 9 percent InP/Ge cell? If we look at the cell weight and efficiency, a 9 percent InP cell on an 8 mil Ge wafer has about the same cell power density, 118 W/kg (BOL), as the best InP cell ever made, a 19 percent InP cell on an 18 mil InP wafer, because of the lighter Ge wafer weight. As cell panel materials become lighter, the cell weight becomes more important, and the advantage of lightweight cells to the panel power density becomes more important. In addition, although InP/Ge cells have a low beginning-of-life (BOL) efficiency due to dislocation defects, the InP/Ge cells are very radiation hard (end-of-life power similar to beginning-of-life). We have irradiated an InP/Ge cell with alpha particles to an equivalent fluence of 1.6 x 10(exp 16) 1 MeV electrons/sq cm and the efficiency is still 83 percent of its BOL value. At this fluence level, the power output of these InP/Ge cells matches the GaAs/Ge cell data tabulated in the JPL handbook. Data are presented

  6. Modeling and Prediction of Coal Ash Fusion Temperature based on BP Neural Network

    Directory of Open Access Journals (Sweden)

    Miao Suzhen

    2016-01-01

    Full Text Available Coal ash is the residual generated from combustion of coal. The ash fusion temperature (AFT of coal gives detail information on the suitability of a coal source for gasification procedures, and specifically to which extent ash agglomeration or clinkering is likely to occur within the gasifier. To investigate the contribution of oxides in coal ash to AFT, data of coal ash chemical compositions and Softening Temperature (ST in different regions of China were collected in this work and a BP neural network model was established by XD-APC PLATFORM. In the BP model, the inputs were the ash compositions and the output was the ST. In addition, the ash fusion temperature prediction model was obtained by industrial data and the model was generalized by different industrial data. Compared to empirical formulas, the BP neural network obtained better results. By different tests, the best result and the best configurations for the model were obtained: hidden layer nodes of the BP network was setted as three, the component contents (SiO2, Al2O3, Fe2O3, CaO, MgO were used as inputs and ST was used as output of the model.

  7. Wafer Cakes of Improved Amino Acid Structure

    Directory of Open Access Journals (Sweden)

    Roksolana Boidunyk

    2017-11-01

    Full Text Available The article presents the results of the study of the amino acid composition of newly developed wafer cakes with adipose fillings combined with natural additives. The appropriateness of the using non-traditional raw materials (powder of willow herb, poppy oilcake, carob, as well as skimmed milk powder in order to increase the biological value of wafer cakes and improve their amino acid composition is proven.

  8. Towards ultra-thin plasmonic silicon wafer solar cells with minimized efficiency loss.

    Science.gov (United States)

    Zhang, Yinan; Stokes, Nicholas; Jia, Baohua; Fan, Shanhui; Gu, Min

    2014-05-13

    The cost-effectiveness of market-dominating silicon wafer solar cells plays a key role in determining the competiveness of solar energy with other exhaustible energy sources. Reducing the silicon wafer thickness at a minimized efficiency loss represents a mainstream trend in increasing the cost-effectiveness of wafer-based solar cells. In this paper we demonstrate that, using the advanced light trapping strategy with a properly designed nanoparticle architecture, the wafer thickness can be dramatically reduced to only around 1/10 of the current thickness (180 μm) without any solar cell efficiency loss at 18.2%. Nanoparticle integrated ultra-thin solar cells with only 3% of the current wafer thickness can potentially achieve 15.3% efficiency combining the absorption enhancement with the benefit of thinner wafer induced open circuit voltage increase. This represents a 97% material saving with only 15% relative efficiency loss. These results demonstrate the feasibility and prospect of achieving high-efficiency ultra-thin silicon wafer cells with plasmonic light trapping.

  9. Analysis of temperature profiles and the mechanism of silicon substrate plastic deformation under epitaxial growth

    International Nuclear Information System (INIS)

    Mirkurbanov, H.A.; Sazhnev, S.V.; Timofeev, V.N.

    2004-01-01

    Full text: Thermal treatment of silicon wafers holds one of the major place in the manufacturing of semi-conductor devices. Thermal treatment includes wafer annealing, thermal oxidation, epitaxial growing etc. Quality of wafers in the high-temperature processes (900-1200 deg C) is estimated by the density of structural defects, including areas of plastic deformation, which are shown as the slip lines appearance. Such areas amount to 50-60 % of total wafer surface. The plastic deformation is caused by the thermal stresses. Experimental and theoretical researches allowed to determine thermal balance and to construct a temperature profiles throughout the plate surface. Thermal stresses are caused by temperature drop along the radius of a wafer and at the basic peripheral ring. The threshold temperature drop between center f a wafer and its peripherals (ΔT) for slip lines appearance, amounts to 15-17 deg. C. At the operating temperature of 900-1200 deg. C and ΔT>20 deg. C, the stresses reach the silicon yield point. According to the results of the researches of structure and stress profiles in a wafer, the mechanism of slip lines formation has been constructed. A source of dislocations is the rear broken layer of thickness 8-10 microns, formed after polishing. The micro-fissures with a density 10 5 -10 6 cm -2 are the sources of dislocations. Dislocations move on a surface of a wafer into a slip plane (111). On a wafer surface with orientation (111) it is possible to allocate zones where the tangential stress vector is most favorably directed with respect to a slip plane leaving on a surface, i.e. the shift stresses are maximal in the slip plane. The way to eliminate plastic deformation is to lower the temperature drop to a level of <15 deg. C and elimination of the broken layer in wafer

  10. Wafer-scale micro-optics fabrication

    Science.gov (United States)

    Voelkel, Reinhard

    2012-07-01

    Micro-optics is an indispensable key enabling technology for many products and applications today. Probably the most prestigious examples are the diffractive light shaping elements used in high-end DUV lithography steppers. Highly-efficient refractive and diffractive micro-optical elements are used for precise beam and pupil shaping. Micro-optics had a major impact on the reduction of aberrations and diffraction effects in projection lithography, allowing a resolution enhancement from 250 nm to 45 nm within the past decade. Micro-optics also plays a decisive role in medical devices (endoscopes, ophthalmology), in all laser-based devices and fiber communication networks, bringing high-speed internet to our homes. Even our modern smart phones contain a variety of micro-optical elements. For example, LED flash light shaping elements, the secondary camera, ambient light and proximity sensors. Wherever light is involved, micro-optics offers the chance to further miniaturize a device, to improve its performance, or to reduce manufacturing and packaging costs. Wafer-scale micro-optics fabrication is based on technology established by the semiconductor industry. Thousands of components are fabricated in parallel on a wafer. This review paper recapitulates major steps and inventions in wafer-scale micro-optics technology. The state-of-the-art of fabrication, testing and packaging technology is summarized.

  11. Propagation of resist heating mask error to wafer level

    Science.gov (United States)

    Babin, S. V.; Karklin, Linard

    2006-10-01

    As technology is approaching 45 nm and below the IC industry is experiencing a severe product yield hit due to rapidly shrinking process windows and unavoidable manufacturing process variations. Current EDA tools are unable by their nature to deliver optimized and process-centered designs that call for 'post design' localized layout optimization DFM tools. To evaluate the impact of different manufacturing process variations on final product it is important to trace and evaluate all errors through design to manufacturing flow. Photo mask is one of the critical parts of this flow, and special attention should be paid to photo mask manufacturing process and especially to mask tight CD control. Electron beam lithography (EBL) is a major technique which is used for fabrication of high-end photo masks. During the writing process, resist heating is one of the sources for mask CD variations. Electron energy is released in the mask body mainly as heat, leading to significant temperature fluctuations in local areas. The temperature fluctuations cause changes in resist sensitivity, which in turn leads to CD variations. These CD variations depend on mask writing speed, order of exposure, pattern density and its distribution. Recent measurements revealed up to 45 nm CD variation on the mask when using ZEP resist. The resist heating problem with CAR resists is significantly smaller compared to other types of resists. This is partially due to higher resist sensitivity and the lower exposure dose required. However, there is no data yet showing CD errors on the wafer induced by CAR resist heating on the mask. This effect can be amplified by high MEEF values and should be carefully evaluated at 45nm and below technology nodes where tight CD control is required. In this paper, we simulated CD variation on the mask due to resist heating; then a mask pattern with the heating error was transferred onto the wafer. So, a CD error on the wafer was evaluated subject to only one term of the

  12. Lamb wave propagation in monocrystalline silicon wafers

    OpenAIRE

    Fromme, P.; Pizzolato, M.; Robyr, J-L; Masserey, B.

    2018-01-01

    Monocrystalline silicon wafers are widely used in the photovoltaic industry for solar panels with high conversion efficiency. Guided ultrasonic waves offer the potential to efficiently detect micro-cracks in the thin wafers. Previous studies of ultrasonic wave propagation in silicon focused on effects of material anisotropy on bulk ultrasonic waves, but the dependence of the wave propagation characteristics on the material anisotropy is not well understood for Lamb waves. The phase slowness a...

  13. Comparison of thermally and mechanically induced Si layer transfer in hydrogen-implanted Si wafers

    International Nuclear Information System (INIS)

    Hoechbauer, T.; Misra, A.; Nastasi, M.; Henttinen, K.; Suni, T.; Suni, I.; Lau, S.S.; Ensinger, W.

    2004-01-01

    Hydrogen ion-implantation into Si and subsequent heat treatment has been shown to be an effective means of cleaving thin layer of Si from its parent wafer. This process has been called Smart Cut TM or ion-cut. We investigated the cleavage process in H-implanted silicon samples, in which the ion-cut was provoked thermally and mechanically, respectively. A oriented p-type silicon wafer was irradiated at room temperature with 100 keV H 2 + -ions to a dose of 5 x 10 16 H 2 /cm 2 and subsequently joined to a handle wafer. Ion-cutting was achieved by two different methods: (1) thermally by annealing to 350 deg. C and (2) mechanically by insertion of a razor blade sidewise into the bonded wafers near the bond interface. The H-concentration and the crystal damage depth profiles before and after the ion-cut were investigated through the combined use of elastic recoil detection analysis and Rutherford backscattering spectroscopy (RBS). The location at which the ion-cut occurred was determined by RBS in channeling mode and cross-section transmission electron spectroscopy. The ion-cut depth was found to be independent on the cutting method. The gained knowledge was correlated to the depth distribution of the H-platelet density in the as-implanted sample, which contains two separate peaks in the implantation zone. The obtained results suggest that the ion-cut location coincides with the depth of the H-platelet density peak located at a larger depth

  14. Characterizing SOI Wafers By Use Of AOTF-PHI

    Science.gov (United States)

    Cheng, Li-Jen; Li, Guann-Pyng; Zang, Deyu

    1995-01-01

    Developmental nondestructive method of characterizing layers of silicon-on-insulator (SOI) wafer involves combination of polarimetric hyperspectral imaging by use of acousto-optical tunable filters (AOTF-PHI) and computational resources for extracting pertinent data on SOI wafers from polarimetric hyperspectral images. Offers high spectral resolution and both ease and rapidity of optical-wavelength tuning. Further efforts to implement all of processing of polarimetric spectral image data in special-purpose hardware for sake of procesing speed. Enables characterization of SOI wafers in real time for online monitoring and adjustment of production. Also accelerates application of AOTF-PHI to other applications in which need for high-resolution spectral imaging, both with and without polarimetry.

  15. LiWall Fusion - The New Concept of Magnetic Fusion

    International Nuclear Information System (INIS)

    Zakharov, L.E.

    2011-01-01

    Utilization of the outstanding abilities of a liquid lithium layer in pumping hydrogen isotopes leads to a new approach to magnetic fusion, called the LiWall Fusion. It relies on innovative plasma regimes with low edge density and high temperature. The approach combines fueling the plasma by neutral injection beams with the best possible elimination of outside neutral gas sources, which cools down the plasma edge. Prevention of cooling the plasma edge suppresses the dominant, temperature gradient related turbulence in the core. Such an approach is much more suitable for controlled fusion than the present practice, relying on high heating power for compensating essentially unlimited turbulent energy losses.

  16. I-line stepper based overlay evaluation method for wafer bonding applications

    Science.gov (United States)

    Kulse, P.; Sasai, K.; Schulz, K.; Wietstruck, M.

    2018-03-01

    In the last decades the semiconductor technology has been driven by Moore's law leading to high performance CMOS technologies with feature sizes of less than 10 nm [1]. It has been pointed out that not only scaling but also the integration of novel components and technology modules into CMOS/BiCMOS technologies is becoming more attractive to realize smart and miniaturized systems [2]. Driven by new applications in the area of communication, health and automation, new components and technology modules such as BiCMOS embedded RF-MEMS, high-Q passives, Sibased microfluidics and InP-SiGe BiCMOS heterointegration have been demonstrated [3-6]. In contrast to standard VLSI processes fabricated on front side of the silicon wafer, these new technology modules additionally require to process the backside of the wafer; thus require an accurate alignment between the front and backside of the wafer. In previous work an advanced back to front side alignment technique and implementation into IHP's 0.25/0.13 µm high performance SiGe:C BiCMOS backside process module has been presented [7]. The developed technique enables a high resolution and accurate lithography on the backside of BiCMOS wafer for additional backside processing. In addition to the aforementioned back side process technologies, new applications like Through-Silicon Vias (TSV) for interposers and advanced substrate technologies for 3D heterogeneous integration demand not only single wafer fabrication but also processing of wafer stacks provided by temporary and permanent wafer bonding [8-9]. In this work, the non-contact infrared alignment system of the Nikon® i-line Stepper NSR-SF150 for both alignment and the overlay determination of bonded wafer stacks with embedded alignment marks are used to achieve an accurate alignment between the different wafer sides. The embedded field image alignment (FIA) marks of the interface and the device wafer top layer are measured in a single measurement job. By taking the

  17. Potential for use of high-temperature superconductors in fusion reactors

    International Nuclear Information System (INIS)

    Hull, J.R.

    1991-01-01

    The present rate of development of high-temperature superconductors (HTSs) is sufficiently rapid that there may be opportunities for their use in contemporary fusion devices such as the International Thermonuclear Experimental Reactor (ITER). The most likely 1application is for delivering power to the superconducting magnets, especially in substituting for the current leads between the temperatures of 4 K and 77K. A second possible application of HTSs is as a liquid-nitrogen-cooled power bus, connecting the power supplies to the magnets, thus reducing the ohmic heating losses over these relatively long cables. A third potential application of HTSs is as an inner high-field winding of the toroidal field coils that would operate at ∼20 K. While the use of higher temperature magnets offers significant advantages to the reactor system, it is unlikely that tested conductors of this type will be available within the ITER time frame. 23 refs., 2 figs

  18. Theoretical model of the probability of fusion between deuterons within deformed lattices with microcracks at room temperature

    International Nuclear Information System (INIS)

    Frisone, Fulvio

    2006-01-01

    In this work we wish to demonstrate that a reaction path as the following dislocations, deformations due to thermodynamic stress and, finally, microcrack occurrence, can enhance the process of fusion of the deuterons introduced into the lattice by deuterium loading (F. Frisone, Can variations in temperature influence deuteron interaction within crystalline lattices?, Nuovo Cimento D, 18, 1279 (1996)). In fact, calculating the rate of deuteron-plasmon-deuteron fusion within a microcrack, showed, together with an enhancement of the tunneling effect, an increase of at least 2 - 3 orders of magnitude compared to the probability of fusion on the no deformed lattice. In fact, strong electric fields can take place in the microcrack and the deuterons are accelerated to the energy which is enough for the D-D tunnelling (M. Rabinowitz, High temperature superconductivity and cold fusion, Mod. Phys, Lett. B, 4, 233 (1990); J. Price Hirt and J. Lothe, Theory of Dislocation (McGraw Hill); Z. Phys., 457, 156 (1960)). These phenomena open the way to the theoretical hypothesis that a kind of chain reaction, catalyzed by the microcracks produced in the structure as a result of deuterium loading, can favour tho process of deuteron-plasmon fusion (N. W. Ashcroft and N. D. Mermin (Eds.), Solid State Physics, Chapter 25 (Saunders College, Philadelphia, 1972, pp. 492-509)

  19. Wafer plane inspection for advanced reticle defects

    Science.gov (United States)

    Nagpal, Rajesh; Ghadiali, Firoz; Kim, Jun; Huang, Tracy; Pang, Song

    2008-05-01

    Readiness of new mask defect inspection technology is one of the key enablers for insertion & transition of the next generation technology from development into production. High volume production in mask shops and wafer fabs demands a reticle inspection system with superior sensitivity complemented by a low false defect rate to ensure fast turnaround of reticle repair and defect disposition (W. Chou et al 2007). Wafer Plane Inspection (WPI) is a novel approach to mask defect inspection, complementing the high resolution inspection capabilities of the TeraScanHR defect inspection system. WPI is accomplished by using the high resolution mask images to construct a physical mask model (D. Pettibone et al 1999). This mask model is then used to create the mask image in the wafer aerial plane. A threshold model is applied to enhance the inspectability of printing defects. WPI can eliminate the mask restrictions imposed on OPC solutions by inspection tool limitations in the past. Historically, minimum image restrictions were required to avoid nuisance inspection stops and/or subsequent loss of sensitivity to defects. WPI has the potential to eliminate these limitations by moving the mask defect inspections to the wafer plane. This paper outlines Wafer Plane Inspection technology, and explores the application of this technology to advanced reticle inspection. A total of twelve representative critical layers were inspected using WPI die-to-die mode. The results from scanning these advanced reticles have shown that applying WPI with a pixel size of 90nm (WPI P90) captures all the defects of interest (DOI) with low false defect detection rates. In validating CD predictions, the delta CDs from WPI are compared against Aerial Imaging Measurement System (AIMS), where a good correlation is established between WPI and AIMSTM.

  20. Low-cost silicon wafer dicing using a craft cutter

    KAUST Repository

    Fan, Yiqiang; Carreno, Armando Arpys Arevalo; Li, Huawei; Foulds, Ian G.

    2014-01-01

    feature of 3 mm by 3 mm. We performed this scribing process on the top polished surface of a silicon wafer; we also created a scribing method for the back-unpolished surface in order to protect the structures on the wafer during scribing. Compared

  1. The uses of Man-Made diamond in wafering applications

    Science.gov (United States)

    Fallon, D. B.

    1982-01-01

    The continuing, rapid growth of the semiconductor industry requires the involvement of several specialized industries in the development of special products geared toward the unique requirements of this new industry. A specialized manufactured diamond to meet various material removal needs was discussed. The area of silicon wafer slicing has presented yet anothr challenge and it is met most effectively. The history, operation, and performance of Man-Made diamond and particularly as applied to silicon wafer slicing is discussed. Product development is underway to come up with a diamond specifically for sawing silicon wafers on an electroplated blade.

  2. Disposable attenuated total reflection-infrared crystals from silicon wafer: a versatile approach to surface infrared spectroscopy.

    Science.gov (United States)

    Karabudak, Engin; Kas, Recep; Ogieglo, Wojciech; Rafieian, Damon; Schlautmann, Stefan; Lammertink, R G H; Gardeniers, Han J G E; Mul, Guido

    2013-01-02

    Attenuated total reflection-infrared (ATR-IR) spectroscopy is increasingly used to characterize solids and liquids as well as (catalytic) chemical conversion. Here we demonstrate that a piece of silicon wafer cut by a dicing machine or cleaved manually can be used as disposable internal reflection element (IRE) without the need for polishing and laborious edge preparation. Technical aspects, fundamental differences, and pros and cons of these novel disposable IREs and commercial IREs are discussed. The use of a crystal (the Si wafer) in a disposable manner enables simultaneous preparation and analysis of substrates and application of ATR spectroscopy in high temperature processes that may lead to irreversible interaction between the crystal and the substrate. As representative application examples, the disposable IREs were used to study high temperature thermal decomposition and chemical changes of polyvinyl alcohol (PVA) in a titania (TiO(2)) matrix and assemblies of 65-450 nm thick polystyrene (PS) films.

  3. Development of thin film measurement program of wafer for spin etcher

    International Nuclear Information System (INIS)

    Seo, Hak Suk; Kim, No Hyu; Kim, Young Chul; Cho, Jung Keun; Bae, Jung Yong

    2001-01-01

    This paper proposes a thickness measurement method of silicon-oxide and poly-silicon film deposited on 12 inch silicon wafer for spin etcher. Krypton lamp is used as a light source for generating a wide-band spectrum, which is guided and focused on the wafer surface through a optical fiber cable. Interference signal from the film is detected by optical sensor to determine the thickness of the film using spectrum analysis and several signal processing techniques including curve-fitting and filtering. Test wafers with two kinds of priori-known films, silicon-oxide(100nm) and poly-silicon(300nm), are measured under the condition that the wafer is spinning at 20Hz and DI water flowing on the wafer surface. From experiment results the algorithm presented in the paper is proved to be effective with accuracy of maximum 6.5% error.

  4. Development of thin film measurement program of wafer for spin etcher

    Energy Technology Data Exchange (ETDEWEB)

    Seo, Hak Suk; Kim, No Hyu; Kim, Young Chul [Korea University of Technology and Education, Cheonan (Korea, Republic of); Cho, Jung Keun; Bae, Jung Yong [Korea DNS, Cheonan (Korea, Republic of)

    2001-11-15

    This paper proposes a thickness measurement method of silicon-oxide and poly-silicon film deposited on 12 inch silicon wafer for spin etcher. Krypton lamp is used as a light source for generating a wide-band spectrum, which is guided and focused on the wafer surface through a optical fiber cable. Interference signal from the film is detected by optical sensor to determine the thickness of the film using spectrum analysis and several signal processing techniques including curve-fitting and filtering. Test wafers with two kinds of priori-known films, silicon-oxide(100nm) and poly-silicon(300nm), are measured under the condition that the wafer is spinning at 20Hz and DI water flowing on the wafer surface. From experiment results the algorithm presented in the paper is proved to be effective with accuracy of maximum 6.5% error.

  5. Penggunaan Limbah Kopi Sebagai Bahan Penyusun Ransum Itik Peking dalam Bentuk Wafer Ransum Komplit

    Directory of Open Access Journals (Sweden)

    Muhammad Daud

    2013-04-01

    Full Text Available Effect of coffee waste as component of compiler ration peking duck in the form of wafer complete ration ABSTRACT. Coffee waste is a by-product of coffee processing that potential to be used as feed stuff for peking duck. The weakness of this coffee waste, among others, is perishable, voluminous (bulky and the availability was fluctuated so the processing technology is needed to make this vegetable waste to be durable, easy to stored and to be given to livestock. To solve this problem vegetable waste could be formed as wafer. This research was conducted to study effectiveness of coffee waste as component of compiler ration peking duck in the form of wafer complete ration This experiment was run in completely randomized design which consist of 4 feed treatment and 3 replications.  Ration used was consisted of  P0 = wafer complete ration 0% coffee waste (control, P1 = wafer complete ration 2,5% coffee waste, P2 = wafer complete ration 5% coffee waste, and P3 = Wafer complete ration 7,5% coffee waste. The Variables observed were: physical characteristic (aroma, color, and wafer density and palatability of wafer complete ration. Data collected was analyzed with ANOVA and Duncan Range Test would be used if the result was significantly different. The result showed that the density of wafer complete ration coffee waste was significantly (P< 0.05 differences between of treatment. Mean density wafer complete ration equal to: P0= 0,52±0,03, P1 =0,67±0,04, P2 =0,72±0,03, and P3 = 0,76±0.05 g/cm3. Wafer complete ration coffee waste palatability was significantly (P< 0.05 differences between of treatment. It is concluded that of wafer complete ration composition 5 and 7,5% coffee waste was significantly wafer palatability and gave a highest wafer density. The ration P0 was the most palatable compare to other treatments for the experimental peking duck.

  6. Wafer level 3-D ICs process technology

    CERN Document Server

    Tan, Chuan Seng; Reif, L Rafael

    2009-01-01

    This book focuses on foundry-based process technology that enables the fabrication of 3-D ICs. The core of the book discusses the technology platform for pre-packaging wafer lever 3-D ICs. However, this book does not include a detailed discussion of 3-D ICs design and 3-D packaging. This is an edited book based on chapters contributed by various experts in the field of wafer-level 3-D ICs process technology. They are from academia, research labs and industry.

  7. Ash fusion temperatures and their association with the transformations of coal ash particles to slag

    Energy Technology Data Exchange (ETDEWEB)

    Gupta, S.K.; Wall, T.F.; Gupta, R.P. [Cooperative Research Centre for Black Coal Utilisation, Newcastle, NSW (Australia); Creelman, R.A. [Creelman (R.A.) and Associates, Sydney, NSW (Australia)

    1997-04-01

    Ash deposition on furnace walls in PF (pulverized fuel) furnaces is called slagging when it occurs in the high temperature areas of furnaces directly exposed to flame radiation and fouling in other regions such as tubes in the convection section of the boiler. There are well documented shortcomings of certain approaches relating to their uncertainties as predictive tools for plant performance such as poor repeatability and re-producibility of ash fusion measurements. The nature of physical and chemical changes occurring during melting of coal ash has been investigated in the current study to provide an alternative procedure to the ash fusion test. Shrinkage measurements are frequently used in metallurgy and ceramic science to study the physical properties of materials at high temperatures. The output of this experiment provides three to four `peaks` (maximum rate of shrinkage with temperature) of different intensity and at different temperatures which are related to melting characteristics of the sample. It was concluded that shrinkage extents exceeding 50 percent indicated that the effect of the ash particle size is of secondary importance compared to ash chemistry in determining shrinkage levels, with fine particles giving rapid shrinkage events 10 degrees C lower in temperature. (author). 7 figs., refs.

  8. Fusion reactor wastes

    International Nuclear Information System (INIS)

    Young, J.R.

    1976-01-01

    The fusion reactor currently is being developed as a clean source of electricity with an essentially infinite source of fuel. These reactors are visualized as using a fusion reaction to generate large quantities of high temperature energy which can be used as process heat or for the generation of electricity. The energy would be created primarily as the kinetic energy of neutrons or other reaction products. Neutron energy could be converted to high-temperature heat by moderation and capture of the neutrons. The energy of other reaction products could be converted to high-temperature heat by capture, or directly to electricity by direct conversion electrostatic equipment. An analysis to determine the wastes released as a result of operation of fusion power plants is presented

  9. Edge printability: techniques used to evaluate and improve extreme wafer edge printability

    Science.gov (United States)

    Roberts, Bill; Demmert, Cort; Jekauc, Igor; Tiffany, Jason P.

    2004-05-01

    The economics of semiconductor manufacturing have forced process engineers to develop techniques to increase wafer yield. Improvements in process controls and uniformities in all areas of the fab have reduced film thickness variations at the very edge of the wafer surface. This improved uniformity has provided the opportunity to consider decreasing edge exclusions, and now the outermost extents of the wafer must be considered in the yield model and expectations. These changes have increased the requirements on lithography to improve wafer edge printability in areas that previously were not even coated. This has taxed all software and hardware components used in defining the optical focal plane at the wafer edge. We have explored techniques to determine the capabilities of extreme wafer edge printability and the components of the systems that influence this printability. We will present current capabilities and new detection techniques and the influence that the individual hardware and software components have on edge printability. We will show effects of focus sensor designs, wafer layout, utilization of dummy edge fields, the use of non-zero overlay targets and chemical/optical edge bead optimization.

  10. Wafer-shape metrics based foundry lithography

    Science.gov (United States)

    Kim, Sungtae; Liang, Frida; Mileham, Jeffrey; Tsai, Damon; Bouche, Eric; Lee, Sean; Huang, Albert; Hua, C. F.; Wei, Ming Sheng

    2017-03-01

    As device shrink, there are many difficulties with process integration and device yield. Lithography process control is expected to be a major challenge due to tighter overlay and focus control requirement. The understanding and control of stresses accumulated during device fabrication has becoming more critical at advanced technology nodes. Within-wafer stress variations cause local wafer distortions which in turn present challenges for managing overlay and depth of focus during lithography. A novel technique for measuring distortion is Coherent Gradient Sensing (CGS) interferometry, which is capable of generating a high-density distortion data set of the full wafer within a time frame suitable for a high volume manufacturing (HVM) environment. In this paper, we describe the adoption of CGS (Coherent Gradient Sensing) interferometry into high volume foundry manufacturing to overcome these challenges. Leveraging this high density 3D metrology, we characterized its In-plane distortion as well as its topography capabilities applied to the full flow of an advanced foundry manufacturing. Case studies are presented that summarize the use of CGS data to reveal correlations between in-plane distortion and overlay variation as well as between topography and device yield.

  11. Nonlinear resonance ultrasonic vibrations in Czochralski-silicon wafers

    Science.gov (United States)

    Ostapenko, S.; Tarasov, I.

    2000-04-01

    A resonance effect of generation of subharmonic acoustic vibrations is observed in as-grown, oxidized, and epitaxial silicon wafers. Ultrasonic vibrations were generated into a standard 200 mm Czochralski-silicon (Cz-Si) wafer using a circular ultrasound transducer with major frequency of the radial vibrations at about 26 kHz. By tuning frequency (f) of the transducer within a resonance curve, we observed a generation of intense f/2 subharmonic acoustic mode assigned as a "whistle." The whistle mode has a threshold amplitude behavior and narrow frequency band. The whistle is attributed to a nonlinear acoustic vibration of a silicon plate. It is demonstrated that characteristics of the whistle mode are sensitive to internal stress and can be used for quality control and in-line diagnostics of oxidized and epitaxial Cz-Si wafers.

  12. Wafer-Level Vacuum Packaging of Smart Sensors

    OpenAIRE

    Hilton, Allan; Temple, Dorota S.

    2016-01-01

    The reach and impact of the Internet of Things will depend on the availability of low-cost, smart sensors—“low cost” for ubiquitous presence, and “smart” for connectivity and autonomy. By using wafer-level processes not only for the smart sensor fabrication and integration, but also for packaging, we can further greatly reduce the cost of sensor components and systems as well as further decrease their size and weight. This paper reviews the state-of-the-art in the wafer-level vacuum packaging...

  13. Very large scale heterogeneous integration (VLSHI) and wafer-level vacuum packaging for infrared bolometer focal plane arrays

    Science.gov (United States)

    Forsberg, Fredrik; Roxhed, Niclas; Fischer, Andreas C.; Samel, Björn; Ericsson, Per; Hoivik, Nils; Lapadatu, Adriana; Bring, Martin; Kittilsland, Gjermund; Stemme, Göran; Niklaus, Frank

    2013-09-01

    Imaging in the long wavelength infrared (LWIR) range from 8 to 14 μm is an extremely useful tool for non-contact measurement and imaging of temperature in many industrial, automotive and security applications. However, the cost of the infrared (IR) imaging components has to be significantly reduced to make IR imaging a viable technology for many cost-sensitive applications. This paper demonstrates new and improved fabrication and packaging technologies for next-generation IR imaging detectors based on uncooled IR bolometer focal plane arrays. The proposed technologies include very large scale heterogeneous integration for combining high-performance, SiGe quantum-well bolometers with electronic integrated read-out circuits and CMOS compatible wafer-level vacuum packing. The fabrication and characterization of bolometers with a pitch of 25 μm × 25 μm that are arranged on read-out-wafers in arrays with 320 × 240 pixels are presented. The bolometers contain a multi-layer quantum well SiGe thermistor with a temperature coefficient of resistance of -3.0%/K. The proposed CMOS compatible wafer-level vacuum packaging technology uses Cu-Sn solid-liquid interdiffusion (SLID) bonding. The presented technologies are suitable for implementation in cost-efficient fabless business models with the potential to bring about the cost reduction needed to enable low-cost IR imaging products for industrial, security and automotive applications.

  14. Synthetic fuels and fusion

    Energy Technology Data Exchange (ETDEWEB)

    Fillo, J A; Powell, J; Steinberg, M [Brookhaven National Lab., Upton, NY (USA)

    1981-03-01

    The decreasing availability of fossil fuels emphasizes the need to develop systems which will produce synthetic fuel to substitute for and supplement the natural supply. An important first step in the synthesis of liquid and gaseous fuels is the production of hydrogen. Thermonuclear fusion offers an inexhaustible source of energy for the production of hydrogen from water. Depending on design, electric generation efficiencies of approx. equal to 40-60% and hydrogen production efficiencies by high temperature electrolysis of approx. equal to 50-70% are projected for fusion reactors using high temperature blankets. Fusion/coal symbiotic systems appear economically promising for the first generation of commercial fusion synfuels plants. Coal production requirements and the environmental effects of large-scale coal usage would be greatly reduced by a fusion/coal system. In the long-term, there could be a gradual transition to an inexhaustible energy system based solely on fusion.

  15. Can 250+ fusions per muon be achieved?

    International Nuclear Information System (INIS)

    Jones, S.E.

    1987-01-01

    Nuclear fusion of hydrogen isotopes can be induced by negative muons (μ) in reactions such as: μ - + d + t → α + n + μ - . This reaction is analagous to the nuclear fusion reaction achieved in stars in which hydrogen isotopes (such as deuterium, d, and tritium, t) at very high temperatures first penetrate the Coulomb repulsive barrier and then fuse together to produce an alpha particle (α) and a neutron (n), releasing energy. The muon in general reappears after inducing fusion so that the reaction can be repeated many (N) times. Thus, the muon may serve as an effective catalyst for nuclear fusion. Muon-catalozed fusion is unique in that it proceeds rapidly in deuterium-tritium mixtures at relatively cold temperatures, e.g., room temperature. The need for plasma temperatures to initiate fusion is overcome by the presence of the muon

  16. GeSn-on-insulator substrate formed by direct wafer bonding

    Energy Technology Data Exchange (ETDEWEB)

    Lei, Dian; Wang, Wei; Gong, Xiao, E-mail: elegong@nus.edu.sg, E-mail: yeo@ieee.org; Yeo, Yee-Chia, E-mail: elegong@nus.edu.sg, E-mail: yeo@ieee.org [Department of Electrical and Computer Engineering, National University of Singapore, Singapore 117576 (Singapore); Lee, Kwang Hong; Wang, Bing [Low Energy Electronic Systems (LEES), Singapore MIT Alliance for Research and Technology (SMART), 1 CREATE Way, #10-01 CREATE Tower, Singapore 138602 (Singapore); Bao, Shuyu [Low Energy Electronic Systems (LEES), Singapore MIT Alliance for Research and Technology (SMART), 1 CREATE Way, #10-01 CREATE Tower, Singapore 138602 (Singapore); School of Electrical and Electronic Engineering, Nanyang Technological University, 50 Nanyang Avenue, Singapore 639798 (Singapore); Tan, Chuan Seng [School of Electrical and Electronic Engineering, Nanyang Technological University, 50 Nanyang Avenue, Singapore 639798 (Singapore)

    2016-07-11

    GeSn-on-insulator (GeSnOI) on Silicon (Si) substrate was realized using direct wafer bonding technique. This process involves the growth of Ge{sub 1-x}Sn{sub x} layer on a first Si (001) substrate (donor wafer) followed by the deposition of SiO{sub 2} on Ge{sub 1-x}Sn{sub x}, the bonding of the donor wafer to a second Si (001) substrate (handle wafer), and removal of the Si donor wafer. The GeSnOI material quality is investigated using high-resolution transmission electron microscopy, high-resolution X-ray diffraction (HRXRD), atomic-force microscopy, Raman spectroscopy, and spectroscopic ellipsometry. The Ge{sub 1-x}Sn{sub x} layer on GeSnOI substrate has a surface roughness of 1.90 nm, which is higher than that of the original Ge{sub 1-x}Sn{sub x} epilayer before transfer (surface roughness is 0.528 nm). The compressive strain of the Ge{sub 1-x}Sn{sub x} film in the GeSnOI is as low as 0.10% as confirmed using HRXRD and Raman spectroscopy.

  17. Membrane fusion and inverted phases

    International Nuclear Information System (INIS)

    Ellens, H.; Siegel, D.P.; Alford, D.; Yeagle, P.L.; Boni, L.; Lis, L.J.; Quinn, P.J.; Bentz, J.

    1989-01-01

    We have found a correlation between liposome fusion kinetics and lipid phase behavior for several inverted phase forming lipids. N-Methylated dioleoylphosphatidylethanolamine (DOPE-Me), or mixtures of dioleoylphosphatidylethanolamine (DOPE) and dioleoylphosphatidylcholine (DOPC), will form an inverted hexagonal phase (HII) at high temperatures (above TH), a lamellar phase (L alpha) at low temperatures, and an isotropic/inverted cubic phase at intermediate temperatures, which is defined by the appearance of narrow isotropic 31 P NMR resonances. The phase behavior has been verified by using high-sensitivity DSC, 31 P NMR, freeze-fracture electron microscopy, and X-ray diffraction. The temperature range over which the narrow isotropic resonances occur is defined as delta TI, and the range ends at TH. Extruded liposomes (approximately 0.2 microns in diameter) composed of these lipids show fusion and leakage kinetics which are strongly correlated with the temperatures of these phase transitions. At temperatures below delta TI, where the lipid phase is L alpha, there is little or no fusion, i.e., mixing of aqueous contents, or leakage. However, as the temperature reaches delta TI, there is a rapid increase in both fusion and leakage rates. At temperatures above TH, the liposomes show aggregation-dependent lysis, as the rapid formation of HII phase precursors disrupts the membranes. We show that the correspondence between the fusion and leakage kinetics and the observed phase behavior is easily rationalized in terms of a recent kinetic theory of L alpha/inverted phase transitions. In particular, it is likely that membrane fusion and the L alpha/inverted cubic phase transition proceed via a common set of intermembrane intermediates

  18. High temperature resistant materials and structural ceramics for use in high temperature gas cooled reactors and fusion plants

    International Nuclear Information System (INIS)

    Nickel, H.

    1992-01-01

    Irrespective of the systems and the status of the nuclear reactor development lines, the availability, qualification and development of materials are crucial. This paper concentrates on the requirements and the status of development of high temperature metallic and ceramic materials for core and heat transferring components in advanced HTR supplying process heat and for plasma exposed, high heat flux components in Tokamak fusion reactor types. (J.P.N.)

  19. Wafer-level hermetic thermo-compression bonding using electroplated gold sealing frame planarized by fly-cutting

    Science.gov (United States)

    Farisi, Muhammad Salman Al; Hirano, Hideki; Frömel, Jörg; Tanaka, Shuji

    2017-01-01

    In this paper, a novel wafer-level hermetic packaging technology for heterogeneous device integration is presented. Hermetic sealing is achieved by low-temperature thermo-compression bonding using electroplated Au micro-sealing frame planarized by single-point diamond fly-cutting. The proposed technology has significant advantages compared to other established processes in terms of integration of micro-structured wafer, vacuum encapsulation and electrical interconnection, which can be achieved at the same time. Furthermore, the technology is also achievable for a bonding frame width as narrow as 30 μm, giving it an advantage from a geometry perspective, and bonding temperatures as low as 300 °C, making it advantageous for temperature-sensitive devices. Outgassing in vacuum sealed cavities is studied and a cavity pressure below 500 Pa is achieved by introducing annealing steps prior to bonding. The pressure of the sealed cavity is measured by zero-balance method utilizing diaphragm-structured bonding test devices. The leak rate into the packages is determined by long-term sealed cavity pressure measurement for 1500 h to be less than 2.0× {{10}-14} Pa m3s-1. In addition, the bonding shear strength is also evaluated to be higher than 100 MPa.

  20. Synchrotron radiation total reflection x-ray fluorescence analysis; of polymer coated silicon wafers

    International Nuclear Information System (INIS)

    Brehm, L.; Kregsamer, P.; Pianetta, P.

    2000-01-01

    It is well known that total reflection x-ray fluorescence (TXRF) provides an efficient method for analyzing trace metal contamination on silicon wafer surfaces. New polymeric materials used as interlayer dielectrics in microprocessors are applied to the surface of silicon wafers by a spin-coating process. Analysis of these polymer coated wafers present a new challenge for TXRF analysis. Polymer solutions are typically analyzed for bulk metal contamination prior to application on the wafer using inductively coupled plasma mass spectrometry (ICP-MS). Questions have arisen about how to relate results of surface contamination analysis (TXRF) of a polymer coated wafer to bulk trace analysis (ICP-MS) of the polymer solutions. Experiments were done to explore this issue using synchrotron radiation (SR) TXRF. Polymer solutions were spiked with several different concentrations of metals. These solutions were applied to silicon wafers using the normal spin-coating process. The polymer coated wafers were then measured using the SR-TXRF instrument set-up at the Stanford Synchrotron Radiation Laboratory (SSRL). Several methods of quantitation were evaluated. The best results were obtained by developing calibration curves (intensity versus ppb) using the spiked polymer coated wafers as standards. Conversion of SR-TXRF surface analysis results (atoms/cm 2 ) to a volume related concentration was also investigated. (author)

  1. Wafer level packaging of MEMS

    International Nuclear Information System (INIS)

    Esashi, Masayoshi

    2008-01-01

    Wafer level packaging plays many important roles for MEMS (micro electro mechanical systems), including cost, yield and reliability. MEMS structures on silicon chips are encapsulated between bonded wafers or by surface micromachining, and electrical interconnections are made from the cavity. Bonding at the interface, such as glass–Si anodic bonding and metal-to-metal bonding, requires electrical interconnection through the lid vias in many cases. On the other hand, lateral electrical interconnections on the surface of the chip are used for bonding with intermediate melting materials, such as low melting point glass and solder. The cavity formed by surface micromachining is made using sacrificial etching, and the openings needed for the sacrificial etching are plugged using deposition sealing methods. Vacuum packaging methods and the structures for electrical feedthrough for the interconnection are discussed in this review. (topical review)

  2. Characterization of high temperature superconductor cables for magnet toroidal field coils of the DEMO fusion power plant

    CERN Document Server

    Bayer, Christoph M

    2017-01-01

    Nuclear fusion is a key technology to satisfy the basic demand for electric energy sustainably. The official EUROfusion schedule foresees a first industrial DEMOnstration Fusion Power Plant for 2050. In this work several high temperature superconductor sub-size cables are investigated for their applicability in large scale DEMO toroidal field coils. Main focus lies on the electromechanical stability under the influence of high Lorentz forces at peak magnetic fields of up to 12 T.

  3. Characterization of high temperature superconductor cables for magnet toroidal field coils of the DEMO fusion power plant

    Energy Technology Data Exchange (ETDEWEB)

    Bayer, Christoph M.

    2017-05-01

    Nuclear fusion is a key technology to satisfy the basic demand for electric energy sustainably. The official EUROfusion schedule foresees a first industrial DEMOnstration Fusion Power Plant for 2050. In this work several high temperature superconductor sub-size cables are investigated for their applicability in large scale DEMO toroidal field coils. Main focus lies on the electromechanical stability under the influence of high Lorentz forces at peak magnetic fields of up to 12 T.

  4. Wafer-scale fabrication of polymer distributed feedback lasers

    DEFF Research Database (Denmark)

    Christiansen, Mads Brøkner; Schøler, Mikkel; Balslev, Søren

    2006-01-01

    The authors demonstrate wafer-scale, parallel process fabrication of distributed feedback (DFB) polymer dye lasers by two different nanoimprint techniques: By thermal nanoimprint lithography (TNIL) in polymethyl methacrylate and by combined nanoimprint and photolithography (CNP) in SU-8. In both...... techniques, a thin film of polymer, doped with rhodamine-6G laser dye, is spin coated onto a Borofloat glass buffer substrate and shaped into a planar waveguide slab with first order DFB surface corrugations forming the laser resonator. When optically pumped at 532 nm, lasing is obtained in the wavelength...... range between 576 and 607 nm, determined by the grating period. The results, where 13 laser devices are defined across a 10 cm diameter wafer substrate, demonstrate the feasibility of NIL and CNP for parallel wafer-scale fabrication of advanced nanostructured active optical polymer components...

  5. In vitro and in vivo evaluation of a sublingual fentanyl wafer formulation

    Science.gov (United States)

    Lim, Stephen CB; Paech, Michael J; Sunderland, Bruce; Liu, Yandi

    2013-01-01

    Background The objective of this study was to prepare a novel fentanyl wafer formulation by a freeze-drying method, and to evaluate its in vitro and in vivo release characteristics, including its bioavailability via the sublingual route. Methods The wafer formulation was prepared by freeze-drying an aqueous dispersion of fentanyl containing sodium carboxymethylcellulose and amylogum as matrix formers. Uniformity of weight, friability, and dissolution testing of the fentanyl wafer was achieved using standard methods, and the residual moisture content was measured. The fentanyl wafer was also examined using scanning electron microscopy and x-ray diffraction. The absolute bioavailability of the fentanyl wafer was evaluated in 11 opioid-naïve adult female patients using a randomized crossover design. Results In vitro release showed that almost 90% of the fentanyl dissolved in one minute. In vivo, the first detectable plasma fentanyl concentration was observed after 3.5 minutes and the peak plasma concentration between 61.5 and 67 minutes. The median absolute bioavailability was 53.0%. Conclusion These results indicate that this wafer has potential as an alternative sublingual fentanyl formulation. PMID:23596347

  6. High Speed On-Wafer Characterization Laboratory

    Data.gov (United States)

    Federal Laboratory Consortium — At the High Speed On-Wafer Characterization Laboratory, researchers characterize and model devices operating at terahertz (THz) and millimeter-wave frequencies. The...

  7. Kinetic advantage of controlled intermediate nuclear fusion

    International Nuclear Information System (INIS)

    Guo Xiaoming

    2012-01-01

    The dominated process of controlled fusion is to let nuclei gain enough kinetic energy to overcome Coulomb barrier. As a result, a fusion scheme can consider two factors in its design: to increase kinetic energy of nuclei and to alter the Coulomb barrier. Cold Fusion and Hot fusion are all one-factor schemes while Intermediate Fusion is a twofactors scheme. This made CINF kinetically superior. Cold Fusion reduces deuteron-deuteron distance, addressing Coulomb barrier, and Hot Fusion heat up plasma into extreme high temperature, addressing kinetic energy. Without enough kinetic energy made Cold Fusion skeptical. Extreme high temperature made Hot Fusion very difficult to engineer. Because CIFN addresses both factors, CIFN is a more promising technique to be industrialized.

  8. Magnetron target designs to improve wafer edge trench filling in ionized metal physical vapor deposition

    International Nuclear Information System (INIS)

    Lu Junqing; Yoon, Jae-Hong; Shin, Keesam; Park, Bong-Gyu; Yang Lin

    2006-01-01

    Severe asymmetry of the metal deposits on the trench sidewalls occurs near the wafer edge during low pressure ionized metal physical vapor deposition of Cu seed layer for microprocessor interconnects. To investigate this process and mitigate the asymmetry, an analytical view factor model based on the analogy between metal sputtering and diffuse thermal radiation was constructed. The model was validated based on the agreement between the model predictions and the reported experimental values for the asymmetric metal deposition at trench sidewalls near the wafer edge for a 200 mm wafer. This model could predict the thickness of the metal deposits across the wafer, the symmetry of the deposits on the trench sidewalls at any wafer location, and the angular distributions of the metal fluxes arriving at any wafer location. The model predictions for the 300 mm wafer indicate that as the target-to-wafer distance is shortened, the deposit thickness increases and the asymmetry decreases, however the overall uniformity decreases. Up to reasonable limits, increasing the target size and the sputtering intensity for the outer target portion significantly improves the uniformity across the wafer and the symmetry on the trench sidewalls near the wafer edge

  9. Dust in fusion devices-a multi-faceted problem connecting high- and low-temperature plasma physics

    International Nuclear Information System (INIS)

    Winter, J

    2004-01-01

    Small particles with sizes between a few nanometers and a few 10 μm (dust) are formed in fusion devices by plasma-surface interaction processes. Though it is not a major problem today, dust is considered a problem that could arise in future long pulse fusion devices. This is primarily due to its radioactivity and due to its very high chemical reactivity. Dust formation is particularly pronounced when carbonaceous wall materials are used. Dust particles can be transported in the tokamak over significant distances. Radioactivity leads to electrical charging of dust and to its interaction with plasmas and electric fields. This may cause interference with the discharge but may also result in options for particle removal. This paper discusses some of the multi-faceted problems using information both from fusion research and from low-temperature dusty plasma work

  10. A study of UO2 wafer fuel for very high-power research reactors

    International Nuclear Information System (INIS)

    Hsieh, T.C.; Jankus, V.Z.; Rest, J.; Billone, M.C.

    1983-01-01

    The Reduced Enrichment Research and Test Reactor Program is aimed at reducing fuel enrichment to 2 caramel fuel is one of the most promising new types of reduced-enrichment fuel for use in research reactors with very high power density. Parametric studies have been carried out to determine the maximum specific power attainable without significant fission-gas release for UO 2 wafers ranging from 0.75 to 1.50 mm in thickness. The results indicate that (1) all the fuel designs considered in this study are predicted not to fail under full power operation up to a burnup, of 1.9x10 21 fis/cm 3 ; (2) for all fuel designs, failure is predicted at approximately the same fuel centerline temperature for a given burnup; (3) the thinner the wafer, the wider the margin for fuel specific power between normal operation and increased-power operation leading to fuel failure; (4) increasing the coolant pressure in the reactor core could improve fuel performance by maintaining the fuel at a higher power level without failure for a given burnup; and (5) for a given power level, fuel failure will occur earlier at a higher cladding surface temperature and/or under power-cycling conditions. (author)

  11. Muon-catalyzed fusion revisited

    Energy Technology Data Exchange (ETDEWEB)

    Anon.

    1984-12-15

    A negative muon can induce nuclear fusion in the reaction of deuteron and triton nuclei giving a helium nucleus, a neutron and an emerging negative muon. The muon forms a tightlybound deuteron-triton-muon molecule and fusion follows in about 10{sup -12}s. Then the muon is free again to induce further reactions. Thus the muon can serve as a catalyst for nuclear fusion, which can proceed without the need for the high temperatures which are needed in the confinement and inertial fusion schemes. At room temperature, up to 80 fusions per muon have recently been observed at the LAMPF machine at Los Alamos, and it is clear that this number can be exceeded. These and other results were presented at a summer Workshop on Muon-Catalyzed Fusion held in Jackson, Wyoming. Approximately fifty scientists attended from Austria, Canada, India, Italy, Japan, South Africa, West Germany, and the United States. The Workshop itself is symbolic of the revival of interest in this subject.

  12. A wafer mapping technique for residual stress in surface micromachined films

    International Nuclear Information System (INIS)

    Schiavone, G; Murray, J; Smith, S; Walton, A J; Desmulliez, M P Y; Mount, A R

    2016-01-01

    The design of MEMS devices employing movable structures is crucially dependant on the mechanical behaviour of the deposited materials. It is therefore important to be able to fully characterize the micromachined films and predict with confidence the mechanical properties of patterned structures. This paper presents a characterization technique that enables the residual stress in MEMS films to be mapped at the wafer level by using microstructures released by surface micromachining. These dedicated MEMS test structures and the associated measurement techniques are used to extract localized information on the strain and Young’s modulus of the film under investigation. The residual stress is then determined by numerically coupling this data with a finite element analysis of the structure. This paper illustrates the measurement routine and demonstrates it with a case study using electrochemically deposited alloys of nickel and iron, particularly prone to develop high levels of residual stress. The results show that the technique enables wafer mapping of film non-uniformities and identifies wafer-to-wafer differences. A comparison between the results obtained from the mapping technique and conventional wafer bow measurements highlights the benefits of using a procedure tailored to films that are non-uniform, patterned and surface-micromachined, as opposed to simple standard stress extraction methods. The presented technique reveals detailed information that is generally unexplored when using conventional stress extraction methods such as wafer bow measurements. (paper)

  13. Internal Friction and Young's Modulus Measurements on SiO2 and Ta2O5 Films Done with an Ultra-High Q Silicon-Wafer Suspension

    Directory of Open Access Journals (Sweden)

    Granata M.

    2015-04-01

    Full Text Available In order to study the internal friction of thin films a nodal suspension system called GeNS (Gentle Nodal Suspension has been developed. The key features of this system are: i the possibility to use substrates easily available like silicon wafers; ii extremely low excess losses coming from the suspension system which allows to measure Q factors in excess of 2×108 on 3” diameter wafers; iii reproducibility of measurements within few percent on mechanical losses and 0.01% on resonant frequencies; iv absence of clamping; v the capability to operate at cryogenic temperatures. Measurements at cryogenic temperatures on SiO2 and at room temperature only on Ta2O5 films deposited on silicon are presented.

  14. Thermonuclear fusion

    International Nuclear Information System (INIS)

    Weisse, J.

    2000-01-01

    This document takes stock of the two ways of thermonuclear fusion research explored today: magnetic confinement fusion and inertial confinement fusion. The basic physical principles are recalled first: fundamental nuclear reactions, high temperatures, elementary properties of plasmas, ignition criterion, magnetic confinement (charged particle in a uniform magnetic field, confinement and Tokamak principle, heating of magnetized plasmas (ohmic, neutral particles, high frequency waves, other heating means), results obtained so far (scale laws and extrapolation of performances, tritium experiments, ITER project), inertial fusion (hot spot ignition, instabilities, results (Centurion-Halite program, laser experiments). The second part presents the fusion reactor and its associated technologies: principle (tritium production, heat source, neutron protection, tritium generation, materials), magnetic fusion (superconducting magnets, divertor (role, principle, realization), inertial fusion (energy vector, laser adaptation, particle beams, reaction chamber, stresses, chamber concepts (dry and wet walls, liquid walls), targets (fabrication, injection and pointing)). The third chapter concerns the socio-economic aspects of thermonuclear fusion: safety (normal operation and accidents, wastes), costs (costs structure and elementary comparison, ecological impact and external costs). (J.S.)

  15. High frequency guided wave propagation in monocrystalline silicon wafers

    Science.gov (United States)

    Pizzolato, Marco; Masserey, Bernard; Robyr, Jean-Luc; Fromme, Paul

    2017-04-01

    Monocrystalline silicon wafers are widely used in the photovoltaic industry for solar panels with high conversion efficiency. The cutting process can introduce micro-cracks in the thin wafers and lead to varying thickness. High frequency guided ultrasonic waves are considered for the structural monitoring of the wafers. The anisotropy of the monocrystalline silicon leads to variations of the wave characteristics, depending on the propagation direction relative to the crystal orientation. Full three-dimensional Finite Element simulations of the guided wave propagation were conducted to visualize and quantify these effects for a line source. The phase velocity (slowness) and skew angle of the two fundamental Lamb wave modes (first anti-symmetric mode A0 and first symmetric mode S0) for varying propagation directions relative to the crystal orientation were measured experimentally. Selective mode excitation was achieved using a contact piezoelectric transducer with a custom-made wedge and holder to achieve a controlled contact pressure. The out-of-plane component of the guided wave propagation was measured using a noncontact laser interferometer. Good agreement was found with the simulation results and theoretical predictions based on nominal material properties of the silicon wafer.

  16. The plasma-wall interaction region: a key low temperature plasma for controlled fusion

    International Nuclear Information System (INIS)

    Counsell, G F

    2002-01-01

    The plasma-wall interaction region of a fusion device provides the interface between the hot core plasma and the material surfaces. To obtain acceptably low levels of erosion from these surfaces requires most of the power leaving the core to be radiated. This is accomplished in existing devices by encouraging plasma detachment, in which the hot plasma arriving in the region is cooled by volume recombination and ion-neutral momentum transfer with a dense population of neutrals recycled from the surface. The result is a low temperature (1 eV e e >10 19 m -3 ) but weakly ionized (n 0 >10 20 m -3 , n e /n 0 <0.1) plasma found nowhere else in the fusion environment. This plasma provides many of the conditions found in industrial plasmas exploiting plasma chemistry and the presence of carbon in the region (in the form of carbon-fibre composite used in the plasma facing materials) can result in the formation of deposited hydrocarbon films. The plasma-wall interaction region is therefore among the most difficult in fusion to model, requiring an understanding of atomic, molecular and surface physics issues

  17. TXRF with synchrotron radiation. Analysis of Ni on Si-wafer surfaces

    Energy Technology Data Exchange (ETDEWEB)

    Wobrauschek, P [Atominstitut der Oesterreichischen Universitaeten, Vienna (Austria); Kregsamer, P [Atominstitut der Oesterreichischen Universitaeten, Vienna (Austria); Ladisich, W [Atominstitut der Oesterreichischen Universitaeten, Vienna (Austria); Streli, C [Atominstitut der Oesterreichischen Universitaeten, Vienna (Austria); Pahlke, S [Wacker Chemitronic GmbH, D-84479 Burghausen (Germany); Fabry, L [Wacker Chemitronic GmbH, D-84479 Burghausen (Germany); Garbe, S [Institut fuer Anorg. u. Angew. Chemie, Universitaet Hamburg, Martin-Luther King-Pl.6, D-20146 Hamburg (Germany); Haller, M [Institut fuer Anorg. u. Angew. Chemie, Universitaet Hamburg, Martin-Luther King-Pl.6, D-20146 Hamburg (Germany); Knoechel, A [Institut fuer Anorg. u. Angew. Chemie, Universitaet Hamburg, Martin-Luther King-Pl.6, D-20146 Hamburg (Germany); Radtke, M [Institut fuer Anorg. u. Angew. Chemie, Universitaet Hamburg, Martin-Luther King-Pl.6, D-20146 Hamburg (Germany)

    1995-09-11

    SR-TXRF (Synchrotron Radiation excited Total Reflection X-ray Fluorescence Analysis) with monoenergetic radiation produced by a W/C multilayer monochromator has been applied to the analysis of Ni on a Si-wafer surface. An intentionally contaminated wafer with 100 pg has been used to determine the detection limits. 13 fg have been achieved for Ni at a beam current of 73 mA and extrapolated to 1000 s. This technique simulates the sample preparation technique of Vapour Phase Decomposition (VPD) on a wafer surface. (orig.).

  18. TXRF with synchrotron radiation. Analysis of Ni on Si-wafer surfaces

    International Nuclear Information System (INIS)

    Wobrauschek, P.; Kregsamer, P.; Ladisich, W.; Streli, C.; Pahlke, S.; Fabry, L.; Garbe, S.; Haller, M.; Knoechel, A.; Radtke, M.

    1995-01-01

    SR-TXRF (Synchrotron Radiation excited Total Reflection X-ray Fluorescence Analysis) with monoenergetic radiation produced by a W/C multilayer monochromator has been applied to the analysis of Ni on a Si-wafer surface. An intentionally contaminated wafer with 100 pg has been used to determine the detection limits. 13 fg have been achieved for Ni at a beam current of 73 mA and extrapolated to 1000 s. This technique simulates the sample preparation technique of Vapour Phase Decomposition (VPD) on a wafer surface. (orig.)

  19. Muon-catalyzed fusion: A new direction in fusion research

    International Nuclear Information System (INIS)

    Jones, S.E.

    1986-01-01

    In four years of intensive research, muon-catalyzed fusion has been raised from the level of a scientific curiosity to a potential means of achieving clean fusion energy. This novel approach to fusion is based on the fact that a sub-atomic particle known as a ''muon'' can induce numerous energy-releasing fusion reactions without the need for high temperatures or plasmas. Thus, the muon serves as a catalyst to facilitate production for fusion energy. The success of the research effort stems from the recent discovery of resonances in the reaction cycle which make the muon-induced fusion process extremely efficient. Prior estimates were pessimistic in that only one fusion per muon was expected. In that case energy balance would be impossible since energy must be invested to generate the muons. However, recent work has gone approximately half-way to energy balance and further improvements are being worked on. There has been little time to assess the full implications of these discoveries. However, various ways to use muon-catalyzed fusion for electrical power production are now being explored

  20. Muon-catalyzed fusion: a new direction in fusion research

    International Nuclear Information System (INIS)

    Jones, S.E.

    1986-01-01

    In four years of intensive research, muon-catalyzed fusion has been raised from the level of a scientific curiosity to a potential means of achieving clean fusion energy. This novel approach to fusion is based on the fact that a sub-atomic particle known as a ''muon'' can induce numerous energy-releasing fusion reactions without the need for high temperatures or plasmas. Thus, the muon serves as a catalyst to facilitate production for fusion energy. The success of the research effort stems from the recent discovery of resonances in the reaction cycle which make the muon-induced fusion process extremely efficient. Prior estimates were pessimistic in that only one fusion per muon was expected. In that case energy balance would be impossible since energy must be invested to generate the muons. However, recent work has gone approximately half-way to energy balance and further improvements are being worked on. There has been little time to assess the full implications of these discoveries. However, various ways to use muon-catalyzed fusion for electrical power production are now being explored

  1. Single-phase {beta}-FeSi{sub 2} thin films prepared on Si wafer by femtosecond laser ablation and its photoluminescence at room temperature

    Energy Technology Data Exchange (ETDEWEB)

    Lu Peixiang [State Key Laboratory of Laser Technology and Wuhan National Laboratory for Optoelectronics, Huazhong University of Science and Technology, Wuhan 430074 (China)]. E-mail: lupeixiang@mail.hust.edu.cn; Zhou Youhua [State Key Laboratory of Laser Technology and Wuhan National Laboratory for Optoelectronics, Huazhong University of Science and Technology, Wuhan 430074 (China) and Physics and Information School, Jianghan University, Wuhan 430056 (China)]. E-mail: yhzhou@jhun.edu.cn; Zheng Qiguang [State Key Laboratory of Laser Technology and Wuhan National Laboratory for Optoelectronics, Huazhong University of Science and Technology, Wuhan 430074 (China); Yang Guang [State Key Laboratory of Laser Technology and Wuhan National Laboratory for Optoelectronics, Huazhong University of Science and Technology, Wuhan 430074 (China)

    2006-02-06

    Single-phase {beta}-FeSi{sub 2} thin films were prepared on Si(100) and Si(111) wafers by using femtosecond laser deposition with a FeSi{sub 2} alloy target for the first time. X-ray diffraction (XRD), field scanning electron microscopy (FSEM), scanning probe microscopy (SPM), electron backscattered diffraction pattern (EBSD), and Fourier-transform Raman infrared spectroscopy (FTRIS) were used to characterize the structure, composition, and properties of the {beta}-FeSi{sub 2}/Si films. The orientation of {beta}-FeSi{sub 2} grains was found to depend on the orientation of the Si substrates, and photoluminescence at wavelength of 1.53 {mu}m was observed from the single-phase {beta}-FeSi{sub 2}/Si thin film at room temperature (20 {sup o}C)

  2. Apparatus and method for direct measurement of coal ash sintering and fusion properties at elevated temperatures and pressures

    Science.gov (United States)

    Khan, M. Rashid

    1990-01-01

    A high-pressure microdilatometer is provided for measuring the sintering and fusion properties of various coal ashes under the influence of elevated pressures and temperatures in various atmospheres. Electrical resistivity measurements across a sample of coal ash provide a measurement of the onset of the sintering and fusion of the ash particulates while the contraction of the sample during sintering is measured with a linear variable displacement transducer for detecting the initiation of sintering. These measurements of sintering in coal ash at different pressures provide a mechanism by which deleterious problems due to the sintering and fusion of ash in various combustion systems can be minimized or obviated.

  3. Overview of nonelectrical applications of fusion

    International Nuclear Information System (INIS)

    Miley, G.H.

    1979-01-01

    The potential for, and importance of, nonelectrical applications of fusion energy is discussed. Three possibilities are reviewed in some detail: fusion-fission hybrids for fissile fuel production; high-temperature electrolysis and thermochemical processes for hydrogen production; and high-temperature steam for coal gasification. The hybrid could be an early application of fusion if this route is identified as a desirable goal. Hydrogen production and coal gasification processes appear feasible and could be developed as a part of the conventional fusion blanket research and development. The question of economics, particularly in view of the high capital cost of fusion plants, remains an open issue requiring more study

  4. Lamb wave propagation in monocrystalline silicon wafers.

    Science.gov (United States)

    Fromme, Paul; Pizzolato, Marco; Robyr, Jean-Luc; Masserey, Bernard

    2018-01-01

    Monocrystalline silicon wafers are widely used in the photovoltaic industry for solar panels with high conversion efficiency. Guided ultrasonic waves offer the potential to efficiently detect micro-cracks in the thin wafers. Previous studies of ultrasonic wave propagation in silicon focused on effects of material anisotropy on bulk ultrasonic waves, but the dependence of the wave propagation characteristics on the material anisotropy is not well understood for Lamb waves. The phase slowness and beam skewing of the two fundamental Lamb wave modes A 0 and S 0 were investigated. Experimental measurements using contact wedge transducer excitation and laser measurement were conducted. Good agreement was found between the theoretically calculated angular dependency of the phase slowness and measurements for different propagation directions relative to the crystal orientation. Significant wave skew and beam widening was observed experimentally due to the anisotropy, especially for the S 0 mode. Explicit finite element simulations were conducted to visualize and quantify the guided wave beam skew. Good agreement was found for the A 0 mode, but a systematic discrepancy was observed for the S 0 mode. These effects need to be considered for the non-destructive testing of wafers using guided waves.

  5. Determination of wafer center position during the transfer process by using the beam-breaking method

    International Nuclear Information System (INIS)

    Chen, Yi-Cheng; Wang, Zhi-Gen; Huang, Bo-Kai

    2014-01-01

    A wafer on a robot blade may slip due to inertia sliding during the acceleration or deceleration process. This study presents the implementation and experimental verification of a novel real-time wafer positioning system to be used during the transfer process. A system-integration computer program involving a human–machine interface (HMI) was also developed, exhibiting the following functions: (a) moving direction judgment; (b) notch-passing judgment; (c) indicating the sensor by which the notch passes; and (d) computing the wafer center in real time. The position of the wafer center is calculated based on the time-sequence of the beam-breaking signals from two optical sensors, and the geometric relations among the sensing points of the robot blade and wafer. When using eight-inch wafers, the experimental results indicated the capabilities of the proposed positioning system under various conditions, including distinct parameters regarding the moving direction, wafer displacement and notch-passing sensors. The accuracy and precision (repeatability) of the measurement in various conditions were calculated and discussed. Furthermore, the experimental results demonstrate that, after combining the novel wafer positioning system and HMI program, the proposed method can be used to compute the position of the wafer center in real time in various conditions. (paper)

  6. Advancing of Land Surface Temperature Retrieval Using Extreme Learning Machine and Spatio-Temporal Adaptive Data Fusion Algorithm

    Directory of Open Access Journals (Sweden)

    Yang Bai

    2015-04-01

    Full Text Available As a critical variable to characterize the biophysical processes in ecological environment, and as a key indicator in the surface energy balance, evapotranspiration and urban heat islands, Land Surface Temperature (LST retrieved from Thermal Infra-Red (TIR images at both high temporal and spatial resolution is in urgent need. However, due to the limitations of the existing satellite sensors, there is no earth observation which can obtain TIR at detailed spatial- and temporal-resolution simultaneously. Thus, several attempts of image fusion by blending the TIR data from high temporal resolution sensor with data from high spatial resolution sensor have been studied. This paper presents a novel data fusion method by integrating image fusion and spatio-temporal fusion techniques, for deriving LST datasets at 30 m spatial resolution from daily MODIS image and Landsat ETM+ images. The Landsat ETM+ TIR data were firstly enhanced based on extreme learning machine (ELM algorithm using neural network regression model, from 60 m to 30 m resolution. Then, the MODIS LST and enhanced Landsat ETM+ TIR data were fused by Spatio-temporal Adaptive Data Fusion Algorithm for Temperature mapping (SADFAT in order to derive high resolution synthetic data. The synthetic images were evaluated for both testing and simulated satellite images. The average difference (AD and absolute average difference (AAD are smaller than 1.7 K, where the correlation coefficient (CC and root-mean-square error (RMSE are 0.755 and 1.824, respectively, showing that the proposed method enhances the spatial resolution of the predicted LST images and preserves the spectral information at the same time.

  7. Preliminary reduction of chromium ore using Si sludge generated in silicon wafer manufacturing process

    Directory of Open Access Journals (Sweden)

    Jung W.-G.

    2018-01-01

    Full Text Available In order to promote the recycling of by-product from Si wafer manufacturing process and to develop environment-friend and low cost process for ferrochrome alloy production, a basic study was performed on the preliminary reduction reaction between chromium ore and the Si sludge, comprised of SiC and Si particles, which is recovered from the Si wafer manufacturing process for the semiconductor and solar cell industries. Pellets were first made by mixing chromium ore, Si sludge, and some binders in the designed mixing ratios and were then treated at different temperatures in the 1116°C–1388°C range in an ambient atmosphere. Cordierite and SiO2 were confirmed to be formed in the products after the reduction. Additionally, metal particles were observed in the product with Fe, Cr, and Si components. It is found that temperatures above 1300°C are necessary for the reduction of the chromium ore by the Si sludge. The reduction ratio for Fe was evaluated quantitatively for our experimental conditions, and the proper mixing ratio was suggested for the pre-reduction of the chromium ore by the Si sludge. This study provides basic information for the production of ferrochrome alloys on the pre-reduction of chromium ore using Si sludge.

  8. In vitro and in vivo evaluation of a sublingual fentanyl wafer formulation

    Directory of Open Access Journals (Sweden)

    Lim SCB

    2013-04-01

    Full Text Available Stephen CB Lim,1,3 Michael J Paech,2 Bruce Sunderland,3 Yandi Liu3 1Pharmacy Department, Armadale Health Service, Armadale, 2School of Medicine and Pharmacology, University of Western Australia, and Department of Anaesthesia and Pain Medicine, King Edward Memorial Hospital for Women, Subiaco, 3School of Pharmacy, Curtin Health Innovation Research Institute, Curtin University, Perth, WA, Australia Background: The objective of this study was to prepare a novel fentanyl wafer formulation by a freeze-drying method, and to evaluate its in vitro and in vivo release characteristics, including its bioavailability via the sublingual route. Methods: The wafer formulation was prepared by freeze-drying an aqueous dispersion of fentanyl containing sodium carboxymethylcellulose and amylogum as matrix formers. Uniformity of weight, friability, and dissolution testing of the fentanyl wafer was achieved using standard methods, and the residual moisture content was measured. The fentanyl wafer was also examined using scanning electron microscopy and x-ray diffraction. The absolute bioavailability of the fentanyl wafer was evaluated in 11 opioid-naïve adult female patients using a randomized crossover design. Results: In vitro release showed that almost 90% of the fentanyl dissolved in one minute. In vivo, the first detectable plasma fentanyl concentration was observed after 3.5 minutes and the peak plasma concentration between 61.5 and 67 minutes. The median absolute bioavailability was 53.0%. Conclusion: These results indicate that this wafer has potential as an alternative sublingual fentanyl formulation. Keywords: absolute bioavailability, fentanyl wafer, in vitro dissolution, in vivo study, pharmacokinetics, sublingual

  9. 450mm wafer patterning with jet and flash imprint lithography

    Science.gov (United States)

    Thompson, Ecron; Hellebrekers, Paul; Hofemann, Paul; LaBrake, Dwayne L.; Resnick, Douglas J.; Sreenivasan, S. V.

    2013-09-01

    The next step in the evolution of wafer size is 450mm. Any transition in sizing is an enormous task that must account for fabrication space, environmental health and safety concerns, wafer standards, metrology capability, individual process module development and device integration. For 450mm, an aggressive goal of 2018 has been set, with pilot line operation as early as 2016. To address these goals, consortiums have been formed to establish the infrastructure necessary to the transition, with a focus on the development of both process and metrology tools. Central to any process module development, which includes deposition, etch and chemical mechanical polishing is the lithography tool. In order to address the need for early learning and advance process module development, Molecular Imprints Inc. has provided the industry with the first advanced lithography platform, the Imprio® 450, capable of patterning a full 450mm wafer. The Imprio 450 was accepted by Intel at the end of 2012 and is now being used to support the 450mm wafer process development demands as part of a multi-year wafer services contract to facilitate the semiconductor industry's transition to lower cost 450mm wafer production. The Imprio 450 uses a Jet and Flash Imprint Lithography (J-FILTM) process that employs drop dispensing of UV curable resists to assist high resolution patterning for subsequent dry etch pattern transfer. The technology is actively being used to develop solutions for markets including NAND Flash memory, patterned media for hard disk drives and displays. This paper reviews the recent performance of the J-FIL technology (including overlay, throughput and defectivity), mask development improvements provided by Dai Nippon Printing, and the application of the technology to a 450mm lithography platform.

  10. Fusion Canada issue 20

    International Nuclear Information System (INIS)

    1993-03-01

    Fusion Canada's publication of the National Fusion Program. Included in this issue is the CFFTP Industrial Impact Study, CCFM/TdeV Update:helium pumping, research funds, and deuterium in beryllium - high temperature behaviour. 3 figs

  11. Material synergism fusion-fission

    International Nuclear Information System (INIS)

    Sankara Rao, K.B.; Raj, B.; Cook, I.; Kohyama, A.; Dudarev, S.

    2007-01-01

    In fission and fusion reactors the common features such as operating temperatures and neutron exposures will have the greatest impact on materials performance and component lifetimes. Developing fast neutron irradiation resisting materials is a common issue for both fission and fusion reactors. The high neutron flux levels in both these systems lead to unique materials problems like void swelling, irradiation creep and helium embitterment. Both fission and fusion rely on ferritic-martensitic steels based on 9%Cr compositions for achieving the highest swelling resistance but their creep strength sharply decreases above ∝ 823K. The use of oxide dispersion strengthened (ODS) alloys is envisaged to increase the operating temperature of blanket systems in the fusion reactors and fuel clad tubes in fast breeder reactors. In view of high operating temperatures, cyclic and steady load conditions and the long service life, properties like creep, low cycle fatigue,fracture toughness and creepfatigue interaction are major considerations in the selection of structural materials and design of components for fission and fusion reactors. Currently, materials selection for fusion systems has to be based upon incomplete experimental database on mechanical properties. The usage of fairly well developed databases, in fission programmes on similar materials, is of great help in the initial design of fusion reactor components. Significant opportunities exist for sharing information on technology of irradiation testing, specimen miniaturization, advanced methods of property measurement, safe windows for metal forming, and development of common materials property data base system. Both fusion and fission programs are being directed to development of clean steels with very low trace and tramp elements, characterization of microstructure and phase stability under irradiation, assessment of irradiation creep and swelling behaviour, studies on compatibility with helium and developing

  12. Effect of PECVD SiNx/SiOy Nx –Si interface property on surface passivation of silicon wafer

    International Nuclear Information System (INIS)

    Jia Xiao-Jie; Zhou Chun-Lan; Zhou Su; Wang Wen-Jing; Zhu Jun-Jie

    2016-01-01

    It is studied in this paper that the electrical characteristics of the interface between SiO y N x /SiN x stack and silicon wafer affect silicon surface passivation. The effects of precursor flow ratio and deposition temperature of the SiO y N x layer on interface parameters, such as interface state density Di t and fixed charge Q f , and the surface passivation quality of silicon are observed. Capacitance–voltage measurements reveal that inserting a thin SiO y N x layer between the SiN x and the silicon wafer can suppress Q f in the film and D it at the interface. The positive Q f and D it and a high surface recombination velocity in stacks are observed to increase with the introduced oxygen and minimal hydrogen in the SiO y N x film increasing. Prepared by deposition at a low temperature and a low ratio of N 2 O/SiH 4 flow rate, the SiO y N x /SiN x stacks result in a low effective surface recombination velocity (S eff ) of 6 cm/s on a p-type 1 Ω·cm–5 Ω·cm FZ silicon wafer. The positive relationship between S eff and D it suggests that the saturation of the interface defect is the main passivation mechanism although the field-effect passivation provided by the fixed charges also make a contribution to it. (paper)

  13. Preparation and characterisation of immobilised humic acid on silicon wafer

    International Nuclear Information System (INIS)

    Szabo, Gy.; Guczi, J.; Telegdi, J.; Pashalidis, I.; Szymczak, W.; Buckau, G.

    2005-01-01

    Full text of publication follows: The chemistry of the interactions of radionuclides with humic acid needs to be understood in details so that humate-mediated migration of radionuclides through the environment can be predicted. To achieve such a data in microscopic scale, several detective techniques, such as atomic force microscopy (AFM), chemical force microscopy (CFM), nuclear microprobe analysis (NMA) and X-ray photoelectron spectroscopy (XPS) can be used to measure intermolecular forces and to visualize the surface morphology. The main aim of this work was to provide humic material with specific properties in order to study with different spectroscopic techniques, the complexation behaviour of surface bound humic acid in microscopic scale. Namely, humic acid has been immobilised on silicon wafers in order to mimic surface bound humic substances in natural aquatic systems. In this communication, we present a simple protocol to immobilize humic acid on silicon wafer surface. A tri-functional silane reagent 3-amino-propyl-tri-methoxy-silane (APTES) was used to modify the surface of silicon wafers and appeared to be able to strongly attached soluble humic acid through their carboxylic groups to solid support. Characterisation of the surfaces, after any preparation steps, was done by ATR-FTIR, AFM and TOF-SIMS. These methods have proved that the humic acid forms a relatively homogeneous layer on the wafers. Immobilisation of humic acid on silicon wafer was further proved by binding isotherm of Am/Nd. (authors)

  14. Tungsten chemical vapor deposition characteristics using SiH4 in a single wafer system

    International Nuclear Information System (INIS)

    Rosler, R.S.; Mendonca, J.; Rice, M.J. Jr.

    1988-01-01

    Several workers have recently begun using silane as a high-rate, low-temperature alternative to hydrogen for the reduction of WF 6 in the chemical vapor deposition of W. The deposition and film characteristics of both selective and blanket W using this new chemistry are explored in a radiantly heated single wafer system using closed-loop temperature control with a thermocouple in direct contact with the backside of the wafer. Selective W deposition rates of up to 1.5 μm/min were measured over the temperature range 250--550 0 C with blanket W rates typically 2--5 x lower. Resistivity is in the 10--15 μΩcm range at 300 0 C for SiH 4 /WF 6 ratios of 0.2 to 1.0, while above 400 0 C the range is 7.5--8.5 μΩcm. Si content in the W films is quite low at 10 16 to 10 17 atoms/cm 3 . Adhesion to silicon is excellent at temperatures of 350 0 C and above. Selective W using SiH 4 reduction for doped silicon contact fill shows none of the consumption or encroachment problems common to H 2 reduction, although selectivity is more sensitive. Contact resistance for p + and n + silicon contacts are comparable to aluminum controls and to previously published data. Blanket deposition into narrow geometries gives ≥0% step coverage and without keyholes in the 250--450 0 C deposition temperature range. For low-SiH 4 flows, deposition at 500 0 C causes small keyholes, while at 550 0 C even larger keyholes result. At higher SiH 4 flows, keyholes are typically not seen from 250 to 550 0 C

  15. Comparison on mechanical properties of heavily phosphorus- and arsenic-doped Czochralski silicon wafers

    Science.gov (United States)

    Yuan, Kang; Sun, Yuxin; Lu, Yunhao; Liang, Xingbo; Tian, Daxi; Ma, Xiangyang; Yang, Deren

    2018-04-01

    Heavily phosphorus (P)- and arsenic (As)-doped Czochralski silicon (CZ-Si) wafers generally act as the substrates for the epitaxial silicon wafers used to fabricate power and communication devices. The mechanical properties of such two kinds of n-type heavily doped CZ silicon wafers are vital to ensure the quality of epitaxial silicon wafers and the manufacturing yields of devices. In this work, the mechanical properties including the hardness, Young's modulus, indentation fracture toughness and the resistance to dislocation motion have been comparatively investigated for heavily P- and As-doped CZ-Si wafers. It is found that heavily P-doped CZ-Si possesses somewhat higher hardness, lower Young's modulus, larger indentation fracture toughness and stronger resistance to dislocation motion than heavily As-doped CZ-Si. The mechanisms underlying this finding have been tentatively elucidated by considering the differences in the doping effects of P and As in silicon.

  16. A Novel Defect Inspection Method for Semiconductor Wafer Based on Magneto-Optic Imaging

    Science.gov (United States)

    Pan, Z.; Chen, L.; Li, W.; Zhang, G.; Wu, P.

    2013-03-01

    The defects of semiconductor wafer may be generated from the manufacturing processes. A novel defect inspection method of semiconductor wafer is presented in this paper. The method is based on magneto-optic imaging, which involves inducing eddy current into the wafer under test, and detecting the magnetic flux associated with eddy current distribution in the wafer by exploiting the Faraday rotation effect. The magneto-optic image being generated may contain some noises that degrade the overall image quality, therefore, in this paper, in order to remove the unwanted noise present in the magneto-optic image, the image enhancement approach using multi-scale wavelet is presented, and the image segmentation approach based on the integration of watershed algorithm and clustering strategy is given. The experimental results show that many types of defects in wafer such as hole and scratch etc. can be detected by the method proposed in this paper.

  17. Handbook of wafer bonding

    CERN Document Server

    Ramm, Peter; Taklo, Maaike M V

    2011-01-01

    Written by an author and editor team from microsystems companies and industry-near research organizations, this handbook and reference presents dependable, first-hand information on bonding technologies.In the first part, researchers from companies and institutions around the world discuss the most reliable and reproducible technologies for the production of bonded wafers. The second part is devoted to current and emerging applications, including microresonators, biosensors and precise measuring devices.

  18. 1366 Project Automate: Enabling Automation for <$0.10/W High-Efficiency Kerfless Wafers Manufactured in the US

    Energy Technology Data Exchange (ETDEWEB)

    Lorenz, Adam [1366 Technologies, Bedford, MA (United States)

    2017-05-10

    For photovoltaic (PV) manufacturing to thrive in the U.S., there must be an innovative core to the technology. Project Automate builds on 1366’s proprietary Direct Wafer® kerfless wafer technology and aims to unlock the cost and efficiency advantages of thin kerfless wafers. Direct Wafer is an innovative, U.S.-friendly (efficient, low-labor content) manufacturing process that addresses the main cost barrier limiting silicon PV cost-reductions – the 35-year-old grand challenge of manufacturing quality wafers (40% of the cost of modules) without the cost and waste of sawing. This simple, scalable process will allow 1366 to manufacture “drop-in” replacement wafers for the $10 billion silicon PV wafer market at 50% of the cost, 60% of the capital, and 30% of the electricity of conventional casting and sawing manufacturing processes. This SolarMat project developed the Direct Wafer processes’ unique capability to tailor the shape of wafers to simultaneously make thinner AND stronger wafers (with lower silicon usage) that enable high-efficiency cell architectures. By producing wafers with a unique target geometry including a thick border (which determines handling characteristics) and thin interior regions (which control light capture and electron transport and therefore determine efficiency), 1366 can simultaneously improve quality and lower cost (using less silicon).

  19. Wafer-level packaged RF-MEMS switches fabricated in a CMOS fab

    NARCIS (Netherlands)

    Tilmans, H.A.C.; Ziad, H.; Jansen, Henricus V.; Di Monaco, O.; Jourdain, A.; De Raedt, W.; Rottenberg, X.; De Backer, E.; Decoussernaeker, A.; Baert, K.

    2001-01-01

    Reports on wafer-level packaged RF-MEMS switches fabricated in a commercial CMOS fab. Switch fabrication is based on a metal surface micromachining process. A novel wafer-level packaging scheme is developed, whereby the switches are housed in on-chip sealed cavities using benzocyclobutene (BCB) as

  20. III-V/Si wafer bonding using transparent, conductive oxide interlayers

    Energy Technology Data Exchange (ETDEWEB)

    Tamboli, Adele C., E-mail: Adele.Tamboli@nrel.gov; Hest, Maikel F. A. M. van; Steiner, Myles A.; Essig, Stephanie; Norman, Andrew G.; Bosco, Nick; Stradins, Paul [National Center for Photovoltaics, National Renewable Energy Laboratory, 15013 Denver West Pkwy, Golden, Colorado 80401 (United States); Perl, Emmett E. [Department of Electrical and Computer Engineering, University of California, Santa Barbara, California 93106-9560 (United States)

    2015-06-29

    We present a method for low temperature plasma-activated direct wafer bonding of III-V materials to Si using a transparent, conductive indium zinc oxide interlayer. The transparent, conductive oxide (TCO) layer provides excellent optical transmission as well as electrical conduction, suggesting suitability for Si/III-V hybrid devices including Si-based tandem solar cells. For bonding temperatures ranging from 100 °C to 350 °C, Ohmic behavior is observed in the sample stacks, with specific contact resistivity below 1 Ω cm{sup 2} for samples bonded at 200 °C. Optical absorption measurements show minimal parasitic light absorption, which is limited by the III-V interlayers necessary for Ohmic contact formation to TCOs. These results are promising for Ga{sub 0.5}In{sub 0.5}P/Si tandem solar cells operating at 1 sun or low concentration conditions.

  1. Uniformity across 200 mm silicon wafers printed by nanoimprint lithography

    International Nuclear Information System (INIS)

    Gourgon, C; Perret, C; Tallal, J; Lazzarino, F; Landis, S; Joubert, O; Pelzer, R

    2005-01-01

    Uniformity of the printing process is one of the key parameters of nanoimprint lithography. This technique has to be extended to large size wafers to be useful for several industrial applications, and the uniformity of micro and nanostructures has to be guaranteed on large surfaces. This paper presents results of printing on 200 mm diameter wafers. The residual thickness uniformity after printing is demonstrated at the wafer scale in large patterns (100 μm), in smaller lines of 250 nm and in sub-100 nm features. We show that a mould deformation occurs during the printing process, and that this deformation is needed to guarantee printing uniformity. However, the mould deformation is also responsible for the potential degradation of the patterns

  2. Curvature evolution of 200 mm diameter GaN-on-insulator wafer fabricated through metalorganic chemical vapor deposition and bonding

    Science.gov (United States)

    Zhang, Li; Lee, Kwang Hong; Kadir, Abdul; Wang, Yue; Lee, Kenneth E.; Tan, Chuan Seng; Chua, Soo Jin; Fitzgerald, Eugene A.

    2018-05-01

    Crack-free 200 mm diameter N-polar GaN-on-insulator (GaN-OI) wafers are demonstrated by the transfer of metalorganic chemical vapor deposition (MOCVD)-grown Ga-polar GaN layers from Si(111) wafers onto SiO2/Si(100) wafers. The wafer curvature of the GaN-OI wafers after the removal of the original Si(111) substrate is correlated with the wafer curvature of the starting GaN-on-Si wafers and the voids on the GaN-on-Si surface that evolve into cracks on the GaN-OI wafers. In crack-free GaN-OI wafers, the wafer curvature during the removal of the AlN nucleation layer, AlGaN strain-compensation buffer layers and GaN layers is correlated with the residual stress distribution within individual layers in the GaN-OI wafer.

  3. Some fusion perspectives

    International Nuclear Information System (INIS)

    McNally, J.R. Jr.

    1977-01-01

    Some of the concepts of nuclear fusion reactions, advanced fusion fuels, environmental impacts, etc., are explored using the following general outline: I. Principles of Fusion (Nuclear Fuels and Reactions, Lawson Condition, n tau vs T, Nuclear Burn Characteristics); II. Magnetic Mirror Possibilities (the Ion Layer and Electron Layer, Exponential Build-up at MeV energies, Lorentz trapping at GeV energies); III. Pellet Fuel Fusion Prospects (Advanced Pellet Fuel Fusion Prospects, Burn Characteristics and Applications, Excitation-heating Prospects for Runaway Ion Temperatures). Inasmuch as the outline is very skeletal, a significant research and development effort may be in order to evaluate these prospects in more detail and hopefully ''harness the H-bomb'' for peaceful applications, the author concludes. 28 references

  4. Fusion of Nonionic Vesicles

    DEFF Research Database (Denmark)

    Bulut, Sanja; Oskolkova, M. Z.; Schweins, R.

    2010-01-01

    We present an experimental study of vesicle fusion using light and neutron scattering to monitor fusion events. Vesicles are reproducibly formed with an extrusion procedure using an single amphiphile triethylene glycol mono-n-decyl ether in water. They show long-term stability for temperatures ar...... a barrier to fusion changing from 15 k(B)T at T = 26 degrees C to 10k(H) T at T = 35 degrees C. These results are compatible with the theoretical predictions using the stalk model of vesicle fusion....

  5. Principle and modelling of Transient Current Technique for interface traps characterization in monolithic pixel detectors obtained by CMOS-compatible wafer bonding

    CERN Document Server

    Bronuzzi, J.; Moll, M.; Sallese, J.M.

    2016-01-01

    In the framework of monolithic silicon radiation detectors, a fabrication process based on a recently developed silicon wafer bonding technique at low temperature was proposed. Ideally, this new process would enable direct bonding of a read-out electronic chip wafer on a highly resistive silicon substrate wafer, which is expected to present many advantages since it would combine high performance IC's with high sensitive ultra-low doped bulk silicon detectors. But electrical properties of the bonded interface are critical for this kind of application since the mobile charges generated by radiation inside the bonded bulk are expected to transit through the interface in order to be collected by the read-out electronics. In this work, we propose to explore and develop a model for the so-called Transient Current Technique (TCT) to identify the presence of deep traps at the bonded interface. For this purpose, we consider a simple PIN diode reversely biased where the ultra-low doped active region of interest is set ...

  6. The integration of InGaP LEDs with CMOS on 200 mm silicon wafers

    Science.gov (United States)

    Wang, Bing; Lee, Kwang Hong; Wang, Cong; Wang, Yue; Made, Riko I.; Sasangka, Wardhana Aji; Nguyen, Viet Cuong; Lee, Kenneth Eng Kian; Tan, Chuan Seng; Yoon, Soon Fatt; Fitzgerald, Eugene A.; Michel, Jurgen

    2017-02-01

    The integration of photonics and electronics on a converged silicon CMOS platform is a long pursuit goal for both academe and industry. We have been developing technologies that can integrate III-V compound semiconductors and CMOS circuits on 200 mm silicon wafers. As an example we present our work on the integration of InGaP light-emitting diodes (LEDs) with CMOS. The InGaP LEDs were epitaxially grown on high-quality GaAs and Ge buffers on 200 mm (100) silicon wafers in a MOCVD reactor. Strain engineering was applied to control the wafer bow that is induced by the mismatch of coefficients of thermal expansion between III-V films and silicon substrate. Wafer bonding was used to transfer the foundry-made silicon CMOS wafers to the InGaP LED wafers. Process trenches were opened on the CMOS layer to expose the underneath III-V device layers for LED processing. We show the issues encountered in the 200 mm processing and the methods we have been developing to overcome the problems.

  7. High Temperature Plasmas Theory and Mathematical Tools for Laser and Fusion Plasmas

    CERN Document Server

    Spatschek, Karl-Heinz

    2012-01-01

    Filling the gap for a treatment of the subject as an advanced course in theoretical physics with a huge potential for future applications, this monograph discusses aspects of these applications and provides theoretical methods and tools for their investigation. Throughout this coherent and up-to-date work the main emphasis is on classical plasmas at high-temperatures, drawing on the experienced author's specialist background. As such, it covers the key areas of magnetic fusion plasma, laser-plasma-interaction and astrophysical plasmas, while also including nonlinear waves and phenomena.

  8. Qualification of multi-crystalline silicon wafers by optical imaging for industrial use

    Energy Technology Data Exchange (ETDEWEB)

    Janssen, G.J.M.; Van der Borg, N.J.C.M.; Manshanden, P.; De Bruijne, M.; Bende, E.E. [ECN Solar Energy, Petten (Netherlands)

    2012-09-15

    We have developed a method to qualify multi-crystalline silicon (mc-Si) wafers that are being used in a production process. An optical image of an etched wafer is made. This etching can be a standard industrial acid etching for mc-Si wafers as is commonly used for saw damage removal and simultaneous iso-texturing. Digital image processing is then applied to identify the number of dislocations and their distribution over the wafer. This information is used as input for a cell performance prediction model, where the performance is characterized by the open circuit voltage (Voc) or the efficiency. The model can include various levels of sophistication, i.e. from using an average density of dislocations to the full spatial resolution of the dislocations in a 2D simulation that includes also the metallization pattern on the cell. The predicted performance is then evaluated against pre-selected criteria. The possibility to apply this optical qualification method in an initial stage in the production enables early rejection of the wafers, further tailoring of the cell production process or identification of instabilities in the production process.

  9. Effects of electron-ion temperature equilibration on inertial confinement fusion implosions.

    Science.gov (United States)

    Xu, Barry; Hu, S X

    2011-07-01

    The electron-ion temperature relaxation essentially affects both the laser absorption in coronal plasmas and the hot-spot formation in inertial confinement fusion (ICF). It has recently been reexamined for plasma conditions closely relevant to ICF implosions using either classical molecular-dynamics simulations or analytical methods. To explore the electron-ion temperature equilibration effects on ICF implosion performance, we have examined two Coulomb logarithm models by implementing them into our hydrocodes, and we have carried out hydrosimulations for ICF implosions. Compared to the Lee-More model that is currently used in our standard hydrocodes, the two models predict substantial differences in laser absorption, coronal temperatures, and neutron yields for ICF implosions at the OMEGA Laser Facility [Boehly et al. Opt. Commun. 133, 495 (1997)]. Such effects on the triple-picket direct-drive design at the National Ignition Facility (NIF) have also been explored. Based on the validity of the two models, we have proposed a combined model of the electron-ion temperature-relaxation rate for the overall ICF plasma conditions. The hydrosimulations using the combined model for OMEGA implosions have shown ∼6% more laser absorption, ∼6%-15% higher coronal temperatures, and ∼10% more neutron yield, when compared to the Lee-More model prediction. It is also noticed that the gain for the NIF direct-drive design can be varied by ∼10% among the different electron-ion temperature-relaxation models.

  10. Wafer integrated micro-scale concentrating photovoltaics

    Science.gov (United States)

    Gu, Tian; Li, Duanhui; Li, Lan; Jared, Bradley; Keeler, Gordon; Miller, Bill; Sweatt, William; Paap, Scott; Saavedra, Michael; Das, Ujjwal; Hegedus, Steve; Tauke-Pedretti, Anna; Hu, Juejun

    2017-09-01

    Recent development of a novel micro-scale PV/CPV technology is presented. The Wafer Integrated Micro-scale PV approach (WPV) seamlessly integrates multijunction micro-cells with a multi-functional silicon platform that provides optical micro-concentration, hybrid photovoltaic, and mechanical micro-assembly. The wafer-embedded micro-concentrating elements is shown to considerably improve the concentration-acceptance-angle product, potentially leading to dramatically reduced module materials and fabrication costs, sufficient angular tolerance for low-cost trackers, and an ultra-compact optical architecture, which makes the WPV module compatible with commercial flat panel infrastructures. The PV/CPV hybrid architecture further allows the collection of both direct and diffuse sunlight, thus extending the geographic and market domains for cost-effective PV system deployment. The WPV approach can potentially benefits from both the high performance of multijunction cells and the low cost of flat plate Si PV systems.

  11. Mechanics of wafer bonding: Effect of clamping

    Science.gov (United States)

    Turner, K. T.; Thouless, M. D.; Spearing, S. M.

    2004-01-01

    A mechanics-based model is developed to examine the effects of clamping during wafer bonding processes. The model provides closed-form expressions that relate the initial geometry and elastic properties of the wafers to the final shape of the bonded pair and the strain energy release rate at the interface for two different clamping configurations. The results demonstrate that the curvature of bonded pairs may be controlled through the use of specific clamping arrangements during the bonding process. Furthermore, it is demonstrated that the strain energy release rate depends on the clamping configuration and that using applied loads usually leads to an undesirable increase in the strain energy release rate. The results are discussed in detail and implications for process development and bonding tool design are highlighted.

  12. X-Ray Diffraction (XRD) Characterization Methods for Sigma=3 Twin Defects in Cubic Semiconductor (100) Wafers

    Science.gov (United States)

    Park, Yeonjoon (Inventor); Kim, Hyun Jung (Inventor); Skuza, Jonathan R. (Inventor); Lee, Kunik (Inventor); King, Glen C. (Inventor); Choi, Sang Hyouk (Inventor)

    2017-01-01

    An X-ray defraction (XRD) characterization method for sigma=3 twin defects in cubic semiconductor (100) wafers includes a concentration measurement method and a wafer mapping method for any cubic tetrahedral semiconductor wafers including GaAs (100) wafers and Si (100) wafers. The methods use the cubic semiconductor's (004) pole figure in order to detect sigma=3/{111} twin defects. The XRD methods are applicable to any (100) wafers of tetrahedral cubic semiconductors in the diamond structure (Si, Ge, C) and cubic zinc-blend structure (InP, InGaAs, CdTe, ZnSe, and so on) with various growth methods such as Liquid Encapsulated Czochralski (LEC) growth, Molecular Beam Epitaxy (MBE), Organometallic Vapor Phase Epitaxy (OMVPE), Czochralski growth and Metal Organic Chemical Vapor Deposition (MOCVD) growth.

  13. Design and implementation of a S-parameter wafer defect scanner

    International Nuclear Information System (INIS)

    Naik, P.S.; Beling, C.D.; Fung, S.

    2004-01-01

    We describe the design and implementation of a real-time automated scanning system that gives an S-parameter image of a semiconductor wafer, thus allowing the density of vacancy type defects to be shown as a function of position on the wafer. A conventional 22 Na positron source of 0.5 mm diameter rasters across 5 x 5 cm 2 region of two times per hour in rectilinear motion. Gamma ray energies E γ are processed using a standard HP Ge spectroscopy system and a 14 bit nuclear ADC. Over a period of 1-2 days a high resolution 128 x 128 pixel image with 256 colours (scaled to the S-parameter range) can be formed as a wafer defect map. The system is reliable, interactive and user-friendly (patent pending 2003). (orig.)

  14. Stabilisation of a thin crystalline Si wafer solar cell using glass substrate; Duenne kristalline Silizium Wafer-Solarzelle mit Glastraeger stabilisiert

    Energy Technology Data Exchange (ETDEWEB)

    Muehlbauer, Maria

    2009-07-01

    An attempt was made to stabilise ultrathin crystalline silicon wafers (< 100 {mu}m) by a support material (BOROFLOAT33 by Schott Glas). It was found that the total serial resistance results mainly from the specific resistance of the back contact, and that especially the ultrathin solar cells have high recombination in the back. The ultrathin Si wafers also are slightly corrugated, which results in uneven joining of the Si wafer with the glass support. For optimisation, the solar cells of this specific types, with different thicknesses, were modelled in the one-dimensional simulation code PC1D, including all material-specific and electric properties. It was found that a slight reduction of the serial resistance will be enough for a significant improvement of the efficiency of the stabilized solar cell. With this knowledge, selective optimisation of the stabilised solar cells was possible, with the following results: 1. The improved temperature-time profile of the RTP step will improve the solar cell parameters for all Si thicknesses, which is assumed to be the result of better quality of the Al/Si back contact. 2. Thicker aluminium layers improved passivation on the back of solar cells with a thickness of 300 {mu}m and 120 {mu}m. In thinner stabilised solar cells, this measure resulted in enhanced formation of shunts and did not reduce the recombination rate on the back of the solar cell. 3. An additional optimisation step was the introduction of the so-called 'combined method' in which part of the aluminium layer is replaced by silkscreen paste. This combination, with adequate preparation, ensures uniform joining of the ultrathin silicon to the glass carrier. The resulting intermediate layers are highly homogeneous and have good fill factors and current densities for thin solar cells with a si thickness of 60 {mu}m. A decisive argument for the combined method is its near-100% reproducibility. [German] Ziel dieser Arbeit ist es sehr duenne kristalline

  15. Advanced synfuel production with fusion

    International Nuclear Information System (INIS)

    Powell, J.R.; Fillo, J.

    1979-01-01

    An important first step in the synthesis of liquid and gaseous fuels is the production of hydrogen. Thermonuclear fusion offers a nearly inexhaustible source of energy for the production of hydrogen from water. Depending on design, electric generation efficiencies of approx. 40 to 60% and hydrogen production efficiencies by high temperature electrolysis of approx. 50 to 70% are projected for fusion reactors using high temperature blankets

  16. Accurate characterization of wafer bond toughness with the double cantilever specimen

    Science.gov (United States)

    Turner, Kevin T.; Spearing, S. Mark

    2008-01-01

    The displacement loaded double cantilever test, also referred to as the "Maszara test" and the "crack opening method" by the wafer bonding community, is a common technique used to evaluate the interface toughness or surface energy of direct wafer bonds. While the specimen is widely used, there has been a persistent question as to the accuracy of the method since the actual specimen geometry differs from the ideal beam geometry assumed in the expression used for data reduction. The effect of conducting the test on whole wafer pairs, in which the arms of cantilevers are wide plates rather than slender beams, is examined in this work using finite element analysis. A model is developed to predict the equilibrium shape of the crack front and to develop a corrected expression for calculating interface toughness from crack length measurements obtained in tests conducted on whole wafer pairs. The finite element model, which is validated through comparison to experiments, demonstrates that using the traditional beam theory-based expressions for data reduction can lead to errors of up to 25%.

  17. Evaluation of the viscoelastic behaviour and glass/mould interface friction coefficient in the wafer based precision glass moulding

    DEFF Research Database (Denmark)

    Sarhadi, Ali; Hattel, Jesper Henri; Hansen, Hans Nørgaard

    2014-01-01

    -placements, internal diameter and thickness of the rings are measured during the tests. Viscoelastic andstructural relaxation behaviour of the glass are implemented into the ABAQUS FEM software through aFORTRAN material subroutine (UMAT) and the FE model is validated with a sandwich seal test. Then, byFE simulation...... of the ring compression test and comparison of the experimental creep with the simulatedone in an iterative procedure, viscoelastic parameters of the glass material are characterized. Finally,interfacial glass/mould friction coefficients at different temperatures are determined through FEM basedfriction...... curves combined with experimental data points. The obtained viscoelastic parameters and inter-facial friction coefficients can later be employed for prediction of the final shape/size as well as the stressdistribution in the glass wafer during a real wafer based precision glass moulding process. © 2014...

  18. Fast power cycle for fusion reactors

    International Nuclear Information System (INIS)

    Powell, J.; Fillo, J.; Makowitz, H.

    1978-01-01

    The unique, deep penetration capability of 14 MeV neutrons produced in DT fusion reactions allows the generation of very high temperature working fluid temperatures in a thermal power cycle. In the FAST (Fusion Augmented Steam Turbine) power cycle steam is directly superheated by the high temperature ceramic refractory interior of the blanket, after being generated by heat extracted from the relatively cool blanket structure. The steam is then passed to a high temperature gas turbine for power generation. Cycle studies have been carried out for a range of turbine inlet temperatures [1600 0 F to 3000 0 F (870 to 1650 0 C)], number of reheats, turbine mechanical efficiency, recuperator effectiveness, and system pressure losses. Gross cycle efficiency is projected to be in the range of 55 to 60%, (fusion energy to electric power), depending on parameters selected. Turbine inlet temperatures above 2000 0 F, while they do increase efficiency somewhat, are not necessarily for high cycle efficiency

  19. Impurity engineering for germanium-doped Czochralski silicon wafer used for ultra large scale integrated circuit

    Energy Technology Data Exchange (ETDEWEB)

    Chen, Jiahe; Yang, Deren [State Key Laboratory of Silicon Materials, Department of Materials Science and Engineering, Zhejiang University, Hangzhou (China)

    2009-07-01

    Internal gettering (IG) technology has been challenged by both the reduction of thermal budget during device fabrication and the enlargement of wafer diameter. Improving the properties of Czochralski (Cz) silicon wafers by intentional impurity doping, the so-called 'impurity engineering (IE)', is defined. Germanium has been found to be one of the important impurities for improving the internal gettering effect in Cz silicon wafer. In this paper, the investigations on IE involved with the conventional furnace anneal based denudation processing for germanium-doped Cz silicon wafer are reviewed. Meanwhile, the potential mechanisms of germanium effects for the IE of Cz silicon wafer are also interpreted based on the experimental facts. (copyright 2009 WILEY-VCH Verlag GmbH and Co. KGaA, Weinheim) (orig.)

  20. Scatterometry on pelliclized masks: an option for wafer fabs

    Science.gov (United States)

    Gallagher, Emily; Benson, Craig; Higuchi, Masaru; Okumoto, Yasuhiro; Kwon, Michael; Yedur, Sanjay; Li, Shifang; Lee, Sangbong; Tabet, Milad

    2007-03-01

    Optical scatterometry-based metrology is now widely used in wafer fabs for lithography, etch, and CMP applications. This acceptance of a new metrology method occurred despite the abundance of wellestablished CD-SEM and AFM methods. It was driven by the desire to make measurements faster and with a lower cost of ownership. Over the last year, scatterometry has also been introduced in advanced mask shops for mask measurements. Binary and phase shift masks have been successfully measured at all desired points during photomask production before the pellicle is mounted. There is a significant benefit to measuring masks with the pellicle in place. From the wafer fab's perspective, through-pellicle metrology would verify mask effects on the same features that are characterized on wafer. On-site mask verification would enable quality control and trouble-shooting without returning the mask to a mask house. Another potential application is monitoring changes to mask films once the mask has been delivered to the fab (haze, oxide growth, etc.). Similar opportunities apply to the mask metrologist receiving line returns from a wafer fab. The ability to make line-return measurements without risking defect introduction is clearly attractive. This paper will evaluate the feasibility of collecting scatterometry data on pelliclized masks. We explore the effects of several different pellicle types on scatterometry measurements made with broadband light in the range of 320-780 nm. The complexity introduced by the pellicles' optical behavior will be studied.

  1. Fusion Reactor Materials

    International Nuclear Information System (INIS)

    Decreton, M.

    2001-01-01

    The objective of SCK-CEN's programme on fusion reactor materials is to contribute to the knowledge on the behaviour of fusion reactor materials and components during and after irradiation. Ongoing projects include: the study of the mechanical behaviour of structural materials under neutron irradiation; the investigation of the characteristics of irradiated first wall material such as beryllium; the detection of abrupt electrical degradation of insulating ceramics under high temperature and neutron irradiation; and the study of dismantling and waste disposal strategy for fusion reactors. Progress and achievements in these areas in 2000 are discussed

  2. Crack detection and analyses using resonance ultrasonic vibrations in full-size crystalline silicon wafers

    International Nuclear Information System (INIS)

    Belyaev, A.; Polupan, O.; Dallas, W.; Ostapenko, S.; Hess, D.; Wohlgemuth, J.

    2006-01-01

    An experimental approach for fast crack detection and length determination in full-size solar-grade crystalline silicon wafers using a resonance ultrasonic vibrations (RUV) technique is presented. The RUV method is based on excitation of the longitudinal ultrasonic vibrations in full-size wafers. Using an external piezoelectric transducer combined with a high sensitivity ultrasonic probe and computer controlled data acquisition system, real-time frequency response analysis can be accomplished. On a set of identical crystalline Si wafers with artificially introduced periphery cracks, it was demonstrated that the crack results in a frequency shift in a selected RUV peak to a lower frequency and increases the resonance peak bandwidth. Both characteristics were found to increase with the length of the crack. The frequency shift and bandwidth increase serve as reliable indicators of the crack appearance in silicon wafers and are suitable for mechanical quality control and fast wafer inspection

  3. Wafer plane inspection with soft resist thresholding

    Science.gov (United States)

    Hess, Carl; Shi, Rui-fang; Wihl, Mark; Xiong, Yalin; Pang, Song

    2008-10-01

    Wafer Plane Inspection (WPI) is an inspection mode on the KLA-Tencor TeraScaTM platform that uses the high signalto- noise ratio images from the high numerical aperture microscope, and then models the entire lithographic process to enable defect detection on the wafer plane[1]. This technology meets the needs of some advanced mask manufacturers to identify the lithographically-significant defects while ignoring the other non-lithographically-significant defects. WPI accomplishes this goal by performing defect detection based on a modeled image of how the mask features would actually print in the photoresist. There are several advantages to this approach: (1) the high fidelity of the images provide a sensitivity advantage over competing approaches; (2) the ability to perform defect detection on the wafer plane allows one to only see those defects that have a printing impact on the wafer; (3) the use of modeling on the lithographic portion of the flow enables unprecedented flexibility to support arbitrary illumination profiles, process-window inspection in unit time, and combination modes to find both printing and non-printing defects. WPI is proving to be a valuable addition to the KLA-Tencor detection algorithm suite. The modeling portion of WPI uses a single resist threshold as the final step in the processing. This has been shown to be adequate on several advanced customer layers, but is not ideal for all layers. Actual resist chemistry has complicated processes including acid and base-diffusion and quench that are not consistently well-modeled with a single resist threshold. We have considered the use of an advanced resist model for WPI, but rejected it because the burdensome requirements for the calibration of the model were not practical for reticle inspection. This paper describes an alternative approach that allows for a "soft" resist threshold to be applied that provides a more robust solution for the most challenging processes. This approach is just

  4. Silicon waveguides produced by wafer bonding

    DEFF Research Database (Denmark)

    Poulsen, Mette; Jensen, Flemming; Bunk, Oliver

    2005-01-01

    X-ray waveguides are successfully produced employing standard silicon technology of UV photolithography and wafer bonding. Contrary to theoretical expectations for similar systems even 100 mu m broad guides of less than 80 nm height do not collapse and can be used as one dimensional waveguides...

  5. Muon catalyzed fusion under compressive conditions

    International Nuclear Information System (INIS)

    Cripps, G.; Goel, B.; Harms, A.A.

    1991-01-01

    The viability of a symbiotic combination of Muon Catalyzed Fusion (μCF) and high density generation processes has been investigated. The muon catalyzed fusion reaction rates are formulated in the temperature and density range found under moderate compressive conditions. Simplified energy gain and power balance calculations indicate that significant energy gain occurs only if standard type deuterium-tritium (dt) fusion is ignited. A computer simulation of the hydrodynamics and fusion kinetics of a spherical deuterium-tritium pellet implosion including muons is performed. Using the muon catalyzed fusion reaction rates formulated and under ideal conditions, the pellet ignites (and thus has a significant energy gain) only if the initial muon concentration is approximately 10 17 cm -3 . The muons need to be delivered to the pellet within a very short-time (≅ 1 ns). The muon pulse required in order to make the high density and temperature muon catalyzed fusion scheme viable is beyond the present technology for muon production. (orig.) [de

  6. Coatings for fusion reactor environments

    International Nuclear Information System (INIS)

    Mattox, D.M.

    1979-01-01

    The internal surfaces of a tokamak fusion reactor control the impurity injection and gas recycling into the fusion plasma. Coating of internal surfaces may provide a desirable and possibly necessary design flexibility for achieving the temperatures, ion densities and containment times necessary for net energy production from fusion reactions to take place. In this paper the reactor environments seen by various componentare reviewed along with possible materials responses. Characteristics of coating-substrate systems, important to fusion applications, are delineated and the present status of coating development for fusion applications is reviewed. Coating development for fusion applications is just beginning and poses a unique and important challenge for materials development

  7. Wafer scale integration of catalyst dots into nonplanar microsystems

    DEFF Research Database (Denmark)

    Gjerde, Kjetil; Kjelstrup-Hansen, Jakob; Gammelgaard, Lauge

    2007-01-01

    In order to successfully integrate bottom-up fabricated nanostructures such as carbon nanotubes or silicon, germanium, or III-V nanowires into microelectromechanical systems on a wafer scale, reliable ways of integrating catalyst dots are needed. Here, four methods for integrating sub-100-nm...... diameter nickel catalyst dots on a wafer scale are presented and compared. Three of the methods are based on a p-Si layer utilized as an in situ mask, an encapsulating layer, and a sacrificial window mask, respectively. All methods enable precise positioning of nickel catalyst dots at the end...

  8. Precise Temperature Mapping of GaN-Based LEDs by Quantitative Infrared Micro-Thermography

    Directory of Open Access Journals (Sweden)

    Geon Hee Kim

    2012-04-01

    Full Text Available A method of measuring the precise temperature distribution of GaN-based light-emitting diodes (LEDs by quantitative infrared micro-thermography is reported. To reduce the calibration error, the same measuring conditions were used for both calibration and thermal imaging; calibration was conducted on a highly emissive black-painted area on a dummy sapphire wafer loaded near the LED wafer on a thermoelectric cooler mount. We used infrared thermal radiation images of the black-painted area on the dummy wafer and an unbiased LED wafer at two different temperatures to determine the factors that degrade the accuracy of temperature measurement, i.e., the non-uniform response of the instrument, superimposed offset radiation, reflected radiation, and emissivity map of the LED surface. By correcting these factors from the measured infrared thermal radiation images of biased LEDs, we determined a precise absolute temperature image. Consequently, we could observe from where the local self-heat emerges and how it distributes on the emitting area of the LEDs. The experimental results demonstrated that highly localized self-heating and a remarkable temperature gradient, which are detrimental to LED performance and reliability, arise near the p-contact edge of the LED surface at high injection levels owing to the current crowding effect.

  9. Using multiple secondary fusion products to evaluate fuel ρR, electron temperature, and mix in deuterium-filled implosions at the NIF

    Energy Technology Data Exchange (ETDEWEB)

    Rinderknecht, H. G., E-mail: hgr@mit.edu; Rosenberg, M. J.; Zylstra, A. B.; Lahmann, B.; Séguin, F. H.; Frenje, J. A.; Li, C. K.; Gatu Johnson, M.; Petrasso, R. D. [Plasma Science and Fusion Center, Massachusetts Institute of Technology, Cambridge, Massachusetts 02139 (United States); Berzak Hopkins, L. F.; Caggiano, J. A.; Divol, L.; Hartouni, E. P.; Hatarik, R.; Hatchett, S. P.; Le Pape, S.; Mackinnon, A. J.; McNaney, J. M.; Meezan, N. B.; Moran, M. J. [Lawrence Livermore National Laboratory, Livermore, California 94550 (United States); and others

    2015-08-15

    In deuterium-filled inertial confinement fusion implosions, the secondary fusion processes D({sup 3}He,p){sup 4}He and D(T,n){sup 4}He occur, as the primary fusion products {sup 3}He and T react in flight with thermal deuterons. In implosions with moderate fuel areal density (∼5–100 mg/cm{sup 2}), the secondary D-{sup 3}He reaction saturates, while the D-T reaction does not, and the combined information from these secondary products is used to constrain both the areal density and either the plasma electron temperature or changes in the composition due to mix of shell material into the fuel. The underlying theory of this technique is developed and applied to three classes of implosions on the National Ignition Facility: direct-drive exploding pushers, indirect-drive 1-shock and 2-shock implosions, and polar direct-drive implosions. In the 1- and 2-shock implosions, the electron temperature is inferred to be 0.65 times and 0.33 times the burn-averaged ion temperature, respectively. The inferred mixed mass in the polar direct-drive implosions is in agreement with measurements using alternative techniques.

  10. Fusion spectroscopy

    International Nuclear Information System (INIS)

    Peacock, N.J.

    1995-09-01

    This article traces developments in the spectroscopy of high temperature laboratory plasma used in controlled fusion research from the early 1960's until the present. These three and a half decades have witnessed many orders of magnitude increase in accessible plasma parameters such as density and temperature as well as particle and energy confinement timescales. Driven by the need to interpret the radiation in terms of the local plasma parameters, the thrust of fusion spectroscopy has been to develop our understanding of (i) the atomic structure of highly ionised atoms, usually of impurities in the hydrogen isotope fuel; (ii) the atomic collision rates and their incorporation into ionization structure and emissivity models that take into account plasma phenomena like plasma-wall interactions, particle transport and radiation patterns; (iii) the diagnostic applications of spectroscopy aided by increasingly sophisticated characterisation of the electron fluid. These topics are discussed in relation to toroidal magnetically confined plasmas, particularly the Tokamak which appears to be the most promising approach to controlled fusion to date. (author)

  11. Towards fusion power

    International Nuclear Information System (INIS)

    Venkataraman, G.

    1975-01-01

    An attempt has been made to present general but broad review of the recent developments in the field of plasma physics and its application to fusion power. The first chapter describes the fusion reactions and fusion power systems. The second chapter deals in detail with production and behaviour of plasma, screening, oscillations, instability, energy losses, temperature effects, etc. Magnetic confinements, including pinch systems, toroidal systems such as Tokamac and stellarator, minor machine, etc. are discussed in detail in chapter III. Laser produced plasma, laser implosion and problems associated with it and future prospects are explained in chapter IV. Chapter V is devoted entirely to the various aspects of hybrid systems. The last chapter throws light on problems of fusion technology, such as plasma heating, vacuum requirements, radiation damage, choice of materials, blanket problems, hazards of fusion reactions, etc. (K.B.)

  12. IEFIT - An Interactive Approach to High Temperature Fusion Plasma Magnetic Equilibrium Fitting

    International Nuclear Information System (INIS)

    Peng, Q.; Schachter, J.; Schissel, D.P.; Lao, L.L.

    1999-01-01

    An interactive IDL based wrapper, IEFIT, has been created for the magnetic equilibrium reconstruction code EFIT written in FORTRAN. It allows high temperature fusion physicists to rapidly optimize a plasma equilibrium reconstruction by eliminating the unnecessarily repeated initialization in the conventional approach along with the immediate display of the fitting results of each input variation. It uses a new IDL based graphics package, GaPlotObj, developed in cooperation with Fanning Software Consulting, that provides a unified interface with great flexibility in presenting and analyzing scientific data. The overall interactivity reduces the process to minutes from the usual hours

  13. Efficiency Improvement of HIT Solar Cells on p-Type Si Wafers.

    Science.gov (United States)

    Wei, Chun-You; Lin, Chu-Hsuan; Hsiao, Hao-Tse; Yang, Po-Chuan; Wang, Chih-Ming; Pan, Yen-Chih

    2013-11-22

    Single crystal silicon solar cells are still predominant in the market due to the abundance of silicon on earth and their acceptable efficiency. Different solar-cell structures of single crystalline Si have been investigated to boost efficiency; the heterojunction with intrinsic thin layer (HIT) structure is currently the leading technology. The record efficiency values of state-of-the art HIT solar cells have always been based on n-type single-crystalline Si wafers. Improving the efficiency of cells based on p-type single-crystalline Si wafers could provide broader options for the development of HIT solar cells. In this study, we varied the thickness of intrinsic hydrogenated amorphous Si layer to improve the efficiency of HIT solar cells on p-type Si wafers.

  14. Synthesis of thermoresponsive poly(N-isopropylacrylamide) brush on silicon wafer surface via atom transfer radical polymerization

    Energy Technology Data Exchange (ETDEWEB)

    Turan, Eylem; Demirci, Serkan [Department of Chemistry, Faculty of Art and Science, Gazi University, 06500 Besevler, Ankara (Turkey); Caykara, Tuncer, E-mail: caykara@gazi.edu.t [Department of Chemistry, Faculty of Art and Science, Gazi University, 06500 Besevler, Ankara (Turkey)

    2010-08-31

    Thermoresponsive poly(N-isopropylacrylamide) [poly(NIPAM)] brush on silicon wafer surface was prepared by combining the self-assembled monolayer of initiator and atom transfer radical polymerization (ATRP). The resulting polymer brush was characterized by in situ reflectance Fourier transform infrared spectroscopy, atomic force microscopy and ellipsometry techniques. Gel permeation chromatography determination of the number-average molecular weight and polydispersity index of the brush detached from the silicon wafer surface suggested that the surface-initiated ATRP method can provide relatively homogeneous polymer brush. Contact angle measurements exhibited a two-stage increase upon heating over the board temperature range 25-45 {sup o}C, which is in contrast to the fact that free poly(NIPAM) homopolymer in aqueous solution exhibits a phase transition at ca. 34 {sup o}C within a narrow temperature range. The first de-wetting transition takes place at 27 {sup o}C, which can be tentatively attributed to the n-cluster induced collapse of the inner region of poly(NIPAM) brush close to the silicon surface; the second de-wetting transition occurs at 38 {sup o}C, which can be attributed to the outer region of poly(NIPAM) brush, possessing much lower chain density compared to that of the inner part.

  15. 50 years of fusion research

    Science.gov (United States)

    Meade, Dale

    2010-01-01

    Fusion energy research began in the early 1950s as scientists worked to harness the awesome power of the atom for peaceful purposes. There was early optimism for a quick solution for fusion energy as there had been for fission. However, this was soon tempered by reality as the difficulty of producing and confining fusion fuel at temperatures of 100 million °C in the laboratory was appreciated. Fusion research has followed two main paths—inertial confinement fusion and magnetic confinement fusion. Over the past 50 years, there has been remarkable progress with both approaches, and now each has a solid technical foundation that has led to the construction of major facilities that are aimed at demonstrating fusion energy producing plasmas.

  16. Wafer-scale fabrication of uniform Si nanowire arrays using the Si wafer with UV/Ozone pretreatment

    International Nuclear Information System (INIS)

    Bai, Fan; Li, Meicheng; Huang, Rui; Yu, Yue; Gu, Tiansheng; Chen, Zhao; Fan, Huiyang; Jiang, Bing

    2013-01-01

    The electroless etching technique combined with the process of UV/Ozone pretreatment is presented for wafer-scale fabrication of the silicon nanowire (SiNW) arrays. The high-level uniformity of the SiNW arrays is estimated by the value below 0.2 of the relative standard deviation of the reflection spectra on the 4-in. wafer. Influence of the UV/Ozone pretreatment on the formation of SiNW arrays is investigated. It is seen that a very thin SiO 2 produced by the UV/Ozone pretreatment improves the uniform nucleation of Ag nanoparticles (NPs) on the Si surface because of the effective surface passivation. Meanwhile, the SiO 2 located among the adjacent Ag NPs can obstruct the assimilation growth of Ag NPs, facilitating the deposition of the uniform and dense Ag NPs catalysts, which induces the formation of the SiNW arrays with good uniformity and high filling ratio. Furthermore, the remarkable antireflective and hydrophobic properties are observed for the SiNW arrays which display great potential in self-cleaning antireflection applications

  17. Determination of ultra-trace contaminants on silicon wafer surfaces using TXRF. Present state of the art

    International Nuclear Information System (INIS)

    Pahlke, S.; Fabry, L.; Kotz, L.; Mantler, C.; Ehmann, T.

    2000-01-01

    Recently, TXRF became a standard, on-line inspection tool for controlling the cleanliness of polished Si wafers for semiconductor use now up to 300 diameter. Wafer makers strive for an all-over metallic cleanliness of 10 atoms x cm -2 . Therefore an analytical tools must cover LOD in a range 9 atoms x cm -2 or lower. The all-over cleanliness of the whole wafer surface can analyzed using VPD/TXRF. For this chemical wafer-pre-preparation under cleanroom conditions class 1 we have developed a full automatic 'Wafer Surface Preparation System' coupled with a new generation TXRF. We have also combined this system with other independent methods for Na, Al, anions and cations. Only the combination of automatic wafer handling systems, modem analytical tools, ultra-pure water, ULSI chemicals and special cleanroom conditions provides us a chance to achieve the present and the future demands for semiconductor industry. (author)

  18. Surface modification of silicon wafer by grafting zwitterionic polymers to improve its antifouling property

    Science.gov (United States)

    Sun, Yunlong; Chen, Changlin; Xu, Heng; Lei, Kun; Xu, Guanzhe; Zhao, Li; Lang, Meidong

    2017-10-01

    Silicon (111) wafer was modified by triethoxyvinylsilane containing double bond as an intermedium, and then P4VP (polymer 4-vinyl pyridine) brush was "grafted" onto the surface of silicon wafer containing reactive double bonds by adopting the "grafting from" way and Si-P4VP substrate (silicon wafer grafted by P4VP) was obtained. Finally, P4VP brush of Si-P4VP substrate was modified by 1,3-propanesulfonate fully to obtain P4VP-psl brush (zwitterionic polypyridinium salt) and the functional Si-P4VP-psl substrate (silicon wafer grafted by zwitterionic polypyridinium salt based on polymer 4-vinyl pyridine) was obtained successfully. The antifouling property of the silicon wafer, the Si-P4VP substrate and the Si-P4VP-psl substrate was investigated by using bovine serum albumin, mononuclear macrophages (RAW 264.7) and Escherichia coli (E. coli) ATTC25922 as model bacterium. The results showed that compared with the blank sample-silicon wafer, the Si-P4VP-psl substrate had excellent anti-adhesion ability against bovine serum albumin, cells and bacterium, due to zwitterionic P4VP-psl brush (polymer 4-vinyl pyridine salt) having special functionality like antifouling ability on biomaterial field.

  19. Yield impact for wafer shape misregistration-based binning for overlay APC diagnostic enhancement

    Science.gov (United States)

    Jayez, David; Jock, Kevin; Zhou, Yue; Govindarajulu, Venugopal; Zhang, Zhen; Anis, Fatima; Tijiwa-Birk, Felipe; Agarwal, Shivam

    2018-03-01

    The importance of traditionally acceptable sources of variation has started to become more critical as semiconductor technologies continue to push into smaller technology nodes. New metrology techniques are needed to pursue the process uniformity requirements needed for controllable lithography. Process control for lithography has the advantage of being able to adjust for cross-wafer variability, but this requires that all processes are close in matching between process tools/chambers for each process. When this is not the case, the cumulative line variability creates identifiable groups of wafers1 . This cumulative shape based effect is described as impacting overlay measurements and alignment by creating misregistration of the overlay marks. It is necessary to understand what requirements might go into developing a high volume manufacturing approach which leverages this grouping methodology, the key inputs and outputs, and what can be extracted from such an approach. It will be shown that this line variability can be quantified into a loss of electrical yield primarily at the edge of the wafer and proposes a methodology for root cause identification and improvement. This paper will cover the concept of wafer shape based grouping as a diagnostic tool for overlay control and containment, the challenges in implementing this in a manufacturing setting, and the limitations of this approach. This will be accomplished by showing that there are identifiable wafer shape based signatures. These shape based wafer signatures will be shown to be correlated to overlay misregistration, primarily at the edge. It will also be shown that by adjusting for this wafer shape signal, improvements can be made to both overlay as well as electrical yield. These improvements show an increase in edge yield, and a reduction in yield variability.

  20. Specific heat measurements on metals up to their melting point; Mesure de la chaleur specifique des metaux jusqu'a leur temperature de fusion

    Energy Technology Data Exchange (ETDEWEB)

    Affortit, Ch [Commissariat a l' Energie Atomique, Fontenay-aux-Roses (France). Centre d' Etudes Nucleaires

    1967-07-15

    We have built an apparatus to measure the specific heat of metal up to the melting point. The method is the pulse-heating method, where the specimen is heated very rapidly (1/10 s) from room temperature to the melting point by a very intense d.c. current (1000 A). The simultaneous measurements of intensity, voltage and temperature in the specimen allows a calculation of the specific heat. We have obtained good results for niobium, tungsten, tantalum and uranium. The accuracy is around 3 to 5 per cent and allows a measurement of the heat of formation of vacancies near the melting temperature. (author) [French] Nous avons construit un appareil permettant la mesure de la chaleur specifique des metaux jusqu'a leur temperature de fusion. La methode utilisee est la methode dite de chauffage instantane, L'echantillon est echauffe tres rapidement (1/10 s) de la temperature ambiante a la temperature de fusion par le passage d'un courant tres intense ({approx} 1000 A). L'enregistrement simultane de l'intensite du courant, de la difference de potentiel aux bornes de l'echantillon et de la temperature, permet de calculer la chaleur specifique. Nous avons obtenu de bons resultats pour le niobium, le tungstene tantale et l'uranium. La precision de la methode est de l'ordre de 3 a 5 pour cent et permet une mesure de la chaleur de formation des lacunes au voisinage de la fusion. (auteur)

  1. Wafer-level radiometric performance testing of uncooled microbolometer arrays

    Science.gov (United States)

    Dufour, Denis G.; Topart, Patrice; Tremblay, Bruno; Julien, Christian; Martin, Louis; Vachon, Carl

    2014-03-01

    A turn-key semi-automated test system was constructed to perform on-wafer testing of microbolometer arrays. The system allows for testing of several performance characteristics of ROIC-fabricated microbolometer arrays including NETD, SiTF, ROIC functionality, noise and matrix operability, both before and after microbolometer fabrication. The system accepts wafers up to 8 inches in diameter and performs automated wafer die mapping using a microscope camera. Once wafer mapping is completed, a custom-designed quick insertion 8-12 μm AR-coated Germanium viewport is placed and the chamber is pumped down to below 10-5 Torr, allowing for the evaluation of package-level focal plane array (FPA) performance. The probe card is electrically connected to an INO IRXCAM camera core, a versatile system that can be adapted to many types of ROICs using custom-built interface printed circuit boards (PCBs). We currently have the capability for testing 384x288, 35 μm pixel size and 160x120, 52 μm pixel size FPAs. For accurate NETD measurements, the system is designed to provide an F/1 view of two rail-mounted blackbodies seen through the Germanium window by the die under test. A master control computer automates the alignment of the probe card to the dies, the positioning of the blackbodies, FPA image frame acquisition using IRXCAM, as well as data analysis and storage. Radiometric measurement precision has been validated by packaging dies measured by the automated probing system and re-measuring the SiTF and Noise using INO's pre-existing benchtop system.

  2. A modified occlusal wafer for managing partially dentate orthognathic patients--a case series.

    Science.gov (United States)

    Soneji, Bhavin Kiritkumar; Esmail, Zaid; Sharma, Pratik

    2015-03-01

    A multidisciplinary approach is essential in orthognathic surgery to achieve stable and successful outcomes. The model surgery planning is an important aspect in achieving the desired aims. An occlusal wafer used at the time of surgery aids the surgeon during correct placement of the jaws. When dealing with partially dentate patients, the design of the occlusal wafer requires modification to appropriately position the jaw. Two cases with partially dentate jaws are presented in which the occlusal wafer has been modified to provide stability at the time of surgery.

  3. Palladium-based on-wafer electroluminescence studies of GaN-based LED structures

    Energy Technology Data Exchange (ETDEWEB)

    Salcianu, C.O.; Thrush, E.J.; Humphreys, C.J. [Department of Materials Science and Metallurgy, University of Cambridge, Pembroke Street, Cambridge CB2 3QZ (United Kingdom); Plumb, R.G. [Centre for Photonic Systems, Department of Engineering, University of Cambridge, Cambridge CB3 0FD (United Kingdom); Boyd, A.R.; Rockenfeller, O.; Schmitz, D.; Heuken, M. [AIXTRON AG, Kackertstr. 15-17, 52072 Aachen (Germany)

    2008-07-01

    Electroluminescence (EL) testing of Light Emitting Diode (LED) structures is usually done at the chip level. Assessing the optical and electrical properties of LED structures at the wafer scale prior to their processing would improve the cost effectiveness of producing LED-lamps. A non-destructive method for studying the luminescence properties of the structure at the wafer-scale is photoluminescence (PL). However, the relationship between the on-wafer PL data and the final device EL can be less than straightforward (Y. H Aliyu et al., Meas. Sci. Technol. 8, 437 (1997)) as the two techniques employ different carrier injection mechanisms. This paper provides an overview of some different techniques in which palladium is used as a contact in order to obtain on-wafer electroluminescence information which could be used to screen wafers prior to processing into final devices. Quick mapping of the electrical and optical characteristics was performed using either palladium needle electrodes directly, or using the latter in conjunction with evaporated palladium contacts to inject both electrons and holes into the active region via the p-type capping layer of the structure. For comparison, indium was also used to make contact to the n-layer so that electrons could be directly injected into that layer. (copyright 2008 WILEY-VCH Verlag GmbH and Co. KGaA, Weinheim) (orig.)

  4. Fabrication of a microfluidic chip by UV bonding at room temperature for integration of temperature-sensitive layers

    Science.gov (United States)

    Schlautmann, S.; Besselink, G. A. J.; Radhakrishna Prabhu, G.; Schasfoort, R. B. M.

    2003-07-01

    A method for the bonding of a microfluidic device at room temperature is presented. The wafer with the fluidic structures was bonded to a sensor wafer with gold pads by means of adhesive bonding, utilizing an UV-curable glue layer. To avoid filling the fluidic channels with the glue, a stamping process was developed which allows the selective application of a thin glue layer. In this way a microfluidic glass chip was fabricated that could be used for performing surface plasmon resonance measurements without signs of leakage. The advantage of this method is the possibility of integration of organic layers as well as other temperature-sensitive layers into a microfluidic glass device.

  5. Computational Modeling in Plasma Processing for 300 mm Wafers

    Science.gov (United States)

    Meyyappan, Meyya; Arnold, James O. (Technical Monitor)

    1997-01-01

    Migration toward 300 mm wafer size has been initiated recently due to process economics and to meet future demands for integrated circuits. A major issue facing the semiconductor community at this juncture is development of suitable processing equipment, for example, plasma processing reactors that can accomodate 300 mm wafers. In this Invited Talk, scaling of reactors will be discussed with the aid of computational fluid dynamics results. We have undertaken reactor simulations using CFD with reactor geometry, pressure, and precursor flow rates as parameters in a systematic investigation. These simulations provide guidelines for scaling up in reactor design.

  6. Fusion: an energy source for synthetic fuels

    International Nuclear Information System (INIS)

    Fillo, J.A.; Powell, J; Steinberg, M.

    1980-01-01

    The decreasing availability of fossil fuels emphasizes the need to develop systems which will produce synthetic fuel to substitute for and supplement the natural supply. An important first step in the synthesis of liquid and gaseous fuels is the production of hydrogen. Thermonuclear fusion offers an inexhaustible source of energy for the production of hydrogen from water. Depending on design, electric generation efficiencies of approx. 40 to 60% and hydrogen production efficiencies by high temperature electrolysis of approx. 50 to 70% are projected for fusion reactors using high temperature blankets. Fusion/coal symbiotic systems appear economically promising for the first generation of commercial fusion synfuels plants. Coal production requirements and the environmental effects of large-scale coal usage would be greatly reduced by a fusion/coal system. In the long term, there could be a gradual transition to an inexhaustible energy system based solely on fusion

  7. Optimization of nonthermal fusion power consistent with energy channeling

    International Nuclear Information System (INIS)

    Snyder, P.B.; Herrmann, M.C.; Fisch, N.J.

    1995-02-01

    If the energy of charged fusion products can be diverted directly to fuel ions, non-Maxwellian fuel ion distributions and temperature differences between species will result. To determine the importance of these nonthermal effects, the fusion power density is optimized at constant-β for nonthermal distributions that are self-consistently maintained by channeling of energy from charged fusion products. For D-T and D- 3 He reactors, with 75% of charged fusion product power diverted to fuel ions, temperature differences between electrons and ions increase the reactivity by 40-70%, while non- Maxwellian fuel ion distributions and temperature differences between ionic species increase the reactivity by an additional 3-15%

  8. Application of SSNTDs for measurements of fusion reaction products in high-temperature plasma experiments

    Energy Technology Data Exchange (ETDEWEB)

    Malinowska, A., E-mail: a.malinowska@ipj.gov.p [Andrzej Soltan Institute for Nuclear Studies (IPJ), 05-400 Otwock-Swierk (Poland); Szydlowski, A.; Malinowski, K. [Andrzej Soltan Institute for Nuclear Studies (IPJ), 05-400 Otwock-Swierk (Poland); Sadowski, M.J. [Andrzej Soltan Institute for Nuclear Studies (IPJ), 05-400 Otwock-Swierk (Poland); Institute of Plasma Physics and Laser Microfusion (IPPLM), 00-908 Warsaw (Poland); Zebrowski, J. [Andrzej Soltan Institute for Nuclear Studies (IPJ), 05-400 Otwock-Swierk (Poland); Scholz, M.; Paduch, M.; Zielinska, E. [Institute of Plasma Physics and Laser Microfusion (IPPLM), 00-908 Warsaw (Poland); Jaskola, M.; Korman, A. [Andrzej Soltan Institute for Nuclear Studies (IPJ), 05-400 Otwock-Swierk (Poland)

    2009-10-15

    The paper describes the application of SSNTDs of the PM-355 type to diagnostics of reaction products emitted from high-temperature deuterium plasmas produced in Plasma Focus (PF) facilities. Acceleration processes occurring in plasma lead often to the generation of high-energy ion beams. Such beams induce nuclear reactions and contribute to the emission of fast neutrons, fusion protons and alpha particles from PF discharges with a deuterium gas. Ion measurements are of primary importance for understanding the mechanisms of the physical processes which drive the charged-particle acceleration. The main aim of the present studies was to perform measurements of spatial- and energy-distributions of fusion-reaction protons (about 3 MeV) within a PF facility. Results obtained from energy measurements were compared with the proton-energy spectra computed theoretically. The protons were measured by means of a set of ion pinhole cameras equipped with PM-355 detectors, which were placed at different angles relative to the electrode axis of the PF facility.

  9. An electret-based energy harvesting device with a wafer-level fabrication process

    DEFF Research Database (Denmark)

    Crovetto, Andrea; Wang, Fei; Hansen, Ole

    2013-01-01

    This paper presents a MEMS energy harvesting device which is able to generate power from two perpendicular ambient vibration directions. A CYTOP polymer is used both as the electret material for electrostatic transduction and as a bonding interface for low-temperature wafer bonding. The device...... is also discussed. With a final chip size of about 1 cm2, a power output of 32.5 nW is successfully harvested with an external load of 17 MΩ, when a harmonic vibration source with an RMS acceleration amplitude of 0.03 g (∼0.3 m s−2) and a resonant frequency of 179 Hz is applied. These results can...

  10. Sol-gel bonding of silicon wafers

    International Nuclear Information System (INIS)

    Barbe, C.J.; Cassidy, D.J.; Triani, G.; Latella, B.A.; Mitchell, D.R.G.; Finnie, K.S.; Bartlett, J.R.; Woolfrey, J.L.; Collins, G.A.

    2005-01-01

    Low temperature bonding of silicon wafers was achieved using sol-gel technology. The initial sol-gel chemistry of the coating solution was found to influence the mechanical properties of the resulting bonds. More precisely, the influence of parameters such as the alkoxide concentration, water-to-alkoxide molar ratio, pH, and solution aging on the final bond morphologies and interfacial fracture energy was studied. The thickness and density of the sol-gel coating were characterised using ellipsometry. The corresponding bonded specimens were investigated using attenuated total reflectance Fourier transformed infrared spectroscopy to monitor their chemical composition, infrared imaging to control bond integrity, and cross-sectional transmission electron microscopy to study their microstructure. Their interfacial fracture energy was measured using microindentation. An optimum water-to-alkoxide molar ratio of 10 and hydrolysis water at pH = 2 were found. Such conditions led to relatively dense films (> 90%), resulting in bonds with a fracture energy of 3.5 J/m 2 , significantly higher than those obtained using classical hydrophilic bonding (typically 1.5-2.5 J/m 2 ). Ageing of the coating solution was found to decrease the bond strength

  11. Fusion as a source of synthetic fuels

    International Nuclear Information System (INIS)

    Powell, J.R.; Fillo, J.A.; Steinberg, M.

    1981-01-01

    In the near-term, coal derived synthetic fuels will be used; but in the long-term, resource depletion and environmental effects will mandate synthetic fuels from inexhaustible sources - fission, fusion, and solar. Of the three sources, fusion appears uniquely suited for the efficient production of hydrogen-based fuels, due to its ability to directly generate very high process temperatures (up to approx. 2000 0 C) for water splitting reactions. Fusion-based water splitting reactions include high temperature electrolysis (HTE) of steam, thermochemical cycles, hybrid electrochemical/thermochemical, and direct thermal decomposition. HTE appears to be the simplest and most efficient process with efficiencies of 50 to 70% (fusion to hydrogen chemical energy), depending on process conditions

  12. Optical cavity furnace for semiconductor wafer processing

    Science.gov (United States)

    Sopori, Bhushan L.

    2014-08-05

    An optical cavity furnace 10 having multiple optical energy sources 12 associated with an optical cavity 18 of the furnace. The multiple optical energy sources 12 may be lamps or other devices suitable for producing an appropriate level of optical energy. The optical cavity furnace 10 may also include one or more reflectors 14 and one or more walls 16 associated with the optical energy sources 12 such that the reflectors 14 and walls 16 define the optical cavity 18. The walls 16 may have any desired configuration or shape to enhance operation of the furnace as an optical cavity 18. The optical energy sources 12 may be positioned at any location with respect to the reflectors 14 and walls defining the optical cavity. The optical cavity furnace 10 may further include a semiconductor wafer transport system 22 for transporting one or more semiconductor wafers 20 through the optical cavity.

  13. Effects of non-steady irradiation conditions on fusion materials performance

    International Nuclear Information System (INIS)

    Matsui, H.; Fukumoto, K.; Nagumo, T.; Nita, N.

    2001-01-01

    During startup of fusion reactors, materials are exposed to neutron irradiation under non-steady temperature condition. Since the temperature of irradiation has decisive effects on the microstructural evolution, the non-steady temperature will have important consequences in the performance of fusion reactor materials. In the present study, a series of vanadium based alloys have been irradiated with neutrons in a temperature cycling condition. It has been found from this study that cavity number density is much greater in temperature cycled specimens than in steady temperature irradiation. Keeping the upper temperature constant, cavity number density is greater for smaller difference between the upper and the lower temperature. It follows that relatively small temperature excursions may have rather significant effects on the fusion material performance in service. (author)

  14. Wafer-level manufacturing technology of glass microlenses

    Science.gov (United States)

    Gossner, U.; Hoeftmann, T.; Wieland, R.; Hansch, W.

    2014-08-01

    In high-tech products, there is an increasing demand to integrate glass lenses into complex micro systems. Especially in the lighting industry LEDs and laser diodes used for automotive applications require encapsulated micro lenses. To enable low-cost production, manufacturing of micro lenses on wafer level base using a replication technology is a key technology. This requires accurate forming of thousands of lenses with a diameter of 1-2 mm on a 200 mm wafer compliant with mass production. The article will discuss the technical aspects of a lens manufacturing replication process and the challenges, which need to be solved: choice of an appropriate master for replication, thermally robust interlayer coating, choice of replica glass, bonding and separation procedure. A promising approach for the master substrate material is based on a lens structured high-quality glass wafer with high melting point covered by a coating layer of amorphous silicon or germanium. This layer serves as an interlayer for the glass bonding process. Low pressure chemical vapor deposition and plasma enhanced chemical vapor deposition processes allow a deposition of layer coatings with different hydrogen and doping content influencing their chemical and physical behavior. A time reduced molding process using a float glass enables the formation of high quality lenses while preserving the recyclability of the mother substrate. The challenge is the separation of the replica from the master mold. An overview of chemical methods based on optimized etching of coating layer through small channels will be given and the impact of glass etching on surface roughness is discussed.

  15. Surface temperature measurements by means of pulsed photothermal effects in fusion devices

    International Nuclear Information System (INIS)

    Loarer, Th.; Brygo, F.; Gauthier, E.; Grisolia, C.; Le Guern, F.; Moreau, F.; Murari, A.; Roche, H.; Semerok, A.

    2007-01-01

    In fusion devices, the surface temperature of plasma facing components is measured using infrared cameras. This method requires a knowledge of the emissivity of the material, the reflected and parasitic fluxes (Bremsstrahlung). For carbon, the emissivity is known and constant over the detection wavelength (∼3-5 μm). For beryllium and tungsten, the reflected flux could contribute significantly to the collected flux. The pulsed photothermal method described in this paper allows temperature measurements independently of both reflected and parasitic fluxes. A local increase of the surface temperature (ΔT ∼ 10-15 K) introduced by a laser pulse (few ns) results in an additional component of the photon flux collected by the detector. Few μs after the pulse, a filtering of the signal allows to extract a temporal flux proportional only to the variation of the emitted flux, the emissivity and ΔT. The ratio of simultaneous measurements at two wavelengths leads to the elimination of ΔT and emissivity. The range of application increases for measurements at short wavelengths (1-1.7 μm) with no limitation due to the Bremsstrahlung emission

  16. Evaluation of a cyanoacrylate dressing to manage peristomal skin alterations under ostomy skin barrier wafers.

    Science.gov (United States)

    Milne, Catherine T; Saucier, Darlene; Trevellini, Chenel; Smith, Juliet

    2011-01-01

    Peristomal skin alterations under ostomy barrier wafers are a commonly reported problem. While a number of interventions to manage this issue have been reported, the use of a topically applied cyanoacrylate has received little attention. This case series describes the use of a topical cyanoacrylate for the management of peristomal skin alterations in persons living with an ostomy. Using a convenience sample, the topical cyanoacrylate dressing was applied to 11 patients with peristomal skin disruption under ostomy wafers in acute care and outpatient settings. The causes of barrier function interruption were also addressed to enhance outcomes. Patients were assessed for wound discomfort using a Likert Scale, time to healing, and number of appliance changes. Patient satisfaction was also examined. Average reported discomfort levels were 9.5 out of 10 at the initial peristomal irritation assessment visit decreased to 3.5 at the first wafer change and were absent by the second wafer change. Wafers had increasing wear time between changes in both settings with acute care patients responding faster. Epidermal resurfacing occurred within 10.2 days in outpatients and within 7 days in acute care patients. Because of the skin sealant action of this dressing, immediate adherence of the wafer was reported at all pouch changes.

  17. Electrical characterization of thin SOI wafers using lateral MOS transient capacitance measurements

    International Nuclear Information System (INIS)

    Wang, D.; Ueda, A.; Takada, H.; Nakashima, H.

    2006-01-01

    A novel electrical evaluation method was proposed for crystal quality characterization of thin Si on insulator (SOI) wafers, which was done by measurement of minority carrier generation lifetime (τ g ) using transient capacitance method for lateral metal-oxide-semiconductor (MOS) capacitor. The lateral MOS capacitors were fabricated on three kinds of thin SOI wafers. The crystal quality difference among these three wafers was clearly shown by the τ g measurement results and discussed from a viewpoint of SOI fabrication. The series resistance influence on the capacitance measurement for this lateral MOS capacitor was discussed in detail. The validity of this method was confirmed by comparing the intensities of photoluminescence signals due to electron-hole droplet in the band-edge emission

  18. Trace analysis for 300 MM wafers and processes with TXRF

    International Nuclear Information System (INIS)

    Nutsch, A.; Erdmann, V.; Zielonka, G.; Pfitzner, L.; Ryssel, H.

    2000-01-01

    Efficient fabrication of semiconductor devices is combined with an increasing size of silicon wafers. The contamination level of processes, media, and equipment has to decrease continuously. A new test laboratory for 300 mm was installed in view of the above mentioned aspects. Aside of numerous processing tools this platform consist electrical test methods, particle detection, vapor phase decomposition (VPD) preparation, and TXRF. The equipment is installed in a cleanroom. It is common to perform process or equipment control, development, evaluation and qualification with monitor wafers. The evaluation and the qualification of 300 mm equipment require direct TXRF on 300 mm wafers. A new TXRF setup was installed due to the wafer size of 300 mm. The 300 mm TXRF is equipped with tungsten and molybdenum anode. This combination allows a sensitive detection of elements with fluorescence energy below 10 keV for tungsten excitation. The molybdenum excitation enables the detection of a wide variety of elements. The detection sensitivity for the tungsten anode excited samples is ten times higher than for molybdenum anode measured samples. The system is calibrated with 1 ng Ni. This calibration shows a stability within 5 % when monitored to control system stability. Decreasing the amount of Ni linear results in a linear decrease of the measured Ni signal. This result is verified for a range of elements by multielement samples. New designs demand new processes and materials, e.g. ferroelectric layers and copper. The trace analysis of many of these materials is supported by the higher excitation energy of the molybdenum anode. Reclaim and recycling of 300 mm wafers demand for an accurate contamination control of the processes to avoid cross contamination. Polishing or etching result in modified surfaces. TXRF as a non-destructive test method allows the simultaneously detection of a variety of elements on differing surfaces in view of contamination control and process

  19. Fusion rates for hydrogen isotopic molecules of relevance for ''cold fusion''

    International Nuclear Information System (INIS)

    Szalewicz, K.; Morgan, J.D. III; Monkhorst, H.J.

    1989-01-01

    In response to the recent announcements of evidence for room-temperature fusion in the electrolysis of D 2 O, we have analyzed how the fusion rate depends on the reduced mass of the fusing nuclei, the effective mass of a ''heavy'' electron, and the degree of vibrational excitation. Our results have been obtained both by accurately solving the Schroedinger equation for the hydrogen molecule and by using the WKB approximation. We find that in light of the reported d-d fusion rate, the excess heat in the experiment by Fleischmann, Pons, and Hawkins [J. Electroanal. Chem. 261, 301 (1989)] is difficult to explain in terms of conventional nuclear processes

  20. Inertial fusion and energy production

    International Nuclear Information System (INIS)

    Holzrichter, J.F.

    1982-01-01

    Inertial-confinement fusion (ICF) is a technology for releasing nuclear energy from the fusion of light nuclei. For energy production, the most reactive hydrogen isotopes (deuterium (D) and tritium (T)) are commonly considered. The energy aplication requires the compression of a few milligrams of a DT mixture to great density, approximately 1000 times its liquid-state density, and to a high temperature, nearly 100 million 0 K. Under these conditions, efficient nuclear-fusion reactions occur, which can result in over 30% burn-up of the fusion fuel. The high density and temperature can be achieved by focusing very powerful laser or ion beams onto the target. The resultant ablation of the outer layers of the target compresses the fuel in the target, DT ignition occurs, and burn-up of the fuel results as the thermonuclear burn wave propagates outward. The DT-fuel burn-up occurs in about 199 picoseconds. On this short time scale, inertial forces are sufficiently strong to prevent target disassembly before fuel burn-up occurs. The energy released by the DT fusion is projected to be several hundred times greater than the energy delivered by the driver. The present statuds of ICF technology is described

  1. An electrolytic route to fusion?

    International Nuclear Information System (INIS)

    Anon.

    1992-01-01

    A patent has been granted by the Swedish Patent Authority for a new process to initiate and control energy generation through fusion reactions of hydrogen. According to the patent-holder, the Swedish company AB Technology Development, the fusion power process could be available for commercial applications within 4-5 years if laboratory and pilot plant tests prove successful. The new process employs a high voltage discharge in heavy water to create conditions under which, according to the patent holder, a high probability of fusion is achieved without the extraordinary high temperatures required in a conventional fusion reactor. (author)

  2. Peptide and protein loading into porous silicon wafers

    Energy Technology Data Exchange (ETDEWEB)

    Prestidge, C.A.; Barnes, T.J.; Mierczynska-Vasilev, A.; Kempson, I.; Peddie, F. [Ian Wark Research Institute, University of South Australia, Mawson Lakes (Australia); Barnett, C. [Medica Ltd, Malvern, Worcestershire, UK WR14 3SZ (United Kingdom)

    2008-02-15

    The influence of peptide/protein size and hydrophobicity on the physical and chemical aspects of loading within porous silicon (pSi) wafer samples has been determined using Atomic Force Microscopy (AFM) and Time-of-Flight Secondary Ion Mass Spectroscopy (ToF-SIMS). Both Gramicidin A (a small hydrophobic peptide) and Papain (a larger hydrophilic protein) were observed (ToF-SIMS) to penetrate across the entire pSi layer, even at low loading levels. AFM surface imaging of pSi wafers during peptide/protein loading showed that surface roughness increased with Papain loading, but decreased with Gramicidin A loading. For Papain, the loading methodology was also found to influence loading efficiency. These differences indicate more pronounced surface adsorption of Papain. (copyright 2008 WILEY-VCH Verlag GmbH and Co. KGaA, Weinheim) (orig.)

  3. Influence of radiant heating treatments on fusion of high-temperature superconducting yttrium ceramics

    International Nuclear Information System (INIS)

    Bitenbaev, M.I.; Polyakov, A.I.

    1999-01-01

    Regardless of the fact that the materials made of HTSC-ceramics are promising, there is no any information about their successful practical application in publications. To our opinion, it is explained by the fact, first of all, that the conservative technologies of the powder metallurgy do not allow producing HTSC systems with excellent operating performance (structure homogeneity, long-term stability of Sc properties and etc.). This report presents outcomes of experiments on fusion of yttrium ceramics containing raw components irradiated by g-rays 60 Co under the temperature exceeding 500 degrees C. HTSC properties of ceramics were studied according to their differential spectra of radio-frequency (RF) field absorption. The RF absorption spectrum of yttrium ceramics samples produced according to conservative technology is sufficiently permitted triplet with the Sc transition temperatures range of 80 K, 90 K, 95 K. Irradiation under the increased temperatures and mechanical limitation allow producing samples of yttrium HTSC-ceramics with sufficient homogeneous structure and superconducting properties that are stable to air conditions for not less than one year

  4. ALKALI FUSION OF ROSETTA ZIRCON

    International Nuclear Information System (INIS)

    DAHER, A.

    2008-01-01

    The decomposition of Rosetta zircon by fusion with different types of alkalis has been investigated. These alkalis include sodium hydroxide, potassium hydroxide and eutectic mixture of both. The influences of the reaction temperature, zircon to alkalis ratio, fusion time and the stirring of the reactant on the fusion reaction have been evaluated. The obtained results favour the decomposition of zircon with the eutectic alkalis mixture by a decomposition efficiency of 96% obtained at 500 0 C after one hour

  5. New WC-Cu thermal barriers for fusion applications: High temperature mechanical behaviour

    Science.gov (United States)

    Tejado, E.; Dias, M.; Correia, J. B.; Palacios, T.; Carvalho, P. A.; Alves, E.; Pastor, J. Y.

    2018-01-01

    The combination of tungsten carbide and copper as a thermal barrier could effectively reduce the thermal mismatch between tungsten and copper alloy, which are proposed as base armour and heat sink, respectively, in the divertor of future fusion reactors. Furthermore, since the optimum operating temperature windows for these divertor materials do not overlap, a compatible thermal barrier interlayer between them is required to guarantee a smooth thermal transition, which in addition may mitigate radiation damage. The aim of this work is to study the thermo-mechanical properties of WC-Cu cermets fabricated by hot pressing. Focus is placed on the temperature effect and composition dependence, as the volume fraction of copper varies from 25 to 50 and 75 vol%. To explore this behaviour, fracture experiments are performed within a temperature range from room temperature to 800 °C under vacuum. In addition, elastic modulus and thermal expansion coefficient are estimated from these tests. Results reveal a strong dependence of the performance on temperature and on the volume fraction of copper and, surprisingly, a slight percent of Cu (25 vol%) can effectively reduce the large difference in thermal expansion between tungsten and copper alloy, which is a critical point for in service applications. The thermal performance of these materials, together with their mechanical properties could indeed reduce the heat transfer from the PFM to the underlying element while supporting the high thermal stresses of the joint. Thus, the presence of these cermets could allow the reactor to operate above the ductile to brittle transition temperature of tungsten, without compromising the underlying materials.

  6. development and evaluation of lyophilized thiolated-chitosan wafers

    African Journals Online (AJOL)

    User

    THIOLATED-CHITOSAN WAFERS FOR BUCCAL DELIVERY. OF PROTEIN ... of the thiolated polymer incorporating per polymer weight, 10 % each of glycerol as plasticizer, D-mannitol as ..... delivery systems: in vitro stability, in vivo fate, and ...

  7. Low temperature spalling of silicon: A crack propagation study

    Energy Technology Data Exchange (ETDEWEB)

    Bertoni, Mariana; Uberg Naerland, Tine; Stoddard, Nathan; Guimera Coll, Pablo

    2017-06-08

    Spalling is a promising kerfless method for cutting thin silicon wafers while doubling the yield of a silicon ingot. The main obstacle in this technology is the high total thickness variation of the spalled wafers, often as high as 100% of the wafer thickness. It has been suggested before that a strong correlation exists between low crack velocities and a smooth surface, but this correlation has never been shown during a spalling process in silicon. The reason lies in the challenge associated to measuring such velocities. In this contribution, we present a new approach to assess, in real time, the crack velocity as it propagates during a low temperature spalling process. Understanding the relationship between crack velocity and surface roughness during spalling can pave the way to attain full control on the surface quality of the spalled wafer.

  8. Low-temperature wafer direct bonding of silicon and quartz glass by a two-step wet chemical surface cleaning

    Science.gov (United States)

    Wang, Chenxi; Xu, Jikai; Zeng, Xiaorun; Tian, Yanhong; Wang, Chunqing; Suga, Tadatomo

    2018-02-01

    We demonstrate a facile bonding process for combining silicon and quartz glass wafers by a two-step wet chemical surface cleaning. After a post-annealing at 200 °C, strong bonding interfaces with no defects or microcracks were obtained. On the basis of the detailed surface and bonding interface characterizations, the bonding mechanism was explored and discussed. The amino groups terminated on the cleaned surfaces might contribute to the bonding strength enhancement during the annealing. This cost-effective bonding process has great potentials for silicon- and glass-based heterogeneous integrations without requiring a vacuum system.

  9. Chemical strategies for modifications of the solar cell process, from wafering to emitter diffusion; Chemische Ansaetze zur Neuordnung des Solarzellenprozesses ausgehend vom Wafering bis hin zur Emitterdiffusion

    Energy Technology Data Exchange (ETDEWEB)

    Mayer, Kuno

    2009-11-06

    The paper describes the classic standard industrial solar cell based on monocrystalline silicon and describes new methods of fabrication. The first is an alternative wafering concept using laser microjet cutting instead of multiwire cutting. This method originally uses pure, deionized water; it was modified so that the liquid jet will not only be a liquid light conductor but also a transport medium for etching fluids supporting thermal abrasion of silicon by the laser jet. Two etching fluids were tested experimentally; it was found that water-free fluids based on perfluorinated solvents with very slight additions of gaseous chlorine are superior to all other options. In the second section, the wet chemical process steps between wafering and emitter diffusion (i.e. the first high-temperature step) was to be modified. Alternatives to 2-propanol were to be found in the experimental part. Purification after texturing was to be rationalized in order to reduce the process cost, either by using less chemical substances or by achieving shorter process times. 1-pentanol and p-toluolsulfonic acid were identified as two potential alternatives to 2-propanol as texture additives. Finally, it could be shown that wire-cut substrates processed with the new texturing agents have higher mechanical stabilities than substrates used with the classic texturing agent 2-propanol. [German] Im ersten Kapitel wird die klassische Standard-Industrie-Solarzelle auf der Basis monokristallinen Siliziums vorgestellt. Der bisherige Herstellungsprozess der Standard-Industrie-Solarzelle, der in wesentlichen Teilen darauf abzielt, diese Verluste zu minimieren, dient als Referenz fuer die Entwicklung neuer Fertigungsverfahren, wie sie in dieser Arbeit vorgestellt werden. Den ersten thematischen Schwerpunkt bildet die Entwicklung eines alternativen Wafering-Konzeptes zum Multi-Drahtsaegen. Die Basis des neuen, hier vorgestellten Wafering-Prozesses bildet das Laser-Micro-Jet-Verfahren. Dieses System

  10. Performance of a novel wafer scale CMOS active pixel sensor for bio-medical imaging

    International Nuclear Information System (INIS)

    Esposito, M; Evans, P M; Wells, K; Anaxagoras, T; Konstantinidis, A C; Zheng, Y; Speller, R D; Allinson, N M

    2014-01-01

    Recently CMOS active pixels sensors (APSs) have become a valuable alternative to amorphous silicon and selenium flat panel imagers (FPIs) in bio-medical imaging applications. CMOS APSs can now be scaled up to the standard 20 cm diameter wafer size by means of a reticle stitching block process. However, despite wafer scale CMOS APS being monolithic, sources of non-uniformity of response and regional variations can persist representing a significant challenge for wafer scale sensor response. Non-uniformity of stitched sensors can arise from a number of factors related to the manufacturing process, including variation of amplification, variation between readout components, wafer defects and process variations across the wafer due to manufacturing processes. This paper reports on an investigation into the spatial non-uniformity and regional variations of a wafer scale stitched CMOS APS. For the first time a per-pixel analysis of the electro-optical performance of a wafer CMOS APS is presented, to address inhomogeneity issues arising from the stitching techniques used to manufacture wafer scale sensors. A complete model of the signal generation in the pixel array has been provided and proved capable of accounting for noise and gain variations across the pixel array. This novel analysis leads to readout noise and conversion gain being evaluated at pixel level, stitching block level and in regions of interest, resulting in a coefficient of variation ⩽1.9%. The uniformity of the image quality performance has been further investigated in a typical x-ray application, i.e. mammography, showing a uniformity in terms of CNR among the highest when compared with mammography detectors commonly used in clinical practice. Finally, in order to compare the detection capability of this novel APS with the technology currently used (i.e. FPIs), theoretical evaluation of the detection quantum efficiency (DQE) at zero-frequency has been performed, resulting in a higher DQE for this

  11. Performance of a novel wafer scale CMOS active pixel sensor for bio-medical imaging.

    Science.gov (United States)

    Esposito, M; Anaxagoras, T; Konstantinidis, A C; Zheng, Y; Speller, R D; Evans, P M; Allinson, N M; Wells, K

    2014-07-07

    Recently CMOS active pixels sensors (APSs) have become a valuable alternative to amorphous silicon and selenium flat panel imagers (FPIs) in bio-medical imaging applications. CMOS APSs can now be scaled up to the standard 20 cm diameter wafer size by means of a reticle stitching block process. However, despite wafer scale CMOS APS being monolithic, sources of non-uniformity of response and regional variations can persist representing a significant challenge for wafer scale sensor response. Non-uniformity of stitched sensors can arise from a number of factors related to the manufacturing process, including variation of amplification, variation between readout components, wafer defects and process variations across the wafer due to manufacturing processes. This paper reports on an investigation into the spatial non-uniformity and regional variations of a wafer scale stitched CMOS APS. For the first time a per-pixel analysis of the electro-optical performance of a wafer CMOS APS is presented, to address inhomogeneity issues arising from the stitching techniques used to manufacture wafer scale sensors. A complete model of the signal generation in the pixel array has been provided and proved capable of accounting for noise and gain variations across the pixel array. This novel analysis leads to readout noise and conversion gain being evaluated at pixel level, stitching block level and in regions of interest, resulting in a coefficient of variation ⩽1.9%. The uniformity of the image quality performance has been further investigated in a typical x-ray application, i.e. mammography, showing a uniformity in terms of CNR among the highest when compared with mammography detectors commonly used in clinical practice. Finally, in order to compare the detection capability of this novel APS with the technology currently used (i.e. FPIs), theoretical evaluation of the detection quantum efficiency (DQE) at zero-frequency has been performed, resulting in a higher DQE for this

  12. Synfuels production from fusion reactors

    International Nuclear Information System (INIS)

    Fillo, J.A.; Powell, J.R.; Steinberg, M.

    The decreasing availability of fossil fuels emphasizes the need to develop systems which will produce synthetic fuel to substitute for and supplement the natural supply. An important first step in the synthesis of liquid and gaseous fuels is the production of hydrogen. Thermonuclear fusion offers an inexhaustible source of energy for the production of hydrogen from water. Depending on design, electric generation efficiencies of approximately 40 to 60 percent and hydrogen production efficiencies by high temperature electrolysis of approximately 50 to 70 percent are projected for fusion reactors using high temperature blankets

  13. Fusion Energy for Hydrogen Production

    Energy Technology Data Exchange (ETDEWEB)

    Fillo, J. A.; Powell, J. R.; Steinberg, M.; Salzano, F.; Benenati, R.; Dang, V.; Fogelson, S.; Isaacs, H.; Kouts, H.; Kushner, M.; Lazareth, O.; Majeski, S.; Makowitz, H.; Sheehan, T. V.

    1978-09-01

    The decreasing availability of fossil fuels emphasizes the need to develop systems which will produce synthetic fuel to substitute for and supplement the natural supply. An important first step in the synthesis of liquid and gaseous fuels is the production of hydrogen. Thermonuclear fusion offers an inexhaustible source of energy for the production of hydrogen from water. Depending on design, electric generation efficiencies of approximately 40 to 60% and hydrogen production efficiencies by high temperature electrolysis of approximately 50 to 70% are projected for fusion reactors using high temperature blankets.

  14. A novel patterning control strategy based on real-time fingerprint recognition and adaptive wafer level scanner optimization

    Science.gov (United States)

    Cekli, Hakki Ergun; Nije, Jelle; Ypma, Alexander; Bastani, Vahid; Sonntag, Dag; Niesing, Henk; Zhang, Linmiao; Ullah, Zakir; Subramony, Venky; Somasundaram, Ravin; Susanto, William; Matsunobu, Masazumi; Johnson, Jeff; Tabery, Cyrus; Lin, Chenxi; Zou, Yi

    2018-03-01

    In addition to lithography process and equipment induced variations, processes like etching, annealing, film deposition and planarization exhibit variations, each having their own intrinsic characteristics and leaving an effect, a `fingerprint', on the wafers. With ever tighter requirements for CD and overlay, controlling these process induced variations is both increasingly important and increasingly challenging in advanced integrated circuit (IC) manufacturing. For example, the on-product overlay (OPO) requirement for future nodes is approaching process induced variance to become extremely small. Process variance control is seen as an bottleneck to further shrink which drives the need for more sophisticated process control strategies. In this context we developed a novel `computational process control strategy' which provides the capability of proactive control of each individual wafer with aim to maximize the yield, without introducing a significant impact on metrology requirements, cycle time or productivity. The complexity of the wafer process is approached by characterizing the full wafer stack building a fingerprint library containing key patterning performance parameters like Overlay, Focus, etc. Historical wafer metrology is decomposed into dominant fingerprints using Principal Component Analysis. By associating observed fingerprints with their origin e.g. process steps, tools and variables, we can give an inline assessment of the strength and origin of the fingerprints on every wafer. Once the fingerprint library is established, a wafer specific fingerprint correction recipes can be determined based on its processing history. Data science techniques are used in real-time to ensure that the library is adaptive. To realize this concept, ASML TWINSCAN scanners play a vital role with their on-board full wafer detection and exposure correction capabilities. High density metrology data is created by the scanner for each wafer and on every layer during the

  15. Aerial image measurement technique for automated reticle defect disposition (ARDD) in wafer fabs

    Science.gov (United States)

    Zibold, Axel M.; Schmid, Rainer M.; Stegemann, B.; Scheruebl, Thomas; Harnisch, Wolfgang; Kobiyama, Yuji

    2004-08-01

    The Aerial Image Measurement System (AIMS)* for 193 nm lithography emulation has been brought into operation successfully worldwide. A second generation system comprising 193 nm AIMS capability, mini-environment and SMIF, the AIMS fab 193 plus is currently introduced into the market. By adjustment of numerical aperture (NA), illumination type and partial illumination coherence to match the conditions in 193 nm steppers or scanners, it can emulate the exposure tool for any type of reticles like binary, OPC and PSM down to the 65 nm node. The system allows a rapid prediction of wafer printability of defects or defect repairs, and critical features, like dense patterns or contacts on the masks without the need to perform expensive image qualification consisting of test wafer exposures followed by SEM measurements. Therefore, AIMS is a mask quality verification standard for high-end photo masks and established in mask shops worldwide. The progress on the AIMS technology described in this paper will highlight that besides mask shops there will be a very beneficial use of the AIMS in the wafer fab and we propose an Automated Reticle Defect Disposition (ARDD) process. With smaller nodes, where design rules are 65 nm or less, it is expected that smaller defects on reticles will occur in increasing numbers in the wafer fab. These smaller mask defects will matter more and more and become a serious yield limiting factor. With increasing mask prices and increasing number of defects and severability on reticles it will become cost beneficial to perform defect disposition on the reticles in wafer production. Currently ongoing studies demonstrate AIMS benefits for wafer fab applications. An outlook will be given for extension of 193 nm aerial imaging down to the 45 nm node based on emulation of immersion scanners.

  16. Surface evolution and stability transition of silicon wafer subjected to nano-diamond grinding

    Directory of Open Access Journals (Sweden)

    Shisheng Cai

    2017-03-01

    Full Text Available In order to obtain excellent physical properties and ultrathin devices, thinning technique plays an important role in semiconductor industry with the rapid development of wearable electronic devices. This study presents a physical nano-diamond grinding technique without any chemistry to obtain ultrathin silicon substrate. The nano-diamond with spherical shape repeats nano-cutting and penetrating surface to physically etch silicon wafer during grinding process. Nano-diamond grinding induces an ultrathin “amorphous layer” on silicon wafer and thus the mismatch strain between the amorphous layer and substrate leads to stability transition from the spherical to non-spherical deformation of the wafer. Theoretical model is proposed to predict and analyze the deformation of amorphous layer/silicon substrate system. Furthermore, the deformation bifurcation behavior of amorphous layer/silicon substrate system is analyzed. As the mismatch strain increases or thickness decreases, the amorphous layer/silicon substrate system may transit to non-spherical deformation, which is consistent to the experimental results. The amorphous layer stresses are also obtained to predict the damage of silicon wafer.

  17. Terahertz transmission properties of silicon wafers using continuous-wave terahertz spectroscopy

    Science.gov (United States)

    Kim, Chihoon; Ahn, Jae Sung; Ji, Taeksoo; Eom, Joo Beom

    2017-04-01

    We present the spectral properties of Si wafers using continuous-wave terahertz (CW-THz) spectroscopy. By using a tunable laser source and a fixed distributed-feedback laser diode (DFB-LD), a stably tunable beat source for CW-THz spectroscopy system can be implemented. THz radiation is generated in the frequency range of 100 GHz-800 GHz by photomixing in a photoconductive antenna. We also measured CW-THz waveforms by changing the beat frequency and confirmed repeatability through repeated measurement. We calculated the peaks of the THz frequency by taking fast Fourier transforms (FFTs) of measured THz waveforms. The feasibility of CW-THz spectroscopy is demonstrated by the THz spectra of Si wafers with different resistivities, mobilities, and carrier concentrations. The results show that Si wafers with a lower resistivity absorb more THz waves. Thus, we expect our CW-THz system to have the advantage of being able to perform fast non-destructive analysis.

  18. Sample pretreatment for the determination of metal impurities in silicon wafer

    International Nuclear Information System (INIS)

    Chung, H. Y.; Kim, Y. H.; Yoo, H. D.; Lee, S. H.

    1999-01-01

    The analytical results obtained by microwave digestion and acid digestion methods for sample pretreatment to determine metal impurities in silicon wafer by inductively coupled plasma--mass spectrometry(ICP-MS) were compared. In order to decompose the silicon wafer, a mixed solution of HNO 3 and HF was added to the sample and the metal elements were determined after removing the silicon matrix by evaporating silicon in the form of Si-F. The recovery percentages of Ni, Cr and Fe were found to be 95∼106% for both microwave digestion and acid digestion methods. The recovery percentage of Cu obtained by the acid digestion method was higher than that obtained by the microwave digestion method. For Zn, however, the microwave digestion method gave better result than the acid digestion method. Fe was added to a silicon wafer using a spin coater. The concentration of Fe in this sample was determined by ICP-MS, and the same results were obtained in the two pretreatment methods

  19. Terahertz transmission properties of silicon wafers using continuous-wave terahertz spectroscopy

    International Nuclear Information System (INIS)

    Kim, Chihoon; Ahn, Jae Sung; Eom, Joo Beom; Ji, Taeksoo

    2017-01-01

    We present the spectral properties of Si wafers using continuous-wave terahertz (CW-THz) spectroscopy. By using a tunable laser source and a fixed distributed-feedback laser diode (DFB-LD), a stably tunable beat source for CW-THz spectroscopy system can be implemented. THz radiation is generated in the frequency range of 100 GHz–800 GHz by photomixing in a photoconductive antenna. We also measured CW-THz waveforms by changing the beat frequency and confirmed repeatability through repeated measurement. We calculated the peaks of the THz frequency by taking fast Fourier transforms (FFTs) of measured THz waveforms. The feasibility of CW-THz spectroscopy is demonstrated by the THz spectra of Si wafers with different resistivities, mobilities, and carrier concentrations. The results show that Si wafers with a lower resistivity absorb more THz waves. Thus, we expect our CW-THz system to have the advantage of being able to perform fast non-destructive analysis. (paper)

  20. Wafer-scale pixelated detector system

    Science.gov (United States)

    Fahim, Farah; Deptuch, Grzegorz; Zimmerman, Tom

    2017-10-17

    A large area, gapless, detection system comprises at least one sensor; an interposer operably connected to the at least one sensor; and at least one application specific integrated circuit operably connected to the sensor via the interposer wherein the detection system provides high dynamic range while maintaining small pixel area and low power dissipation. Thereby the invention provides methods and systems for a wafer-scale gapless and seamless detector systems with small pixels, which have both high dynamic range and low power dissipation.

  1. Industrial Silicon Wafer Solar Cells

    OpenAIRE

    Neuhaus, Dirk-Holger; Münzer, Adolf

    2007-01-01

    In 2006, around 86% of all wafer-based silicon solar cells were produced using screen printing to form the silver front and aluminium rear contacts and chemical vapour deposition to grow silicon nitride as the antireflection coating onto the front surface. This paper reviews this dominant solar cell technology looking into state-of-the-art equipment and corresponding processes for each process step. The main efficiency losses of this type of solar cell are analyzed to demonstrate the future e...

  2. Optimal Wafer Cutting in Shuttle Layout Problems

    DEFF Research Database (Denmark)

    Nisted, Lasse; Pisinger, David; Altman, Avri

    2011-01-01

    . The shuttle layout problem is frequently solved in two phases: first, a floorplan of the shuttle is generated. Then, a cutting plan is found which minimizes the overall number of wafers needed to satisfy the demand of each die type. Since some die types require special production technologies, only compatible...

  3. Texturization of diamond-wire-sawn multicrystalline silicon wafer using Cu, Ag, or Ag/Cu as a metal catalyst

    Science.gov (United States)

    Wang, Shing-Dar; Chen, Ting-Wei

    2018-06-01

    In this work, Cu, Ag, or Ag/Cu was used as a metal catalyst to study the surface texturization of diamond-wire-sawn (DWS) multi-crystalline silicon (mc-Si) wafer by a metal-assisted chemical etching (MACE) method. The DWS wafer was first etched by standard HF-HNO3 acidic etching, and it was labeled as AE-DWS wafer. The effects of ratios of Cu(NO3)2:HF, AgNO3:HF, and AgNO3:Cu(NO3)2 on the morphology of AE-DWS wafer were investigated. After the process of MACE, the wafer was treated with a NaF/H2O2 solution. In this process, H2O2 etched the nanostructure, and NaF removed the oxidation layer. The Si {1 1 1} plane was revealed by etching the wafer in a mixture of 0.03 M Cu(NO3)2 and 1 M HF at 55 °C for 2.5 min. These parallel Si {1 1 1} planes replaced some parallel saw marks on the surface of AE-DWS wafers without forming a positive pyramid or an inverted pyramid structure. The main topography of the wafer is comprised of silicon nanowires grown in direction when Ag or Ag/Cu was used as a metal catalyst. When silicon is etched in a mixed solution of Cu(NO3)2, AgNO3, HF and H2O2 at 55 °C with a concentration ratio of [Cu2+]/[Ag+] of 50 or at 65 °C with a concentration ratio of [Cu2+]/[Ag+] of 33, a quasi-inverted pyramid structure can be obtained. The reflectivity of the AE-DWS wafers treated with MACE is lower than that of the multiwire-slurry-sawn (MWSS) mc-Si wafers treated with traditional HF + HNO3 etching.

  4. A systematic study on the influence of nuclear surface tension and temperature upon the parameterization of the fusion dynamics

    Energy Technology Data Exchange (ETDEWEB)

    Gharaei, R.; Hadikhani, A. [Hakim Sabzevari University, Department of Physics, Sciences Faculty, Sabzevar (Iran, Islamic Republic of)

    2017-07-15

    For the first time the influence of the surface energy coefficient γ and temperature T on the parameterization of the fusion barriers is systematically analyzed within the framework of the proximity formalism, namely proximity 1977, proximity 1988 and proximity 2010 models. A total of 114 fusion reactions with the condition 39 ≤ Z{sub 1}Z{sub 2} ≤ 1520 for the charge product of their participant nuclei have been studied. We present γ-dependent and T -dependent pocket formulas which reproduce the theoretical and empirical data of the fusion barrier height and position for our considered reactions with good accuracy. It is shown that the quality of the γ-dependent formula enhances by increasing the strength of the surface energy coefficient. Moreover, the obtained results confirm that imposing the thermal effects improves the agreement between the parameterized and empirical data of the barrier characteristics. (orig.)

  5. The ITER fusion reactor and its role in the development of a fusion power plant

    International Nuclear Information System (INIS)

    McLean, A.

    2002-01-01

    Energy from nuclear fusion is the future source of sustained, full life-cycle environmentally benign, intrinsically safe, base-load power production. The nuclear fusion process powers our sun, innumerable other stars in the sky, and some day, it will power the Earth, its cities and our homes. The International Thermonuclear Experimental Reactor, ITER, represents the next step toward fulfilling that promise. ITER will be a test bed for key steppingstones toward engineering feasibility of a demonstration fusion power plant (DEMO) in a single experimental step. It will establish the physics basis for steady state Tokamak magnetic containment fusion reactors to follow it, exploring ion temperature, plasma density and containment time regimes beyond the breakeven power condition, and culminating in experimental fusion self-ignition. (author)

  6. Fabrication of CVD graphene-based devices via laser ablation for wafer-scale characterization

    DEFF Research Database (Denmark)

    Mackenzie, David; Buron, Jonas Christian Due; Whelan, Patrick Rebsdorf

    2015-01-01

    Selective laser ablation of a wafer-scale graphene film is shown to provide flexible, high speed (1 wafer/hour) device fabrication while avoiding the degradation of electrical properties associated with traditional lithographic methods. Picosecond laser pulses with single pulse peak fluences of 140......-effect mobility, doping level, on–off ratio, and conductance minimum before and after laser ablation fabrication....

  7. Deep Trek High Temperature Electronics Project

    Energy Technology Data Exchange (ETDEWEB)

    Bruce Ohme

    2007-07-31

    This report summarizes technical progress achieved during the cooperative research agreement between Honeywell and U.S. Department of Energy to develop high-temperature electronics. Objects of this development included Silicon-on-Insulator (SOI) wafer process development for high temperature, supporting design tools and libraries, and high temperature integrated circuit component development including FPGA, EEPROM, high-resolution A-to-D converter, and a precision amplifier.

  8. Comparison of silicon strip tracker module size using large sensors from 6 inch wafers

    CERN Multimedia

    Honma, Alan

    1999-01-01

    Two large silicon strip sensor made from 6 inch wafers are placed next to each other to simulate the size of a CMS outer silicon tracker module. On the left is a prototype 2 sensor CMS inner endcap silicon tracker module made from 4 inch wafers.

  9. ITER: the first experimental fusion reactor

    International Nuclear Information System (INIS)

    Rebut, P.H.

    1995-01-01

    The International Thermonuclear Experimental Reactor (ITER) project is a multiphased project, at present proceeding under the auspices of the International Atomic Energy Agency according to the terms of a four-party agreement between the European Atomic Energy Community, the Government of Japan, the Government of the USA and the Government of Russia (''the parties''). The project is based on the tokamak, a Russian invention which has been brought to a high level of development and progress in all major fusion programs throughout the world.The objective of ITER is to demonstrate the scientific and technological feasibility of fusion energy for commercial energy production and to test technologies for a demonstration fusion power plant. During the extended performance phase of ITER, it will demonstrate the characteristics of a fusion power plant, producing more than 1500MW of fusion power.The objective of the engineering design activity (EDA) phase is to produce a detailed, complete and fully integrated engineering design of ITER and all technical data necessary for the future decision on the construction of ITER.The ITER device will be a major step from present fusion experiments and will encompass all the major elements required for a fusion reactor. It will also require the development and the implementation of major new components and technologies.The inside surface of the plasma containment chamber will be designed to withstand temperature of up to 500 C, although normal operating temperatures will be substantially lower. Materials will have to be carefully chosen to withstand these temperatures, and a high neutron flux. In addition, other components of the device will be composed of state-of-the-art metal alloys, ceramics and composites, many of which are now in the early stage of development of testing. (orig.)

  10. An Overview of INEL Fusion Safety R&D Facilities

    Science.gov (United States)

    McCarthy, K. A.; Smolik, G. R.; Anderl, R. A.; Carmack, W. J.; Longhurst, G. R.

    1997-06-01

    The Fusion Safety Program at the Idaho National Engineering Laboratory has the lead for fusion safety work in the United States. Over the years, we have developed several experimental facilities to provide data for fusion reactor safety analyses. We now have four major experimental facilities that provide data for use in safety assessments. The Steam-Reactivity Measurement System measures hydrogen generation rates and tritium mobilization rates in high-temperature (up to 1200°C) fusion relevant materials exposed to steam. The Volatilization of Activation Product Oxides Reactor Facility provides information on mobilization and transport and chemical reactivity of fusion relevant materials at high temperature (up to 1200°C) in an oxidizing environment (air or steam). The Fusion Aerosol Source Test Facility is a scaled-up version of VAPOR. The ion-implanta-tion/thermal-desorption system is dedicated to research into processes and phenomena associated with the interaction of hydrogen isotopes with fusion materials. In this paper we describe the capabilities of these facilities.

  11. Improvement of the thickness distribution of a quartz crystal wafer by numerically controlled plasma chemical vaporization machining

    International Nuclear Information System (INIS)

    Shibahara, Masafumi; Yamamura, Kazuya; Sano, Yasuhisa; Sugiyama, Tsuyoshi; Endo, Katsuyoshi; Mori, Yuzo

    2005-01-01

    To improve the thickness uniformity of thin quartz crystal wafer, a new machining process that utilizes an atmospheric pressure plasma was developed. In an atmospheric pressure plasma process, since the kinetic energy of ions that impinge to the wafer surface is small and the density of the reactive species is large, high-efficiency machining without damage is realized, and the thickness distribution is corrected by numerically controlled scanning of the quartz wafer to the localized high-density plasma. By using our developed machining process, the thickness distribution of an AT cut wafer was improved from 174 nm [peak to valley (p-v)] to 67 nm (p-v) within 94 s. Since there are no unwanted spurious modes in the machined quartz wafer, it was proved that the developed machining method has a high machining efficiency without any damage

  12. The status of cold fusion

    Science.gov (United States)

    Storms, E.

    This report attempts to update the status of the phenomenon of cold fusion. The new field is continuing to grow as a variety of nuclear reactions are discovered to occur in a variety of chemical environments at modest temperatures. However, it must be cautioned that most scientists consider cold fusion as something akin to UFO's, ESP, and numerology.

  13. Analysis Of Factors Affecting Gravity-Induced Deflection For Large And Thin Wafers In Flatness Measurement Using Three-Point-Support Method

    Directory of Open Access Journals (Sweden)

    Liu Haijun

    2015-12-01

    Full Text Available Accurate flatness measurement of silicon wafers is affected greatly by the gravity-induced deflection (GID of the wafers, especially for large and thin wafers. The three-point-support method is a preferred method for the measurement, in which the GID uniquely determined by the positions of the supports could be calculated and subtracted. The accurate calculation of GID is affected by the initial stress of the wafer and the positioning errors of the supports. In this paper, a finite element model (FEM including the effect of initial stress was developed to calculate GID. The influence of the initial stress of the wafer on GID calculation was investigated and verified by experiment. A systematic study of the effects of positioning errors of the support ball and the wafer on GID calculation was conducted. The results showed that the effect of the initial stress could not be neglected for ground wafers. The wafer positioning error and the circumferential error of the support were the most influential factors while the effect of the vertical positioning error was negligible in GID calculation.

  14. Fusion power and its prospects

    International Nuclear Information System (INIS)

    Kammash, T.

    1981-01-01

    Recent progress in research towards the development of fusion power is reviewed. In the magnetic approach, the impressive advances made in Tokamak research in the past few years have bolstered the confidence that experimental Tokamak devices currently under construction will demonstrate the break-even condition or scientific feasibility of fusion power. Exciting and innovative ideas in mirror magnetic confinement are expected to culminate in high-Q devices which will make open-ended confinement a serious contender for fusion reactors. In the inertial confinement approach, conflicting pellet temperature requirements have placed severe constraints on useful laser intensities and wavelengths for laser-driven fusion. Relativistic electron beam fusion must solve critical focusing and pellet coupling problems, and the newly proposed heavy ion beam fusion, though feasible and attractive in principle, requires very high energy particles for which the accelerator technology may not be available for some time to come

  15. Fusion-reactor blanket and coolant material compatibility

    International Nuclear Information System (INIS)

    Jeppson, D.W.; Keough, R.F.

    1981-01-01

    Fusion reactor blanket and coolant compatibility tests are being conducted to aid in the selection and design of safe blanket and coolant systems for future fusion reactors. Results of scoping compatibility tests to date are reported for blanket material and water interactions at near operating temperatures. These tests indicate the quantitative hydrogen release, the maximum temperature and pressures produced and the rates of interactions for selected blanket materials

  16. Automatic Semiconductor Wafer Image Segmentation for Defect Detection Using Multilevel Thresholding

    Directory of Open Access Journals (Sweden)

    Saad N.H.

    2016-01-01

    Full Text Available Quality control is one of important process in semiconductor manufacturing. A lot of issues trying to be solved in semiconductor manufacturing industry regarding the rate of production with respect to time. In most semiconductor assemblies, a lot of wafers from various processes in semiconductor wafer manufacturing need to be inspected manually using human experts and this process required full concentration of the operators. This human inspection procedure, however, is time consuming and highly subjective. In order to overcome this problem, implementation of machine vision will be the best solution. This paper presents automatic defect segmentation of semiconductor wafer image based on multilevel thresholding algorithm which can be further adopted in machine vision system. In this work, the defect image which is in RGB image at first is converted to the gray scale image. Median filtering then is implemented to enhance the gray scale image. Then the modified multilevel thresholding algorithm is performed to the enhanced image. The algorithm worked in three main stages which are determination of the peak location of the histogram, segmentation the histogram between the peak and determination of first global minimum of histogram that correspond to the threshold value of the image. The proposed approach is being evaluated using defected wafer images. The experimental results shown that it can be used to segment the defect correctly and outperformed other thresholding technique such as Otsu and iterative thresholding.

  17. External self-gettering of nickel in float zone silicon wafers

    Science.gov (United States)

    Gay, N.; Martinuzzi, S.

    1997-05-01

    During indiffusion of Ni atoms in silicon crystals at 950 °C from a nickel layer source, Ni-Si alloys can be formed close to the surface. Metal solubility in these alloys is higher than in silicon, which induces a marked segregation gettering of the Ni atoms which have diffused in the bulk of the wafers. Consequently, the regions of the wafers covered with the Ni layer are less contaminated than adjacent regions in which Ni atoms have also penetrated, as shown by the absence of precipitates and the higher diffusion length of minority carriers. The results suggest the existence of external self-gettering of Ni atoms by the nickel source.

  18. Novel SU-8 based vacuum wafer-level packaging for MEMS devices

    DEFF Research Database (Denmark)

    Murillo, Gonzalo; Davis, Zachary James; Keller, Stephan Urs

    2010-01-01

    This work presents a simple and low-cost SU-8 based wafer-level vacuum packaging method which is CMOS and MEMS compatible. Different approaches have been investigated by taking advantage of the properties of SU-8, such as chemical resistance, optical transparence, mechanical reliability and versa......This work presents a simple and low-cost SU-8 based wafer-level vacuum packaging method which is CMOS and MEMS compatible. Different approaches have been investigated by taking advantage of the properties of SU-8, such as chemical resistance, optical transparence, mechanical reliability...

  19. Growth of misfit dislocation-free p/p+ thick epitaxial silicon wafers on Ge-B-codoped substrates

    International Nuclear Information System (INIS)

    Jiang Huihua; Yang Deren; Ma Xiangyang; Tian Daxi; Li Liben; Que Duanlin

    2006-01-01

    The growth of p/p + silicon epitaxial silicon wafers (epi-wafers) without misfit dislocations has been successfully achieved by using heavily boron-doped Czochralski (CZ) silicon wafers codoped with desirable level of germanium as the substrates. The lattice compensation by codoping of germanium and boron into the silicon matrix to reduce the lattice mismatch between the substrate (heavily boron-doped) and epi-layer (lightly boron-doped) is the basic idea underlying in the present achievement. In principle, the codoping of germanium and boron in the CZ silicon can be tailored to achieve misfit dislocation-free epi-layer with required thickness. It is reasonably expected that the presented solution to elimination of misfit dislocations in the p/p + silicon wafers can be applied in the volume production

  20. Collective dynamics of nuclear fusion: deformation changes and heating during the fusion

    International Nuclear Information System (INIS)

    Mikhailov, I.N.; Mikhailova, T.I.; Toro, M. di; Baran, V.; Briancon, C.

    1996-01-01

    The formalism developed elsewhere for the theoretical description of the dynamics involved in the heavy nucleus fusion is applied in this paper to study the history of the fusion of two identical heavy nuclei experiencing central collision. The evolution of the shape and of the temperature of symmetrical fusing systems is studied. The role of the elastoplasticity of nuclear matter in the nonmonotonical changes of the shape is elucidated in this way. A tentative explanation of the ''extra push'' phenomenon is given in terms of the competition between elastic properties of fusing systems driving to the re-separation of colliding nuclei and the dissipative (plastic) properties of nuclear matter transforming the energy of collective motion into the energy of statistical excitation and thus leading to the fusion. The fingerprints of the heavy-nucleus fusion history as it is depicted by the model are traced in the anisotropy of the dipole and quadrupole γ-radiation emitted during the fusion. The parallels in the description of the fusion dynamics given by the simple model used in this paper and by the more fundamental approaches based on the kinetic equation are emphasised. (orig.)

  1. Transient temperature response of in-vessel components due to pulsed operation in tokamak fusion experimental reactor (FER)

    International Nuclear Information System (INIS)

    Minato, Akio; Tone, Tatsuzo

    1985-12-01

    A transient temperature response of the in-vessel components (first wall, blanket, divertor/limiter and shielding) surrounding plasma in Tokamak Fusion Experimental Reactor (FER) has been analysed. Transient heat load during start up/shut down and pulsed operation cycles causes the transient temperature response in those components. The fatigue lifetime of those components significantly depends upon the resulting cyclic thermal stress. The burn time affects the temperature control in the solid breeder (Li 2 O) and also affects the thermo-mechanical design of the blanket and shielding which are constructed with thick structure. In this report, results of the transient temperature response obtained by the heat transfer and conduction analyses for various pulsed operation scenarios (start up, shut down, burn and dwell times) have been investigated in view of thermo-mechanical design of the in-vessel components. (author)

  2. Fabrication of high aspect ratio through-wafer copper interconnects by reverse pulse electroplating

    International Nuclear Information System (INIS)

    Gu, Changdong; Zhang, Tong-Yi; Xu, Hui

    2009-01-01

    This study aims to fabricate high aspect ratio through-wafer copper interconnects by a simple reverse pulse electroplating technique. High aspect-ratio (∼18) through-wafer holes obtained by a two-step deep reactive ion etching (DRIE) process exhibit a taper profile, which might automatically optimize the local current density distribution during the electroplating process, thereby achieving void-free high aspect-ratio copper vias

  3. Magnetic and inertial fusion status and development plans

    International Nuclear Information System (INIS)

    Correll, D.; Storm, E.

    1987-01-01

    Controlled fusion, pursued by investigators in both the magnetic and inertial confinement research programs, continues to be a strong candidate as an intrinsically safe and virtually inexhaustible long-term energy source. We describe the status of magnetic and inertial confinement fusion in terms of the accomplishments made by the research programs for each concept. The improvement in plasma parameters (most frequently discussed in terms of the Tn tau product of ion temperature, T, density, n, and confinement time, tau) can be linked with the construction and operation of experimental facilities. The scientific progress exhibited by larger scale fusion experiments within the US, such as Princeton Plasma Physics Laboratory's Fusion Test Reactor for magnetic studies and Lawrence Livermore National Laboratory's Nova laser for inertial studies, has been optimized by the theoretical advances in plasma and computational physics. Both TFTR and Nova have exhibited ion temperatures in excess of 10 keV at confinement parameters of n tau near 10 13 cm -3 . sec. At slightly lower temperatures (near a few keV), the value of n tau has exceeded 10 14 cm -3 . sec in both devices. Near-term development plans in fusion research include experiments within the US, Europe, and Japan to improve the plasma performance to reach conditions where the rate of fusion energy production equals or exceeds the heating power incident upon the plasma. 9 refs., 7 figs

  4. A Study of the Charge Trap Transistor (CTT) for Post-Fab Modification of Wafers

    Science.gov (United States)

    2018-04-01

    AFRL-RY-WP-TR-2018-0030 A STUDY OF THE CHARGE TRAP TRANSISTOR (CTT) FOR POST- FAB MODIFICATION OF WAFERS Subramanian S. Iyer University of California...Final 13 June 2016 – 13 December 2017 4. TITLE AND SUBTITLE A STUDY OF THE CHARGE TRAP TRANSISTOR (CTT) FOR POST- FAB MODIFICATION OF WAFERS 5a. CONTRACT

  5. Cohesive zone modelling of wafer bonding and fracture: effect of patterning and toughness variations

    Science.gov (United States)

    Kubair, D. V.; Spearing, S. M.

    2006-03-01

    Direct wafer bonding has increasingly become popular in the manufacture of microelectromechanical systems and semiconductor microelectronics components. The success of the bonding process is controlled by variables such as wafer flatness and surface preparation. In order to understand the effects of these variables, spontaneous planar crack propagation simulations were performed using the spectral scheme in conjunction with a cohesive zone model. The fracture-toughness on the bond interface is varied to simulate the effect of surface roughness (nanotopography) and patterning. Our analysis indicated that the energetics of crack propagation is sensitive to the local surface property variations. The patterned wafers are tougher (well bonded) than the unpatterned ones of the same average fracture-toughness.

  6. Mechanical Properties of Photovoltaic Silicon in Relation to Wafer Breakage

    Science.gov (United States)

    Kulshreshtha, Prashant Kumar

    This thesis focuses on the fundamental understanding of stress-modified crack-propagation in photovoltaic (PV) silicon in relation to the critical issue of PV silicon "wafer breakage". The interactions between a propagating crack and impurities/defects/residual stresses have been evaluated for consequential fracture path in a thin PV Si wafer. To investigate the mechanism of brittle fracture in silicon, the phase transformations induced by elastic energy released at a propagating crack-tip have been evaluated by locally stressing the diamond cubic Si lattice using a rigid Berkovich nanoindenter tip (radius ≈50 nm). Unique pressure induced phase transformations and hardness variations have been then related to the distribution of precipitates (O, Cu, Fe etc.), and the local stresses in the wafer. This research demonstrates for the first time the "ductile-like fracture" in almost circular crack path that significantly deviates from its energetically favorable crystallographic [110](111) system. These large diameter (≈ 200 mm) Si wafers were sliced to less than 180 microm thickness from a Czochralski (CZ) ingot that was grown at faster than normal growth rates. The vacancy (vSi) driven precipitation of oxygen at enhanced thermal gradients in the wafer core develops large localized stresses (upto 100 MPa) which we evaluated using Raman spectral analysis. Additional micro-FTIR mapping and microscopic etch pit measurements in the wafer core have related the observed crack path deviations to the presence of concentric ring-like distributions of oxygen precipitates (OPs). To replicate these "real-world" breakage scenarios and provide better insight on crack-propagation, several new and innovative tools/devices/methods have been developed in this study. An accurate quantitative profiling of local stress, phase changes and load-carrying ability of Si lattice has been performed in the vicinity of the controlled micro-cracks created using micro-indentations to represent

  7. Magnetic fusion and project ITER

    International Nuclear Information System (INIS)

    Park, H.K.

    1992-01-01

    It has already been demonstrated that our economics and international relationship are impacted by an energy crisis. For the continuing prosperity of the human race, a new and viable energy source must be developed within the next century. It is evident that the cost will be high and will require a long term commitment to achieve this goal due to a high degree of technological and scientific knowledge. Energy from the controlled nuclear fusion is a safe, competitive, and environmentally attractive but has not yet been completely conquered. Magnetic fusion is one of the most difficult technological challenges. In modem magnetic fusion devices, temperatures that are significantly higher than the temperatures of the sun have been achieved routinely and the successful generation of tens of million watts as a result of scientific break-even is expected from the deuterium and tritium experiment within the next few years. For the practical future fusion reactor, we need to develop reactor relevant materials and technologies. The international project called ''International Thermonuclear Experimental Reactor (ITER)'' will fulfill this need and the success of this project will provide the most attractive long-term energy source for mankind

  8. The perspectives of fusion energy: The roadmap towards energy production and fusion energy in a distributed energy system

    DEFF Research Database (Denmark)

    Naulin, Volker; Juul Rasmussen, Jens; Korsholm, Søren Bang

    2014-01-01

    at very high temperature where all matter is in the plasma state as the involved energies are orders of magnitude higher than typical chemical binding energies. It is one of the great science and engineering challenges to construct a viable power plant based on fusion energy. Fusion research is a world...... The presentation will discuss the present status of the fusion energy research and review the EU Roadmap towards a fusion power plant. Further the cost of fusion energy is assessed as well as how it can be integrated in the distributed energy system......Controlled thermonuclear fusion has the potential of providing an environmentally friendly and inexhaustible energy source for mankind. Fusion energy, which powers our sun and the stars, is released when light elements, such as the hydrogen isotopes deuterium and tritium, fuse together. This occurs...

  9. Non-axisymmetric flexural vibrations of free-edge circular silicon wafers

    Energy Technology Data Exchange (ETDEWEB)

    Dmitriev, A.V., E-mail: dmitriev@hbar.phys.msu.ru; Gritsenko, D.S.; Mitrofanov, V.P., E-mail: mitr@hbar.phys.msu.ru

    2014-02-07

    Non-axisymmetric flexural vibrations of circular silicon (111) wafers are investigated. The modes with azimuthal index 2⩽k⩽30 are electrostatically excited and monitored by a capacitive sensor. The splitting of the mode frequencies associated with imperfection of the wafer is observed. The measured loss factors for the modes with 6≲k≲26 are close to those calculated according to the thermoelastic damping theory, while clamping losses likely dominate for k≲6, and surface losses at the level of inverse Q-factor Q{sup −1}≈4×10{sup −6} prevail for the modes with large k. The modes demonstrate nonlinear behavior of mainly geometrical origin at large amplitudes.

  10. Contacting graphene in a 200 mm wafer silicon technology environment

    Science.gov (United States)

    Lisker, Marco; Lukosius, Mindaugas; Kitzmann, Julia; Fraschke, Mirko; Wolansky, Dirk; Schulze, Sebastian; Lupina, Grzegorz; Mai, Andreas

    2018-06-01

    Two different approaches for contacting graphene in a 200 mm wafer silicon technology environment were tested. The key is the opportunity to create a thin SiN passivation layer on top of the graphene protecting it from the damage by plasma processes. The first approach uses pure Ni contacts with a thickness of 200 nm. For the second attempt, Ni is used as the contact metal which substitutes the Ti compared to a standard contact hole filling process. Accordingly, the contact hole filling of this "stacked via" approach is Ni/TiN/W. We demonstrate that the second "stacked Via" is beneficial and shows contact resistances of a wafer scale process with values below 200 Ohm μm.

  11. Multitude of Core-Localized Shear Alfvén Waves in a High-Temperature Fusion Plasma

    Energy Technology Data Exchange (ETDEWEB)

    Nazikian, R. [Princeton Plasma Physics Laboratory (PPPL), Princeton, NJ (United States); Berk, H. L. [Univ. of Texas, Austin, TX (United States); Budny, R. V. [Princeton Plasma Physics Laboratory (PPPL), Princeton, NJ (United States); Burrell, K. H. [General Atomics, San Diego, CA (United States); Doyle, E. J. [Univ. of California, Los Angeles, CA (United States); Fonck, R. J. [Univ. of Wisconsin, Madison, WI (United States); Gorelenkov, N. N. [Princeton Plasma Physics Laboratory (PPPL), Princeton, NJ (United States); Holcomb, C. [Lawrence Livermore National Lab. (LLNL), Livermore, CA (United States); Kramer, G. J. [Princeton Plasma Physics Laboratory (PPPL), Princeton, NJ (United States); Jayakumar, R. J. [Lawrence Livermore National Lab. (LLNL), Livermore, CA (United States); La Haye, R. J. [General Atomics, San Diego, CA (United States); McKee, G. R. [Univ. of Wisconsin, Madison, WI (United States); Makowski, M. A. [Lawrence Livermore National Lab. (LLNL), Livermore, CA (United States); Peebles, W. A. [Univ. of California, Los Angeles, CA (United States); Rhodes, T. L. [Univ. of California, Los Angeles, CA (United States); Solomon, W. M. [Princeton Plasma Physics Laboratory (PPPL), Princeton, NJ (United States); Strait, E. J. [General Atomics, San Diego, CA (United States); VanZeeland, M. A. [Oak Ridge Institute for Science and Education (ORISE), Oak Ridge, TN (United States); Zeng, L. [Univ. of California, Los Angeles, CA (United States)

    2006-03-01

    Evidence is provided for a multitude of discrete frequency Alfvén waves in the core of magnetically confined high-temperature fusion plasmas. Multiple diagnostic instruments verify wave excitation over a wide spatial range from the device size at the longest wavelengths down to the thermal ion Larmor radius. At the shortest scales, the poloidal wavelengths are like the scale length of electrostatic drift wave turbulence. Theoretical analysis verifies a dominant interaction of the modes with particles in the thermal ion distribution traveling well below the Alfvén velocity.

  12. Probing and irradiation tests of ALICE pixel chip wafers and sensors

    CERN Document Server

    Cinausero, M; Antinori, F; Chochula, P; Dinapoli, R; Dima, R; Fabris, D; Galet, G; Lunardon, M; Manea, C; Marchini, S; Martini, S; Moretto, S; Pepato, Adriano; Prete, G; Riedler, P; Scarlassara, F; Segato, G F; Soramel, F; Stefanini, G; Turrisi, R; Vannucci, L; Viesti, G

    2004-01-01

    In the framework of the ALICE Silicon Pixel Detector (SPD) project a system dedicated to the tests of the ALICE1LHCb chip wafers has been assembled and is now in use for the selection of pixel chips to be bump-bonded to sensor ladders. In parallel, radiation hardness tests of the SPD silicon sensors have been carried out using the 27 MeV proton beam delivered by the XTU TANDEM accelerator at the SIRAD facility in LNL. In this paper we describe the wafer probing and irradiation set-ups and we report the obtained results. (6 refs).

  13. Knudsen and inverse Knudsen layer effect on tail ion distribution and fusion reactivity in inertial confinement fusion targets

    Science.gov (United States)

    McDevitt, C. J.; Tang, X.-Z.; Guo, Z.; Berk, H. L.

    2014-10-01

    A series of reduced models are used to study the fast ion tail in the vicinity of a transition layer between plasmas at disparate temperatures and densities, which is typical of the gas-pusher interface in inertial confinement fusion targets. Emphasis is placed on utilizing progressively more comprehensive models in order to identify the essential physics for computing the fast ion tail at energies comparable to the Gamow peak. The resulting fast ion tail distribution is subsequently used to compute the fusion reactivity as a function of collisionality and temperature. It is found that while the fast ion distribution can be significantly depleted in the hot spot, leading to a reduction of the fusion reactivity in this region, a surplus of fast ions is present in the neighboring cold region. The presence of this fast ion surplus in the neighboring cold region is shown to lead to a partial recovery of the fusion yield lost in the hot spot.

  14. Wafer defect detection by a polarization-insensitive external differential interference contrast module.

    Science.gov (United States)

    Nativ, Amit; Feldman, Haim; Shaked, Natan T

    2018-05-01

    We present a system that is based on a new external, polarization-insensitive differential interference contrast (DIC) module specifically adapted for detecting defects in semiconductor wafers. We obtained defect signal enhancement relative to the surrounding wafer pattern when compared with bright-field imaging. The new DIC module proposed is based on a shearing interferometer that connects externally at the output port of an optical microscope and enables imaging thin samples, such as wafer defects. This module does not require polarization optics (such as Wollaston or Nomarski prisms) and is insensitive to polarization, unlike traditional DIC techniques. In addition, it provides full control of the DIC shear and orientation, which allows obtaining a differential phase image directly on the camera (with no further digital processing) while enhancing defect detection capabilities, even if the size of the defect is smaller than the resolution limit. Our technique has the potential of future integration into semiconductor production lines.

  15. Polymer materials for fusion reactors

    International Nuclear Information System (INIS)

    Yamaoka, H.

    1993-01-01

    The radiation-resistant polymer materials have recently drawn much attention from the viewpoint of components for fusion reactors. These are mainly applied to electrical insulators, thermal insulators and structural supports of superconducting magnets in fusion reactors. The polymer materials used for these purposes are required to withstand the synergetic effects of high mechanical loads, cryogenic temperatures and intense nuclear radiation. The objective of this review is to summarize the anticipated performance of candidate materials including polymer composites for fusion magnets. The cryogenic properties and the radiation effects of polymer materials are separately reviewed, because there is only limited investigation on the above-mentioned synergetic effects. Additional information on advanced polymer materials for fusion reactors is also introduced with emphasis on recent developments. (orig.)

  16. Controllers for high-performance nuclear fusion plasmas

    NARCIS (Netherlands)

    Baar, de M.R.

    2012-01-01

    A succesful nuclear fusion reactor will confine plasma at hig temperatures and densities, with low thermal losses. The workhorse of the nuclear fusion community is the tokamak, a toroidal device in which plasmas are confined by poloidal and toroidal magnetic fields. Ideally, the confirming magnetic

  17. Influence of Si wafer thinning processes on (sub)surface defects

    Energy Technology Data Exchange (ETDEWEB)

    Inoue, Fumihiro, E-mail: fumihiro.inoue@imec.be [Imec, Kapeldreef 75, 3001 Leuven (Belgium); Jourdain, Anne; Peng, Lan; Phommahaxay, Alain; De Vos, Joeri; Rebibis, Kenneth June; Miller, Andy; Sleeckx, Erik; Beyne, Eric [Imec, Kapeldreef 75, 3001 Leuven (Belgium); Uedono, Akira [Division of Applied Physics, Faculty of Pure and Applied Science, University of Tsukuba, Tsukuba, Ibaraki 305-8573 (Japan)

    2017-05-15

    Highlights: • Mono-vacancy free Si-thinning can be accomplished by combining several thinning techniques. • The grinding damage needs to be removed prior to dry etching, otherwise vacancies remain in the Si at a depth around 0.5 to 2 μm after Si wafer thickness below 5 μm. • The surface of grinding + CMP + dry etching is equivalent mono vacancy level as that of grinding + CMP. - Abstract: Wafer-to-wafer three-dimensional (3D) integration with minimal Si thickness can produce interacting multiple devices with significantly scaled vertical interconnections. Realizing such a thin 3D structure, however, depends critically on the surface and subsurface of the remaining backside Si after the thinning processes. The Si (sub)surface after mechanical grinding has already been characterized fruitfully for a range of few dozen of μm. Here, we expand the characterization of Si (sub)surface to 5 μm thickness after thinning process on dielectric bonded wafers. The subsurface defects and damage layer were investigated after grinding, chemical mechanical polishing (CMP), wet etching and plasma dry etching. The (sub)surface defects were characterized using transmission microscopy, atomic force microscopy, and positron annihilation spectroscopy. Although grinding provides the fastest removal rate of Si, the surface roughness was not compatible with subsequent processing. Furthermore, mechanical damage such as dislocations and amorphous Si cannot be reduced regardless of Si thickness and thin wafer handling systems. The CMP after grinding showed excellent performance to remove this grinding damage, even though the removal amount is 1 μm. For the case of Si thinning towards 5 μm using grinding and CMP, the (sub)surface is atomic scale of roughness without vacancy. For the case of grinding + dry etch, vacancy defects were detected in subsurface around 0.5–2 μm. The finished surface after wet etch remains in the nm scale in the strain region. By inserting a CMP step in

  18. Camera-Based Lock-in and Heterodyne Carrierographic Photoluminescence Imaging of Crystalline Silicon Wafers

    Science.gov (United States)

    Sun, Q. M.; Melnikov, A.; Mandelis, A.

    2015-06-01

    Carrierographic (spectrally gated photoluminescence) imaging of a crystalline silicon wafer using an InGaAs camera and two spread super-bandgap illumination laser beams is introduced in both low-frequency lock-in and high-frequency heterodyne modes. Lock-in carrierographic images of the wafer up to 400 Hz modulation frequency are presented. To overcome the frame rate and exposure time limitations of the camera, a heterodyne method is employed for high-frequency carrierographic imaging which results in high-resolution near-subsurface information. The feasibility of the method is guaranteed by the typical superlinearity behavior of photoluminescence, which allows one to construct a slow enough beat frequency component from nonlinear mixing of two high frequencies. Intensity-scan measurements were carried out with a conventional single-element InGaAs detector photocarrier radiometry system, and the nonlinearity exponent of the wafer was found to be around 1.7. Heterodyne images of the wafer up to 4 kHz have been obtained and qualitatively analyzed. With the help of the complementary lock-in and heterodyne modes, camera-based carrierographic imaging in a wide frequency range has been realized for fundamental research and industrial applications toward in-line nondestructive testing of semiconductor materials and devices.

  19. New overlay measurement technique with an i-line stepper using embedded standard field image alignment marks for wafer bonding applications

    Science.gov (United States)

    Kulse, P.; Sasai, K.; Schulz, K.; Wietstruck, M.

    2017-06-01

    In the last decades the semiconductor technology has been driven by Moore's law leading to high performance CMOS technologies with feature sizes of less than 10 nm [1]. It has been pointed out that not only scaling but also the integration of novel components and technology modules into CMOS/BiCMOS technologies is becoming more attractive to realize smart and miniaturized systems [2]. Driven by new applications in the area of communication, health and automation, new components and technology modules such as BiCMOS embedded RF-MEMS, high-Q passives, Sibased microfluidics and InP-SiGe BiCMOS heterointegration have been demonstrated [3-6]. In contrast to standard VLSI processes fabricated on front side of the silicon wafer, these new technology modules require addition backside processing of the wafer; thus an accurate alignment between the front and backside of the wafer is mandatory. In previous work an advanced back to front side alignment technique and implementation into IHP's 0.25/0.13 μm high performance SiGe:C BiCMOS backside process module has been presented [7]. The developed technique enables a high resolution and accurate lithography on the backside of BiCMOS wafer for additional backside processing. In addition to the aforementioned back side process technologies, new applications like Through-Silicon Vias (TSV) for interposers and advanced substrate technologies for 3D heterogeneous integration demand not only single wafer fabrication but also processing of wafer stacks provided by temporary and permanent wafer bonding [8]. Therefore, the available overlay measurement techniques are not suitable if overlay and alignment marks are realized at the bonding interface of a wafer stack which consists of both a silicon device and a silicon carrier wafer. The former used EVG 40NT automated overlay measurement system, which use two opposite positioned microscopes inspecting simultaneous the wafer back and front side, is not capable measuring embedded overlay

  20. Cold fusion catalyzed by muons and electrons

    International Nuclear Information System (INIS)

    Kulsrud, R.M.

    1990-10-01

    Two alternative methods have been suggested to produce fusion power at low temperature. The first, muon catalyzed fusion or MCF, uses muons to spontaneously catalyze fusion through the muon mesomolecule formation. Unfortunately, this method fails to generate enough fusion energy to supply the muons, by a factor of about ten. The physics of MCF is discussed, and a possible approach to increasing the number of MCF fusions generated by each muon is mentioned. The second method, which has become known as ''Cold Fusion,'' involves catalysis by electrons in electrolytic cells. The physics of this process, if it exists, is more mysterious than MCF. However, it now appears to be an artifact, the claims for its reality resting largely on experimental errors occurring in rather delicate experiments. However, a very low level of such fusion claimed by Jones may be real. Experiments in cold fusion will also be discussed

  1. Wafer-Scale Integration of Systolic Arrays,

    Science.gov (United States)

    1985-10-01

    hus wtha rbaiith hig robabili, e aubrbe orutysta mostck b(e)adstotoefwsi the cenofther cnnel thati are connted to (g.The kery ato the alevel of t...problems considered heretofore in this paper also have an interpretation in a purely graph theoretic model. Suppose we are given a two-dimensional...graphs," Magyar 7Td. Akad. Math . Kut. Int. Kozl, Vol. 5, 1960, pp. 17-61. [6] D. Fussell and P. Varman, "Fault-tolerant wafer-scale architectures for

  2. The High Field Path to Practical Fusion Energy

    Science.gov (United States)

    Mumgaard, Robert; Whyte, D.; Greenwald, M.; Hartwig, Z.; Brunner, D.; Sorbom, B.; Marmar, E.; Minervini, J.; Bonoli, P.; Irby, J.; Labombard, B.; Terry, J.; Vieira, R.; Wukitch, S.

    2017-10-01

    We propose a faster, lower cost development path for fusion energy enabled by high temperature superconductors, devices at high magnetic field, innovative technologies and modern approaches to technology development. Timeliness, scale, and economic-viability are the drivers for fusion energy to combat climate change and aid economic development. The opportunities provided by high-temperature superconductors, innovative engineering and physics, and new organizational structures identified over the last few years open new possibilities for realizing practical fusion energy that could meet mid-century de-carbonization needs. We discuss re-factoring the fusion energy development path with an emphasis on concrete risk retirement strategies utilizing a modular approach based on the high-field tokamak that leverages the broader tokamak physics understanding of confinement, stability, and operational limits. Elements of this plan include development of high-temperature superconductor magnets, simplified immersion blankets, advanced long-leg divertors, a compact divertor test tokamak, efficient current drive, modular construction, and demountable magnet joints. An R&D plan culminating in the construction of an integrated pilot plant and test facility modeled on the ARC concept is presented.

  3. High temperature gases: progress towards nuclear fusion energy

    Energy Technology Data Exchange (ETDEWEB)

    Savic, P.

    1975-11-01

    The basics of producing gaseous plasmas are outlined. The use of shock waves for heating is reviewed along with diagnostic techniques to measure various plasma properties. The use of hot plasmas in the CTR program is mentioned along with some basic fusion-directed studies. (MOW)

  4. Advanced-fueled fusion reactors suitable for direct energy conversion. Project note: temperature-gradient enhancement of electrical fields in insulators

    International Nuclear Information System (INIS)

    Blum, A.S.; Mancebo, L.

    1976-01-01

    Direct energy converters for use on controlled fusion reactors utilize electrodes operated at elevated voltages and temperatures. The insulating elements that position these electrodes must support large voltages and under some circumstances large thermal gradients. It is shown that even modest thermal gradients can cause major alterations of the electric-field distribution within the insulating element

  5. Effects of gas-flow structures on radical and etch-product density distributions on wafers in magnetomicrowave plasma etching reactors

    International Nuclear Information System (INIS)

    Ikegawa, Masato; Kobayashi, Jun'ichi; Fukuyama, Ryoji

    2001-01-01

    To achieve high etch rate, uniformity, good selectivity, and etch profile control across large diameter wafers, the distributions of ions, radicals, and etch products in magnetomicrowave high-etch-rate plasma etching reactors must be accurately controlled. In this work the effects of chamber heights, a focus ring around the wafer, and gas supply structures (or gas flow structures) on the radicals and etch products flux distribution onto the wafer were examined using the direct simulation Monte Carlo method and used to determine the optimal reactor geometry. The pressure uniformity on the wafer was less than ±1% when the chamber height was taller than 60 mm. The focus ring around the wafer produced uniform radical and etch-product fluxes but increased the etch-product flux on the wafer. A downward-flow gas-supply structure (type II) produced a more uniform radical distribution than that produced by a radial gas-supply structure (type I). The impact flow of the type II structure removed etch products from the wafer effectively and produced a uniform etch-product distribution even without the focus ring. Thus the downward-flow gas-supply structure (type II) was adopted in the design for the second-generation of a magnetomicrowave plasma etching reactor with a higher etching rate

  6. Sub-Micrometer Zeolite Films on Gold-Coated Silicon Wafers with Single-Crystal-Like Dielectric Constant and Elastic Modulus

    Energy Technology Data Exchange (ETDEWEB)

    Tiriolo, Raffaele [Department of Medical and Surgical Sciences, University Magna Graecia of Catanzaro, Viale Europa 88100 Catanzaro Italy; Rangnekar, Neel [Department of Chemical Engineering and Materials Science, University of Minnesota, 421 Washington Ave SE Minneapolis MN 55455 USA; Zhang, Han [Department of Chemical Engineering and Materials Science, University of Minnesota, 421 Washington Ave SE Minneapolis MN 55455 USA; Shete, Meera [Department of Chemical Engineering and Materials Science, University of Minnesota, 421 Washington Ave SE Minneapolis MN 55455 USA; Bai, Peng [Department of Chemistry and Chemistry Theory Center, University of Minnesota, 207 Pleasant St SE Minneapolis MN 55455 USA; Nelson, John [Characterization Facility, University of Minnesota, 12 Shepherd Labs, 100 Union St. S.E. Minneapolis MN 55455 USA; Karapetrova, Evguenia [Surface Scattering and Microdiffraction, X-ray Science Division, Argonne National Laboratory, 9700 S. Cass Ave, Building 438-D002 Argonne IL 60439 USA; Macosko, Christopher W. [Department of Chemical Engineering and Materials Science, University of Minnesota, 421 Washington Ave SE Minneapolis MN 55455 USA; Siepmann, Joern Ilja [Department of Chemistry and Chemistry Theory Center, University of Minnesota, 207 Pleasant St SE Minneapolis MN 55455 USA; Lamanna, Ernesto [Department of Health Sciences, University Magna Graecia of Catanzaro, Viale Europa 88100 Catanzaro Italy; Lavano, Angelo [Department of Medical and Surgical Sciences, University Magna Graecia of Catanzaro, Viale Europa 88100 Catanzaro Italy; Tsapatsis, Michael [Department of Chemical Engineering and Materials Science, University of Minnesota, 421 Washington Ave SE Minneapolis MN 55455 USA

    2017-05-08

    A low-temperature synthesis coupled with mild activation produces zeolite films exhibiting low dielectric constant (low-k) matching the theoretically predicted and experimentally measured values for single crystals. This synthesis and activation method allows for the fabrication of a device consisting of a b-oriented film of the pure-silica zeolite MFI (silicalite-1) supported on a gold-coated silicon wafer. The zeolite seeds are assembled by a manual assembly process and subjected to optimized secondary growth conditions that do not cause corrosion of the gold underlayer, while strongly promoting in-plane growth. The traditional calcination process is replaced with a non-thermal photochemical activation to ensure preservation of an intact gold layer. The dielectric constant (k), obtained through measurement of electrical capacitance in a metal-insulator-metal configuration, highlights the ultralow k approximate to 1.7 of the synthetized films, which is among the lowest values reported for an MFI film. There is large improvement in elastic modulus of the film (E approximate to 54 GPa) over previous reports, potentially allowing for integration into silicon wafer processing technology.

  7. Thermal energy and bootstrap current in fusion reactor plasmas

    International Nuclear Information System (INIS)

    Becker, G.

    1993-01-01

    For DT fusion reactors with prescribed alpha particle heating power P α , plasma volume V and burn temperature i > ∼ 10 keV specific relations for the thermal energy content, bootstrap current, central plasma pressure and other quantities are derived. It is shown that imposing P α and V makes these relations independent of the magnitudes of the density and temperature, i.e. they only depend on P α , V and shape factors or profile parameters. For model density and temperature profiles analytic expressions for these shape factors and for the factor C bs in the bootstrap current formula I bs ∼ C bs (a/R) 1/2 β p I p are given. In the design of next-step devices and fusion reactors, the fusion power is a fixed quantity. Prescription of the alpha particle heating power and plasma volume results in specific relations which can be helpful for interpreting computer simulations and for the design of fusion reactors. (author) 5 refs

  8. Microelectronic temperature sensor; silicon temperature sensor

    International Nuclear Information System (INIS)

    Beitner, M.; Kanert, W.; Reichert, H.

    1982-01-01

    The goal of this work was to develop a silicon temperature sensor with a sensitivity and a reliability as high and a tolerance as small as possible, for use in measurement and control. By employing the principle of spreading-resistance, using silicon doped by neutron transmutation, and trimming of the single wafer tolerances of resistance less than +- 5% can be obtained; overstress tests yielded a long-term stability better than 0.2%. Some applications show the advantageous use of this sensor. (orig.) [de

  9. Plasma-Jet Magneto-Inertial Fusion Burn Calculations

    Science.gov (United States)

    Santarius, John

    2010-11-01

    Several issues exist related to using plasma jets to implode a Magneto-Inertial Fusion (MIF) liner onto a magnetized plasmoid and compress it to fusion-relevant temperatures [1]. The poster will explore how well the liner's inertia provides transient plasma confinement and affects the burn dynamics. The investigation uses the University of Wisconsin's 1-D Lagrangian radiation-hydrodynamics code, BUCKY, which solves single-fluid equations of motion with ion-electron interactions, PdV work, table-lookup equations of state, fast-ion energy deposition, pressure contributions from all species, and one or two temperatures. Extensions to the code include magnetic field evolution as the plasmoid compresses plus dependence of the thermal conductivity on the magnetic field. [4pt] [1] Y.C. F. Thio, et al.,``Magnetized Target Fusion in a Spheroidal Geometry with Standoff Drivers,'' in Current Trends in International Fusion Research, E. Panarella, ed. (National Research Council of Canada, Ottawa, Canada, 1999), p. 113.

  10. Advanced fusion reactor

    International Nuclear Information System (INIS)

    Tomita, Yukihiro

    2003-01-01

    The main subjects on fusion research are now on D-T fueled fusion, mainly due to its high fusion reaction rate. However, many issues are still remained on the wall loading by the 14 MeV neutrons. In the case of D-D fueled fusion, the neutron wall loading is still remained, though the technology related to tritium breeding is not needed. The p- 6 Li and p- 11 B fueled fusions are not estimated to be the next generation candidate until the innovated plasma confinement technologies come in useful to achieve the high performance plasma parameters. The fusion reactor of D- 3 He fuels has merits on the smaller neutron wall loading and tritium handling. However, there are difficulties on achieving the high temperature plasma more than 100 keV. Furthermore the high beta plasma is needed to decrease synchrotron radiation loss. In addition, the efficiency of the direct energy conversion from protons coming out from fusion reaction is one of the key parameters in keeping overall power balance. Therefore, open magnetic filed lines should surround the plasma column. In this paper, we outlined the design of the commercial base reactor (ARTEMIS) of 1 GW electric output power configured by D- 3 He fueled FRC (Field Reversed Configuration). The ARTEMIS needs 64 kg of 3 He per a year. On the other hand, 1 million tons of 3 He is estimated to be in the moon. The 3 He of about 10 23 kg are to exist in gaseous planets such as Jupiter and Saturn. (Y. Tanaka)

  11. Blanket options for high-efficiency fusion power

    International Nuclear Information System (INIS)

    Usher, J.L.; Lazareth, O.W.; Fillo, J.A.; Horn, F.L.; Powell, J.R.

    1980-01-01

    The efficiencies of blankets for fusion reactors are usually in the range of 30 to 40%, limited by the operating temperatures (500 0 C) of conventional structural materials such as stainless steels. In this project two-zone blankets are proposed; these blankets consist of a low-temperature shell surrounding a high-temperature interior zone. A survey of nucleonics and thermal hydraulic parameters has led to a reference blanket design consisting of a water-cooled stainless steel shell around a BeO, ZrO 2 interior (cooled by argon) utilizing Li 2 O for tritium breeding. In this design, approximately 60% of the fusion energy is deposited in the high-temperature interior. The maximum argon temperature is 2230 0 C leading to an overall efficiency estimate of 55 to 60% for this reference case

  12. Fusion blankets for high-efficiency power cycles

    International Nuclear Information System (INIS)

    Usher, J.L.; Lazareth, O.W.; Fillo, J.A.; Horn, F.L.; Powell, J.R.

    1980-01-01

    The efficiencies of blankets for fusion reactors are usually in the range of 30 to 40%, limited by the operating temperatures (500 0 C) of conventional structural materials such as stainless steels. In this project two-zone blankets are proposed; these blankets consist of a low-temperature shell surrounding a high-temperature interior zone. A survey of nucleonics and thermal hydraulic parameters has led to a reference blanket design consisting of a water-cooled stainless steel shell around a BeO, ZrO 2 interior (cooled by argon) utilizing Li 2 O for tritium breeding. In this design, approximately 60% of the fusion energy is deposited in the high-temperature interior. The maximum argon temperature is 2230 0 C leading to an overall efficiency estimate of 55 to 60% for this reference case

  13. Fusion blanket for high-efficiency power cycles

    International Nuclear Information System (INIS)

    Usher, J.L.; Powell, J.R.; Fillo, J.A.; Horn, F.L.; Lazareth, O.W.; Taussig, R.

    1980-01-01

    The efficiencies of blankets for fusion reactors are usually in the range of 30 to 40%, limited by the operating temperature (500 0 C) of conventional structural materials such as stainless steels. In this project two-zone blankets are proposed; these blankets consist of a low-temperature shell surrounding a high-temperature interior zone. A survey of nucleonics and thermal hydraulic parameters has led to a reference blanket design consisting of a water-cooled stainless steel shell around a BeO, ZrO 2 interior (cooled by Ar) utilizing Li 2 O for tritium breeding. In this design, approx. 60% of the fusion energy is deposited in the high-temperature interior. The maximum Ar temperature is 2230 0 C leading to an overall efficiency estimate of 55 to 60% for this reference case

  14. Fusion blankets for high-efficiency power cycles

    International Nuclear Information System (INIS)

    Usher, J.L.; Lazareth, O.W.; Fillo, J.A.; Horn, F.L.; Powell, J.R.

    1981-01-01

    The efficiencies of blankets for fusion reactors are usually in the range of 30 to 40%, limited by the operating temperatures (500 deg C) of conventional structural materials such as stainless steels. In this project 'two-zone' blankets are proposed; these blankets consist of a low-temperature shell surrounding a high-temperature interior zone. A survey of nucleonics and thermal hydraulic parameters has led to a reference blanket design consisting of a water-cooled stainless steel shell around a BeO, ZrO 2 interior (cooled by argon) utilizing Li 2 O for tritium breeding. In this design, approximately 60% of the fusion energy is deposited in the high-temperature interior. The maximum argon temperature is 2230 deg C leading to an overall efficiency estimate of 55 to 60% for this reference case. (author)

  15. X-ray spectroscopy from fusion plasmas

    International Nuclear Information System (INIS)

    Glenzer, S H.

    1998-01-01

    Our understanding of laser energy coupling into laser-driven inertial confinement fusion targets largely depends on our ability to accurately measure and simulate the plasma conditions in the underdense corona and in high density capsule implosions. X-ray spectroscopy is an important technique which has been applied to measure the total absorption of laser energy into the fusion target, the fraction of laser energy absorbed by hot electrons, and the conditions in the fusion capsule in terms of density and temperature. These parameters provide critical benchmarking data for performance studies of the fusion target and for radiation-hydrodynamic and laser-plasma interaction simulations. Using x-ray spectroscopic techniques for these tasks has required its application to non-standard conditions where kinetics models have not been extensively tested. In particular, for the conditions in high density implosions, where electron temperatures achieve 1 - 2 keV and electron densities reach 10 24 cm -3 evolving on time scales of 21 cm -3 and which am independently diagnosed with Thomson scattering and stimulated Raman scattering. We find that kinetics modeling is in good agreement with measured intensities of the dielectronic satellites of the He-β line (n= l-3) of Ar XVII. Applying these findings to the experimental results of capsule implosions provides additional evidence of temperature gradients at peak compression

  16. Xe{sup +} ion beam induced rippled structures on Si miscut wafers

    Energy Technology Data Exchange (ETDEWEB)

    Hanisch, Antje; Grenzer, Joerg [Forschungszentrum Dresden-Rossendorf, Dresden (Germany); Biermanns, Andreas; Pietsch, Ullrich [Institute of Physics, University of Siegen (Germany)

    2009-07-01

    We report on the influence of the initial roughness and crystallography of the substrate on the formation of self-organized ripple structures on semiconductors surfaces by noble gas ion bombardment. The Bradley-Harper theory predicts that an initial roughness is most important for starting the sputtering process which in the ends leads to the evolution of regular patterns. We produced periodic structures with intermediate Xe{sup +} ion energies (5-70 keV) at different incidence and azimuthal angles which lead to the assumption that also crystallography plays a role at the beginning of ripple evolution. Most of the previous investigations started from the original roughness of a polished silicon wafer. We used (001) silicon wafers with a miscut angle of 1 , 5 and 10 towards[110]. We studied the ripple formation keeping the ion beam parallel to the[111],[-1-11] or[-111] direction, i.e. parallel, antiparallel or perpendicular to the miscut direction[110]. The parallel and antiparallel case implies a variation of the incidence angle with increased roughness over the surface step terraces. The perpendicular orientation means almost no roughness. The results were compared to normal Si(001) and Si(111) wafers.

  17. The role of Gliadel wafers in the treatment of newly diagnosed GBM: a meta-analysis

    Directory of Open Access Journals (Sweden)

    Xing WK

    2015-06-01

    Full Text Available Wei-kang Xing,1 Chuan Shao,2 Zhen-yu Qi,1 Chao Yang,1 Zhong Wang1 1Department of Neurosurgery, The First Affiliated Hospital of Soochow University, Suzhou, Jiangsu, 2Department of Neurosurgery, The Second Clinical Medical College of North Sichuan Medical College, Nanchong, Sichuan, People’s Republic of China Background: Standard treatment for high-grade glioma (HGG includes surgery followed by radiotherapy and/or chemotherapy. Insertion of carmustine wafers into the resection cavity as a treatment for malignant glioma is currently a controversial topic among neurosurgeons. Our meta-analysis focused on whether carmustine wafer treatment could significantly benefit the survival of patients with newly diagnosed glioblastoma multiforme (GBM.Method: We searched the PubMed and Web of Science databases without any restrictions on language using the keywords “Gliadel wafers”, “carmustine wafers”, “BCNU wafers”, or “interstitial chemotherapy” in newly diagnosed GBM for the period from January 1990 to March 2015. Randomized controlled trials (RCTs and cohort studies/clinical trials that compared treatments designed with and without carmustine wafers and which reported overall survival or hazard ratio (HR or survival curves were included in this study. Moreover, the statistical analysis was conducted by the STATA 12.0 software.Results: Six studies including two RCTs and four cohort studies, enrolling a total of 513 patients (223 with and 290 without carmustine wafers, matched the selection criteria. Carmustine wafers showed a strong advantage when pooling all the included studies (HR =0.63, 95% confidence interval (CI =0.49–0.81; P=0.019. However, the two RCTs did not show a statistical increase in survival in the group with carmustine wafer compared to the group without it (HR =0.51, 95% CI =0.18–1.41; P=0.426, while the cohort studies demonstrated a significant survival increase (HR =0.59, 95% CI =0.44–0.79; P<0.0001.Conclusion

  18. Design Expert Supported Mathematical Optimization and Predictability Study of Buccoadhesive Pharmaceutical Wafers of Loratadine

    Directory of Open Access Journals (Sweden)

    Prithviraj Chakraborty

    2013-01-01

    Full Text Available Objective. The objective of this work encompasses the application of the response surface approach in the development of buccoadhesive pharmaceutical wafers of Loratadine (LOR. Methods. Experiments were performed according to a 32 factorial design to evaluate the effects of buccoadhesive polymer, sodium alginate (A, and lactose monohydrate as ingredient, of hydrophilic matrix former (B on the bioadhesive force, disintegration time, percent (% swelling index, and time taken for 70% drug release (t70%. The effect of the two independent variables on the response variables was studied by response surface plots and contour plots generated by the Design-Expert software. The desirability function was used to optimize the response variables. Results. The compatibility between LOR and the wafer excipients was confirmed by differential scanning calorimetry, FTIR spectroscopy, and X-ray diffraction (XRD analysis. Bioadhesion force, measured with TAXT2i texture analyzer, showed that the wafers had a good bioadhesive property which could be advantageous for retaining the drug into the buccal cavity. Conclusion. The observed responses taken were in agreement with the experimental values, and Loratadine wafers were produced with less experimental trials, and a patient compliant product was achieved with the concept of formulation by design.

  19. Design Expert Supported Mathematical Optimization and Predictability Study of Buccoadhesive Pharmaceutical Wafers of Loratadine

    Science.gov (United States)

    Dey, Surajit; Parcha, Versha; Bhattacharya, Shiv Sankar; Ghosh, Amitava

    2013-01-01

    Objective. The objective of this work encompasses the application of the response surface approach in the development of buccoadhesive pharmaceutical wafers of Loratadine (LOR). Methods. Experiments were performed according to a 32 factorial design to evaluate the effects of buccoadhesive polymer, sodium alginate (A), and lactose monohydrate as ingredient, of hydrophilic matrix former (B) on the bioadhesive force, disintegration time, percent (%) swelling index, and time taken for 70% drug release (t 70%). The effect of the two independent variables on the response variables was studied by response surface plots and contour plots generated by the Design-Expert software. The desirability function was used to optimize the response variables. Results. The compatibility between LOR and the wafer excipients was confirmed by differential scanning calorimetry, FTIR spectroscopy, and X-ray diffraction (XRD) analysis. Bioadhesion force, measured with TAXT2i texture analyzer, showed that the wafers had a good bioadhesive property which could be advantageous for retaining the drug into the buccal cavity. Conclusion. The observed responses taken were in agreement with the experimental values, and Loratadine wafers were produced with less experimental trials, and a patient compliant product was achieved with the concept of formulation by design. PMID:23781498

  20. EM Simulation Accuracy Enhancement for Broadband Modeling of On-Wafer Passive Components

    DEFF Research Database (Denmark)

    Johansen, Tom Keinicke; Jiang, Chenhui; Hadziabdic, Dzenan

    2007-01-01

    This paper describes methods for accuracy enhancement in broadband modeling of on-wafer passive components using electromagnetic (EM) simulation. It is shown that standard excitation schemes for integrated component simulation leads to poor correlation with on-wafer measurements beyond the lower...... GHz frequency range. We show that this is due to parasitic effects and higher-order modes caused by the excitation schemes. We propose a simple equivalent circuit for the parasitic effects in the well-known ground ring excitation scheme. An extended L-2L calibration method is shown to improve...

  1. Fusion Reactor Materials

    International Nuclear Information System (INIS)

    Decreton, M.

    2000-01-01

    SCK-CEN's research and development programme on fusion reactor materials includes: (1) the study of the mechanical behaviour of structural materials under neutron irradiation (including steels, inconel, molybdenum, chromium); (2) the determination and modelling of the characteristics of irradiated first wall materials such as beryllium; (3) the detection of abrupt electrical degradation of insulating ceramics under high temperature and neutron irradiation; (4) the study of the dismantling and waste disposal strategy for fusion reactors.; (5) a feasibility study for the testing of blanket modules under neutron radiation. Main achievements in these topical areas in the year 1999 are summarised

  2. Fusion Reactor Materials

    Energy Technology Data Exchange (ETDEWEB)

    Decreton, M

    2000-07-01

    SCK-CEN's research and development programme on fusion reactor materials includes: (1) the study of the mechanical behaviour of structural materials under neutron irradiation (including steels, inconel, molybdenum, chromium); (2) the determination and modelling of the characteristics of irradiated first wall materials such as beryllium; (3) the detection of abrupt electrical degradation of insulating ceramics under high temperature and neutron irradiation; (4) the study of the dismantling and waste disposal strategy for fusion reactors.; (5) a feasibility study for the testing of blanket modules under neutron radiation. Main achievements in these topical areas in the year 1999 are summarised.

  3. First thin AC-coupled silicon strip sensors on 8-inch wafers

    Energy Technology Data Exchange (ETDEWEB)

    Bergauer, T., E-mail: thomas.bergauer@oeaw.ac.at [Institute of High Energy Physics of the Austrian Academy of Sciences, Nikolsdorfer Gasse 18, 1050 Wien (Vienna) (Austria); Dragicevic, M.; König, A. [Institute of High Energy Physics of the Austrian Academy of Sciences, Nikolsdorfer Gasse 18, 1050 Wien (Vienna) (Austria); Hacker, J.; Bartl, U. [Infineon Technologies Austria AG, Siemensstrasse 2, 9500 Villach (Austria)

    2016-09-11

    The Institute of High Energy Physics (HEPHY) in Vienna and the semiconductor manufacturer Infineon Technologies Austria AG developed a production process for planar AC-coupled silicon strip sensors manufactured on 200 μm thick 8-inch p-type wafers. In late 2015, the first wafers were delivered featuring the world's largest AC-coupled silicon strip sensors. Detailed electrical measurements were carried out at HEPHY, where single strip and global parameters were measured. Mechanical studies were conducted and the long-term behavior was investigated using a climate chamber. Furthermore, the electrical properties of various test structures were investigated to validate the quality of the manufacturing process.

  4. Wafer-Level Packaging Method for RF MEMS Applications Using Pre-Patterned BCB Polymer

    OpenAIRE

    Zhuhao Gong; Yulong Zhang; Xin Guo; Zewen Liu

    2018-01-01

    A radio-frequency micro-electro-mechanical system (RF MEMS) wafer-level packaging (WLP) method using pre-patterned benzo-cyclo-butene (BCB) polymers with a high-resistivity silicon cap is proposed to achieve high bonding quality and excellent RF performance. In this process, the BCB polymer was pre-defined to form the sealing ring and bonding layer by the spin-coating and patterning of photosensitive BCB before the cavity formation. During anisotropic wet etching of the silicon wafer to gener...

  5. Reconstruction and analysis of temperature and density spatial profiles inertial confinement fusion implosion cores

    International Nuclear Information System (INIS)

    Mancini, R. C.

    2007-01-01

    We discuss several methods for the extraction of temperature and density spatial profiles in inertial confinement fusion implosion cores based on the analysis of the x-ray emission from spectroscopic tracers added to the deuterium fuel. The ideas rely on (1) detailed spectral models that take into account collisional-radiative atomic kinetics, Stark broadened line shapes, and radiation transport calculations, (2) the availability of narrow-band, gated pinhole and slit x-ray images, and space-resolved line spectra of the core, and (3) several data analysis and reconstruction methods that include a multi-objective search and optimization technique based on a novel application of Pareto genetic algorithms to plasma spectroscopy. The spectroscopic analysis yields the spatial profiles of temperature and density in the core at the collapse of the implosion, and also the extent of shell material mixing into the core. Results are illustrated with data recorded in implosion experiments driven by the OMEGA and Z facilities

  6. Development of target capsules for muon catalyzed fusion experiments

    International Nuclear Information System (INIS)

    Watts, K.D.; Jones, S.E.; Caffrey, A.J.

    1983-01-01

    A series of Muon Catalyzed Fusion experiments has been conducted at the Los Alamos Meson Physics Facility to determine how many fusion reactions one muon would catalyze under various temperature, pressure, contamination, and tritium concentration conditions. Target capsules to contain deuterium and tritium at elevated temperatures and pressures were engineered for a maximum temperature of 540 K (512 0 F) and a maximum pressure of 103 MPa (15,000 psig). Experimental data collected with these capsules indicated that the number of fusion reactions per muon continued to increase with temperature up to the 540-K design limit. Theory had indicated that the reaction rate should peak at approximately 540 K, but this was not confirmed during the experiments. A second generation of capsules which have a maximum design temperature of 800 K (980 0 F) and a maximum design pressure of 103 MPa (15,000 psig) has now been engineered. These new capsules will be used to further study the muon catalysis rate versus deuterium-tritium mixture temperature

  7. Controlled thermonuclear fusion reactors

    International Nuclear Information System (INIS)

    Walstrom, P.L.

    1976-01-01

    Controlled production of energy by fusion of light nuclei has been the goal of a large portion of the physics community since the 1950's. In order for a fusion reaction to take place, the fuel must be heated to a temperature of 100 million degrees Celsius. At this temperature, matter can exist only in the form of an almost fully ionized plasma. In order for the reaction to produce net power, the product of the density and energy confinement time must exceed a minimum value of 10 20 sec m -3 , the so-called Lawson criterion. Basically, two approaches are being taken to meet this criterion: inertial confinement and magnetic confinement. Inertial confinement is the basis of the laser fusion approach; a fuel pellet is imploded by intense laser beams from all sides and ignites. Magnetic confinement devices, which exist in a variety of geometries, rely upon electromagnetic forces on the charged particles of the plasma to keep the hot plasma from expanding. Of these devices, the most encouraging results have been achieved with a class of devices known as tokamaks. Recent successes with these devices have given plasma physicists confidence that scientific feasibility will be demonstrated in the next generation of tokamaks; however, an even larger effort will be required to make fusion power commercially feasible. As a result, emphasis in the controlled thermonuclear research program is beginning to shift from plasma physics to a new branch of nuclear engineering which can be called fusion engineering, in which instrumentation and control engineers will play a major role. Among the new problem areas they will deal with are plasma diagnostics and superconducting coil instrumentation

  8. Prediction of thermo-mechanical reliability of wafer backend processes

    NARCIS (Netherlands)

    Gonda, V.; Toonder, den J.M.J.; Beijer, J.G.J.; Zhang, G.Q.; van Driel, W.D.; Hoofman, R.J.O.M.; Ernst, L.J.

    2004-01-01

    More than 65% of IC failures are related to thermal and mechanical problems. For wafer backend processes, thermo-mechanical failure is one of the major bottlenecks. The ongoing technological trends like miniaturization, introduction of new materials, and function/product integration will increase

  9. Prediction of thermo-mechanical integrity of wafer backend processes

    NARCIS (Netherlands)

    Gonda, V.; Toonder, den J.M.J.; Beijer, J.G.J.; Zhang, G.Q.; Hoofman, R.J.O.M.; Ernst, L.J.; Ernst, L.J.

    2003-01-01

    More than 65% of IC failures are related to thermal and mechanical problems. For wafer backend processes, thermo-mechanical failure is one of the major bottlenecks. The ongoing technological trends like miniaturization, introduction of new materials, and function/product integration will increase

  10. Reliable four-point flexion test and model for die-to-wafer direct bonding

    Energy Technology Data Exchange (ETDEWEB)

    Tabata, T., E-mail: toshiyuki.tabata@cea.fr; Sanchez, L.; Fournel, F.; Moriceau, H. [Univ. Grenoble Alpes, F-38000 Grenoble, France and CEA, LETI, MINATEC Campus, F-38054 Grenoble (France)

    2015-07-07

    For many years, wafer-to-wafer (W2W) direct bonding has been very developed particularly in terms of bonding energy measurement and bonding mechanism comprehension. Nowadays, die-to-wafer (D2W) direct bonding has gained significant attention, for instance, in photonics and microelectro-mechanics, which supposes controlled and reliable fabrication processes. So, whatever the stuck materials may be, it is not obvious whether bonded D2W structures have the same bonding strength as bonded W2W ones, because of possible edge effects of dies. For that reason, it has been strongly required to develop a bonding energy measurement technique which is suitable for D2W structures. In this paper, both D2W- and W2W-type standard SiO{sub 2}-to-SiO{sub 2} direct bonding samples are fabricated from the same full-wafer bonding. Modifications of the four-point flexion test (4PT) technique and applications for measuring D2W direct bonding energies are reported. Thus, the comparison between the modified 4PT and the double-cantilever beam techniques is drawn, also considering possible impacts of the conditions of measures such as the water stress corrosion at the debonding interface and the friction error at the loading contact points. Finally, reliability of a modified technique and a new model established for measuring D2W direct bonding energies is demonstrated.

  11. Optimization of corn, rice and buckwheat formulations for gluten-free wafer production.

    Science.gov (United States)

    Dogan, Ismail Sait; Yildiz, Onder; Meral, Raciye

    2016-07-01

    Gluten-free baked products for celiac sufferers are essential for healthy living. Cereals having gluten such as wheat and rye must be removed from the diet for the clinical and histological improvement. The variety of gluten-free foods should be offered for the sufferers. In the study, gluten-free wafer formulas were optimized using corn, rice and buckwheat flours, xanthan and guar gum blend as an alternative product for celiac sufferers. Wafer sheet attributes and textural properties were investigated. Considering all wafer sheet properties in gluten-free formulas, better results were obtained by using 163.5% water, 0.5% guar and 0.1% xanthan in corn formula; 173.3% water, 0.45% guar and 0.15% xanthan gum in rice formula; 176% water, 0.1% guar and 0.5% xanthan gum in buckwheat formula. Average desirability values in gluten-free formulas were between 0.86 and 0.91 indicating they had similar visual and textural profiles to control sheet made with wheat flour. © The Author(s) 2015.

  12. Outline of cold nuclear fusion reaction

    International Nuclear Information System (INIS)

    Tachikawa, Enzo

    1991-01-01

    In 2010, as the total supply capacity of primary energy, 666 million liter is anticipated under the measures of thorough energy conservation. The development of energy sources along the energy policy based on environment preservation, safety, the quantity of resources and economy is strongly demanded. The nuclear power generation utilizing nuclear fission has been successfully carried out. As the third means of energy production, the basic research and technical development have been actively advanced on the energy production utilizing nuclear fusion reaction. The main object of the nuclear fusion research being advanced now is D-D reaction and D-T reaction. In order to realize low temperature nuclear fusion reaction, muon nuclear fusion has been studied so far. The cold nuclear fusion reaction by the electrolysis of heavy water has been reported in 1989, and its outline is ixplained in this report. The trend of the research on cold nuclear fusion is described. But the possibility of cold nuclear fusion as an energy source is almost denied. (K.I.)

  13. Improving scanner wafer alignment performance by target optimization

    Science.gov (United States)

    Leray, Philippe; Jehoul, Christiane; Socha, Robert; Menchtchikov, Boris; Raghunathan, Sudhar; Kent, Eric; Schoonewelle, Hielke; Tinnemans, Patrick; Tuffy, Paul; Belen, Jun; Wise, Rich

    2016-03-01

    In the process nodes of 10nm and below, the patterning complexity along with the processing and materials required has resulted in a need to optimize alignment targets in order to achieve the required precision, accuracy and throughput performance. Recent industry publications on the metrology target optimization process have shown a move from the expensive and time consuming empirical methodologies, towards a faster computational approach. ASML's Design for Control (D4C) application, which is currently used to optimize YieldStar diffraction based overlay (DBO) metrology targets, has been extended to support the optimization of scanner wafer alignment targets. This allows the necessary process information and design methodology, used for DBO target designs, to be leveraged for the optimization of alignment targets. In this paper, we show how we applied this computational approach to wafer alignment target design. We verify the correlation between predictions and measurements for the key alignment performance metrics and finally show the potential alignment and overlay performance improvements that an optimized alignment target could achieve.

  14. The Evolution of Wafer Bonding Moving from the back-end further to the front-end

    Institute of Scientific and Technical Information of China (English)

    Thomas Glinsner; Peter Hangweier

    2009-01-01

    @@ 1 Introduction As the nanoscale era progresses, innovative new materials and processes continue to be developed and implemented as a means of keeping the industry on the path of Moore's Law. Wafer bonding - literally, the temporary or permanent joining of two wafers or substrates using a suitable combination of process technologies, chemicals and adhesives - is one such innovation.

  15. Effect of Anisotropy on Shape Measurement Accuracy of Silicon Wafer Using Three-Point-Support Inverting Method

    Science.gov (United States)

    Ito, Yukihiro; Natsu, Wataru; Kunieda, Masanori

    This paper describes the influences of anisotropy found in the elastic modulus of monocrystalline silicon wafers on the measurement accuracy of the three-point-support inverting method which can measure the warp and thickness of thin large panels simultaneously. Deflection due to gravity depends on the crystal orientation relative to the positions of the three-point-supports. Thus the deviation of actual crystal orientation from the direction indicated by the notch fabricated on the wafer causes measurement errors. Numerical analysis of the deflection confirmed that the uncertainty of thickness measurement increases from 0.168µm to 0.524µm due to this measurement error. In addition, experimental results showed that the rotation of crystal orientation relative to the three-point-supports is effective for preventing wafer vibration excited by disturbance vibration because the resonance frequency of wafers can be changed. Thus, surface shape measurement accuracy was improved by preventing resonant vibration during measurement.

  16. Confusion about nuclear fusion: a false report is laid bare

    International Nuclear Information System (INIS)

    Hintsches, E.

    1983-01-01

    The author discusses the inaccurate and precipitate news of alleged successful controlled nuclear fusion in the Tokamak Fusion Test Reactor at Princeton University. The later modified published report indicated that in a first test, fractional second operation had produced plasma gas temperature of 100,000 0 C whereas 100 million degrees C is necessary for hydrogen nuclear fusion. Also power generation from nuclear fusion is still a long term goal. Problems of nuclear fusion are very briefly mentioned, and an impression of the Tokamak Fusion Test Reactor is illustrated. (H.V.H.)

  17. Advanced fusion reactor

    Energy Technology Data Exchange (ETDEWEB)

    Tomita, Yukihiro [National Inst. for Fusion Science, Toki, Gifu (Japan)

    2003-04-01

    The main subjects on fusion research are now on D-T fueled fusion, mainly due to its high fusion reaction rate. However, many issues are still remained on the wall loading by the 14 MeV neutrons. In the case of D-D fueled fusion, the neutron wall loading is still remained, though the technology related to tritium breeding is not needed. The p-{sup 6}Li and p-{sup 11}B fueled fusions are not estimated to be the next generation candidate until the innovated plasma confinement technologies come in useful to achieve the high performance plasma parameters. The fusion reactor of D-{sup 3}He fuels has merits on the smaller neutron wall loading and tritium handling. However, there are difficulties on achieving the high temperature plasma more than 100 keV. Furthermore the high beta plasma is needed to decrease synchrotron radiation loss. In addition, the efficiency of the direct energy conversion from protons coming out from fusion reaction is one of the key parameters in keeping overall power balance. Therefore, open magnetic filed lines should surround the plasma column. In this paper, we outlined the design of the commercial base reactor (ARTEMIS) of 1 GW electric output power configured by D-{sup 3}He fueled FRC (Field Reversed Configuration). The ARTEMIS needs 64 kg of {sup 3}He per a year. On the other hand, 1 million tons of {sup 3}He is estimated to be in the moon. The {sup 3}He of about 10{sup 23} kg are to exist in gaseous planets such as Jupiter and Saturn. (Y. Tanaka)

  18. Wafer-Scale Gigahertz Graphene Field Effect Transistors on SiC Substrates

    Institute of Scientific and Technical Information of China (English)

    潘洪亮; 金智; 麻芃; 郭建楠; 刘新宇; 叶甜春; 李佳; 敦少博; 冯志红

    2011-01-01

    Wafer-scale graphene field-effect transistors are fabricated using benzocyclobutene and atomic layer deposition Al2O3 as the top-gate dielectric.The epitaxial-graphene layer is formed by graphitization of a 2-inch-diameter Si-face semi-insulating 6H-SiC substrate.The graphene on the silicon carbide substrate is heavily n-doped and current saturation is not found.For the intrinsic characteristic of this particular channel material,the devices cannot be switched off.The cut-off frequencies of these graphene field-effect transistors,which have a gate length of l μm,are larger than 800 MHz.The largest one can reach 1.24 GHz.There are greater than 95% active devices that can be successfully applied.We thus succeed in fabricating wafer-scale gigahertz graphene field-effect transistors,which paves the way for high-performance graphene devices and circuits.%Wafer-scale graphene Beld-effect transistors are fabricated using benzocyclobutene and atomic layer deposition AI2O3 as the top-gate dielectric. The epitaxial-graphene layer is formed by graphitization of a 2-inch-diameter Si-face semi-insulating 6H-SiC substrate. The graphene on the silicon carbide substrate is heavily n-doped and current saturation is not found. For the intrinsic characteristic of this particular channel material, the devices cannot be switched off. The cut-off frequencies of these graphene field-effect transistors, which have a gate length of l μm, are larger than 800MHz. The largest one can reach 1.24 GHz. There are greater than 95% active devices that can be successfully applied. We thus succeed in fabricating wafer-scale gigahertz graphene Geld-effect transistors, which paves the way for high-performance graphene devices and circuits.

  19. Fusion reactors for hydrogen production via electrolysis

    International Nuclear Information System (INIS)

    Fillo, J.A.; Powell, J.R.; Steinberg, M.

    1979-01-01

    The decreasing availability of fossil fuels emphasizes the need to develop systems which will produce synthetic fuel to substitute for and supplement the natural supply. An important first step in the synthesis of liquid and gaseous fuels is the production of hydrogen. Thermonuclear fusion offers an inexhaustible source of energy for the production of hydrogen from water. Depending on design, electric generation efficiencies of approx. 40 to 60% and hydrogen production efficiencies by high temperature electrolysis of approx. 50 to 70% are projected for fusion reactors using high temperature blankets

  20. Cold fusion saga: Lesson in science

    International Nuclear Information System (INIS)

    Lewenstein, B.V.

    1992-01-01

    A news conference at the University of Utah on March 23, 1989, ignited an explosion of scientific tempers almost as intense as the topic up for discussion - nuclear fusion. Two electrochemists, B. Stanley Pons and Martin Fleischmann, announced they had discovered a method for creating nuclear fusion at room temperature, using simple equipment available in any high school laboratory. This could mean unlimited supplies of cheap electricity in the future. The announcement set off a chain reaction involving the news media and scientists worldwide, notes Bruce V. Lewenstein of Cornell University. For the first six weeks of the saga, Lewenstein recalls, competing claims, counterclaims, and interpretations led to what many headline writers referred to as fusion confusion. Media attention faded gradually, but scientific attention didn't. Over the next two years, laboratory experiments, scientific reports, meetings, and panels kept the issue boiling. The cold-fusion saga, while more intense than some scientific research, followed familiar paths, Lewenstein believes. News coverage, political maneuvering, competition among scientists, parent rights, arguments about the interpretation of experiments - all points of contention - are normal, indeed, one might almost say integral, to modern science, he says. This is the stuff science is made of, he adds. And for those disturbed by the implications, Lewenstein cautions that cold-fusion may be the harbinger for other high-profile science, such as high-temperature superconductors

  1. Micropore x-ray optics using anisotropic wet etching of (110) silicon wafers

    International Nuclear Information System (INIS)

    Ezoe, Yuichiro; Koshiishi, Masaki; Mita, Makoto; Mitsuda, Kazuhisa; Hoshino, Akio; Ishisaki, Yoshitaka; Yang Zhen; Takano, Takayuki; Maeda, Ryutaro

    2006-01-01

    To develop x-ray mirrors for micropore optics, smooth silicon (111)sidewalls obtained after anisotropic wet etching of a silicon (110) wafer were studied. A sample device with 19 μm wide (111) sidewalls was fabricated using a 220 μm thick silicon (110) wafer and potassium hydroxide solution. For what we believe to be the first time,x-ray reflection on the (111) sidewalls was detected in the angular response measurement. Compared to ray-tracing simulations, the surface roughness of the sidewalls was estimated to be 3-5 nm, which is consistent with the atomic force microscope and the surface profiler measurements

  2. Micropore x-ray optics using anisotropic wet etching of (110) silicon wafers.

    Science.gov (United States)

    Ezoe, Yuichiro; Koshiishi, Masaki; Mita, Makoto; Mitsuda, Kazuhisa; Hoshino, Akio; Ishisaki, Yoshitaka; Yang, Zhen; Takano, Takayuki; Maeda, Ryutaro

    2006-12-10

    To develop x-ray mirrors for micropore optics, smooth silicon (111) sidewalls obtained after anisotropic wet etching of a silicon (110) wafer were studied. A sample device with 19 microm wide (111) sidewalls was fabricated using a 220 microm thick silicon (110) wafer and potassium hydroxide solution. For what we believe to be the first time, x-ray reflection on the (111) sidewalls was detected in the angular response measurement. Compared to ray-tracing simulations, the surface roughness of the sidewalls was estimated to be 3-5 nm, which is consistent with the atomic force microscope and the surface profiler measurements.

  3. Collective Thomson scattering capabilities to diagnose fusion plasmas

    DEFF Research Database (Denmark)

    Korsholm, Søren Bang; Bindslev, Henrik; Furtula, Vedran

    2010-01-01

    Collective Thomson scattering (CTS) is a versatile technique for diagnosing fusion plasmas. In particular, experiments on diagnosing the ion temperature and fast ion velocity distribution have been executed on a number of fusion devices. In this article the main aim is to describe the technique...

  4. Self-adaptive phosphor coating technology for wafer-level scale chip packaging

    International Nuclear Information System (INIS)

    Zhou Linsong; Rao Haibo; Wang Wei; Wan Xianlong; Liao Junyuan; Wang Xuemei; Zhou Da; Lei Qiaolin

    2013-01-01

    A new self-adaptive phosphor coating technology has been successfully developed, which adopted a slurry method combined with a self-exposure process. A phosphor suspension in the water-soluble photoresist was applied and exposed to LED blue light itself and developed to form a conformal phosphor coating with self-adaptability to the angular distribution of intensity of blue light and better-performing spatial color uniformity. The self-adaptive phosphor coating technology had been successfully adopted in the wafer surface to realize a wafer-level scale phosphor conformal coating. The first-stage experiments show satisfying results and give an adequate demonstration of the flexibility of self-adaptive coating technology on application of WLSCP. (semiconductor devices)

  5. Ultimate intra-wafer critical dimension uniformity control by using lithography and etch tool corrections

    Science.gov (United States)

    Kubis, Michael; Wise, Rich; Reijnen, Liesbeth; Viatkina, Katja; Jaenen, Patrick; Luca, Melisa; Mernier, Guillaume; Chahine, Charlotte; Hellin, David; Kam, Benjamin; Sobieski, Daniel; Vertommen, Johan; Mulkens, Jan; Dusa, Mircea; Dixit, Girish; Shamma, Nader; Leray, Philippe

    2016-03-01

    With shrinking design rules, the overall patterning requirements are getting aggressively tighter. For the 7-nm node and below, allowable CD uniformity variations are entering the Angstrom region (ref [1]). Optimizing inter- and intra-field CD uniformity of the final pattern requires a holistic tuning of all process steps. In previous work, CD control with either litho cluster or etch tool corrections has been discussed. Today, we present a holistic CD control approach, combining the correction capability of the etch tool with the correction capability of the exposure tool. The study is done on 10-nm logic node wafers, processed with a test vehicle stack patterning sequence. We include wafer-to-wafer and lot-to-lot variation and apply optical scatterometry to characterize the fingerprints. Making use of all available correction capabilities (lithography and etch), we investigated single application of exposure tool corrections and of etch tool corrections as well as combinations of both to reach the lowest CD uniformity. Results of the final pattern uniformity based on single and combined corrections are shown. We conclude on the application of this holistic lithography and etch optimization to 7nm High-Volume manufacturing, paving the way to ultimate within-wafer CD uniformity control.

  6. A new cleaning process for the metallic contaminants on a post-CMP wafer's surface

    International Nuclear Information System (INIS)

    Gao Baohong; Liu Yuling; Wang Chenwei; Wang Shengli; Zhou Qiang; Tan Baimei; Zhu Yadong

    2010-01-01

    This paper presents a new cleaning process using boron-doped diamond (BDD) film anode electrochemical oxidation for metallic contaminants on polished silicon wafer surfaces. The BDD film anode electrochemical oxidation can efficiently prepare pyrophosphate peroxide, pyrophosphate peroxide can oxidize organic contaminants, and pyrophosphate peroxide is deoxidized into pyrophosphate. Pyrophosphate, a good complexing agent, can form a metal complex, which is a structure consisting of a copper ion, bonded to a surrounding array of two pyrophosphate anions. Three polished wafers were immersed in the 0.01 mol/L CuSO 4 solution for 2 h in order to make comparative experiments. The first one was cleaned by pyrophosphate peroxide, the second by RCA (Radio Corporation of America) cleaning, and the third by deionized (DI) water. The XPS measurement result shows that the metallic contaminants on wafers cleaned by the RCA method and by pyrophosphate peroxide is less than the XPS detection limits of 1 ppm. And the wafer's surface cleaned by pyrophosphate peroxide is more efficient in removing organic carbon residues than RCA cleaning. Therefore, BDD film anode electrochemical oxidation can be used for microelectronics cleaning, and it can effectively remove organic contaminants and metallic contaminants in one step. It also achieves energy saving and environmental protection. (semiconductor technology)

  7. Fusion energy and nuclear liability considerations

    International Nuclear Information System (INIS)

    Fork, William E.; Peterson, Charles H.

    2014-01-01

    For over 60 years, fusion energy has been recognised as a promising technology for safe, secure and environmentally-sustainable commercial electrical power generation. Over the past decade, research and development programmes across the globe have shown progress in developing critical underlying technologies. Approaches ranging from high-temperature plasma magnetic confinement fusion to inertial confinement fusion are increasingly better understood. As scientific research progresses in its aim to achieve fusion 'ignition', where nuclear fusion becomes self-sustaining, the international legal community should consider how fusion power technologies fit within the current nuclear liability legal framework. An understanding of the history of the civil nuclear liability regimes, along with the different risks associated with fusion power, will enable nations to consider the proper legal conditions needed to deploy and commercialise fusion technologies for civil power generation. This note is divided into three substantive parts. It first provides background regarding fusion power and describes the relatively limited risks of fusion technologies when compared with traditional nuclear fission technologies. It then describes the international nuclear liability regime and analyses how fusion power fits within the text of the three leading conventions. Finally, it examines how fusion power may fall within the international nuclear liability framework in the future, a discussion that includes possible amendments to the relevant international liability conventions. It concludes that the unique nature of the current civil nuclear liability regime points towards the development of a more tailored liability solution because of the reduced risks associated with fusion power. (authors)

  8. Dislocation-free Ge Nano-crystals via Pattern Independent Selective Ge Heteroepitaxy on Si Nano-Tip Wafers.

    Science.gov (United States)

    Niu, Gang; Capellini, Giovanni; Schubert, Markus Andreas; Niermann, Tore; Zaumseil, Peter; Katzer, Jens; Krause, Hans-Michael; Skibitzki, Oliver; Lehmann, Michael; Xie, Ya-Hong; von Känel, Hans; Schroeder, Thomas

    2016-03-04

    The integration of dislocation-free Ge nano-islands was realized via selective molecular beam epitaxy on Si nano-tip patterned substrates. The Si-tip wafers feature a rectangular array of nanometer sized Si tips with (001) facet exposed among a SiO2 matrix. These wafers were fabricated by complementary metal-oxide-semiconductor (CMOS) compatible nanotechnology. Calculations based on nucleation theory predict that the selective growth occurs close to thermodynamic equilibrium, where condensation of Ge adatoms on SiO2 is disfavored due to the extremely short re-evaporation time and diffusion length. The growth selectivity is ensured by the desorption-limited growth regime leading to the observed pattern independence, i.e. the absence of loading effect commonly encountered in chemical vapor deposition. The growth condition of high temperature and low deposition rate is responsible for the observed high crystalline quality of the Ge islands which is also associated with negligible Si-Ge intermixing owing to geometric hindrance by the Si nano-tip approach. Single island as well as area-averaged characterization methods demonstrate that Ge islands are dislocation-free and heteroepitaxial strain is fully relaxed. Such well-ordered high quality Ge islands present a step towards the achievement of materials suitable for optical applications.

  9. Sacrificial wafer bonding for planarization after very deep etching

    NARCIS (Netherlands)

    Spiering, V.L.; Spiering, Vincent L.; Berenschot, Johan W.; Elwenspoek, Michael Curt; Fluitman, J.H.J.

    A new technique is presented that provides planarization after a very deep etching step in silicon. This offers the possibility for as well resist spinning and layer patterning as realization of bridges or cantilevers across deep holes or grooves. The sacrificial wafer bonding technique contains a

  10. Wafer-level micro-optics: trends in manufacturing, testing, packaging, and applications

    Science.gov (United States)

    Voelkel, Reinhard; Gong, Li; Rieck, Juergen; Zheng, Alan

    2012-11-01

    Micro-optics is an indispensable key enabling technology (KET) for many products and applications today. Probably the most prestigious examples are the diffractive light shaping elements used in high-end DUV lithography steppers. Highly efficient refractive and diffractive micro-optical elements are used for precise beam and pupil shaping. Micro-optics had a major impact on the reduction of aberrations and diffraction effects in projection lithography, allowing a resolution enhancement from 250 nm to 45 nm within the last decade. Micro-optics also plays a decisive role in medical devices (endoscopes, ophthalmology), in all laser-based devices and fiber communication networks (supercomputer, ROADM), bringing high-speed internet to our homes (FTTH). Even our modern smart phones contain a variety of micro-optical elements. For example, LED flashlight shaping elements, the secondary camera, and ambient light and proximity sensors. Wherever light is involved, micro-optics offers the chance to further miniaturize a device, to improve its performance, or to reduce manufacturing and packaging costs. Wafer-scale micro-optics fabrication is based on technology established by semiconductor industry. Thousands of components are fabricated in parallel on a wafer. We report on the state of the art in wafer-based manufacturing, testing, packaging and present examples and applications for micro-optical components and systems.

  11. Physics of mirror fusion systems

    International Nuclear Information System (INIS)

    Post, R.F.

    1976-01-01

    Recent experimental results with the 2XIIB mirror machine at Lawrence Livermore Laboratory have demonstrated the stable confinement of plasmas at fusion temperatures and with energy densities equaling or exceeding that of the confining fields. The physics of mirror confinement is discussed in the context of these new results. Some possible approaches to further improving the confinement properties of mirror systems and the impact of these new approaches on the prospects for mirror fusion reactors are discussed

  12. Prediction of UV spectra and UV-radiation damage in actual plasma etching processes using on-wafer monitoring technique

    International Nuclear Information System (INIS)

    Jinnai, Butsurin; Fukuda, Seiichi; Ohtake, Hiroto; Samukawa, Seiji

    2010-01-01

    UV radiation during plasma processing affects the surface of materials. Nevertheless, the interaction of UV photons with surface is not clearly understood because of the difficulty in monitoring photons during plasma processing. For this purpose, we have previously proposed an on-wafer monitoring technique for UV photons. For this study, using the combination of this on-wafer monitoring technique and a neural network, we established a relationship between the data obtained from the on-wafer monitoring technique and UV spectra. Also, we obtained absolute intensities of UV radiation by calibrating arbitrary units of UV intensity with a 126 nm excimer lamp. As a result, UV spectra and their absolute intensities could be predicted with the on-wafer monitoring. Furthermore, we developed a prediction system with the on-wafer monitoring technique to simulate UV-radiation damage in dielectric films during plasma etching. UV-induced damage in SiOC films was predicted in this study. Our prediction results of damage in SiOC films shows that UV spectra and their absolute intensities are the key cause of damage in SiOC films. In addition, UV-radiation damage in SiOC films strongly depends on the geometry of the etching structure. The on-wafer monitoring technique should be useful in understanding the interaction of UV radiation with surface and in optimizing plasma processing by controlling UV radiation.

  13. JOINT RIGIDITY ASSESSMENT WITH PIEZOELECTRIC WAFERS AND ACOUSTIC WAVES

    International Nuclear Information System (INIS)

    Montoya, Angela C.; Maji, Arup K.

    2010-01-01

    There has been an interest in the development of rapid deployment satellites. In a modular satellite design, different panels of specific functions can be pre-manufactured. The satellite can then be assembled and tested just prior to deployment. Traditional vibration testing is time-consuming and expensive. An alternative test method to evaluate the connection between two plates will be proposed. The method investigated and described employs piezoelectric wafers to induce and sense lamb waves in two aluminum plates, which were joined by steel brackets to form an 'L-Style' joint. Lamb wave behavior and piezoelectric material properties will be discussed; the experimental setup and results will be presented. A set of 4 piezoelectric ceramic wafers were used alternately as source and sensor. The energy transmitted was shown to correlate with a mechanical assessment of the joint, demonstrating that this method of testing is a feasible and reliable way to inspect the rigidity of joints.

  14. Structural materials challenges for fusion power systems

    International Nuclear Information System (INIS)

    Kurtz, Richard J.

    2009-01-01

    Full text: Structural materials in a fusion power system must function in an extraordinarily demanding environment that includes various combinations of high temperatures, reactive chemicals, time-dependent thermal and mechanical stresses, and intense damaging radiation. The fusion neutron environment produces displacement damage equivalent to displacing every atom in the material about 150 times during its expected service life, and changes in chemical composition by transmutation reactions, which includes creation of reactive and insoluble gases. Fundamental materials challenges that must be resolved to effectively harness fusion power include (1) understanding the relationships between material strength, ductility and resistance to cracking, (2) development of materials with extraordinary phase stability, high-temperature strength and resistance to radiation damage, (3) establishment of the means to control corrosion of materials exposed to aggressive environments, (4) development of technologies for large-scale fabrication and joining, and (5) design of structural materials that provide for an economically attractive fusion power system while simultaneously achieving safety and environmental acceptability goals. The most effective approach to solve these challenges is a science-based effort that couples development of physics-based, predictive models of materials behavior with key experiments to validate the models. The U.S. Fusion Materials Sciences program is engaged in an integrated effort of theory, modeling and experiments to develop structural materials that will enable fusion to reach its safety, environmental and economic competitiveness goals. In this presentation, an overview of recent progress on reduced activation ferritic/martensitic steels, nanocomposited ferritic alloys, and silicon carbide fiber reinforced composites for fusion applications will be given

  15. International fusion research

    International Nuclear Information System (INIS)

    Pease, R.S.

    1983-01-01

    Nuclear energy of the light elements deuterium and lithium can be released if the 100 MK degree temperature required for deuterium-tritium thermonuclear fusion reactions can be achieved together with sufficient thermal insulation for a net energy yield. Progress of world-wide research shows good prospect for these physical conditions being achieved by the use of magnetic field confinement and of rapidly developing heating methods. Tokamak systems, alternative magnetic systems and inertial confinement progress are described. International co-operation features a number of bilateral agreements between countries: the Euratom collaboration which includes the Joint European Torus, a joint undertaking of eleven Western European nations of Euratom, established to build and operate a major confinement experiment; the development of co-operative projects within the OECD/IEA framework; the INTOR workshop, a world-wide study under IAEA auspices of the next major step in fusion research which might be built co-operatively; and assessments of the potential of nuclear fusion by the IAEA and the International Fusion Research Council. The INTOR (International Tokamak Reactor) studies have outlined a major plant of the tokamak type to study the engineering and technology of fusion reactor systems, which might be constructed on a world-wide basis to tackle and share the investment risks of the developments which lie ahead. This paper summarizes the recent progress of research on controlled nuclear fusion, featuring those areas where international co-operation has played an important part, and describes the various arrangements by which this international co-operation is facilitated. (author)

  16. The development of controlled nuclear fusion

    International Nuclear Information System (INIS)

    Pease, R.S.

    1978-01-01

    The high temperature conditions needed in a controlled nuclear fusion reactor are now being approached in experiments using magnetic fields to confine and isolate the plasma, especially in systems of the tokamak type. The underlying reasons for the successes are discussed and it is concluded that the remaining advances needed in temperature and thermal insulation may well be achieved in new large tokamak experiments now under construction. Comparable progress is being made also in inertial confinement systems; key experiments on achieving the required super-high densities with high-powered pulsed laser systems are about to commence. To achieve fusion reactors will require the combination of three major disciplines: plasma physics, electromechanical engineering and nuclear engineering. Proposals have been made for an international study group to be set up under the IAEA auspices to consider technical objectives and the nature of the next large fusion device which could be constructed internationally, and in which this synthesis could be attempted. (author)

  17. Magnetized Target Fusion At General Fusion: An Overview

    Science.gov (United States)

    Laberge, Michel; O'Shea, Peter; Donaldson, Mike; Delage, Michael; Fusion Team, General

    2017-10-01

    Magnetized Target Fusion (MTF) involves compressing an initial magnetically confined plasma on a timescale faster than the thermal confinement time of the plasma. If near adiabatic compression is achieved, volumetric compression of 350X or more of a 500 eV target plasma would achieve a final plasma temperature exceeding 10 keV. Interesting fusion gains could be achieved provided the compressed plasma has sufficient density and dwell time. General Fusion (GF) is developing a compression system using pneumatic pistons to collapse a cavity formed in liquid metal containing a magnetized plasma target. Low cost driver, straightforward heat extraction, good tritium breeding ratio and excellent neutron protection could lead to a practical power plant. GF (65 employees) has an active plasma R&D program including both full scale and reduced scale plasma experiments and simulation of both. Although pneumatic driven compression of full scale plasmas is the end goal, present compression studies use reduced scale plasmas and chemically accelerated aluminum liners. We will review results from our plasma target development, motivate and review the results of dynamic compression field tests and briefly describe the work to date on the pneumatic driver front.

  18. Effect of diffraction and film-thickness gradients on wafer-curvature measurements of thin-film stress

    International Nuclear Information System (INIS)

    Breiland, W.G.; Lee, S.R.; Koleske, D.D.

    2004-01-01

    When optical measurements of wafer curvature are used to determine thin-film stress, the laser beams that probe the sample are usually assumed to reflect specularly from the curved surface of the film and substrate. Yet, real films are not uniformly thick, and unintended thickness gradients produce optical diffraction effects that steer the laser away from the ideal specular condition. As a result, the deflection of the laser in wafer-curvature measurements is actually sensitive to both the film stress and the film-thickness gradient. We present a Fresnel-Kirchhoff optical diffraction model of wafer-curvature measurements that provides a unified description of these combined effects. The model accurately simulates real-time wafer-curvature measurements of nonuniform GaN films grown on sapphire substrates by vapor-phase epitaxy. During thin-film growth, thickness gradients cause the reflected beam to oscillate asymmetrically about the ideal position defined by the stress-induced wafer curvature. This oscillating deflection has the same periodicity as the reflectance of the growing film, and the deflection amplitude is a function of the film-thickness gradient, the mean film thickness, the wavelength distribution of the light source, the illuminated spot size, and the refractive indices of the film and substrate. For typical GaN films grown on sapphire, misinterpretation of these gradient-induced oscillations can cause stress-measurement errors that approach 10% of the stress-thickness product; much greater errors occur in highly nonuniform films. Only transparent films can exhibit substantial gradient-induced deflections; strongly absorbing films are immune

  19. Physics of fusion-fuel cycles

    International Nuclear Information System (INIS)

    McNally, J.R. Jr.

    1981-01-01

    The evaluation of nuclear fusion fuels for a magnetic fusion economy must take into account the various technological impacts of the various fusion fuel cycles as well as the relative reactivity and the required β's and temperatures necessary for economic steady-state burns. This paper will review some of the physics of the various fusion fuel cycles (D-T, catalyzed D-D, D- 3 He, D- 6 Li, and the exotic fuels: 3 He 3 He and the proton-based fuels such as P- 6 Li, P- 9 Be, and P- 11 B) including such items as: (1) tritium inventory, burnup, and recycle, (2) neutrons, (3) condensable fuels and ashes, (4) direct electrical recovery prospects, (5) fissile breeding, etc. The advantages as well as the disadvantages of the different fusion fuel cycles will be discussed. The optimum fuel cycle from an overall standpoint of viability and potential technological considerations appears to be catalyzed D-D, which could also support smaller relatively clean, lean-D, rich- 3 He satellite reactors as well as fission reactors

  20. Detection of trace contamination of copper on a silicon wafer with TXRF

    International Nuclear Information System (INIS)

    Yamada, T.; Matsuo, M.; Kohno, H.; Mori, Y.

    2000-01-01

    The element copper on silicon wafers is one of the most important metals to be detected among the contamination in semiconductor industries. When W-Lβ 1 (9.67 keV) line is used for the excitation in TXRF instrument and when Si(Li) is used as its detector, an escape peak appears at 7.93 keV which is close to the energy of Cu-Kα line(8.04 keV). When the concentration of copper is lower than 10 10 atoms/cm 2 , accurate quantitative analysis is difficult because of the overlapping of the peaks. When Au-Lβ 1 line(11.44 keV) is used for the excitation, the escape peak appears at 9.70 keV which is far enough from the energy of Cu-Ka line. We prepared silicon wafers intentionally contaminated with copper in a low concentration range of 10 8 to 10 10 atoms/cm 2 and measured them with a TXRF instrument having Au-Lβ 1 line for excitation. The contaminated samples were made with IAP method and their Cu concentrations were calibrated with VPD-AAS method (recovery solution: 2 % HF + 2 % H 2 O 2 ). A figure shows the correlation between the results with TXRF and those with AAS. The horizontal axis is the value of concentration decided by AAS and the vertical axis is the intensity of Cu-Kα line measured with the TXRF. Six wafers of different concentration were used and five points on each wafer including the center were measured with TXRF. Five points at each concentration in the figure correspond to the results measured on one wafer. Intensities of Cu-Kα line are weak in these low concentration ranges but the background of them are also very small (less than 0.05 cps). Therefore the peak of Cu-Kα line can be distinguished from the background. It can be said that a calibration curve can be drawn to the middle range of 10 9 atoms/cm 2 . The same samples were measured with another TXRF instrument having W-Lβ 1 line for excitation. It was difficult to draw a calibration curve in this case. We will present both results taken with Au-Lβ 1 line and with W-Lβ 1 line. (author)

  1. Deep level centers in electron-irradiated silicon crystals doped with copper at different temperatures

    Energy Technology Data Exchange (ETDEWEB)

    Yarykin, Nikolai [Institute of Microelectronics Technology, RAS, Chernogolovka (Russian Federation); Weber, Joerg [Technische Universitaet Dresden (Germany)

    2017-07-15

    The effect of bombardment with energetic particles on the deep-level spectrum of copper-contaminated silicon wafers is studied by space charge spectroscopy methods. The p-type FZ-Si wafers were doped with copper in the temperature range of 645-750 C and then irradiated with the 10{sup 15} cm{sup -2} fluence of 5 MeV electrons at room temperature. Only the mobile Cu{sub i} species and the Cu{sub PL} centers are detected in significant concentrations in the non-irradiated Cu-doped wafers. The properties of the irradiated samples are found to qualitatively depend on the copper in-diffusion temperature T{sub diff}. For T{sub diff} > 700 C, the irradiation partially reduces the Cu{sub i} concentration and introduces additional Cu{sub PL} centers while no standard radiation defects are detected. If T{sub diff} was below ∝700 C, the irradiation totally removes the mobile Cu{sub i} species. Instead, the standard radiation defects and their complexes with copper appear in the deep-level spectrum. A model for the defects reaction scheme during the irradiation is derived and discussed. DLTS spectrum of the Cu-contaminated and then irradiated silicon qualitatively depends on the copper in-diffusion temperature. (copyright 2017 WILEY-VCH Verlag GmbH and Co. KGaA, Weinheim)

  2. Functional Testing and Characterisation of ISFETs on Wafer Level by Means of a Micro-droplet Cell

    Directory of Open Access Journals (Sweden)

    Michael J. Schöning

    2006-04-01

    Full Text Available A wafer-level functionality testing and characterisation system for ISFETs (ion-sensitive field-effect transistor is realised by means of integration of a specifically designedcapillary electrochemical micro-droplet cell into a commercial wafer prober-station. Thedeveloped system allows the identification and selection of “good” ISFETs at the earlieststage and to avoid expensive bonding, encapsulation and packaging processes for non-functioning ISFETs and thus, to decrease costs, which are wasted for bad dies. Thedeveloped system is also feasible for wafer-level characterisation of ISFETs in terms ofsensitivity, hysteresis and response time. Additionally, the system might be also utilised forwafer-level testing of further electrochemical sensors.

  3. Germanium photodetectors fabricated on 300 mm silicon wafers for near-infrared focal plane arrays

    Science.gov (United States)

    Zeller, John W.; Rouse, Caitlin; Efstathiadis, Harry; Dhar, Nibir K.; Wijewarnasuriya, Priyalal; Sood, Ashok K.

    2017-09-01

    SiGe p-i-n photodetectors have been fabricated on 300 mm (12") diameter silicon (Si) wafers utilizing high throughput, large-area complementary metal-oxide semiconductor (CMOS) technologies. These Ge photodetectors are designed to operate in room temperature environments without cooling, and thus have potential size and cost advantages over conventional cooled infrared detectors. The two-step fabrication process for the p-i-n photodetector devices, designed to minimize the formation of defects and threading dislocations, involves low temperature epitaxial growth of a thin p+ (boron) Ge seed/buffer layer, followed by higher temperature deposition of a thicker Ge intrinsic layer. Scanning electron microscopy (SEM) and transmission electron microscopy (TEM) demonstrated uniform layer compositions with well defined layer interfaces and reduced dislocation density. Time-of-flight secondary ion mass spectroscopy (TOF-SIMS) was likewise employed to analyze the doping levels of the p+ and n+ layers. Current-voltage (I-V) measurements demonstrated that these SiGe photodetectors, when exposed to incident visible-NIR radiation, exhibited dark currents down below 1 μA and significant enhancement in photocurrent at -1 V. The zero-bias photocurrent was also relatively high, showing a minimal drop compared to that at -1 V bias.

  4. Complete Fabrication of a Traversable 3 µm Thick NbN Film Superconducting Coil with Cu plated layer of 42m in Length in a Spiral Three-Storied Trench Engraved in a Si Wafer of 76.2 mm in Diameter Formed by MEMS Technology for a Compact SMES with High Energy Storage Volume Density

    Science.gov (United States)

    Suzuki, Yasuhiro; Iguchi, Nobuhiro; Adachi, Kazuhiro; Ichiki, Akihisa; Hioki, Tatsumi; Hsu, Che-Wei; Sato, Ryoto; Kumagai, Shinya; Sasaki, Minoru; Noh, Joo-Hyong; Sakurahara, Yuuske; Okabe, Kyohei; Takai, Osamu; Honma, Hideo; Watanabe, Hideo; Sakoda, Hitoshi; Sasagawa, Hiroaki; Doy, Hideyuki; Zhou, Shuliang; Hori, H.; Nishikawa, Shigeaki; Nozaki, Toshihiro; Sugimoto, Noriaki; Motohiro, Tomoyoshi

    2017-09-01

    Based on the concept of a novel approach to make a compact SMES unit composed of a stack of Si wafers using MEMS process proposed previously, a complete fabrication of a traversable 3 µam thick NbN film superconducting coil lined with Cu plated layer of 42m in length in a spiral three-storied trench engraved in and extended over a whole Si-wafer of 76.2 mm in diameter was attained for the first time. With decrease in temperature, the DC resistivity showed a metallic decrease indicating the current pass was in the Cu plated layer and then made a sudden fall to residual contact resistance indicating the shift of current pass from the Cu plated layer to the NbN film at the critical temperature Tc of 15.5K by superconducting transition. The temperature dependence of I-V curve showed the increase in the critical current with decrease in the temperature and the highest critical current measured was 220 mA at 4K which is five times as large as that obtained in the test fabrication as the experimental proof of concept presented in the previous report. This completion of a one wafer superconducting NbN coil is an indispensable step for the next proof of concept of fabrication of series-connected two wafer coils via superconductive joint which will read to series connected 600 wafer coils finally, and for replacement of NbN by high Tc superconductor such as YBa2Cu3O7-x for operation under the cold energy of liquid hydrogen or liquid nitrogen.

  5. Research into thermonuclear fusion

    International Nuclear Information System (INIS)

    Schumacher, U.

    1989-01-01

    The experimental and theoretical studies carried out in close international cooperation in the field of thermonuclear fusion by magnetic plasma confinement have achieved such progress towards higher plasma temperatures and densities, longer confinement times and, thus, increased fusion product, that emphasis now begins to be shifted from problems of physics to those of technology as a next major step is being prepared towards a large international project (ITER) to achieve thermonuclear burning. The generation and maintenance of a burning fusion plasma in an experimental physics phase will be followed by a phase of technical materials studies at high fluxes of fusion neutrons. These goals have been pursued since 1983 by an international study group at Garching working on the design of a Next European Torus (NET). Since May 1988, an international study group comprising ten experts each from the USSR, USA, Japan, and the European Community has begun to work on a design draft of ITER (International Thermonuclear Experimental Reactor) in Garching under the auspices of IAEA. (orig.) [de

  6. Controlled nuclear fusion apparatus

    International Nuclear Information System (INIS)

    Bussard, R.W.; Coppi, B.

    1982-01-01

    A fusion power generating device is disclosed having a relatively small and inexpensive core region which may be contained within an energy absorbing blanket region. The fusion power core region contains apparatus of the toroidal type for confining a high density plasma. The fusion power core is removable from the blanket region and may be disposed and/or recycled for subsequent use within the same blanket region. Thermonuclear ignition of the plasma is obtained by feeding neutral fusible gas into the plasma in a controlled manner such that charged particle heating produced by the fusion reaction is utilized to bootstrap the device to a region of high temperatures and high densities wherein charged particle heating is sufficient to overcome radiation and thermal conductivity losses. The high density plasma produces a large radiation and particle flux on the first wall of the plasma core region thereby necessitating replacement of the core from the blanket region from time to time. A series of potentially disposable and replaceable central core regions are disclosed for a large-scale economical electrical power generating plant

  7. Surface passivation at low temperature of p- and n-type silicon wafers using a double layer a-Si:H/SiNx:H

    International Nuclear Information System (INIS)

    Focsa, A.; Slaoui, A.; Charifi, H.; Stoquert, J.P.; Roques, S.

    2009-01-01

    Surface passivation of bare silicon or emitter region is of great importance towards high efficiency solar cells. Nowadays, this is usually accomplished by depositing an hydrogenated amorphous silicon nitride (a-SiNx:H) layer on n + p structures that serves also as an excellent antireflection layer. On the other hand, surface passivation of p-type silicon is better assured by an hydrogenated amorphous silicon (a-Si:H) layer but suffers from optical properties. In this paper, we reported the surface passivation of p-type and n-type silicon wafers by using an a-Si:H/SiNx:H double layer formed at low temperature (50-400 deg. C) with ECR-PECVD technique. We first investigated the optical properties (refraction index, reflectance, and absorbance) and structural properties by FTIR (bonds Si-H, N-H) of the deposited films. The hydrogen content in the layers was determined by elastic recoil detection analysis (ERDA). The passivation effect was monitored by measuring the minority carrier effective lifetime vs. different parameters such as deposition temperature and amorphous silicon layer thickness. We have found that a 10-15 nm a-Si film with an 86 nm thick SiN layer provides an optimum of the minority carriers' lifetime. It increases from an initial value of about 50-70 μs for a-Si:H to about 760 and 800 μs for a-Si:H/SiNx:H on Cz-pSi and FZ-nSi, respectively, at an injection level 2 x 10 15 cm -3 . The effective surface recombination velocity, S eff , for passivated double layer on n-type FZ Si reached 11 cm/s and for FZ-pSi-14 cm/s, and for Cz-pSi-16-20 cm/s. Effect of hydrogen in the passivation process is discussed.

  8. The Role of the JET Project in Global Fusion Research

    DEFF Research Database (Denmark)

    Jensen, Vagn Orla

    1983-01-01

    The aim of nuclear fusion research is to make fusion energy available as a new energy source. Fusion processes occur naturally in the sun, where hydrogen nuclei release energy by combining to form helium. A fusion reactor on earth will require even higher temperatures than in the interior...... of the sun, and it will be based on deuterium and tritium reactions. JET (Joint European Torus) is a major fusion experiment now under construction near Abingdon in the UK It is aimed at producing conditions approximating those necessary in a fusion reactor. The results expected from JET should permit...... a realistic evaluation of the prospects for fusion power and serve as a basis for the design of the next major fusion experiment....

  9. Investigation of Surface Pre-Treatment Methods for Wafer-Level Cu-Cu Thermo-Compression Bonding

    Directory of Open Access Journals (Sweden)

    Koki Tanaka

    2016-12-01

    Full Text Available To increase the yield of the wafer-level Cu-Cu thermo-compression bonding method, certain surface pre-treatment methods for Cu are studied which can be exposed to the atmosphere before bonding. To inhibit re-oxidation under atmospheric conditions, the reduced pure Cu surface is treated by H2/Ar plasma, NH3 plasma and thiol solution, respectively, and is covered by Cu hydride, Cu nitride and a self-assembled monolayer (SAM accordingly. A pair of the treated wafers is then bonded by the thermo-compression bonding method, and evaluated by the tensile test. Results show that the bond strengths of the wafers treated by NH3 plasma and SAM are not sufficient due to the remaining surface protection layers such as Cu nitride and SAMs resulting from the pre-treatment. In contrast, the H2/Ar plasma–treated wafer showed the same strength as the one with formic acid vapor treatment, even when exposed to the atmosphere for 30 min. In the thermal desorption spectroscopy (TDS measurement of the H2/Ar plasma–treated Cu sample, the total number of the detected H2 was 3.1 times more than the citric acid–treated one. Results of the TDS measurement indicate that the modified Cu surface is terminated by chemisorbed hydrogen atoms, which leads to high bonding strength.

  10. Direct energy conversion of radiation energy in fusion reactor

    International Nuclear Information System (INIS)

    Yamaguchi, S.; Iiyoshi, A.; Motojima, O.; Okamoto, M.; Sudo, S.; Ohnishi, M.; Onozuka, M.; Uenosono, C.

    1993-11-01

    Direct energy conversion from plasma heat flux has been studied. Since major parts of fusion energy in the advanced fusion reactor are radiation and charged particle energies, the flexible design of the blanket is possible. We discuss the potentiality of the thermoelectric element that generates electricity by temperature gradient in conductors. A strong magnetic field is used to confine the fusion plasma, therefore, it is appropriate to consider the effect of the magnetic field. We propose a new element which is called Nernst element. The new element needs the magnetic field and the temperature gradient. We compare the efficiency of these two elements in a semiconductor model. Finally, a direct energy conversion are mentioned. (author)

  11. Direct energy conversion of radiation energy in fusion reactor

    Science.gov (United States)

    Yamaguchi, S.; Iiyoshi, A.; Motojima, O.; Okamoto, M.; Sudo, S.; Ohnishi, M.; Onozuka, M.; Uenosono, C.

    1993-11-01

    Direct energy conversion from plasma heat flux has been studied. Since major parts of fusion energy in the advanced fusion reactor are radiation and charged particle energies, the flexible design of the blanket is possible. We discuss the potentiality of the thermoelectric element that generates electricity by temperature gradient in conductors. A strong magnetic field is used to confine the fusion plasma, therefore, it is appropriate to consider the effect of the magnetic field. We propose a new element which is called Nernst element. The new element needs the magnetic field and the temperature gradient. We compare the efficiency of these two elements in a semiconductor model. Finally, a direct energy conversion are mentioned.

  12. Direct energy conversion of radiation energy in fusion reactor

    Energy Technology Data Exchange (ETDEWEB)

    Yamaguchi, S.; Iiyoshi, A.; Motojima, O.; Okamoto, M.; Sudo, S.; Ohnishi, M.; Onozuka, M.; Uenosono, C.

    1993-11-01

    Direct energy conversion from plasma heat flux has been studied. Since major parts of fusion energy in the advanced fusion reactor are radiation and charged particle energies, the flexible design of the blanket is possible. We discuss the potentiality of the thermoelectric element that generates electricity by temperature gradient in conductors. A strong magnetic field is used to confine the fusion plasma, therefore, it is appropriate to consider the effect of the magnetic field. We propose a new element which is called Nernst element. The new element needs the magnetic field and the temperature gradient. We compare the efficiency of these two elements in a semiconductor model. Finally, a direct energy conversion are mentioned. (author).

  13. Direct energy conversion of radiation energy in fusion reactor

    Energy Technology Data Exchange (ETDEWEB)

    Yamaguchi, S.; Iiyoshi, A.; Motojima, O.; Okamoto, M.; Sudo, S. [National Inst. for Fusion Science, Nagoya (Japan); Ohnishi, M.; Onozuka, M.; Uenosono, C.

    1994-12-31

    Direct energy conversion from plasma heat flux has been studied. Since major parts of fusion energy in the advanced fusion reactor are radiation and charged particle energies, the flexible design of the blanket is possible. We discuss the potentiality of the thermoelectric element that generate electricity by temperature gradient in conductors. A Strong magnetic field is used to confine the fusion plasma, therefore, it is appropriate to consider the effect of the magnetic field. We propose a new element which is called Nernst element. The new element needs the magnetic field and the temperature gradient. We compare the efficiency of these two elements in a semiconductor model. Finally, a direct energy converter are mentioned. (author).

  14. Direct energy conversion of radiation energy in fusion reactor

    International Nuclear Information System (INIS)

    Yamaguchi, S.; Iiyoshi, A.; Motojima, O.; Okamoto, M.; Sudo, S.; Ohnishi, M.; Onozuka, M.; Uenosono, C.

    1994-01-01

    Direct energy conversion from plasma heat flux has been studied. Since major parts of fusion energy in the advanced fusion reactor are radiation and charged particle energies, the flexible design of the blanket is possible. We discuss the potentiality of the thermoelectric element that generate electricity by temperature gradient in conductors. A Strong magnetic field is used to confine the fusion plasma, therefore, it is appropriate to consider the effect of the magnetic field. We propose a new element which is called Nernst element. The new element needs the magnetic field and the temperature gradient. We compare the efficiency of these two elements in a semiconductor model. Finally, a direct energy converter are mentioned. (author)

  15. Laser-fusion rocket for interplanetary propulsion

    International Nuclear Information System (INIS)

    Hyde, R.A.

    1983-01-01

    A rocket powered by fusion microexplosions is well suited for quick interplanetary travel. Fusion pellets are sequentially injected into a magnetic thrust chamber. There, focused energy from a fusion Driver is used to implode and ignite them. Upon exploding, the plasma debris expands into the surrounding magnetic field and is redirected by it, producing thrust. This paper discusses the desired features and operation of the fusion pellet, its Driver, and magnetic thrust chamber. A rocket design is presented which uses slightly tritium-enriched deuterium as the fusion fuel, a high temperature KrF laser as the Driver, and a thrust chamber consisting of a single superconducting current loop protected from the pellet by a radiation shield. This rocket can be operated with a power-to-mass ratio of 110 W gm -1 , which permits missions ranging from occasional 9 day VIP service to Mars, to routine 1 year, 1500 ton, Plutonian cargo runs

  16. Wrinkle-Free Single-Crystal Graphene Wafer Grown on Strain-Engineered Substrates.

    Science.gov (United States)

    Deng, Bing; Pang, Zhenqian; Chen, Shulin; Li, Xin; Meng, Caixia; Li, Jiayu; Liu, Mengxi; Wu, Juanxia; Qi, Yue; Dang, Wenhui; Yang, Hao; Zhang, Yanfeng; Zhang, Jin; Kang, Ning; Xu, Hongqi; Fu, Qiang; Qiu, Xiaohui; Gao, Peng; Wei, Yujie; Liu, Zhongfan; Peng, Hailin

    2017-12-26

    Wrinkles are ubiquitous for graphene films grown on various substrates by chemical vapor deposition at high temperature due to the strain induced by thermal mismatch between the graphene and substrates, which greatly degrades the extraordinary properties of graphene. Here we show that the wrinkle formation of graphene grown on Cu substrates is strongly dependent on the crystallographic orientations. Wrinkle-free single-crystal graphene was grown on a wafer-scale twin-boundary-free single-crystal Cu(111) thin film fabricated on sapphire substrate through strain engineering. The wrinkle-free feature of graphene originated from the relatively small thermal expansion of the Cu(111) thin film substrate and the relatively strong interfacial coupling between Cu(111) and graphene, based on the strain analyses as well as molecular dynamics simulations. Moreover, we demonstrated the transfer of an ultraflat graphene film onto target substrates from the reusable single-crystal Cu(111)/sapphire growth substrate. The wrinkle-free graphene shows enhanced electrical mobility compared to graphene with wrinkles.

  17. In situ beam angle measurement in a multi-wafer high current ion implanter

    International Nuclear Information System (INIS)

    Freer, B.S.; Reece, R.N.; Graf, M.A.; Parrill, T.; Polner, D.

    2005-01-01

    Direct, in situ measurement of the average angle and angular content of an ion beam in a multi-wafer ion implanter is reported for the first time. A new type of structure and method are described. The structures are located on the spinning disk, allowing precise angular alignment to the wafers. Current that passes through the structures is known to be within a range of angles and is detected behind the disk. By varying the angle of the disk around two axes, beam current versus angle is mapped and the average angle and angular spread are calculated. The average angle measured in this way is found to be consistent with that obtained by other techniques, including beam centroid offset and wafer channeling methods. Average angle of low energy beams, for which it is difficult to use other direct methods, is explored. A 'pencil beam' system is shown to give average angle repeatability of 0.13 deg. (1σ) or less, for two low energy beams under normal tuning variations, even though no effort was made to control the angle

  18. Principle and modelling of Transient Current Technique for interface traps characterization in monolithic pixel detectors obtained by CMOS-compatible wafer bonding

    International Nuclear Information System (INIS)

    Bronuzzi, J.; Mapelli, A.; Moll, M.; Sallese, J.M.

    2016-01-01

    In the framework of monolithic silicon radiation detectors, a fabrication process based on a recently developed silicon wafer bonding technique at low temperature was proposed. Ideally, this new process would enable direct bonding of a read-out electronic chip wafer on a highly resistive silicon substrate wafer, which is expected to present many advantages since it would combine high performance IC's with high sensitive ultra-low doped bulk silicon detectors. But electrical properties of the bonded interface are critical for this kind of application since the mobile charges generated by radiation inside the bonded bulk are expected to transit through the interface in order to be collected by the read-out electronics. In this work, we propose to explore and develop a model for the so-called Transient Current Technique (TCT) to identify the presence of deep traps at the bonded interface. For this purpose, we consider a simple PIN diode reversely biased where the ultra-low doped active region of interest is set in full depletion. In a first step, Synopsys Sentaurus TCAD is used to evaluate the soundness of this technique for interface traps characterization such as it may happen in bonded interfaces. Next, an analytical model is developed in details to give a better insight into the physics behind the TCT for interface layers. Further, this can be used as a simple tool to evidence what are the relevant parameters influencing the TCT signal and to set the basis for preliminary characterizations.

  19. Hydrogen permeability, diffusivity, and solubility of SUS 316L stainless steel in the temperature range 400 to 800 .deg. C for fusion reactor applications

    International Nuclear Information System (INIS)

    Lee, S. K.; Kim, H. S.; Noh, S. J.; Han, J. H.

    2011-01-01

    Tritium permeation is one of the critical issues for the economy and safety of fusion power plants. As an initial step in tritium permeation research for fusion reactor applications, experiments were initiated by using hydrogen as a tritium substitute. An experimental system for hydrogen permeation and related behaviors in solid materials was designed and constructed. A continuous flow method was adopted with a capacity for high temperatures up to ∼1,000 .deg. C under ultra-high vacuums of ∼10 -7 Pa. The hydrogen permeation behavior in SUS 316L stainless steel was investigated in the temperature range from 400 .deg. C to 800 .deg. C. As a result, the permeability, diffusivity and solubility of hydrogen were determined. The results were compared with the previously existing reference data. Changes in the sample's surface morphology after the hydrogen permeation experiment are also addressed.

  20. Material for fusion reactor

    International Nuclear Information System (INIS)

    Abhishek, Anuj; Ranjan, Prem

    2011-01-01

    To make nuclear fusion power a reality, the scientists are working restlessly to find the materials which can confine the power generated by the fusion of two atomic nuclei. A little success in this field has been achieved, though there are still miles to go. Fusion reaction is a special kind of reaction which must occur at very high density and temperature to develop extremely large amount of energy, which is very hard to control and confine within using the present techniques. As a whole it requires the physical condition that rarely exists on the earth to carry out in an efficient manner. As per the growing demand and present scenario of the world energy, scientists are working round the clock to make effective fusion reactions to real. In this paper the work presently going on is considered in this regard. The progress of the Joint European Torus 2010, ITER 2005, HiPER and minor works have been studied to make the paper more object oriented. A detailed study of the technological and material requirement has been discussed in the paper and a possible suggestion is provided to make a contribution in the field of building first ever nuclear fusion reactor

  1. Effects of low-temperature fusion neutron irradiation on critical properties of a monofilament niobium-tin superconductor

    International Nuclear Information System (INIS)

    Guinan, M.W.; Van Konynenburg, R.A.; Mitchell, J.B.

    1984-01-01

    The objective of this work was to irradiate a Nb 3 Sn superconductor with 14.8 MeV neutrons at 4 K and measure critical current in transverse fields of up to 12 T, irradiating up to a fluence sufficient to decrease the critical current to below its initial value. Critical temperatures were also to be measured. The samples were to be kept near 4 K between the irradiation and the measurement of critical properties. This work is directed toward establishing an engineering design fluence limit for Nb 3 Sn when used in fusion reactor superconducting magnets

  2. Effects of low-temperature fusion neutron irradiation on critical properties of a monofilament niobium-tin superconductor

    Energy Technology Data Exchange (ETDEWEB)

    Guinan, M.W.; Van Konynenburg, R.A.; Mitchell, J.B.

    1984-03-22

    The objective of this work was to irradiate a Nb/sub 3/Sn superconductor with 14.8 MeV neutrons at 4 K and measure critical current in transverse fields of up to 12 T, irradiating up to a fluence sufficient to decrease the critical current to below its initial value. Critical temperatures were also to be measured. The samples were to be kept near 4 K between the irradiation and the measurement of critical properties. This work is directed toward establishing an engineering design fluence limit for Nb/sub 3/Sn when used in fusion reactor superconducting magnets.

  3. Electronically and ionically conductive porous material and method for manufacture of resin wafers therefrom

    Science.gov (United States)

    Lin, YuPo J [Naperville, IL; Henry, Michael P [Batavia, IL; Snyder, Seth W [Lincolnwood, IL

    2011-07-12

    An electrically and ionically conductive porous material including a thermoplastic binder and one or more of anion exchange moieties or cation exchange moieties or mixtures thereof and/or one or more of a protein capture resin and an electrically conductive material. The thermoplastic binder immobilizes the moieties with respect to each other but does not substantially coat the moieties and forms the electrically conductive porous material. A wafer of the material and a method of making the material and wafer are disclosed.

  4. Simplified nonplanar wafer bonding for heterogeneous device integration

    Science.gov (United States)

    Geske, Jon; Bowers, John E.; Riley, Anton

    2004-07-01

    We demonstrate a simplified nonplanar wafer bonding technique for heterogeneous device integration. The improved technique can be used to laterally integrate dissimilar semiconductor device structures on a lattice-mismatched substrate. Using the technique, two different InP-based vertical-cavity surface-emitting laser active regions have been integrated onto GaAs without compromising the quality of the photoluminescence. Experimental and numerical simulation results are presented.

  5. The challenges encountered in the integration of an early test wafer surface scanning inspection system into a 450mm manufacturing line

    Science.gov (United States)

    Lee, Jeffrey; McGarvey, Steve

    2013-04-01

    The introduction of early test wafer (ETW) 450mm Surface Scanning Inspection Systems (SSIS) into Si manufacturing has brought with it numerous technical, commercial, and logistical challenges on the path to rapid recipe development and subsequent qualification of other 450mm wafer processing equipment. This paper will explore the feasibility of eliminating the Polystyrene Latex Sphere deposition process step and the subsequent creation of SSIS recipes based upon the theoretical optical properties of both the SSIS and the process film stack(s). The process of Polystyrene Latex Sphere deposition for SSIS recipe generation and development is generally accepted on the previous technology nodes for 150/200/300mm wafers. PSL is deposited with a commercially available deposition system onto a non-patterned bare Si or non-patterned filmed Si wafer. After deposition of multiple PSL spots, located in different positions on a wafer, the wafer is inspected on a SSIS and a response curve is generated. The response curve is based on the the light scattering intensity of the NIST certified PSL that was deposited on the wafer. As the initial 450mm Si wafer manufacturing began, there were no inspection systems with sub-90nm sensitivities available for defect and haze level verification. The introduction of a 450mm sub-30nm inspection system into the manufacturing line generated instant challenges. Whereas the 450mm wafers were relatively defect free at 90nm, at 40nm the wafers contained several hundred thousand defects. When PSL was deposited onto wafers with these kinds of defect levels, PSL with signals less than the sub-90nm defects were difficult to extract. As the defectivity level of the wafers from the Si suppliers rapidly improves the challenges of SSIS recipe creation with high defectivity decreases while at the same time the cost of PSL deposition increases. The current cost per wafer is fifteen thousand dollars for a 450mm PSL deposition service. When viewed from the

  6. Application of a layout/material handling design method to a furnace area in a 300 mm wafer fab

    NARCIS (Netherlands)

    Hesen, P.M.C.; Renders, P.J.J.; Rooda, J.E.

    2001-01-01

    For many years, material handling within the semiconductor industry has become increasingly important. With the introduction of 300 mm wafer production, ergonomics and product safety become more critical. Therefore, the manufacturers of semiconductor wafer fabs are considering the automation of

  7. Laser fusion

    International Nuclear Information System (INIS)

    Eliezer, S.

    1982-02-01

    In this paper, the physics of laser fusion is described on an elementary level. The irradiated matter consists of a dense inner core surrounded by a less dense plasma corona. The laser radiation is mainly absorbed in the outer periphery of the plasma. The absorbed energy is transported inward to the ablation surface where plasma flow is created. Due to this plasma flow, a sequence of inward going shock waves and heat waves are created, resulting in the compression and heating of the core to high density and temperature. The interaction physics between laser and matter leading to thermonuclear burn is summarized by the following sequence of events: Laser absorption → Energy transport → Compression → Nuclear Fusion. This scenario is shown in particular for a Nd:laser with a wavelength of 1 μm. The wavelength scaling of the physical processes is also discussed. In addition to the laser-plasma physics, the Nd high power pulsed laser is described. We give a very brief description of the oscillator, the amplifiers, the spatial filters, the isolators and the diagnostics involved. Last, but not least, the concept of reactors for laser fusion and the necessary laser system are discussed. (author)

  8. Fusion rings and fusion ideals

    DEFF Research Database (Denmark)

    Andersen, Troels Bak

    by the so-called fusion ideals. The fusion rings of Wess-Zumino-Witten models have been widely studied and are well understood in terms of precise combinatorial descriptions and explicit generating sets of the fusion ideals. They also appear in another, more general, setting via tilting modules for quantum......This dissertation investigates fusion rings, which are Grothendieck groups of rigid, monoidal, semisimple, abelian categories. Special interest is in rational fusion rings, i.e., fusion rings which admit a finite basis, for as commutative rings they may be presented as quotients of polynomial rings...

  9. Improved delivery of the anticancer agent citral using BSA nanoparticles and polymeric wafers

    Directory of Open Access Journals (Sweden)

    White B

    2017-12-01

    Full Text Available Benjamin White,1 Anna Evison,1 Eszter Dombi,1 Helen E Townley1,2 1Nuffield Department of Obstetrics and Gynaecology, Women’s Centre, John Radcliffe Hospital, 2Department of Engineering Science, Oxford University, Oxford, UK Abstract: Rhabdomyosarcoma (RMS is the most common soft tissue sarcoma in children, with a 5-year survival rate of between 30 and 65%. Standard treatment involves surgery, radiation treatment, and chemotherapy. However, there is a high recurrence rate, particularly from locoregional spread. We investigated the use of the natural compound citral (3,7-dimethyl-2,6-octadienal, which can be found in a number of plants, but is particularly abundant in lemon grass (Cymbopogon citratus oil, for activity against immortalized RMS cells. Significant cancer cell death was seen at concentrations above 150 μM citral, and mitochondrial morphological changes were seen after incubation with 10 μM citral. However, since citral is a highly volatile molecule, we prepared albumin particles by a desolvation method to encapsulate citral, as a means of stabilization. We then further incorporated the loaded nanoparticles into a biodegradable polyanhydride wafer to generate a slow release system. The wafers were shown to degrade by 50% over the course of 25 days and to release the active compound. We therefore propose the use of the citral-nanoparticle-polymer wafers for implantation into the tumor bed after surgical removal of a sarcoma as a means to control locoregional spread due to any remaining cancerous cells. Keywords: citral, nanoparticle, wafer, biodegradable, mitochondria, toroidal, cancer, rhabdomyosarcoma, Cymbopogon citratus

  10. Preparation of freestanding GaN wafer by hydride vapor phase epitaxy on porous silicon

    Science.gov (United States)

    Wu, Xian; Li, Peng; Liang, Renrong; Xiao, Lei; Xu, Jun; Wang, Jing

    2018-05-01

    A freestanding GaN wafer was prepared on porous Si (111) substrate using hydride vapor phase epitaxy (HVPE). To avoid undesirable effects of the porous surface on the crystallinity of the GaN, a GaN seed layer was first grown on the Si (111) bare wafer. A pattern with many apertures was fabricated in the GaN seed layer using lithography and etching processes. A porous layer was formed in the Si substrate immediately adjacent to the GaN seed layer by an anodic etching process. A 500-μm-thick GaN film was then grown on the patterned GaN seed layer using HVPE. The GaN film was separated from the Si substrate through the formation of cracks in the porous layer caused by thermal mismatch stress during the cooling stage of the HVPE. Finally, the GaN film was polished to obtain a freestanding GaN wafer.

  11. ILT based defect simulation of inspection images accurately predicts mask defect printability on wafer

    Science.gov (United States)

    Deep, Prakash; Paninjath, Sankaranarayanan; Pereira, Mark; Buck, Peter

    2016-05-01

    At advanced technology nodes mask complexity has been increased because of large-scale use of resolution enhancement technologies (RET) which includes Optical Proximity Correction (OPC), Inverse Lithography Technology (ILT) and Source Mask Optimization (SMO). The number of defects detected during inspection of such mask increased drastically and differentiation of critical and non-critical defects are more challenging, complex and time consuming. Because of significant defectivity of EUVL masks and non-availability of actinic inspection, it is important and also challenging to predict the criticality of defects for printability on wafer. This is one of the significant barriers for the adoption of EUVL for semiconductor manufacturing. Techniques to decide criticality of defects from images captured using non actinic inspection images is desired till actinic inspection is not available. High resolution inspection of photomask images detects many defects which are used for process and mask qualification. Repairing all defects is not practical and probably not required, however it's imperative to know which defects are severe enough to impact wafer before repair. Additionally, wafer printability check is always desired after repairing a defect. AIMSTM review is the industry standard for this, however doing AIMSTM review for all defects is expensive and very time consuming. Fast, accurate and an economical mechanism is desired which can predict defect printability on wafer accurately and quickly from images captured using high resolution inspection machine. Predicting defect printability from such images is challenging due to the fact that the high resolution images do not correlate with actual mask contours. The challenge is increased due to use of different optical condition during inspection other than actual scanner condition, and defects found in such images do not have correlation with actual impact on wafer. Our automated defect simulation tool predicts

  12. Wafer scale lead zirconate titanate film preparation by sol-gel method using stress balance layer

    International Nuclear Information System (INIS)

    Lu Jian; Kobayashi, Takeshi; Yi Zhang; Maeda, Ryutaro; Mihara, Takashi

    2006-01-01

    In this paper, platinum/titanium (Pt/Ti) film was introduced as a residual stress balance layer into wafer scale thick lead zirconate titanate (PZT) film fabrication by sol-gel method. The stress developing in PZT film's bottom electrode as well as in PZT film itself during deposition were analyzed; the wafer curvatures, PZT crystallizations and PZT electric properties before and after using Pt/Ti stress balance layer were studied and compared. It was found that this layer is effective to balance the residual stress in PZT film's bottom electrode induced by thermal expansion coefficient mismatch and Ti diffusion, thus can notably reduce the curvature of 4-in. wafer from - 40.5 μm to - 12.9 μm after PZT film deposition. This stress balance layer was also found effective to avoid the PZT film cracking even when annealed by rapid thermal annealing with heating-rate up to 10.5 deg. C/s. According to X-ray diffraction analysis and electric properties characterization, crack-free uniform 1-μm-thick PZT film with preferred pervoskite (001) orientation, excellent dielectric constant, as high as 1310, and excellent remanent polarization, as high as 39.8 μC/cm 2 , can be obtained on 4-in. wafer

  13. Controlled Fusion with Hot-ion Mode in a Degenerate Plasma

    International Nuclear Information System (INIS)

    S. Son and N.J. Fisch

    2005-01-01

    In a Fermi-degenerate plasma, the rate of electron physical processes is much reduced from the classical prediction, possibly enabling new regimes for controlled nuclear fusion, including the hot-ion mode, a regime in which the ion temperature exceeds the electron temperature. Previous calculations of these processes in dense plasmas are now corrected for partial degeneracy and relativistic effects, leading to an expanded regime of self-sustained fusion

  14. Split-Capacitance and Conductance-Frequency Characteristics of SOI Wafers in Pseudo-MOSFET Configuration

    KAUST Repository

    Pirro, Luca

    2015-09-01

    Recent experimental results have demonstrated the possibility of characterizing silicon-on-insulator (SOI) wafers through split C-V measurements in the pseudo-MOSFET configuration. This paper analyzes the capacitance and conductance versus frequency characteristics. We discuss the conditions under which it is possible to extract interface trap density in bare SOI wafers. The results indicate, through both measurements and simulations, that the signature due to interface trap density is present in small-area samples, but is masked by the RC response of the channel in regular, large-area ones, making the extraction in standard samples problematic. © 1963-2012 IEEE.

  15. Split-Capacitance and Conductance-Frequency Characteristics of SOI Wafers in Pseudo-MOSFET Configuration

    KAUST Repository

    Pirro, Luca; Diab, Amer El Hajj; Ionica, Irina; Ghibaudo, Gerard; Faraone, Lorenzo; Cristoloveanu, Sorin

    2015-01-01

    Recent experimental results have demonstrated the possibility of characterizing silicon-on-insulator (SOI) wafers through split C-V measurements in the pseudo-MOSFET configuration. This paper analyzes the capacitance and conductance versus frequency characteristics. We discuss the conditions under which it is possible to extract interface trap density in bare SOI wafers. The results indicate, through both measurements and simulations, that the signature due to interface trap density is present in small-area samples, but is masked by the RC response of the channel in regular, large-area ones, making the extraction in standard samples problematic. © 1963-2012 IEEE.

  16. Dependence between fusion temperatures and chemical components of a certain type of coal using classical, non-parametric and bootstrap techniques

    Energy Technology Data Exchange (ETDEWEB)

    Gonzalez-Manteiga, W.; Prada-Sanchez, J.M.; Fiestras-Janeiro, M.G.; Garcia-Jurado, I. (Universidad de Santiago de Compostela, Santiago de Compostela (Spain). Dept. de Estadistica e Investigacion Operativa)

    1990-11-01

    A statistical study of the dependence between various critical fusion temperatures of a certain kind of coal and its chemical components is carried out. As well as using classical dependence techniques (multiple, stepwise and PLS regression, principal components, canonical correlation, etc.) together with the corresponding inference on the parameters of interest, non-parametric regression and bootstrap inference are also performed. 11 refs., 3 figs., 8 tabs.

  17. Multiproject wafers: not just for million-dollar mask sets

    Science.gov (United States)

    Morse, Richard D.

    2003-06-01

    With the advent of Reticle Enhancement Technologies (RET) such as Optical Proximity Correction (OPC) and Phase Shift Masks (PSM) required to manufacture semiconductors in the sub-wavelength era, the cost of photomask tooling has skyrocketed. On the leading edge of technology, mask set prices often exceed $1 million. This shifts an enormous burden back to designers and Electronic Design Automation (EDA) software vendors to create perfect designs at a time when the number of transistors per chip is measured in the hundreds of millions, and gigachips are on the drawing boards. Moore's Law has driven technology to incredible feats. The prime beneficiaries of the technology - memory and microprocessor (MPU) manufacturers - can continue to fit the model because wafer volumes (and chip prices in the MPU case) render tooling costs relatively insignificant. However, Application-Specific IC (ASIC) manufacturers and most foundry clients average very small wafer per reticle ratios causing a dramatic and potentially insupportable rise in the cost of manufacturing. Multi-Project wafers (MPWs) are a way to share the cost of tooling and silicon by putting more than one chip on each reticle. Lacking any unexpected breakthroughs in simulation, verification, or mask technology to reduce the cost of prototyping, more efficient use of reticle space becomes a viable and increasingly attractive choice. It is worthwhile therefore, to discuss the economics of prototyping in the sub-wavelength era and the increasing advantages of the MPW, shared-silicon approach. However, putting together a collection of different-sized chips during tapeout can be challenging and time consuming. Design compatibility, reticle field optimization, and frame generation have traditionally been the biggest worries but, with the advent of dummy-fill for planarization and RET for resolution, another layer of complexity has been added. MPW automation software is quite advanced today, but the size of the task

  18. Advanced ACTPol Multichroic Polarimeter Array Fabrication Process for 150 mm Wafers

    Science.gov (United States)

    Duff, S. M.; Austermann, J.; Beall, J. A.; Becker, D.; Datta, R.; Gallardo, P. A.; Henderson, S. W.; Hilton, G. C.; Ho, S. P.; Hubmayr, J.; Koopman, B. J.; Li, D.; McMahon, J.; Nati, F.; Niemack, M. D.; Pappas, C. G.; Salatino, M.; Schmitt, B. L.; Simon, S. M.; Staggs, S. T.; Stevens, J. R.; Van Lanen, J.; Vavagiakis, E. M.; Ward, J. T.; Wollack, E. J.

    2016-08-01

    Advanced ACTPol (AdvACT) is a third-generation cosmic microwave background receiver to be deployed in 2016 on the Atacama Cosmology Telescope (ACT). Spanning five frequency bands from 25 to 280 GHz and having just over 5600 transition-edge sensor (TES) bolometers, this receiver will exhibit increased sensitivity and mapping speed compared to previously fielded ACT instruments. This paper presents the fabrication processes developed by NIST to scale to large arrays of feedhorn-coupled multichroic AlMn-based TES polarimeters on 150-mm diameter wafers. In addition to describing the streamlined fabrication process which enables high yields of densely packed detectors across larger wafers, we report the details of process improvements for sensor (AlMn) and insulator (SiN_x) materials and microwave structures, and the resulting performance improvements.

  19. Radiation Tolerance of Controlled Fusion Welds in High Temperature Oxidation Resistant FeCrAl Alloys

    Energy Technology Data Exchange (ETDEWEB)

    Gussev, Maxim N. [Oak Ridge National Lab. (ORNL), Oak Ridge, TN (United States); Field, Kevin G. [Oak Ridge National Lab. (ORNL), Oak Ridge, TN (United States)

    2017-08-01

    High temperature oxidation resistant iron-chromium-aluminum (FeCrAl) alloys are candidate alloys for nuclear applications due to their exceptional performance during off-normal conditions such as a loss-of-coolant accident (LOCA) compared to currently deployed zirconium-based claddings [1]. A series of studies have been completed to determine the weldability of the FeCrAl alloy class and investigate the weldment performance in the as-received (non-irradiated) state [2,3]. These initial studies have shown the general effects of composition and microstructure on the weldability of FeCrAl alloys. Given this, limited details on the radiation tolerance of FeCrAl alloys and their weldments exist. Here, the highest priority candidate FeCrAl alloys and their weldments have been investigated after irradiation to enable a better understanding of FeCrAl alloy weldment performance within a high-intensity neutron field. The alloys examined include C35M (Fe-13%Cr-5% Al) and variants with aluminum (+2%) or titanium carbide (+1%) additions. Two different sub-sized tensile geometries, SS-J type and SS-2E (or SS-mini), were neutron irradiated in the High Flux Isotope Reactor to 1.8-1.9 displacements per atom (dpa) in the temperature range of 195°C to 559°C. Post irradiation examination of the candidate alloys was completed and included uniaxial tensile tests coupled with digital image correlation (DIC), scanning electron microscopy-electron back scattered diffraction analysis (SEM-EBSD), and SEM-based fractography. In addition to weldment testing, non-welded parent material was examined as a direct comparison between welded and non-welded specimen performance. Both welded and non-welded specimens showed a high degree of radiation-induced hardening near irradiation temperatures of 200°C, moderate radiation-induced hardening near temperatures of 360°C, and almost no radiation-induced hardening at elevated temperatures near 550°C. Additionally, low-temperature irradiations showed

  20. Mathematical model for predicting molecular-beam epitaxy growth rates for wafer production

    International Nuclear Information System (INIS)

    Shi, B.Q.

    2003-01-01

    An analytical mathematical model for predicting molecular-beam epitaxy (MBE) growth rates is reported. The mathematical model solves the mass-conservation equation for liquid sources in conical crucibles and predicts the growth rate by taking into account the effect of growth source depletion on the growth rate. Assumptions made for deducing the analytical model are discussed. The model derived contains only one unknown parameter, the value of which can be determined by using data readily available to MBE growers. Procedures are outlined for implementing the model in MBE production of III-V compound semiconductor device wafers. Results from use of the model to obtain targeted layer compositions and thickness of InP-based heterojunction bipolar transistor wafers are presented

  1. Stored energy in fusion magnet materials irradiated at low temperatures

    International Nuclear Information System (INIS)

    Chaplin, R.L.; Kerchner, H.R.; Klabunde, C.E.; Coltman, R.R.

    1989-08-01

    During the power cycle of a fusion reactor, the radiation reaching the superconducting magnet system will produce an accumulation of immobile defects in the magnet materials. During a subsequent warm-up cycle of the magnet system, the defects will become mobile and interact to produce new defect configurations as well as some mutual defect annihilations which generate heat-the release of stored energy. This report presents a brief qualitative discussion of the mechanisms for the production and release of stored energy in irradiated materials, a theoretical analysis of the thermal response of irradiated materials, theoretical analysis of the thermal response of irradiated materials during warm-up, and a discussion of the possible impact of stored energy release on fusion magnet operation 20 refs

  2. Compact fusion energy based on the spherical tokamak

    Science.gov (United States)

    Sykes, A.; Costley, A. E.; Windsor, C. G.; Asunta, O.; Brittles, G.; Buxton, P.; Chuyanov, V.; Connor, J. W.; Gryaznevich, M. P.; Huang, B.; Hugill, J.; Kukushkin, A.; Kingham, D.; Langtry, A. V.; McNamara, S.; Morgan, J. G.; Noonan, P.; Ross, J. S. H.; Shevchenko, V.; Slade, R.; Smith, G.

    2018-01-01

    Tokamak Energy Ltd, UK, is developing spherical tokamaks using high temperature superconductor magnets as a possible route to fusion power using relatively small devices. We present an overview of the development programme including details of the enabling technologies, the key modelling methods and results, and the remaining challenges on the path to compact fusion.

  3. Thinking about the cold fusion fever

    International Nuclear Information System (INIS)

    Kitsunezaki, Akio

    1989-01-01

    The excitement since March 23 on cold fusion seems to be unprecedented evidence that the people of the world are waiting for fusion power with much enthusiasm. Cold fusion is really a surprise because it does not need high temperature and because it seems to be easy to enlarge the test tube into a useful power source if the claim by Professors Pons and Fleischmann at the University of Utah are true. The second announcement of cold fusion came from the Brigham Young University, also in the state of Utah, by Professor Jones, but his report was totally different from that given by Pons and Fleischmann. From the beginning of the 'fever', physicists have been very skeptical about cold fusion. Most of the critics and criticisms are targeted on Pons and Fleischmann rather than Jones, because not only was their paper poor but also their statements have not been scientific. They insisted that the heat came from fusion reaction, but without any scientific proof. They had not carried out the basic control experiment by running the same test with ordinary water instead of heavy water. A meeting on cold fusion was held at JAERI on May 15. At the end of the meeting, the some 260 attendants knew that cold fusion was not conceivable with the current scientific knowledge. (N.K.)

  4. Spatially resolved localized vibrational mode spectroscopy of carbon in liquid encapsulated Czochralski grown gallium arsenide wafers

    International Nuclear Information System (INIS)

    Yau, Waifan.

    1988-04-01

    Substitutional carbon on an arsenic lattice site is the shallowest and one of the most dominant acceptors in semi-insulating Liquid Encapsulated Czochralski (LEC) GaAs. However, the role of this acceptor in determining the well known ''W'' shape spatial variation of neutral EL2 concentration along the diameter of a LEC wafer is not known. In this thesis, we attempt to clarify the issue of the carbon acceptor's effect on this ''W'' shaped variation by measuring spatial profiles of this acceptor along the radius of three different as-grown LEC GaAs wafers. With localized vibrational mode absorption spectroscopy, we find that the profile of the carbon acceptor is relatively constant along the radius of each wafer. Average values of concentration are 8 x 10E15 cm -3 , 1.1 x 10E15 cm -3 , and 2.2 x 10E15 cm -3 , respectively. In addition, these carbon acceptor LVM measurements indicate that a residual donor with concentration comparable to carbon exists in these wafers and it is a good candidate for the observed neutral EL2 concentration variation. 22 refs., 39 figs

  5. The present role of superconductivity in fusion

    International Nuclear Information System (INIS)

    Shimamoto, S.

    1986-01-01

    After completion of large fusion devices in the world, such as JT-60, JET and TFTR, high temperature plasma is proceeding to critical condition for fusion. The devices up to now use mainly conventional magnet. However, for the next generation machine which demonstrates fusion reaction, deuterium-tritium burning, superconducting magnet system is indispensable from view point of both net energy extraction and capacity limitation of power supply. In order to realize such a large and complicated system, a lot of development works is being carried out. This paper describes required parameters of superconducting magnet and helium refrigerator, the state of plasma condition and superconducting magnet. It is shown that the present technology of superconducting magnet is not so far from realization of fusion experimental reactor

  6. Controlled low-temperature fabrication of ZnO nanopillars with a wet-chemical approach

    Energy Technology Data Exchange (ETDEWEB)

    Postels, B [Institute of Semiconductor Technology, Technical University of Braunschweig, Hans-Sommer-Strasse 66, D-38106 Braunschweig (Germany); Wehmann, H-H [Institute of Semiconductor Technology, Technical University of Braunschweig, Hans-Sommer-Strasse 66, D-38106 Braunschweig (Germany); Bakin, A [Institute of Semiconductor Technology, Technical University of Braunschweig, Hans-Sommer-Strasse 66, D-38106 Braunschweig (Germany); Kreye, M [Institute of Semiconductor Technology, Technical University of Braunschweig, Hans-Sommer-Strasse 66, D-38106 Braunschweig (Germany); Fuhrmann, D [Institute of Applied Physics, Technical University of Braunschweig, Mendelssohnstrasse 2, D-38106 Braunschweig (Germany); Blaesing, J [Institute of Experimental Physics, Otto-von-Guericke-University Magdeburg, Universitaetsplatz 1, 39016 Magdeburg (Germany); Hangleiter, A [Institute of Applied Physics, Technical University of Braunschweig, Mendelssohnstrasse 2, D-38106 Braunschweig (Germany); Krost, A [Institute of Experimental Physics, Otto-von-Guericke-University Magdeburg, Universitaetsplatz 1, 39016 Magdeburg (Germany); Waag, A [Institute of Semiconductor Technology, Technical University of Braunschweig, Hans-Sommer-Strasse 66, D-38106 Braunschweig (Germany)

    2007-05-16

    Aqueous chemical growth (ACG) is an efficient way to generate wafer-scale and densely packed arrays of ZnO nanopillars on various substrate materials. ACG is a low-temperature growth approach that is only weakly influenced by the substrate and even allows growth on flexible polymer substrates or on conducting materials. The advanced fabrication of wafer-scale and highly vertically aligned arrays of ZnO nanopillars on various substrate materials is demonstrated. Moreover, it is possible to control the morphology in diameter and length by changing the growth conditions. Photoluminescence characterization clearly shows a comparatively strong band-edge luminescence, even at room temperature, that is accompanied by a rather weak visible luminescence in the yellow/orange spectral range.

  7. Direct Fusion Drive for a Human Mars Orbital Mission

    Energy Technology Data Exchange (ETDEWEB)

    Paluszek, Michael [Princeton Satellite Systems; Pajer, Gary [Princeton Satellite Systems; Razin, Yosef [Princeton Satellite Systems; Slonaker, James [Princeton Satellite Systems; Cohen, Samuel [PPPL; Feder, Russ [PPPL; Griffin, Kevin [Princeton University; Walsh, Matthew [Princeton University

    2014-08-01

    The Direct Fusion Drive (DFD) is a nuclear fusion engine that produces both thrust and electric power. It employs a field reversed configuration with an odd-parity rotating magnetic field heating system to heat the plasma to fusion temperatures. The engine uses deuterium and helium-3 as fuel and additional deuterium that is heated in the scrape-off layer for thrust augmentation. In this way variable exhaust velocity and thrust is obtained.

  8. Analysis and modeling of wafer-level process variability in 28 nm FD-SOI using split C-V measurements

    Science.gov (United States)

    Pradeep, Krishna; Poiroux, Thierry; Scheer, Patrick; Juge, André; Gouget, Gilles; Ghibaudo, Gérard

    2018-07-01

    This work details the analysis of wafer level global process variability in 28 nm FD-SOI using split C-V measurements. The proposed approach initially evaluates the native on wafer process variability using efficient extraction methods on split C-V measurements. The on-wafer threshold voltage (VT) variability is first studied and modeled using a simple analytical model. Then, a statistical model based on the Leti-UTSOI compact model is proposed to describe the total C-V variability in different bias conditions. This statistical model is finally used to study the contribution of each process parameter to the total C-V variability.

  9. Crack Detection in Single-Crystalline Silicon Wafer Using Laser Generated Lamb Wave

    Directory of Open Access Journals (Sweden)

    Min-Kyoo Song

    2013-01-01

    Full Text Available In the semiconductor industry, with increasing requirements for high performance, high capacity, high reliability, and compact components, the crack has been one of the most critical issues in accordance with the growing requirement of the wafer-thinning in recent years. Previous researchers presented the crack detection on the silicon wafers with the air-coupled ultrasonic method successfully. However, the high impedance mismatching will be the problem in the industrial field. In this paper, in order to detect the crack, we propose a laser generated Lamb wave method which is not only noncontact, but also reliable for the measurement. The laser-ultrasonic generator and the laser-interferometer are used as a transmitter and a receiver, respectively. We firstly verified the identification of S0 and A0 lamb wave modes and then conducted the crack detection under the thermoelastic regime. The experimental results showed that S0 and A0 modes of lamb wave were clearly generated and detected, and in the case of the crack detection, the estimated crack size by 6 dB drop method was almost equal to the actual crack size. So, the proposed method is expected to make it possible to detect the crack in the silicon wafer in the industrial fields.

  10. Tokamak Fusion Test Reactor D-T results

    International Nuclear Information System (INIS)

    Meade, D.M.

    1995-01-01

    Temperatures, densities and confinement of deuterium plasmas confined in tokamaks have been achieved within the last decade that are approaching those required for a D-T reactor. As a result, the unique phenomena present in a D-T reactor plasma (D-T plasma confinement, α confinement, α heating and possible α-driven instabilities) can now be studied in the laboratory. Recent experiments on the Tokamak Fusion Test Reactor (TFTR) have been the first magnetic fusion experiments to study plasmas with reactor fuel concentrations of tritium. The injection of about 20MW of tritium and 14MW of deuterium neutral beams into the TFTR produced a plasma with a T-to-D density ratio of about 1 and yielding a maximum fusion power of about 9.2MW. The fusion power density in the core of the plasma was about 1.8MWm -3 , approximating that expected in a D-T fusion reactor. A TFTR plasma with a T-to-D density ratio of about 1 was found to have about 20% higher energy confinement time than a comparable D plasma, indicating a confinement scaling with average ion mass A of τ E ∝A 0.6 . The core ion temperature increased from 30 to 37keV owing to a 35% improvement of ion thermal conductivity. Using the electron thermal conductivity from a comparable deuterium plasma, about 50% of the electron temperature increase from 9 to 10.6keV can be attributed to electron heating by the α particles. The approximately 5% loss of α particles, as observed on detectors near the bottom edge of the plasma, was consistent with classical first orbit loss without anomalous effects. Initial measurements have been made of the confined high energy α particles and the resultant α ash density. At fusion power levels of 7.5MW, fluctuations at the toroidal Alfven eigen-mode frequency were observed by the fluctuation diagnostics. However, no additional α loss due to the fluctuations was observed. (orig.)

  11. Safety issues for superconducting fusion magnets

    International Nuclear Information System (INIS)

    Hsieh, S.Y.; Reich, M.; Powell, J.R.

    1978-01-01

    Safety issues for future superconducting fusion magnet systems are examined. It is found that safety and failure experience with existing superconducting magnets is not very applicable to predictions as to the safety and reliability of fusion magnets. Such predictions will have to depend on analysis and judgement for many years to come, rather than on accumulated experience. A number of generic potential structural, thermal-hydraulic, and electrical safety problems are identified and analyzed. Prevention of quenches and non-uniform temperature distributions, if quenches should occur, is of great importance, since such events can trigger processes which lead to magnet damage or failure. Engineered safety features will be necessary for fusion magnets. Two of these, an energy dispersion system and external coil containment, appear capable of reducing the probability of coil disruption to very low levels. However, they do not prevent loss of function accidents which are of economic concern. Elaborate detector, temperature equalization, and energy removal systems will be required to minimize the chances of loss of function accidents

  12. Proceedings of the Office of Fusion Energy/DOE workshop on ceramic matrix composites for structural applications in fusion reactors

    International Nuclear Information System (INIS)

    Jones, R.H.; Lucas, G.E.

    1990-11-01

    A workshop to assess the potential application of ceramic matrix composites (CMCs) for structural applications in fusion reactors was held on May 21--22, 1990, at University of California, Santa Barbara. Participants included individuals familiar with materials and design requirements in fusion reactors, ceramic composite processing and properties and radiation effects. The primary focus was to list the feasibility issues that might limit the application of these materials in fusion reactors. Clear advantages for the use of CMCs are high-temperature operation, which would allow a high-efficiency Rankine cycle, and low activation. Limitations to their use are material costs, fabrication complexity and costs, lack of familiarity with these materials in design, and the lack of data on radiation stability at relevant temperatures and fluences. Fusion-relevant feasibility issues identified at this workshop include: hermetic and vacuum properties related to effects of matrix porosity and matrix microcracking; chemical compatibility with coolant, tritium, and breeder and multiplier materials, radiation effects on compatibility; radiation stability and integrity; and ability to join CMCs in the shop and at the reactor site, radiation stability and integrity of joints. A summary of ongoing CMC radiation programs is also given. It was suggested that a true feasibility assessment of CMCs for fusion structural applications could not be completed without evaluation of a material ''tailored'' to fusion conditions or at least to radiation stability. It was suggested that a follow-up workshop be held to design a tailored composite after the results of CMC radiation studies are available and the critical feasibility issues are addressed

  13. Preliminary neutronics calculation of fusion-fission hybrid reactor breeding spent fuel assembly

    International Nuclear Information System (INIS)

    Ma Xubo; Chen Yixue; Gao Bin

    2013-01-01

    The possibility of using the fusion-fission hybrid reactor breeding spent fuel in PWR was preliminarily studied in this paper. According to the fusion-fission hybrid reactor breeding spent fuel characteristics, PWR assembly including fusion-fission hybrid reactor breeding spent fuel was designed. The parameters such as fuel temperature coefficient, moderator temperature coefficient and their variation were investigated. Results show that the neutron properties of uranium-based assembly and hybrid reactor breeding spent fuel assembly are similar. The design of this paper has a smaller uniformity coefficient of power at the same fissile isotope mass percentage. The results will provide technical support for the future fusion-fission hybrid reactor and PWR combined with cycle system. (authors)

  14. Fusion reaction product diagnostics in ASDEX

    International Nuclear Information System (INIS)

    Bosch, H.S.

    1987-01-01

    A diagnostic method was developed to look for the charged fusion products from the D(D,p)T-reactions in the divertor tokamak ASDEX. With a semi-conductor detector it was possible to evaluate the ion temperature in thermal plasmas from the proton energy spectra as well as from the triton spectra. In lower-hybrid wave heated plasmas non-thermal (fast) ions were observed. These ions create fusion products with a characteristically different energy spectrum. (orig.)

  15. Deposition uniformity, particle nucleation and the optimum conditions for CVD in multi-wafer furnaces

    Energy Technology Data Exchange (ETDEWEB)

    Griffiths, S.K.; Nilson, R.H.

    1996-06-01

    A second-order perturbation solution describing the radial transport of a reactive species and concurrent deposition on wafer surfaces is derived for use in optimizing CVD process conditions. The result is applicable to a variety of deposition reactions and accounts for both diffusive and advective transport, as well as both ordinary and Knudsen diffusion. Based on the first-order approximation, the deposition rate is maximized subject to a constraint on the radial uniformity of the deposition rate. For a fixed reactant mole fraction, the optimum pressure and optimum temperature are obtained using the method of Lagrange multipliers. This yields a weak one-sided maximum; deposition rates fall as pressures are reduced but remain nearly constant at all pressures above the optimum value. The deposition rate is also maximized subject to dual constraints on the uniformity and particle nucleation rate. In this case, the optimum pressure, optimum temperature and optimum reactant fraction are similarly obtained, and the resulting maximum deposition rate is well defined. These results are also applicable to CVI processes used in composites manufacturing.

  16. Multi parametric sensitivity study applied to temperature measurement of metallic plasma facing components in fusion devices

    International Nuclear Information System (INIS)

    Aumeunier, M-H.; Corre, Y.; Firdaouss, M.; Gauthier, E.; Loarer, T.; Travere, J-M.; Gardarein, J-L.; EFDA JET Contributor

    2013-06-01

    In nuclear fusion experiments, the protection system of the Plasma Facing Components (PFCs) is commonly ensured by infrared (IR) thermography. Nevertheless, the surface monitoring of new metallic plasma facing component, as in JET and ITER is being challenging. Indeed, the analysis of infrared signals is made more complicated in such a metallic environment since the signals will be perturbed by the reflected photons coming from high temperature regions. To address and anticipate this new measurement environment, predictive photonic models, based on Monte-Carlo ray tracing (SPEOS R CAA V5 Based), have been performed to assess the contribution of the reflective part in the total flux collected by the camera and the resulting temperature error. This paper deals with the effects of metals features, as the emissivity and reflectivity models, on the accuracy of the surface temperature estimation. The reliability of the features models is discussed by comparing the simulation with experimental data obtained with the wide angle IR thermography system of JET ITER like wall. The impact of the temperature distribution is studied by considering two different typical plasma scenarios, in limiter (ITER start-up scenario) and in X-point configurations (standard divertor scenario). The achievable measurement performances of IR system and risks analysis on its functionalities are discussed. (authors)

  17. Wafer-level chip-scale packaging analog and power semiconductor applications

    CERN Document Server

    Qu, Shichun

    2015-01-01

    This book presents a state-of-art and in-depth overview in analog and power WLCSP design, material characterization, reliability, and modeling. Recent advances in analog and power electronic WLCSP packaging are presented based on the development of analog technology and power device integration. The book covers in detail how advances in semiconductor content, analog and power advanced WLCSP design, assembly, materials, and reliability have co-enabled significant advances in fan-in and fan-out with redistributed layer (RDL) of analog and power device capability during recent years. Along with new analog and power WLCSP development, the role of modeling is a key to assure successful package design. An overview of the analog and power WLCSP modeling and typical thermal, electrical, and stress modeling methodologies is also provided. This book also: ·         Covers the development of wafer-level power discrete packaging with regular wafer-level design concepts and directly bumping technology ·    �...

  18. Advanced single-wafer sequential multiprocessing techniques for semiconductor device fabrication

    International Nuclear Information System (INIS)

    Moslehi, M.M.; Davis, C.

    1989-01-01

    Single-wafer integrated in-situ multiprocessing (SWIM) is recognized as the future trend for advanced microelectronics production in flexible fast turn- around computer-integrated semiconductor manufacturing environments. The SWIM equipment technology and processing methodology offer enhanced equipment utilization, improved process reproducibility and yield, and reduced chip manufacturing cost. They also provide significant capabilities for fabrication of new and improved device structures. This paper describes the SWIM techniques and presents a novel single-wafer advanced vacuum multiprocessing technology developed based on the use of multiple process energy/activation sources (lamp heating and remote microwave plasma) for multilayer epitaxial and polycrystalline semiconductor as well as dielectric film processing. Based on this technology, multilayer in-situ-doped homoepitaxial silicon and heteroepitaxial strained layer Si/Ge x Si 1 - x /Si structures have been grown and characterized. The process control and the ultimate interfacial abruptness of the layer-to-layer transition widths in the device structures prepared by this technology will challenge the MBE techniques in multilayer epitaxial growth applications

  19. Once more about cold nuclear fusion

    International Nuclear Information System (INIS)

    Brudanin, V.B.; Bystritsky, V.M.; Egorov, V.G.

    1989-01-01

    The results of the experiments on the search for cold nuclear d-d fusion in chemically pure titanium are given both for electrolysis of heavy water D 2 O and for titanium saturation with gaseous deuterium. The saturation took place at the temperature of 77K and pressure of 50 and 150 atm. A round of experiments with temperature varying from 1 to 600 atm was carried out. The limiting values of the partial rate of the nuclear reaction of d-d fusion with neutron production were obtained per deuteron (at the 95% confidence level): λ f ≤4x10 -25 s -1 (experiment with electrolysis), λ f ≤7x10 -28 s -1 (experiment with gaseous deuterium). 7 refs.; 5 figs.; 2 tabs

  20. Low temperature bonding of heterogeneous materials using Al2O3 as an intermediate layer

    DEFF Research Database (Denmark)

    Sahoo, Hitesh Kumar; Ottaviano, Luisa; Zheng, Yi

    2018-01-01

    Integration of heterogeneous materials is crucial for many nanophotonic devices. The integration is often achieved by bonding using polymer adhesives or metals. A much better and cleaner option is direct wafer bonding, but the high annealing temperatures required make it a much less attractive...... atomic layer deposited Al2O3 an excellent choice for the intermediate layer. The authors have optimized the bonding process to achieve a high interface energy of 1.7 J/m2 for a low temperature annealing of 300 °C. The authors also demonstrate wafer bonding of InP to SiO2 on Si and GaAs to sapphire using...

  1. Wafer-scale growth of highly textured piezoelectric thin films by pulsed laser deposition for micro-scale sensors and actuators

    Science.gov (United States)

    Nguyen, M. D.; Tiggelaar, R.; Aukes, T.; Rijnders, G.; Roelof, G.

    2017-11-01

    Piezoelectric lead-zirconate-titanate (PZT) thin films were deposited on 4-inch (111)Pt/Ti/SiO2/Si(001) wafers using large-area pulsed laser deposition (PLD). This study was focused on the homogeneity in film thickness, microstructure, ferroelectric and piezoelectric properties of PZT thin films. The results indicated that the highly textured (001)-oriented PZT thin films with wafer-scale thickness homogeneity (990 nm ± 0.8%) were obtained. The films were fabricated into piezoelectric cantilevers through a MEMS microfabrication process. The measured longitudinal piezoelectric coefficient (d 33f = 210 pm/V ± 1.6%) and piezoelectric transverse coefficient (e 31f = -18.8 C/m2 ± 2.8%) were high and homogeneity across wafers. The high piezoelectric properties on Si wafers will extend industrial application of PZT thin films and further development of piezoMEMS.

  2. Fusion pumped laser

    Science.gov (United States)

    Pappas, D.S.

    1987-07-31

    The apparatus of this invention may comprise a system for generating laser radiation from a high-energy neutron source. The neutron source is a tokamak fusion reactor generating a long pulse of high-energy neutrons and having a temperature and magnetic field effective to generate a neutron flux of at least 10/sup 15/ neutrons/cm/sup 2//center dot/s. Conversion means are provided adjacent the fusion reactor at a location operable for converting the high-energy neutrons to an energy source with an intensity and energy effective to excite a preselected lasing medium. A lasing medium is spaced about and responsive to the energy source to generate a population inversion effective to support laser oscillations for generating output radiation. 2 figs., 2 tabs.

  3. Fluorination by fusion

    International Nuclear Information System (INIS)

    Gray, J.H.

    1986-01-01

    LECO crucibles and incinerator ash are two waste categories that cannot be discarded due to the presence of insoluble transuranics. Current chemical processing methods are not too effective, requiring a number of repeated operations in order to dissolve more than half the transuranics. An alternate dissolution approach has been developed involving the use of ammonium bifluoride. Low temperature fusion of the waste with ammonium bifluoride is followed by dissolution of the fused material in boiling nitric acid solutions. Greater than 60% of the transuranics contained in LECO crucibles and greater than 95% of the transuranics mixed with the incinerator ash are dissolved after a single fusion and dissolution step. Fluorination of the transuranics along with other impurities appears to render the waste material soluble in nitric acid

  4. Self-consistent simulation study on magnetized inductively coupled plasma for 450 mm semiconductor wafer processing

    International Nuclear Information System (INIS)

    Lee, Ho-Jun; Kim, Yun-Gi

    2012-01-01

    The characteristics of weakly magnetized inductively coupled plasma (MICP) are investigated using a self-consistent simulation based on the drift–diffusion approximation with anisotropic transport coefficients. MICP is a plasma source utilizing the cavity mode of the low-frequency branch of the right-hand circularly polarized wave. The model system is 700 mm in diameter and has a 250 mm gap between the radio-frequency window and wafer holder. The model chamber size is chosen to verify the applicability of this type of plasma source to the 450 mm wafer process. The effects of electron density distribution and external axial magnetic field on the propagation properties of the plasma wave, including the wavelength modulation and refraction toward the high-density region, are demonstrated. The restricted electron transport and thermal conductivity in the radial direction due to the magnetic field result in small temperature gradient along the field lines and off-axis peak density profile. The calculated impedance seen from the antenna terminal shows that MICP has a resistance component that is two to threefold higher than that of ICP. This property is practically important for large-size, low-pressure plasma sources because high resistance corresponds to high power-transfer efficiency and stable impedance matching characteristics. For the 0.665 Pa argon plasma, MICP shows a radial density uniformity of 6% within 450 mm diameter, which is much better than that of nonmagnetized ICP.

  5. Requirements for low-cost electricity and hydrogen fuel production from multiunit inertial fusion energy plants with a shared driver and target factory

    International Nuclear Information System (INIS)

    Logan, G.B.; Moir, R.W.; Hoffmman, M.A.

    1995-01-01

    The economy of scale for multiunit inertial fusion energy (IFE) power plants is explored based on the molten salt HYLIFE-II fusion chamber concept, for the purpose of producing lower cost electricity and hydrogen fuel. The cost of electricity (CoE) is minimized with a new IFE systems code IFEFUEL5 for a matrix of plant cases with one to eight fusion chambers of 250 to 2000-MW (electric) net output each, sharing a common heavy-ion driver and target factory. Improvements to previous HYLIFE-II models include a recirculating induction linac driver optimized as a function of driver energy and rep-rate (average driver power), inclusion of beam switchyard costs, a fusion chamber cost scaling dependence on both thermal power and fusion yield, and a more accurate bypass pump power scaling with chamber rep-rate. A CoE less than 3 cents/kW(electric)-h is found for plant outputs greater than 2 GW(electric), allowing hydrogen fuel production by wafer electrolysis to provide lower fuel cost per mile for higher efficiency hydrogen engines compared with gasoline engines. These multiunit, multi-GW(electric) IFE plants allow staged utility plant deployment, lower optimum chamber rep-rates, less sensitivity to driver and target fabrication costs, and a CoE possibly lower than future fission, fossil, and solar competitors. 37 refs., 12 figs., 4 tabs

  6. Massachusetts Institute of Technology, Plasma Fusion Center, technical research programs

    International Nuclear Information System (INIS)

    1982-02-01

    Research programs have produced significant results on four fronts: (1) the basic physics of high-temperature fusion plasmas (plasma theory, RF heating, development of advanced diagnostics and small-scale experiments on the Versator tokamak and Constance mirror devices); (2) major confinement results on the Alcator A and C tokamaks, including pioneering investigations of the equilibrium, stability, transport and radiation properties of fusion plasmas at high densities, temperatures and magnetic fields; (3) development of a new and innovative design for axisymmetric tandem mirrors with inboard thermal barriers, with initial operation of the TARA tandem mirror experimental facility scheduled for 1983; and (4) a broadly based program of fusion technology and engineering development that addresses problems in several critical subsystem areas

  7. Gap-closing test structures for temperature budget determination

    NARCIS (Netherlands)

    Faber, Erik Jouwert; Wolters, Robertus A.M.; Schmitz, Jurriaan

    2011-01-01

    We present the extension of a method for determining the temperature budget of the process side of silicon substrates and chips, employing silicide formation reactions. In this work, silicon-on-insulator type substrates are used instead of bulk silicon wafers. By an appropriate choice of the layer

  8. Fusion Yield Enhancement in Magnetized Laser-Driven Implosions

    International Nuclear Information System (INIS)

    Chang, P. Y.; Fiksel, G.; Hohenberger, M.; Knauer, J. P.; Marshall, F. J.; Betti, R.; Meyerhofer, D. D.; Seguin, F. H.; Petrasso, R. D.

    2011-01-01

    Enhancement of the ion temperature and fusion yield has been observed in magnetized laser-driven inertial confinement fusion implosions on the OMEGA Laser Facility. A spherical CH target with a 10 atm D 2 gas fill was imploded in a polar-drive configuration. A magnetic field of 80 kG was embedded in the target and was subsequently trapped and compressed by the imploding conductive plasma. As a result of the hot-spot magnetization, the electron radial heat losses were suppressed and the observed ion temperature and neutron yield were enhanced by 15% and 30%, respectively.

  9. Analysis and optimization of silicon wafers wire sawing; Analyse et optimisation du procede de decoupe de plaques de silicium

    Energy Technology Data Exchange (ETDEWEB)

    Rouault de Coligny, P.

    2002-09-15

    This work has been done at the Centre de Mise en Forme des Materiaux and supported by the Agence de l'Environnement et la Maitrise de l'Energie and Photowatt International SA. It concerns one of the stages of the production of photovoltaic solar cells: the cutting of multi-crystalline silicon wafers by wire sawing. A review of the literature combined with the observation of rough wafers shows that wire sawing involves 3-body abrasion and that material removal is achieved in a ductile manner and forms micro-chips. Therefore, the depth of indentation which is necessary for the ductile-fragile transition as shown by the review of the literature is not reached. The resulting abrasion can be described thanks to Archard's Law. The subsurface damage is 2.5 {mu}m deep. A thermal study has shown that the temperature of the cutting is no higher than about 50 deg. C and that it depends on how much heat can be evacuated by the wire. Analyzing the flaws of the wafers has enabled us to identify their origins and to find solutions. The study of the wire's wear has proved that its diameter can be reduced only if the wire is drawn continuously. Energy can be saved at various stages, the surface of the wafers can be improved, these three arguments plead for the suppression of the back and forth. A tribological device has been set up which allows us to study the abrasion of silicon in the same conditions as in the wire sawing. A mechanical model linking the bending of the wire to the parameters collected during the wire sawing process can predict how high the wire web will be in the transitional and permanent regimes, the contact pressure and the wire wear. Material removal by plane strain scratch tests has been numerically simulated. The orders of magnitude of wear coefficients are identical to those deduced from tribological simulations and to those measured on the saws. This approach has opened new prospects which will improve the process by optimizing the

  10. Hydrogen production in early generation fusion power plant and its socio-economic implication

    International Nuclear Information System (INIS)

    Konishi, S.; Yamamoto, Y.

    2007-01-01

    Full text: This paper describes technical possibility of high temperature blanket for the early generation of fusion power plant and its application to hydrogen production. Its anticipated implication and strategy from the socio-economic aspects will be also discussed. Material and energy balances, such as fuel supply and delivery of product energy from fusion plants, as well as waste discharge and accident scenario that lead to environmental impact, are characterized by blanket concepts. Thus blankets are considered to dominate the feature of fusion energy that should respond to the requirements of the sponsors, i.e., public and future market. Fusion blanket concept based on the combinations of LiPb and SiC materials are regarded as a candidate for ITER/TBM, and at the same time, applied in various DEMO designs encompassing high temperature output. Recent developments of SiC-LiPb blanket in Japan, EU, US or China suggests staged development paths starting from TBMs and targeting high temperature blanket and efficient energy output from early generation plants. These strategies are strongly affected by the views of these parties on fusion energy, from the aspects of socio-economics. Hydrogen production process with the high temperature blanket is one of the most important issues, because temperature range much higher than is possible with current or near future fission plants are needed, suggesting market possibility different from that of fission. Fuel cycles, particularly lithium supply and TBR control will be also important. Self-sustained fusion fuel cycle requires technical capability to maintain the lithium contents. Liquid blanket has an advantage in continuous and real-time control TBR in a plant, but large amount of lithium-6 and initial tritium supply remains as issues. As for the environmental effect, normal operation release, assumed accidental scenario, and rad-waste will be the key issue to dominate social acceptance of fusion. (author)

  11. Hydrogen production in early generation fusion power plant and its socio-economic implication

    International Nuclear Information System (INIS)

    Konishi, Satoshi; Yamamoto, Yasushi

    2008-01-01

    This paper describes technical possibility of high temperature blanket for the early generation of fusion power plant and its application to hydrogen production. Its anticipated implication and strategy from the socio-economic aspects will be also discussed. Material and energy balances, such as fuel supply and delivery of product energy from fusion plants, as well as waste discharge and accident scenario that lead to environmental impact, are characterized by blanket concepts. Thus blankets are considered to dominate the feature of fusion energy that should respond to the requirements of the sponsors, i.e., public and future market. Fusion blanket concept based on the combinations of LiPb and SiC materials are regarded as a candidate for ITER/TBM, and at the same time, applied in various DEMO designs encompassing high temperature output. Recent developments of SiC-LiPb blanket in Japan, EU, US or China suggests staged development paths starting from TBMs and targeting high temperature blanket and efficient energy output from early generation plants. These strategies are strongly affected by the views of these parties on fusion energy, from the aspects of socio-economics. Hydrogen production process with the high temperature blanket is one of the most important issues, because temperature range much higher than is possible with current or near future fission plants are needed, suggesting market possibility different from that of fission. Fuel cycles, particularly lithium supply and TBR control will be also important. Self-sustained fusion fuel cycle requires technical capability to maintain the lithium contents. Liquid blanket has an advantage in continuous and real-time control TBR in a plant, but large amount of lithium-6 and initial tritium supply remains as issues. As for the environmental effect, normal operation release, assumed accidental scenario, and rad-waste will be the key issue to dominate social acceptance of fusion. (author)

  12. Comparative study on the predictability of statistical models (RSM and ANN) on the behavior of optimized buccoadhesive wafers containing Loratadine and their in vivo assessment.

    Science.gov (United States)

    Chakraborty, Prithviraj; Parcha, Versha; Chakraborty, Debarupa D; Ghosh, Amitava

    2016-01-01

    Buccoadhesive wafer dosage form containing Loratadine is formulated utilizing Formulation by Design (FbD) approach incorporating sodium alginate and lactose monohydrate as independent variable employing solvent casting method. The wafers were statistically optimized using Response Surface Methodology (RSM) and Artificial Neural Network algorithm (ANN) for predicting physicochemical and physico-mechanical properties of the wafers as responses. Morphologically wafers were tested using SEM. Quick disintegration of the samples was examined employing Optical Contact Angle (OCA). The comparison of the predictability of RSM and ANN showed a high prognostic capacity of RSM model over ANN model in forecasting mechanical and physicochemical properties of the wafers. The in vivo assessment of the optimized buccoadhesive wafer exhibits marked increase in bioavailability justifying the administration of Loratadine through buccal route, bypassing hepatic first pass metabolism.

  13. Experimental Investigation of Ternary Alloys for Fusion Breeding Blankets

    Energy Technology Data Exchange (ETDEWEB)

    Choi, B. William [Lawrence Livermore National Lab. (LLNL), Livermore, CA (United States); Chiu, Ing L. [Lawrence Livermore National Lab. (LLNL), Livermore, CA (United States)

    2015-10-26

    Future fusion power plants based on the deuterium-tritium (DT) fuel cycle will be required to breed the T fuel via neutron reactions with lithium, which will be incorporated in a breeding blanket that surrounds the fusion source. Recent work by LLNL proposed the used of liquid Li as the breeder in an inertial fusion energy (IFE) power plant. Subsequently, an LDRD was initiated to develop alternatives ternary alloy liquid metal breeders that have reduced chemical reactivity with water and air compared to pure Li. Part of the work plan was to experimentally investigate the phase diagrams of ternary alloys. Of particular interest was measurement of the melt temperature, which must be low enough to be compatible with the temperature limits of the steel used in the construction of the chamber and heat transfer system.

  14. High temperature blankets for non-electrical/electrical applications of fusion reactors: Progress report, July 15, 1983--November 30, 1984

    International Nuclear Information System (INIS)

    Ribe, F.L.; Woodruff, G.L.

    1988-01-01

    We report a continuation of work done in collaboration with the Lawrence Livermore National Laboratory (LLNL) on design studies of the tandem-mirror fusion reactor (TMR) coupled to the General Atomic (GA) sulfur-iodine thermochemical process for producing hydrogen. During this report period the emphasis was on a solid-breeder gas cooled ''cannister'' blanket for TMR-based hydrogen production. This work was integrated with the Department of Energy (DOE), Office of Fusion Energy (OFE) Blanket Comparison and Selection Study, coordinated by the Argonne National Laboratory (ANL). The areas investigated by the two principal investigators and their students were the following: Plasma engineering of the TMR, including the magnets. Neutronics transport support for the synfuel blanket and shield. Completion of studies of the GA sulfur-iodine process. Under subcontract D.S. Rowe of Rowe and Associates worked with both UW and LLNL personnel on Mechanical design and thermal hydraulics of a high temperature, solid breeder blanket. 2 refs., 3 figs

  15. Wiping frictional properties of electrospun hydrophobic/hydrophilic polyurethane nanofiber-webs on soda-lime glass and silicon-wafer.

    Science.gov (United States)

    Watanabe, Kei; Wei, Kai; Nakashima, Ryu; Kim, Ick Soo; Enomoto, Yuji

    2013-04-01

    In the present work, we conducted the frictional tests of hydrophobic and hydrophilic polyurethane (PUo and PUi) nanofiber webs against engineering materials; soda-lime glass and silicon wafer. PUi/glass combination, with highest hydrophilicity, showed the highest friction coefficient which decrease with the increase of the applied load. Furthermore, the effects of fluorine coating are also investigated. The friction coefficient of fluorine coated hydrophobic PU nanofiber (PUof) shows great decrease against the silicon wafer. Finally, wiping ability and friction property are investigated when the substrate surface is contaminated. Nano-particle dusts are effectively collected into the pores by wiping with PUo and PUi nanofiber webs both on glass and silicon wafer. The friction coefficient gradually increased with the increase of the applied load.

  16. Design and development of wafer-level near-infrared micro-camera

    Science.gov (United States)

    Zeller, John W.; Rouse, Caitlin; Efstathiadis, Harry; Haldar, Pradeep; Dhar, Nibir K.; Lewis, Jay S.; Wijewarnasuriya, Priyalal; Puri, Yash R.; Sood, Ashok K.

    2015-08-01

    SiGe offers a low-cost alternative to conventional infrared sensor material systems such as InGaAs, InSb, and HgCdTe for developing near-infrared (NIR) photodetector devices that do not require cooling and can offer high bandwidths and responsivities. As a result of the significant difference in thermal expansion coefficients between germanium and silicon, tensile strain incorporated into Ge epitaxial layers deposited on Si utilizing specialized growth processes can extend the operational range of detection to 1600 nm and longer wavelengths. We have fabricated SiGe based PIN detector devices on 300 mm diameter Si wafers in order to take advantage of high throughput, large-area complementary metal-oxide semiconductor (CMOS) technology. This device fabrication process involves low temperature epitaxial deposition of Ge to form a thin p+ seed/buffer layer, followed by higher temperature deposition of a thicker Ge intrinsic layer. An n+-Ge layer formed by ion implantation of phosphorus, passivating oxide cap, and then top copper contacts complete the PIN photodetector design. Various techniques including transmission electron microscopy (TEM) and secondary ion mass spectrometry (SIMS) have been employed to characterize the material and structural properties of the epitaxial growth and fabricated detector devices. In addition, electrical characterization was performed to compare the I-V dark current vs. photocurrent response as well as the time and wavelength varying photoresponse properties of the fabricated devices, results of which are likewise presented.

  17. Development of parametric material, energy, and emission inventories for wafer fabrication in the semiconductor industry.

    Science.gov (United States)

    Murphy, Cynthia F; Kenig, George A; Allen, David T; Laurent, Jean-Philippe; Dyer, David E

    2003-12-01

    Currently available data suggest that most of the energy and material consumption related to the production of an integrated circuit is due to the wafer fabrication process. The complexity of wafer manufacturing, requiring hundreds of steps that vary from product to product and from facility to facility and which change every few years, has discouraged the development of material, energy, and emission inventory modules for the purpose of insertion into life cycle assessments. To address this difficulty, a flexible, process-based system for estimating material requirements, energy requirements, and emissions in wafer fabrication has been developed. The method accounts for mass and energy use atthe unit operation level. Parametric unit operation modules have been developed that can be used to predict changes in inventory as the result of changes in product design, equipment selection, or process flow. A case study of the application of the modules is given for energy consumption, but a similar methodology can be used for materials, individually or aggregated.

  18. Fusion-neutron effects on magnetoresistivity of copper stabilizer materials

    International Nuclear Information System (INIS)

    Guinan, M.W.; Van Konynenburg, R.A.

    1983-01-01

    The objective of this work is to quantify the changes which occur in the magnetoresistivity of coppers (having various purities and pretreatments, and at magnetic fields up to 12 T during the course of sequential fusion neutron irradiations at about 4 0 K and anneals to room temperature. In conjunction with work in progress by Coltman and Klabunde of ORNL, the results should lead to engineering design data for the stabilizers of superconducting magnets in fusion reactors. These magnets are expected to be irradiated during reactor operation and warmed to room temperature periodically during maintenance

  19. Texturization of as-cut p-type monocrystalline silicon wafer using different wet chemical solutions

    Science.gov (United States)

    Hashmi, Galib; Hasanuzzaman, Muhammad; Basher, Mohammad Khairul; Hoq, Mahbubul; Rahman, Md. Habibur

    2018-06-01

    Implementing texturization process on the monocrystalline silicon substrate reduces reflection and enhances light absorption of the substrate. Thus texturization is one of the key elements to increase the efficiency of solar cell. Considering as-cut monocrystalline silicon wafer as base substrate, in this work different concentrations of Na2CO3 and NaHCO3 solution, KOH-IPA (isopropyl alcohol) solution and tetramethylammonium hydroxide solution with different time intervals have been investigated for texturization process. Furthermore, saw damage removal process was conducted with 10% NaOH solution, 20 wt% KOH-13.33 wt% IPA solution and HF/nitric/acetic acid solution. The surface morphology of saw damage, saw damage removed surface and textured wafer were observed using optical microscope and field emission scanning electron microscopy. Texturization causes pyramidal micro structures on the surface of (100) oriented monocrystalline silicon wafer. The height of the pyramid on the silicon surface varies from 1.5 to 3.2 µm and the inclined planes of the pyramids are acute angle. Contact angle value indicates that the textured wafer's surface fall in between near-hydrophobic to hydrophobic range. With respect to base material absolute reflectance 1.049-0.75% within 250-800 nm wavelength region, 0.1-0.026% has been achieved within the same wavelength region when textured with 0.76 wt% KOH-4 wt% IPA solution for 20 min. Furthermore, an alternative route of using 1 wt% Na2CO3-0.2 wt% NaHCO3 solution for 50 min has been exploited in the texturization process.

  20. Voltage-assisted polymer wafer bonding

    International Nuclear Information System (INIS)

    Varsanik, J S; Bernstein, J J

    2012-01-01

    Polymer wafer bonding is a widely used process for fabrication of microfluidic devices. However, best practices for polymer bonds do not achieve sufficient bond strength for many applications. By applying a voltage to a polymer bond in a process called voltage-assisted bonding, bond strength is shown to improve dramatically for two polymers (Cytop™ and poly(methyl methacrylate)). Several experiments were performed to provide a starting point for further exploration of this technique. An optimal voltage range is experimentally observed with a reduction in bonding strength at higher voltages. Additionally, voltage-assisted bonding is shown to reduce void diameter due to bond defects. An electrostatic force model is proposed to explain the improved bond characteristics. This process can be used to improve bond strength for most polymers. (paper)