WorldWideScience

Sample records for technology including silicon

  1. High surface area silicon materials: fundamentals and new technology.

    Science.gov (United States)

    Buriak, Jillian M

    2006-01-15

    Crystalline silicon forms the basis of just about all computing technologies on the planet, in the form of microelectronics. An enormous amount of research infrastructure and knowledge has been developed over the past half-century to construct complex functional microelectronic structures in silicon. As a result, it is highly probable that silicon will remain central to computing and related technologies as a platform for integration of, for instance, molecular electronics, sensing elements and micro- and nanoelectromechanical systems. Porous nanocrystalline silicon is a fascinating variant of the same single crystal silicon wafers used to make computer chips. Its synthesis, a straightforward electrochemical, chemical or photochemical etch, is compatible with existing silicon-based fabrication techniques. Porous silicon literally adds an entirely new dimension to the realm of silicon-based technologies as it has a complex, three-dimensional architecture made up of silicon nanoparticles, nanowires, and channel structures. The intrinsic material is photoluminescent at room temperature in the visible region due to quantum confinement effects, and thus provides an optical element to electronic applications. Our group has been developing new organic surface reactions on porous and nanocrystalline silicon to tailor it for a myriad of applications, including molecular electronics and sensing. Integration of organic and biological molecules with porous silicon is critical to harness the properties of this material. The construction and use of complex, hierarchical molecular synthetic strategies on porous silicon will be described.

  2. Porous silicon technology for integrated microsystems

    Science.gov (United States)

    Wallner, Jin Zheng

    With the development of micro systems, there is an increasing demand for integrable porous materials. In addition to those conventional applications, such as filtration, wicking, and insulating, many new micro devices, including micro reactors, sensors, actuators, and optical components, can benefit from porous materials. Conventional porous materials, such as ceramics and polymers, however, cannot meet the challenges posed by micro systems, due to their incompatibility with standard micro-fabrication processes. In an effort to produce porous materials that can be used in micro systems, porous silicon (PS) generated by anodization of single crystalline silicon has been investigated. In this work, the PS formation process has been extensively studied and characterized as a function of substrate type, crystal orientation, doping concentration, current density and surfactant concentration and type. Anodization conditions have been optimized for producing very thick porous silicon layers with uniform pore size, and for obtaining ideal pore morphologies. Three different types of porous silicon materials: meso porous silicon, macro porous silicon with straight pores, and macro porous silicon with tortuous pores, have been successfully produced. Regular pore arrays with controllable pore size in the range of 2mum to 6mum have been demonstrated as well. Localized PS formation has been achieved by using oxide/nitride/polysilicon stack as masking materials, which can withstand anodization in hydrofluoric acid up to twenty hours. A special etching cell with electrolytic liquid backside contact along with two process flows has been developed to enable the fabrication of thick macro porous silicon membranes with though wafer pores. For device assembly, Si-Au and In-Au bonding technologies have been developed. Very low bonding temperature (˜200°C) and thick/soft bonding layers (˜6mum) have been achieved by In-Au bonding technology, which is able to compensate the potentially

  3. Efficiency Enhancement of Silicon Solar Cells by Porous Silicon Technology

    Directory of Open Access Journals (Sweden)

    Eugenijus SHATKOVSKIS

    2012-09-01

    Full Text Available Silicon solar cells produced by a usual technology in p-type, crystalline silicon wafer were investigated. The manufactured solar cells were of total thickness 450 mm, the junction depth was of 0.5 mm – 0.7 mm. Porous silicon technologies were adapted to enhance cell efficiency. The production of porous silicon layer was carried out in HF: ethanol = 1 : 2 volume ratio electrolytes, illuminating by 50 W halogen lamps at the time of processing. The etching current was computer-controlled in the limits of (6 ÷ 14 mA/cm2, etching time was set in the interval of (10 ÷ 20 s. The characteristics and performance of the solar cells samples was carried out illuminating by Xenon 5000 K lamp light. Current-voltage characteristic studies have shown that porous silicon structures produced affect the extent of dark and lighting parameters of the samples. Exactly it affects current-voltage characteristic and serial resistance of the cells. It has shown, the formation of porous silicon structure causes an increase in the electric power created of solar cell. Conversion efficiency increases also respectively to the initial efficiency of cell. Increase of solar cell maximum power in 15 or even more percent is found. The highest increase in power have been observed in the spectral range of Dl @ (450 ÷ 850 nm, where ~ 60 % of the A1.5 spectra solar energy is located. It has been demonstrated that porous silicon technology is effective tool to improve the silicon solar cells performance.DOI: http://dx.doi.org/10.5755/j01.ms.18.3.2428

  4. InP membrane on silicon integration technology

    NARCIS (Netherlands)

    Smit, M.K.

    2013-01-01

    Integration of light sources in silicon photonics is usually done with an active InP-based layer stack on a silicon-based photonic circuit-layer. InP Membrane On Silicon (IMOS) technology integrates all functionality in a single InP-based layer.

  5. Modeling of Temperature-Dependent Noise in Silicon Nanowire FETs including Self-Heating Effects

    Directory of Open Access Journals (Sweden)

    P. Anandan

    2014-01-01

    Full Text Available Silicon nanowires are leading the CMOS era towards the downsizing limit and its nature will be effectively suppress the short channel effects. Accurate modeling of thermal noise in nanowires is crucial for RF applications of nano-CMOS emerging technologies. In this work, a perfect temperature-dependent model for silicon nanowires including the self-heating effects has been derived and its effects on device parameters have been observed. The power spectral density as a function of thermal resistance shows significant improvement as the channel length decreases. The effects of thermal noise including self-heating of the device are explored. Moreover, significant reduction in noise with respect to channel thermal resistance, gate length, and biasing is analyzed.

  6. Evolution of silicon sensor technology in particle physics

    CERN Document Server

    Hartmann, Frank

    2017-01-01

    This informative monograph describes the technological evolution of silicon detectors and their impact on high energy particle physics. The author here marshals his own first-hand experience in the development and also the realization of the DELPHI, CDF II and the CMS tracking detector. The basic principles of small strip- and pixel-detectors are presented and also the final large-scale applications. The Evolution of Silicon Detector Technology acquaints readers with the manifold challenges involving the design of sensors and pushing this technology to the limits. The expert will find critical information that is so far only available in various slide presentation scattered over the world wide web. This practical introduction of silicon sensor technology and its day to day life in the lab also offers many examples to illustrate problems and their solutions over several detector generations. The new edition gives a detailed overview of the silicon sensor technology used at the LHC, from basic principles to act...

  7. Challenges in amorphous silicon solar cell technology

    NARCIS (Netherlands)

    Swaaij, van R.A.C.M.M.; Zeman, M.; Korevaar, B.A.; Smit, C.; Metselaar, J.W.; Sanden, van de M.C.M.

    2000-01-01

    Hydrogenated amorphous silicon is nowadays extensively used for a range of devices, amongst others solar cells, Solar cell technology has matured over the last two decades and resulted in conversion efficiencies in excess of 15%. In this paper the operation of amorphous silicon solar cells is

  8. Silicon on insulator technology. Characteristics. Applications; Technologies silicium sur isolant. Caracteristiques. Exemples d'application

    Energy Technology Data Exchange (ETDEWEB)

    Suat, J. P.; Peccoud, L.; Le Goascoz, V.; Garcia, M.; Mackowiak, E.

    1975-01-31

    The advantages resulting from a SOS (Silicon-on-Sapphire) MOS technology are demonstrated. Experimental results giving the performance of C.MOS and depletion-enrichment P-channel technologies are presented, with an application of Silicon on insulator on development, that is to say a 1024 bits MNOS memory, peripheral circuits being developed according to the depletion-enrichment technology.

  9. Silicon Micromachines for Science and Technology

    International Nuclear Information System (INIS)

    Bishop, David J.

    2002-01-01

    The era of silicon micromechanics is upon us. In areas as diverse as telecommunications, automotive, aerospace, chemistry, entertainment and basic science, the ability to build microscopic machines from silicon is having a revolutionary impact. In my talk, I will discuss what micromachines are, how they are built and show examples of how they will have a revolutionary impact in many areas of science as well as technology.

  10. New dynamic silicon photonic components enabled by MEMS technology

    Science.gov (United States)

    Errando-Herranz, Carlos; Edinger, Pierre; Colangelo, Marco; Björk, Joel; Ahmed, Samy; Stemme, Göran; Niklaus, Frank; Gylfason, Kristinn B.

    2018-02-01

    Silicon photonics is the study and application of integrated optical systems which use silicon as an optical medium, usually by confining light in optical waveguides etched into the surface of silicon-on-insulator (SOI) wafers. The term microelectromechanical systems (MEMS) refers to the technology of mechanics on the microscale actuated by electrostatic actuators. Due to the low power requirements of electrostatic actuation, MEMS components are very power efficient, making them well suited for dense integration and mobile operation. MEMS components are conventionally also implemented in silicon, and MEMS sensors such as accelerometers, gyros, and microphones are now standard in every smartphone. By combining these two successful technologies, new active photonic components with extremely low power consumption can be made. We discuss our recent experimental work on tunable filters, tunable fiber-to-chip couplers, and dynamic waveguide dispersion tuning, enabled by the marriage of silicon MEMS and silicon photonics.

  11. Thin film silicon photovoltaics: Architectural perspectives and technological issues

    Energy Technology Data Exchange (ETDEWEB)

    Mercaldo, Lucia Vittoria; Addonizio, Maria Luisa; Noce, Marco Della; Veneri, Paola Delli; Scognamiglio, Alessandra; Privato, Carlo [ENEA, Portici Research Center, Piazzale E. Fermi, 80055 Portici (Napoli) (Italy)

    2009-10-15

    Thin film photovoltaics is a particularly attractive technology for building integration. In this paper, we present our analysis on architectural issues and technological developments of thin film silicon photovoltaics. In particular, we focus on our activities related to transparent and conductive oxide (TCO) and thin film amorphous and microcrystalline silicon solar cells. The research on TCO films is mainly dedicated to large-area deposition of zinc oxide (ZnO) by low pressure-metallorganic chemical vapor deposition. ZnO material, with a low sheet resistance (<8 {omega}/sq) and with an excellent transmittance (>82%) in the whole wavelength range of photovoltaic interest, has been obtained. ''Micromorph'' tandem devices, consisting of an amorphous silicon top cell and a microcrystalline silicon bottom cell, are fabricated by using the very high frequency plasma enhanced chemical vapor deposition technique. An initial efficiency of 11.1% (>10% stabilized) has been obtained. (author)

  12. Technology of silicon charged-particle detectors developed at the Institute of Electron Technology (ITE)

    Science.gov (United States)

    Wegrzecka, Iwona; Panas, Andrzej; Bar, Jan; Budzyński, Tadeusz; Grabiec, Piotr; Kozłowski, Roman; Sarnecki, Jerzy; Słysz, Wojciech; Szmigiel, Dariusz; Wegrzecki, Maciej; Zaborowski, Michał

    2013-07-01

    The paper discusses the technology of silicon charged-particle detectors developed at the Institute of Electron Technology (ITE). The developed technology enables the fabrication of both planar and epiplanar p+-ν-n+ detector structures with an active area of up to 50 cm2. The starting material for epiplanar structures are silicon wafers with a high-resistivity n-type epitaxial layer ( ν layer - ρ < 3 kΩcm) deposited on a highly doped n+-type substrate (ρ< 0,02Ωcm) developed and fabricated at the Institute of Electronic Materials Technology. Active layer thickness of the epiplanar detectors (νlayer) may range from 10 μm to 150 μm. Imported silicon with min. 5 kΩcm resistivity is used to fabricate planar detectors. Active layer thickness of the planar detectors (ν) layer) may range from 200 μm to 1 mm. This technology enables the fabrication of both discrete and multi-junction detectors (monolithic detector arrays), such as single-sided strip detectors (epiplanar and planar) and double-sided strip detectors (planar). Examples of process diagrams for fabrication of the epiplanar and planar detectors are presented in the paper, and selected technological processes are discussed.

  13. Development of deep silicon plasma etching for 3D integration technology

    Directory of Open Access Journals (Sweden)

    Golishnikov А. А.

    2014-02-01

    Full Text Available Plasma etch process for thought-silicon via (TSV formation is one of the most important technological operations in the field of metal connections creation between stacked circuits in 3D assemble technology. TSV formation strongly depends on parameters such as Si-wafer thickness, aspect ratio, type of metallization material, etc. The authors investigate deep silicon plasma etch process for formation of TSV with controllable profile. The influence of process parameters on plasma etch rate, silicon etch selectivity to photoresist and the structure profile are researched in this paper. Technology with etch and passivation steps alternation was used as a method of deep silicon plasma etching. Experimental tool «Platrane-100» with high-density plasma reactor based on high-frequency ion source with transformer coupled plasma was used for deep silicon plasma etching. As actuation gases for deep silicon etching were chosen the following gases: SF6 was used for the etch stage and CHF3 was applied on the polymerization stage. As a result of research, the deep plasma etch process has been developed with the following parameters: silicon etch rate 6 µm/min, selectivity to photoresist 60 and structure profile 90±2°. This process provides formation of TSV 370 µm deep and about 120 µm in diameter.

  14. Deep glass etched microring resonators based on silica-on-silicon technology

    DEFF Research Database (Denmark)

    Ou, Haiyan; Rottwitt, Karsten; Philipp, Hugh Taylor

    2006-01-01

    Microring resonators fabricated on silica-on-silicon technology using deep glass etching are demonstrated. The fabrication procedures are introduced and the transmission spectrum of a resonator is presented.......Microring resonators fabricated on silica-on-silicon technology using deep glass etching are demonstrated. The fabrication procedures are introduced and the transmission spectrum of a resonator is presented....

  15. New technologies of silicon position-sensitive detectors for future tracker systems

    CERN Document Server

    Bassignana, Daniela; Lozano, M

    In view of the new generation of high luminosity colliders, HL-LHC and ILC, a farther investigation of silicon radiation detectors design and technology is demanded, in order to satisfy the stringent requirements of the experiments at such sophisticated machines. In this thesis, innovative technologies of silicon radiation detectors for future tracking systems are proposed. Three dierent devices have been studied and designed with the help of dierent tools for computer simulations. They have been manufactured in the IMB-CNM clean room facilities in Barcelona and characterized with proper experimental set-ups in order to test the detectors capabilities and the quality and suitability of the technologies used for their fabrication. The rst technology deals with the upgrade of dedicated sensors for laser alignment systems in future tracker detectors. The design and technology of common single-sided silicon microstrip detectors have been slightly modied in order to improve IR light transmittance of the devices. T...

  16. Silicon photonics fundamentals and devices

    CERN Document Server

    Deen, M Jamal

    2012-01-01

    The creation of affordable high speed optical communications using standard semiconductor manufacturing technology is a principal aim of silicon photonics research. This would involve replacing copper connections with optical fibres or waveguides, and electrons with photons. With applications such as telecommunications and information processing, light detection, spectroscopy, holography and robotics, silicon photonics has the potential to revolutionise electronic-only systems. Providing an overview of the physics, technology and device operation of photonic devices using exclusively silicon and related alloys, the book includes: * Basic Properties of Silicon * Quantum Wells, Wires, Dots and Superlattices * Absorption Processes in Semiconductors * Light Emitters in Silicon * Photodetectors , Photodiodes and Phototransistors * Raman Lasers including Raman Scattering * Guided Lightwaves * Planar Waveguide Devices * Fabrication Techniques and Material Systems Silicon Photonics: Fundamentals and Devices outlines ...

  17. Application of CMOS Technology to Silicon Photomultiplier Sensors

    Science.gov (United States)

    D’Ascenzo, Nicola; Zhang, Xi; Xie, Qingguo

    2017-01-01

    We use the 180 nm GLOBALFOUNDRIES (GF) BCDLite CMOS process for the production of a silicon photomultiplier prototype. We study the main characteristics of the developed sensor in comparison with commercial SiPMs obtained in custom technologies and other SiPMs developed with CMOS-compatible processes. We support our discussion with a transient modeling of the detection process of the silicon photomultiplier as well as with a series of static and dynamic experimental measurements in dark and illuminated environments. PMID:28946675

  18. Integrated silicon optoelectronics

    CERN Document Server

    Zimmermann, Horst

    2000-01-01

    'Integrated Silicon Optoelectronics'assembles optoelectronics and microelectronics The book concentrates on silicon as the major basis of modern semiconductor devices and circuits Starting from the basics of optical emission and absorption and from the device physics of photodetectors, the aspects of the integration of photodetectors in modern bipolar, CMOS, and BiCMOS technologies are discussed Detailed descriptions of fabrication technologies and applications of optoelectronic integrated circuits are included The book, furthermore, contains a review of the state of research on eagerly expected silicon light emitters In order to cover the topic of the book comprehensively, integrated waveguides, gratings, and optoelectronic power devices are included in addition Numerous elaborate illustrations promote an easy comprehension 'Integrated Silicon Optoelectronics'will be of value to engineers, physicists, and scientists in industry and at universities The book is also recommendable for graduate students speciali...

  19. [A micro-silicon multi-slit spectrophotometer based on MEMS technology].

    Science.gov (United States)

    Hao, Peng; Wu, Yi-Hui; Zhang, Ping; Liu, Yong-Shun; Zhang, Ke; Li, Hai-Wen

    2009-06-01

    A new mini-spectrophotometer was developed by adopting micro-silicon slit and pixel segmentation technology, and this spectrophotometer used photoelectron diode array as the detector by the back-dividing-light way. At first, the effect of the spectral bandwidth on the tested absorbance linear correlation was analyzed. A theory for the design of spectrophotometer's slit was brought forward after discussing the relationships between spectrophotometer spectrum band width and pre-and post-slits width. Then, the integrative micro-silicon-slit, which features small volume, high precision, and thin thickness, was manufactured based on the MEMS technology. Finally, a test was carried on linear absorbance solution by this spectrophotometer. The final result showed that the correlation coefficients were larger than 0.999, which means that the new mini-spectrophotometer with micro-silicon slit pixel segmentation has an obvious linear correlation.

  20. Gamma Large Area Silicon Telescope (GLAST): Applying silicon strip detector technology to the detection of gamma rays in space

    International Nuclear Information System (INIS)

    Atwood, W.B.

    1993-06-01

    The recent discoveries and excitement generated by space satellite experiment EGRET (presently operating on Compton Gamma Ray Observatory -- CGRO) have prompted an investigation into modern detector technologies for the next generation space based gamma ray telescopes. The GLAST proposal is based on silicon strip detectors as the open-quotes technology of choiceclose quotes for space application: no consumables, no gas volume, robust (versus fragile), long lived, and self triggerable. The GLAST detector basically has two components: a tracking module preceding a calorimeter. The tracking module has planes of crossed strip (x,y) 300 μm pitch silicon detectors coupled to a thin radiator to measure the coordinates of converted electron-positron pairs. The gap between the layers (∼5 cm) provides a lever arm for track fitting resulting in an angular resolution of <0.1 degree at high energy. The status of this R ampersand D effort is discussed including details on triggering the instrument, the organization of the detector electronics and readout, and work on computer simulations to model this instrument

  1. Formation of multiple levels of porous silicon for buried insulators and conductors in silicon device technologies

    Science.gov (United States)

    Blewer, Robert S.; Gullinger, Terry R.; Kelly, Michael J.; Tsao, Sylvia S.

    1991-01-01

    A method of forming a multiple level porous silicon substrate for semiconductor integrated circuits including anodizing non-porous silicon layers of a multi-layer silicon substrate to form multiple levels of porous silicon. At least one porous silicon layer is then oxidized to form an insulating layer and at least one other layer of porous silicon beneath the insulating layer is metallized to form a buried conductive layer. Preferably the insulating layer and conductive layer are separated by an anodization barrier formed of non-porous silicon. By etching through the anodization barrier and subsequently forming a metallized conductive layer, a fully or partially insulated buried conductor may be fabricated under single crystal silicon.

  2. Technology for the compatible integration of silicon detectors with readout electronics

    International Nuclear Information System (INIS)

    Zimmer, G.

    1984-01-01

    Compatible integration of detectors and readout electronics on the same silicon substrate is of growing interest. As the methods of microelectronics technology have already been adapted for detector fabrication, a common technology basis for detectors and readout electronics is available. CMOS technology exhibits most attractive features for the compatible realization of readout electronics when advanced LSI processing steps are combined with detector requirements. The essential requirements for compatible integration are the availability of high resistivity (100)-oriented single crystalline silicon substrate, the formation of suitably doped areas for MOS circuits and the isolation of the low voltage circuit from the detector operated at much higher supply voltage. Junction isolation as a first approach based on present production technology and dielectric isolation based on an advanced SOI-LSI technology are discussed as the most promising solutions for present and future applications, respectively. (orig.)

  3. Achievement Report for fiscal 1997 on developing a silicon manufacturing process with reduced energy consumption. Development of silicon mass-production manufacturing technology for solar cells; 1997 nendo energy shiyo gorika silicon seizo process kaihatsu. Taiyo denchiyo silicon ryosanka seizo gijutsu no kaihatsu

    Energy Technology Data Exchange (ETDEWEB)

    NONE

    1998-03-01

    In order to manufacture silicon for solar cells, development is intended on a technology to manufacture silicon (SOG-Si) for solar cells by means of metallurgical methods using metallic silicon with purity generally available as an interim starting material. The silicon is required of p-type electric conductivity characteristics with specific resistance of 0.5 to 1.5 ohm per cm, to be sufficient even with 6-7N as compared to silicon for semiconductors (11-N), and to be low in cost. While the NEDO fluid bed process and the metallurgical NEDO direct reduction process have been developed based on the technology to manufacture silicon for semiconductors, the basic policy was established to develop a new manufacturing method using commercially available high-purity metallic silicon as an interim starting material, with an objective to achieve cost as low as capable of responding to small-quantity phase production for proliferation purpose. Removal of boron and phosphor has been the main issue in the development, whereas SOG-Si was manufactured in a laboratory scale by combining with the conventional component technologies in fiscal 1991 and 1992. The scale was expanded to 20 kg since fiscal 1993, and a five year plan starting fiscal 1996 was decided to develop the technology for industrial scale. Fiscal 1997 has promoted the development by using the 20-kg scale device, and introduced facilities to develop technology for mass-production scale. (NEDO)

  4. Technology of fabrication of silicon-lithium detector with superficial junction

    International Nuclear Information System (INIS)

    Cabal Rodriguez, A.E.; Diaz Garcia, A.; Noriega Scull, C.

    1997-01-01

    The Silicon nuclear radiation detectors transform the charge produced within the semiconductor crystal, product of the impinges of particles and X rays, in pulses of voltage at the output of the preamplifier. The planar Silicon-Lithium (Si(Li)) detector with superficial junction is basically a Pin structure diode. By mean of the diffusion and drift of Lithium in the Silicon a compensated or depletion region was created. There the incident radiation interacts with the Silicon, producing an electric signal proportional to the detector's energy deposited in the semiconductor. The technological process of fabrication this kind of detectors comprises several stages, some of them complex and of long duration. They also demand a systematic control. The technological process of Si(Li) detector's fabrication was carried out. The detector's fabrication electric characteristics were measured in some steps. An obtained device was mounted in the holder within a cryostat, in order to work to temperature of the liquid nitrogen. The energy resolution of the detector was measured and the value was 180 eV for the line of 5.9 KeV of an Fe-55 source. This value has allowed to work with the detector in energy disperse X-rays fluorescence. (author) [es

  5. Review of New Technology for Preparing Crystalline Silicon Solar Cell Materials by Metallurgical Method

    Science.gov (United States)

    Li, Man; Dai, Yongnian; Ma, Wenhui; Yang, Bin; Chu, Qingmei

    2017-11-01

    The goals of greatly reducing the photovoltaic power cost and making it less than that of thermal power to realize photovoltaic power grid parity without state subsidies are focused on in this paper. The research status, key technologies and development of the new technology for preparing crystalline silicon solar cell materials by metallurgical method at home and abroad are reviewed. The important effects of impurities and defects in crystalline silicon on its properties are analysed. The importance of new technology on reducing production costs and improving its quality to increase the cell conversion efficiency are emphasized. The previous research results show that the raw materials of crystalline silicon are extremely abundant. The product of crystalline silicon can meet the quality requirements of solar cell materials: Si ≥ 6 N, P 1 Ω cm, minority carrier life > 25 μs cell conversion efficiency of about 19.3%, the product costs energy consumption energy consumption, low carbon and sustainable development are prospected.

  6. Results from a beam test of silicon strip sensors manufactured by Infineon Technologies AG

    Energy Technology Data Exchange (ETDEWEB)

    Dragicevic, M., E-mail: marko.dragicevic@oeaw.ac.at [Institute of High Energy Physics, Austrian Academy of Sciences, Vienna (Austria); Auzinger, G. [Institute of High Energy Physics, Austrian Academy of Sciences, Vienna (Austria); CERN, Geneva (Switzerland); Bartl, U. [Infineon Technologies Austria AG, Villach (Austria); Bergauer, T. [Institute of High Energy Physics, Austrian Academy of Sciences, Vienna (Austria); Gamerith, S.; Hacker, J. [Infineon Technologies Austria AG, Villach (Austria); König, A. [Institute of High Energy Physics, Austrian Academy of Sciences, Vienna (Austria); Infineon Technologies Austria AG, Villach (Austria); Kröner, F.; Kucher, E.; Moser, J.; Neidhart, T. [Infineon Technologies Austria AG, Villach (Austria); Schulze, H.-J. [Infineon Technologies AG, Munich (Germany); Schustereder, W. [Infineon Technologies Austria AG, Villach (Austria); Treberspurg, W. [Institute of High Energy Physics, Austrian Academy of Sciences, Vienna (Austria); Wübben, T. [Infineon Technologies Austria AG, Villach (Austria)

    2014-11-21

    Most modern particle physics experiments use silicon based sensors for their tracking systems. These sensors are able to detect particles generated in high energy collisions with high spatial resolution and therefore allow the precise reconstruction of particle tracks. So far only a few vendors were capable of producing silicon strip sensors with the quality needed in particle physics experiments. Together with the European-based semiconductor manufacturer Infineon Technologies AG (Infineon) the Institute of High Energy Physics of the Austrian Academy of Sciences (HEPHY) developed planar silicon strip sensors in p-on-n technology. This work presents the first results from a beam test of strip sensors manufactured by Infineon.

  7. Launching of multi-project wafer runs in ePIXfab with micron-scale silicon rib waveguide technology

    Science.gov (United States)

    Aalto, Timo; Cherchi, Matteo; Harjanne, Mikko; Ylinen, Sami; Kapulainen, Markku; Vehmas, Tapani

    2014-03-01

    Silicon photonics is a rapidly growing R&D field where universities, institutes and companies are all involved and the business expectations for the next few years are high. One of the key enabling elements that led to the present success of silicon photonics is ePIXfab. It is a consortium of institutes that has together offered multi-project wafer (MPW) runs, packaging services, training, and feasibility studies. These services have significantly lowered the barrier of various research groups and companies to start developing silicon photonics. Until now the MPW services have been offered by the ePIXfab partners IMEC, CEA-Leti and IHP, which all use CMOS-type silicon photonics technology with a typical silicon-on-insulator (SOI) waveguide thickness of 220 nm. In November 2013 this MPW offering was expanded by the ePIXfab partner VTT that opened the access to its 3 μm SOI waveguide platform via ePIXfab MPW runs. This technology platform is complementary to the mainstream silicon photonics technology (220 nm) and it offers such benefits as very low losses, small polarization dependency, ultrabroadband operation and low starting costs

  8. PECVD silicon carbide surface micromachining technology and selected MEMS applications

    NARCIS (Netherlands)

    Rajaraman, V.; Pakula, L.S.; Yang, H.; French, P.J.; Sarro, P.M.

    2011-01-01

    Attractive material properties of plasma enhanced chemical vapour deposited (PECVD) silicon carbide (SiC) when combined with CMOS-compatible low thermal budget processing provides an ideal technology platform for developing various microelectromechanical systems (MEMS) devices and merging them with

  9. The dark side of silicon energy efficient computing in the dark silicon era

    CERN Document Server

    Liljeberg, Pasi; Hemani, Ahmed; Jantsch, Axel; Tenhunen, Hannu

    2017-01-01

    This book presents the state-of-the art of one of the main concerns with microprocessors today, a phenomenon known as "dark silicon". Readers will learn how power constraints (both leakage and dynamic power) limit the extent to which large portions of a chip can be powered up at a given time, i.e. how much actual performance and functionality the microprocessor can provide. The authors describe their research toward the future of microprocessor development in the dark silicon era, covering a variety of important aspects of dark silicon-aware architectures including design, management, reliability, and test. Readers will benefit from specific recommendations for mitigating the dark silicon phenomenon, including energy-efficient, dedicated solutions and technologies to maximize the utilization and reliability of microprocessors. Enables readers to understand the dark silicon phenomenon and why it has emerged, including detailed analysis of its impacts; Presents state-of-the-art research, as well as tools for mi...

  10. Epitaxy - a new technology for fabrication of advanced silicon radiation detectors

    International Nuclear Information System (INIS)

    Kemmer, J.; Wiest, F.; Pahlke, A.; Boslau, O.; Goldstrass, P.; Eggert, T.; Schindler, M.; Eisele, I.

    2005-01-01

    Twenty five years after the introduction of the planar process to the fabrication of silicon radiation detectors a new technology, which replaces the ion implantation doping by silicon epitaxy is presented. The power of this new technique is demonstrated by fabrication of silicon drift detectors (SDDs), whereby both the n-type and p-type implants are replaced by n-type and p-type epi-layers. The very first SDDs ever produced with this technique show energy resolutions of 150 eV for 55 Fe at -35 deg C. The area of the detectors is 10 mm 2 and the thickness 300 μm. The high potential of epitaxy for future detectors with integrated complex electronics is described

  11. Silicon photonics for telecommunications and biomedicine

    CERN Document Server

    Fathpour, Sasan

    2011-01-01

    Given silicon's versatile material properties, use of low-cost silicon photonics continues to move beyond light-speed data transmission through fiber-optic cables and computer chips. Its application has also evolved from the device to the integrated-system level. A timely overview of this impressive growth, Silicon Photonics for Telecommunications and Biomedicine summarizes state-of-the-art developments in a wide range of areas, including optical communications, wireless technologies, and biomedical applications of silicon photonics. With contributions from world experts, this reference guides

  12. Surface etching technologies for monocrystalline silicon wafer solar cells

    Science.gov (United States)

    Tang, Muzhi

    With more than 200 GW of accumulated installations in 2015, photovoltaics (PV) has become an important green energy harvesting method. The PV market is dominated by solar cells made from crystalline silicon wafers. The engineering of the wafer surfaces is critical to the solar cell cost reduction and performance enhancement. Therefore, this thesis focuses on the development of surface etching technologies for monocrystalline silicon wafer solar cells. It aims to develop a more efficient alkaline texturing method and more effective surface cleaning processes. Firstly, a rapid, isopropanol alcohol free texturing method is successfully demonstrated to shorten the process time and reduce the consumption of chemicals. This method utilizes the special chemical properties of triethylamine, which can form Si-N bonds with wafer surface atoms. Secondly, a room-temperature anisotropic emitter etch-back process is developed to improve the n+ emitter passivation. Using this method, 19.0% efficient screen-printed aluminium back surface field solar cells are developed that show an efficiency gain of 0.15% (absolute) compared with conventionally made solar cells. Finally, state-of-the-art silicon surface passivation results are achieved using hydrogen plasma etching as a dry alternative to the classical hydrofluoric acid wet-chemical process. The effective native oxide removal and the hydrogenation of the silicon surface are shown to be the reasons for the excellent level of surface passivation achieved with this novel method.

  13. Dry technologies for the production of crystalline silicon solar cells; Trockentechnologien zur Herstellung von kristallinen Siliziumsolarzellen

    Energy Technology Data Exchange (ETDEWEB)

    Rentsch, J.

    2005-04-15

    Within this work, dynamic plasma etching technologies for the industrial production of crystalline silicon solar cells has been investigated. The research activity can be separated into three major steps: the characterisation of the etching behaviour of a newly developed dynamic plasma etching system, the development and analysis of dry etching processes for solar cell production and the determination of the ecological and economical impacts of such a new technology compared to standard up to date technologies. The characterisation of the etching behaviour has been carried out for two different etching sources, a low frequency (110 kHz) and a microwave (2.45 GHz) plasma source. The parameter of interest was the delivered ion energy of each source mainly determining the reachable etch rate. The etch rate turned out to be the main most critical parameter concerning the reachable wafer throughput per hour. Other points of interest in characterisation of the etching system were the material of the transport carriers, the silicon load as well as the process temperatures. The development of different dry etching processes targets the design of a complete dry production process for crystalline silicon solar cells. Therefore etching processes for saw damage removal, texturing, edge isolation as well as etching of dielectric layers have been developed and optimised. The major benefits of a complete dry production process would be the reduction of handling steps in between process steps and therefore offers a large cost reduction potential. For multicrystalline silicon solar cells a cost reduction potential of 5 % compared to a standard wet chemical based reference process could be realized only including the dry etching of a phosphorus silicate glass layer after diffusion. Further reduction potential offers the implementation of a dry texturing process due to a significant efficiency increase. (orig.)

  14. Achievement report for fiscal 1999 on the development of silicon manufacturing process rationalizing energy utilization. Research and study on analysis to put silicon raw material manufacturing technology for solar cells into practical use; 1999 nendo energy shiyo gorika silicon seizo process kaihatsu seika hokokusho. Taiyo denchi silicon genryo seizo gijutsu no jitsuyoka kaiseki ni kansuru chosa kenkyu

    Energy Technology Data Exchange (ETDEWEB)

    NONE

    2000-03-01

    In order to support the development and practical application of a mass production technology for manufacturing silicon raw materials for solar cells, research and study were performed on trends of developing the related technologies, and movements in markets and industries. This paper reports the achievements thereof in fiscal 1999. Markets for solar cells are growing favorably, and the worldwide solar cell production in 1999 was 200 MWp, of which 80% or more is occupied by crystalline silicon solar cell. While development of the manufacturing technology for SOG-Si mass-production is in the stage of operation research of pilot plants, it has been verified that problems of impurity contamination was resolved, and high-purity silicon can be manufactured. In developing the silicon scrap utilization technology and a technology to integrate silicon refinement with casting, a conversion efficiency of 14% or higher was acquired in prototype sample substrates. It has been verified that a variety of raw materials can be dealt with by using the above technology, which has a possibility of cost reduction. In developing a substrate manufacturing technology, a great progress has been made in enhancing the productivity and reducing the cost by developing the continuous casting in the electromagnetic casting and the automation technology. (NEDO)

  15. Photovoltaic technology, performance, manufacturing cost and markets

    International Nuclear Information System (INIS)

    Maycock, P.D.

    1999-01-01

    A comprehensive discussion of key aspects of photovoltaic energy conversion systems will provide the basis for forecasting PV module shipments from 1999 to 2010. Principal areas covered include: (1) Technology and Performance Status: The module efficiency and performance are described for commercial cell technologies including single crystal silicon, polycrystal silicon, ribbon silicon, film silicon on low cost substrate, amorphous silicon, copper indium diselenide, and cadmium telluride; (2) Manufacturing cost: 1999 costs for PV technologies in production (single crystal silicon, polycrystal silicon, and amorphous silicon) are developed. Manufacturing costs for 10--25 MW plants and 100 MW plants will be estimated; (3) The world PV market is summarized by region, top ten companies, and technology; and (4) Forecast of the World Market (seven market sectors) to 2010 will be presented. Key assumptions, price of modules, incentive programs, price of competing electricity generation will be detailed

  16. Metallisation Technology of Silicon Solar Cells Using the Convectional and Laser Technique

    Directory of Open Access Journals (Sweden)

    Leszek A. Dobrzanski

    2013-07-01

    Full Text Available The aim of the paper was to optimize the Selective Laser Sintering (SLS and co-firing in the infrared conveyor furnace parameters in front Screen Printed (SP contacts. The co-firing in the infrared conveyor furnace was carried out at various temperature. The SLS was carried out at various a laser beam, scanning speed of the laser beam and front electrode thickness. The investigations were carried out on monocrystalline silicon wafers. During investigations was applied a silver powder with the grain size of 40 μm. The contacts parameters are obtained according to the Transmission Line Model (TLM measurements. Firstly, this paper shows the comparison between the convectional an unconventional method of manufacturing front contacts of monocrystalline silicon solar cells with the different morphology of silicon for comparative purposes. Secondly, the papers shows technological recommendations for both methods in relation to parameters such as: the optimal paste composition, the morphology of the silicon substrate to produce the front electrode of silicon solar cells, which were selected experimentally in order to produce a uniformly melted structure, well adhering to the substrate, with the low resistance of the front electrode-to-substrate joint zone.

  17. Development in fiscal 1999 of technologies to put photovoltaic power generation systems into practical use. Development of thin film solar cell manufacturing technologies (Development of low-cost large-area module manufacturing technologies, and development of technologies to manufacture amorphous silicon/thin film poly-crystalline silicon hybrid thin film solar cells); 1999 nendo taiyoko hatsuden system jitsuyoka gijutsu kaihatsu seika hokokusho. Usumaku taiyo denchi no seizo gijutsu kaihatsu (tei cost daimenseki module seizo kaihatsu (oyogata shinkozo usumaku taiyo denchi no seizo gijutsu kaihatsu (amorphous silicon / usumaku takessho silicon hybrid usumaku taiyo denchi no seizo gijutsu kaihatsu))

    Energy Technology Data Exchange (ETDEWEB)

    NONE

    2000-03-01

    Developmental research has been performed on large-area low-cost manufacturing technologies on hybrid thin film solar cells of amorphous silicon and poly-crystalline silicon. This paper summarizes the achievements in fiscal 1999. The research has been performed on a texture construction formed naturally on silicon surface, and thin film poly-crystalline silicon cells with STAR structure having a rear side reflection layer to increase light absorption. The research achievements during the current fiscal year may be summarized as follows: the laser scribing technology for thin film poly-crystalline silicon was established, which is important for modularization, making fabrication of low-cost and large-area modules possible; a stabilization efficiency of 11.3% was achieved in a hybrid mini module comprising of ten-stage series integrated amorphous silicon and thin film poly-crystalline silicon; structures different hybrid modules were discussed, whereas an initial efficiency of 10.3% (38.78W) was achieved in a sub-module having a substrate size of 910 mm times 455 mm; and feasibility of forming large-area hybrid modules was demonstrated. (NEDO)

  18. Nonlinear silicon photonics

    Science.gov (United States)

    Borghi, M.; Castellan, C.; Signorini, S.; Trenti, A.; Pavesi, L.

    2017-09-01

    Silicon photonics is a technology based on fabricating integrated optical circuits by using the same paradigms as the dominant electronics industry. After twenty years of fervid development, silicon photonics is entering the market with low cost, high performance and mass-manufacturable optical devices. Until now, most silicon photonic devices have been based on linear optical effects, despite the many phenomenologies associated with nonlinear optics in both bulk materials and integrated waveguides. Silicon and silicon-based materials have strong optical nonlinearities which are enhanced in integrated devices by the small cross-section of the high-index contrast silicon waveguides or photonic crystals. Here the photons are made to strongly interact with the medium where they propagate. This is the central argument of nonlinear silicon photonics. It is the aim of this review to describe the state-of-the-art in the field. Starting from the basic nonlinearities in a silicon waveguide or in optical resonator geometries, many phenomena and applications are described—including frequency generation, frequency conversion, frequency-comb generation, supercontinuum generation, soliton formation, temporal imaging and time lensing, Raman lasing, and comb spectroscopy. Emerging quantum photonics applications, such as entangled photon sources, heralded single-photon sources and integrated quantum photonic circuits are also addressed at the end of this review.

  19. Fiscal 1992 R and D project for next generation infrastructure technology. Report on results of R and D on silicon-based polymeric material; 1992 nendo keisokei kobunshi zairyo no kenkyu kaihatsu seika hokokusho

    Energy Technology Data Exchange (ETDEWEB)

    NONE

    1993-03-01

    R and D was conducted with the purpose of establishing fundamental technologies for molecular design, synthesis, material formation and evaluation method concerning silicon-based polymer. with the fiscal 1992 results summarized. In the studies on synthesis technology of electrically conductive silicon-based polymeric materials, silicon-based compounds were synthesized including in particular -Si-Si- bond and carbon multiple bond like -C-C-, with acquisition/analysis of material data started. In the studies on new silicon-based polymeric materials capable of circuit plotting, syntheses were performed for network polysilanes through the disproportionation reaction of alkoxydisilanes. In the studies on new silicon-based polymeric materials having a light emitting function, evaluation of oxidation-reduction potential and search for synthesizing conditions were performed for halosilanes and hydrosilanes. In the studies on silicon-based photoelectric conversion materials, molecular design progressed using a crystal orbital method. Furthermore, researches were implemented on such subjects as silicon-based polymeric materials having a sea-island structure, interpenetrating polymer network forming technologies, and composite structural materials composed of organic metallic complex and silicon-based polymers. (NEDO)

  20. Silicon integrated circuits part A : supplement 2

    CERN Document Server

    Kahng, Dawon

    1981-01-01

    Applied Solid State Science, Supplement 2: Silicon Integrated Circuits, Part A focuses on MOS device physics. This book is divided into three chapters-physics of the MOS transistor; nonvolatile memories; and properties of silicon-on-sapphire substrates devices, and integrated circuits. The topics covered include the short channel effects, MOSFET structures, floating gate devices, technology for nonvolatile semiconductor memories, sapphire substrates, and SOS integrated circuits and systems. The MOS capacitor, MIOS devices, and SOS process and device technology are also deliberated. This public

  1. Flat-plate solar array project. Volume 3: Silicon sheet: Wafers and ribbons

    Science.gov (United States)

    Briglio, A.; Dumas, K.; Leipold, M.; Morrison, A.

    1986-01-01

    The primary objective of the Silicon Sheet Task of the Flat-Plate Solar Array (FSA) Project was the development of one or more low cost technologies for producing silicon sheet suitable for processing into cost-competitive solar cells. Silicon sheet refers to high purity crystalline silicon of size and thickness for fabrication into solar cells. Areas covered in the project were ingot growth and casting, wafering, ribbon growth, and other sheet technologies. The task made and fostered significant improvements in silicon sheet including processing of both ingot and ribbon technologies. An additional important outcome was the vastly improved understanding of the characteristics associated with high quality sheet, and the control of the parameters required for higher efficiency solar cells. Although significant sheet cost reductions were made, the technology advancements required to meet the task cost goals were not achieved.

  2. An overview of crystalline silicon solar cell technology: Past, present, and future

    Science.gov (United States)

    Sopian, K.; Cheow, S. L.; Zaidi, S. H.

    2017-09-01

    Crystalline silicon (c-Si) solar cell, ever since its inception, has been identified as the only economically and environmentally sustainable renewable resource to replace fossil fuels. Performance c-Si based photovoltaic (PV) technology has been equal to the task. Its price has been reduced by a factor of 250 over last twenty years (from ˜ 76 USD to ˜ 0.3 USD); its market growth is expected to reach 100 GWP by 2020. Unfortunately, it is still 3-4 times higher than carbon-based fuels. With the matured PV manufacturing technology as it exists today, continuing price reduction poses stiff challenges. Alternate manufacturing approaches in combination with thin wafers, low (< 10 x) optical enhancement with Fresnel lenses, band-gap engineering for enhanced optical absorption, and newer, advanced solar cell configurations including partially transparent bifacial and back contact solar cells will be required. This paper will present a detailed, cost-based analysis of advanced solar cell manufacturing technologies aimed at higher (˜ 22 %) efficiency with existing equipment and processes.

  3. Aluminium alloyed iron-silicide/silicon solar cells: A simple approach for low cost environmental-friendly photovoltaic technology.

    Science.gov (United States)

    Kumar Dalapati, Goutam; Masudy-Panah, Saeid; Kumar, Avishek; Cheh Tan, Cheng; Ru Tan, Hui; Chi, Dongzhi

    2015-12-03

    This work demonstrates the fabrication of silicide/silicon based solar cell towards the development of low cost and environmental friendly photovoltaic technology. A heterostructure solar cells using metallic alpha phase (α-phase) aluminum alloyed iron silicide (FeSi(Al)) on n-type silicon is fabricated with an efficiency of 0.8%. The fabricated device has an open circuit voltage and fill-factor of 240 mV and 60%, respectively. Performance of the device was improved by about 7 fold to 5.1% through the interface engineering. The α-phase FeSi(Al)/silicon solar cell devices have promising photovoltaic characteristic with an open circuit voltage, short-circuit current and a fill factor (FF) of 425 mV, 18.5 mA/cm(2), and 64%, respectively. The significant improvement of α-phase FeSi(Al)/n-Si solar cells is due to the formation p(+-)n homojunction through the formation of re-grown crystalline silicon layer (~5-10 nm) at the silicide/silicon interface. Thickness of the regrown silicon layer is crucial for the silicide/silicon based photovoltaic devices. Performance of the α-FeSi(Al)/n-Si solar cells significantly depends on the thickness of α-FeSi(Al) layer and process temperature during the device fabrication. This study will open up new opportunities for the Si based photovoltaic technology using a simple, sustainable, and los cost method.

  4. High-efficiency photovoltaic technology including thermoelectric generation

    Science.gov (United States)

    Fisac, Miguel; Villasevil, Francesc X.; López, Antonio M.

    2014-04-01

    Nowadays, photovoltaic solar energy is a clean and reliable source for producing electric power. Most photovoltaic systems have been designed and built up for use in applications with low power requirements. The efficiency of solar cells is quite low, obtaining best results in monocrystalline silicon structures, with an efficiency of about 18%. When temperature rises, photovoltaic cell efficiency decreases, given that the short-circuit current is slightly increased, and the open-circuit voltage, fill factor and power output are reduced. To ensure that this does not affect performance, this paper describes how to interconnect photovoltaic and thermoelectric technology into a single structure. The temperature gradient in the solar panel is used to supply thermoelectric cells, which generate electricity, achieving a positive contribution to the total balance of the complete system.

  5. Full-color OLED on silicon microdisplay

    Science.gov (United States)

    Ghosh, Amalkumar P.

    2002-02-01

    eMagin has developed numerous enhancements to organic light emitting diode (OLED) technology, including a unique, up- emitting structure for OLED-on-silicon microdisplay devices. Recently, eMagin has fabricated full color SVGA+ resolution OLED microdisplays on silicon, with over 1.5 million color elements. The display is based on white light emission from OLED followed by LCD-type red, green and blue color filters. The color filters are patterned directly on OLED devices following suitable thin film encapsulation and the drive circuits are built directly on single crystal silicon. The resultant color OLED technology, with hits high efficiency, high brightness, and low power consumption, is ideally suited for near to the eye applications such as wearable PCS, wireless Internet applications and mobile phone, portable DVD viewers, digital cameras and other emerging applications.

  6. "Silicon millefeuille": From a silicon wafer to multiple thin crystalline films in a single step

    Science.gov (United States)

    Hernández, David; Trifonov, Trifon; Garín, Moisés; Alcubilla, Ramon

    2013-04-01

    During the last years, many techniques have been developed to obtain thin crystalline films from commercial silicon ingots. Large market applications are foreseen in the photovoltaic field, where important cost reductions are predicted, and also in advanced microelectronics technologies as three-dimensional integration, system on foil, or silicon interposers [Dross et al., Prog. Photovoltaics 20, 770-784 (2012); R. Brendel, Thin Film Crystalline Silicon Solar Cells (Wiley-VCH, Weinheim, Germany 2003); J. N. Burghartz, Ultra-Thin Chip Technology and Applications (Springer Science + Business Media, NY, USA, 2010)]. Existing methods produce "one at a time" silicon layers, once one thin film is obtained, the complete process is repeated to obtain the next layer. Here, we describe a technology that, from a single crystalline silicon wafer, produces a large number of crystalline films with controlled thickness in a single technological step.

  7. Design and fabrication process of silicon micro-calorimeters on simple SOI technology for X-ray spectral imaging

    International Nuclear Information System (INIS)

    Aliane, A.; Agnese, P.; Pigot, C.; Sauvageot, J.-L.; Moro, F. de; Ribot, H.; Gasse, A.; Szeflinski, V.; Gobil, Y.

    2008-01-01

    Several successful development programs have been conducted on infra-red bolometer arrays at the 'Commissariat a l'Energie Atomique' (CEA-LETI Grenoble) in collaboration with the CEA-SAp (Saclay); taking advantage of this background, we are now developing an X-ray spectro-imaging camera for next generation space astronomy missions, using silicon only technology. We have developed monolithic silicon micro-calorimeters based on implanted thermistors in an improved array that could be used for future space missions. The 8x8 array consists of a grid of 64 suspended pixels fabricated on a silicon on insulator (SOI) wafer. Each pixel of this detector array is made of a tantalum (Ta) absorber, which is bound by means of indium bump hybridization, to a silicon thermistor. The absorber array is bound to the thermistor array in a collective process. The fabrication process of our detector involves a combination of standard technologies and silicon bulk micro-machining techniques, based on deposition, photolithography and plasma etching steps. Finally, we present the results of measurements performed on these four primary building blocks that are required to create a detector array up to 32x32 pixels in size

  8. Report on achievements in fiscal 1998. Development of silicon manufacturing process to rationalize energy usage (Development of mass production technology for solar-grade silicon); 1998 nendo energy shiyo gorika silicon seizo process kaihatsu seika hokokusho. Taiyo denchiyo silicon ryosanka seizo gijutsu no kaihatsu

    Energy Technology Data Exchange (ETDEWEB)

    NONE

    1999-03-01

    In the proliferation stage of solar cells, a technology is required to manufacture low-cost SOG-Si that can handle small quantity production. Development is being made on a manufacturing technology using high purity metallic silicon (99.5%) as the raw material. Considering that the subject impurities are P, B and metallic impurities (Fe, Ti and Al), a manufacturing method consisting of the following processes is being developed: metallic silicon/phosphorus removal, solidification and rough refining/boron removal, solidification and fine refining. Discussions are being advanced on phosphorus removal by using a large electron beam fusion equipment, and at the same time, the discussions are supported by fabricating and installing a large equipment intended of removing boron and the metallic impurities. Boron is removed by oxidizing it with steam. Therefore, the basic mechanism of the equipment is to spray argon plasma added with steam onto the molten silicon surface. In boron removal, diffusion of boron onto the reaction interface in the primary reaction determines the rate. A boron removal rate for B/10 to 0.1 ppm of 45 kg/h as maximum was achieved. The derived silicon has met the requirement. (NEDO)

  9. Fiscal 2000 achievement report. Development of energy use rationalization-oriented silicon manufacturing process (Survey and study of analysis of commercialization of solar-grade silicon material manufacturing technology); 2000 nendo shin energy sangyo gijutsu sogo kaihatsu kiko kyodo kenkyu gyomu seika hokokusho. Energy shiyo gorika silicon seizo process kaihatsu (Taiyodenchiyou silicon genryo seizo gijutsu no jitsuyoka kaiseki ni kansuru chosa kenkyu)

    Energy Technology Data Exchange (ETDEWEB)

    NONE

    2001-03-01

    The trend of technology development, problems harbored therein, trend of the market, and the like were investigated for supporting the development of technologies for the mass production and commercialization of solar-grade silicon materials. Concerning the future of production enhancement and cost reduction in the manufacture of polycrystalline silicon solar cells, studies were made from the technological viewpoint. The results are shown below. It is estimated that approximately 4,500 tons of material silicon will be necessary in 2005 and 6,500-10,700 tons in 2010. Since the melting purification method of NEDO (New Energy and Industrial Technology Development Organization) now under development step by step toward commercialization as well as the conventional source will provide the necessary amount of material silicon, it is inferred that the development of solar cells will go on without any restraint originating in the semiconductor industry. With the commercialization of the technologies so far developed and the development/commercialization of the fast-acting high-performance solar cell technology, probabilities are high that the polycrystalline silicon solar cell manufacturing cost in 2010 will be as low as to be on the 100 yen/W (93-118 yen/W) level which is the level now held up as the goal. (NEDO)

  10. Analytical and experimental evaluation of joining silicon carbide to silicon carbide and silicon nitride to silicon nitride for advanced heat engine applications Phase 2. Final report

    Energy Technology Data Exchange (ETDEWEB)

    Sundberg, G.J.; Vartabedian, A.M.; Wade, J.A.; White, C.S. [Norton Co., Northboro, MA (United States). Advanced Ceramics Div.

    1994-10-01

    The purpose of joining, Phase 2 was to develop joining technologies for HIP`ed Si{sub 3}N{sub 4} with 4wt% Y{sub 2}O{sub 3} (NCX-5101) and for a siliconized SiC (NT230) for various geometries including: butt joins, curved joins and shaft to disk joins. In addition, more extensive mechanical characterization of silicon nitride joins to enhance the predictive capabilities of the analytical/numerical models for structural components in advanced heat engines was provided. Mechanical evaluation were performed by: flexure strength at 22 C and 1,370 C, stress rupture at 1,370 C, high temperature creep, 22 C tensile testing and spin tests. While the silicon nitride joins were produced with sufficient integrity for many applications, the lower join strength would limit its use in the more severe structural applications. Thus, the silicon carbide join quality was deemed unsatisfactory to advance to more complex, curved geometries. The silicon carbide joining methods covered within this contract, although not entirely successful, have emphasized the need to focus future efforts upon ways to obtain a homogeneous, well sintered parent/join interface prior to siliconization. In conclusion, the improved definition of the silicon carbide joining problem obtained by efforts during this contract have provided avenues for future work that could successfully obtain heat engine quality joins.

  11. A low cost and hybrid technology for integrating silicon sensors or actuators in polymer microfluidic systems

    International Nuclear Information System (INIS)

    Charlot, Samuel; Gué, Anne-Marie; Tasselli, Josiane; Marty, Antoine; Abgrall, Patrick; Estève, Daniel

    2008-01-01

    This paper describes a new technology permitting a hybrid integration of silicon chips in polymer (PDMS and SU8) microfluidic structures. This two-step technology starts with transferring the silicon device onto a rigid substrate (typically PCB) and planarizing it, and then it proceeds with stacking of the polymer-made fluidic network onto the device. The technology is low cost, based on screen printing and lamination, can be applied to treat large surface areas, and is compatible with standard photolithography and vacuum based approaches. We show, as an example, the integration of a thermal sensor inside channels made of PDMS or SU8. The developed structures had no fluid leaks at the Si/polymer interfaces and the electrical circuit was perfectly tightproof. (note)

  12. Electrical leakage phenomenon in heteroepitaxial cubic silicon carbide on silicon

    Science.gov (United States)

    Pradeepkumar, Aiswarya; Zielinski, Marcin; Bosi, Matteo; Verzellesi, Giovanni; Gaskill, D. Kurt; Iacopi, Francesca

    2018-06-01

    Heteroepitaxial 3C-SiC films on silicon substrates are of technological interest as enablers to integrate the excellent electrical, electronic, mechanical, thermal, and epitaxial properties of bulk silicon carbide into well-established silicon technologies. One critical bottleneck of this integration is the establishment of a stable and reliable electronic junction at the heteroepitaxial interface of the n-type SiC with the silicon substrate. We have thus investigated in detail the electrical and transport properties of heteroepitaxial cubic silicon carbide films grown via different methods on low-doped and high-resistivity silicon substrates by using van der Pauw Hall and transfer length measurements as test vehicles. We have found that Si and C intermixing upon or after growth, particularly by the diffusion of carbon into the silicon matrix, creates extensive interstitial carbon traps and hampers the formation of a stable rectifying or insulating junction at the SiC/Si interface. Although a reliable p-n junction may not be realistic in the SiC/Si system, we can achieve, from a point of view of the electrical isolation of in-plane SiC structures, leakage suppression through the substrate by using a high-resistivity silicon substrate coupled with deep recess etching in between the SiC structures.

  13. A comparison of degradation in three amorphous silicon PV module technologies

    Energy Technology Data Exchange (ETDEWEB)

    Radue, C.; van Dyk, E.E. [Physics Department, PO Box 77000, Nelson Mandela Metropolitan University, Port Elizabeth 6031 (South Africa)

    2010-03-15

    Three commercial amorphous silicon modules manufactured by monolithic integration and consisting of three technology types were analysed in this study. These modules were deployed outdoors for 14 months and underwent degradation. All three modules experienced the typical light-induced degradation (LID) described by the Staebler-Wronski effect, and this was followed by further degradation. A 14 W single junction amorphous silicon module degraded by about 45% of the initial measured maximum power output (P{sub MAX}) at the end of the study. A maximum of 30% of this has been attributed to LID and the further 15% to cell mismatch and cell degradation. The other two modules, a 64 W triple junction amorphous silicon module, and a 68 W flexible triple junction amorphous silicon module, exhibited LID followed by seasonal variation in the degraded P{sub MAX}. The 64 W module showed a maximum degradation in P{sub MAX} of about 22%. This is approximately 4% more than the manufacturer allowed for the initial LID. However, the seasonal variation in P{sub MAX} seems to be centred around the manufacturer's rating ({+-}4%). The 68 W flexible module has shown a maximum decrease in P{sub MAX} of about 27%. This decrease is about 17% greater than the manufacturer allowed for the initial LID. (author)

  14. Photonic integration and photonics-electronics convergence on silicon platform

    CERN Document Server

    Liu, Jifeng; Baba, Toshihiko; Vivien, Laurent; Xu, Dan-Xia

    2015-01-01

    Silicon photonics technology, which has the DNA of silicon electronics technology, promises to provide a compact photonic integration platform with high integration density, mass-producibility, and excellent cost performance. This technology has been used to develop and to integrate various photonic functions on silicon substrate. Moreover, photonics-electronics convergence based on silicon substrate is now being pursued. Thanks to these features, silicon photonics will have the potential to be a superior technology used in the construction of energy-efficient cost-effective apparatuses for various applications, such as communications, information processing, and sensing. Considering the material characteristics of silicon and difficulties in microfabrication technology, however, silicon by itself is not necessarily an ideal material. For example, silicon is not suitable for light emitting devices because it is an indirect transition material. The resolution and dynamic range of silicon-based interference de...

  15. Optimization of the silicon subcell for III-V on silicon multijunction solar cells: Key differences with conventional silicon technology

    Science.gov (United States)

    García-Tabarés, Elisa; Martín, Diego; García, Iván; Lelièvre, Jean François; Rey-Stolle, Ignacio

    2012-10-01

    Dual-junction solar cells formed by a GaAsP or GaInP top cell and a silicon (Si) bottom cell seem to be attractive candidates to materialize the long sought-for integration of III-V materials on Si for photovoltaic (PV) applications. Such integration would offer a cost breakthrough for PV technology, unifying the low cost of Si and the efficiency potential of III-V multijunction solar cells. The optimization of the Si solar cells properties in flat-plate PV technology is well-known; nevertheless, it has been proven that the behavior of Si substrates is different when processed in an MOVPE reactor In this study, we analyze several factors influencing the bottom subcell performance, namely, 1) the emitter formation as a result of phosphorus diffusion; 2) the passivation quality provided by the GaP nucleation layer; and 3) the process impact on the bottom subcell PV properties.

  16. Silicon sensor technologies for ATLAS IBL upgrade

    CERN Document Server

    Grenier, P; The ATLAS collaboration

    2011-01-01

    New pixel sensors are currently under development for ATLAS Upgrades. The first upgrade stage will consist in the construction of a new pixel layer that will be installed in the detector during the 2013 LHC shutdown. The new layer (Insertable-B-Layer, IBL) will be inserted between the inner most layer of the current pixel detector and the beam pipe at a radius of 3.2cm. The expected high radiation levels require the use of radiation hard technology for both the front-end chip and the sensor. Two different pixel sensor technologies are envisaged for the IBL. The sensor choice will occur in July 2011. One option is developed by the ATLAS Planar Pixel Sensor (PPS) Collaboration and is based on classical n-in-n planar silicon sensors which have been used for the ATLAS Pixel detector. For the IBL, two changes were required: The thickness was reduced from 250 um to 200 um to improve the radiation hardness. In addition, so-called "slim edges" were designed to reduce the inactive edge of the sensors from 1100 um to o...

  17. Channeling-based collimators for generation of microbeams produced by silicon micromachining technology

    International Nuclear Information System (INIS)

    Guidi, V.; Antonini, A.; Milan, E.; Ronzoni, A.; Martinelli, G.; Biryukov, V.M.; Chesnokov, Yu.A.

    2006-01-01

    The growing interest on micro-beams in recent years and the combined development of channeling technology in high-energy physics have opened the way to new concepts for micro-beams devices. Silicon micromachining technology is here applied to manufacture micro-collimators in inexpensive and feasible ways. Both dry and wet etchings can be employed for the purpose, though the latter technique appears to be cheaper and easier. Two designs for micro-collimator devices have been considered and preliminary samples have been produced accordingly

  18. Development in fiscal 1998 of silicon manufacturing process to rationalize energy usage. Surveys and researches on analysis of practical application of technology to manufacture silicon raw materials for solar cells; 1998 nendo energy shiyo gorika silicon seizo process kaihatsu seika hokokusho. Taiyo denchi silicon genryo seizo gijutsu no jitsuyoka kaiseki ni kansuru chosa kenkyu

    Energy Technology Data Exchange (ETDEWEB)

    NONE

    1999-03-01

    With an objective to develop a mass production technology to manufacture silicon raw materials for solar cells, and assist its practical application, surveys and analyses were performed on trends in development of the related technologies, the problems therein , market trends and industrial trends thereof. This paper summarizes the achievements in fiscal 1998. The worldwide production amount of solar cells in 1998 is estimated to have achieved 150 MW, and the silicon consumption reached the level of 2,300 tons. In spite of the economic recession environment, there was no change in the expansion trend. In developing an SOG-Si mass production and manufacturing technology, construction of pilot plants for each process has been completed, and entered into the operation research phase. In developing a technology to manufacture high quality poly-crystalline silicon substrates, fabrication has been completed on the on-line ingot cutting equipment and the plasma heating equipment, and the stage is now in operation research of continuous electromagnetic casting process. The conversion efficiency of the poly-crystalline silicon solar cells is 14 to 16% at the mass production level, whose enhancement requires indispensably the improvement in quality of the substrate. Discussions are required on the ingot manufacturing conditions in coordination with improvement in the cell manufacturing technology. (NEDO)

  19. Passive technologies for future large-scale photonic integrated circuits on silicon: polarization handling, light non-reciprocity and loss reduction

    Directory of Open Access Journals (Sweden)

    Daoxin Dai

    2012-03-01

    Full Text Available Silicon-based large-scale photonic integrated circuits are becoming important, due to the need for higher complexity and lower cost for optical transmitters, receivers and optical buffers. In this paper, passive technologies for large-scale photonic integrated circuits are described, including polarization handling, light non-reciprocity and loss reduction. The design rule for polarization beam splitters based on asymmetrical directional couplers is summarized and several novel designs for ultra-short polarization beam splitters are reviewed. A novel concept for realizing a polarization splitter–rotator is presented with a very simple fabrication process. Realization of silicon-based light non-reciprocity devices (e.g., optical isolator, which is very important for transmitters to avoid sensitivity to reflections, is also demonstrated with the help of magneto-optical material by the bonding technology. Low-loss waveguides are another important technology for large-scale photonic integrated circuits. Ultra-low loss optical waveguides are achieved by designing a Si3N4 core with a very high aspect ratio. The loss is reduced further to <0.1 dB m−1 with an improved fabrication process incorporating a high-quality thermal oxide upper cladding by means of wafer bonding. With the developed ultra-low loss Si3N4 optical waveguides, some devices are also demonstrated, including ultra-high-Q ring resonators, low-loss arrayed-waveguide grating (demultiplexers, and high-extinction-ratio polarizers.

  20. 1366 Project Silicon: Reclaiming US Silicon PV Leadership

    Energy Technology Data Exchange (ETDEWEB)

    Lorenz, Adam [1366 Technologies, Bedford, MA (United States)

    2016-02-16

    1366 Technologies’ Project Silicon addresses two of the major goals of the DOE’s PV Manufacturing Initiative Part 2 program: 1) How to reclaim a strong silicon PV manufacturing presence and; 2) How to lower the levelized cost of electricity (“LCOE”) for solar to $0.05-$0.07/kWh, enabling wide-scale U.S. market adoption. To achieve these two goals, US companies must commercialize disruptive, high-value technologies that are capable of rapid scaling, defensible from foreign competition, and suited for US manufacturing. These are the aims of 1366 Technologies Direct Wafer ™ process. The research conducted during Project Silicon led to the first industrial scaling of 1366’s Direct Wafer™ process – an innovative, US-friendly (efficient, low-labor content) manufacturing process that destroys the main cost barrier limiting silicon PV cost-reductions: the 35-year-old grand challenge of making quality wafers (40% of the cost of modules) without the cost and waste of sawing. The SunPath program made it possible for 1366 Technologies to build its demonstration factory, a key and critical step in the Company’s evolution. The demonstration factory allowed 1366 to build every step of the process flow at production size, eliminating potential risk and ensuring the success of the Company’s subsequent scaling for a 1 GW factory to be constructed in Western New York in 2016 and 2017. Moreover, the commercial viability of the Direct Wafer process and its resulting wafers were established as 1366 formed key strategic partnerships, gained entry into the $8B/year multi-Si wafer market, and installed modules featuring Direct Wafer products – the veritable proving grounds for the technology. The program also contributed to the development of three Generation 3 Direct Wafer furnaces. These furnaces are the platform for copying intelligently and preparing our supply chain – large-scale expansion will not require a bigger machine but more machines. SunPath filled the

  1. A study on the beta voltaic micro-nuclear battery based on the planar technology silicon detector

    International Nuclear Information System (INIS)

    Zhang Kai; He Gaokui; Huang Xiaojian; Liu Yang; Meng Xin; Hao Xiaoyong

    2011-01-01

    It describes briefly the beta voltaic micro-nuclear battery based on the planar technology silicon detector and radioisotope. Different sensitive area of silicon detectors are used to cooperate with 63 Ni source to buildup of beta voltaic micro-nuclear batteries. The experimental data show that the larger sensitive area the silicon detector has, the higher open circuit voltage it produces, and the open circuit voltage of single cell has reached an excellent result from 0.15 V to 0.30 V. It is possible to get high output power by series or parallel connecting the beta voltaic micro-nuclear batteries. (authors)

  2. The development and application of silicon neutron transmutation doping (NTD) technology in china

    International Nuclear Information System (INIS)

    Qiao Chenyang; Sun Zhiyong; Ke Guotu, Lu Cungang; Shen Feng; Chen Huiqiang

    2009-01-01

    The research and development history of silicon Neutron Transmutation Doping (NTD) technology and its applications at home and abroad are introduced in this paper. The advantages of NTD, compared with conventional technology of doping, are narrated. The principle of NTD as well as the implementation of the main procedures related to Si NTD is explained. The market demand tendency is prospected, and the advanced measures on NTD quality control are described. (authors)

  3. Formation of porous silicon oxide from substrate-bound silicon rich silicon oxide layers by continuous-wave laser irradiation

    Science.gov (United States)

    Wang, Nan; Fricke-Begemann, Th.; Peretzki, P.; Ihlemann, J.; Seibt, M.

    2018-03-01

    Silicon nanocrystals embedded in silicon oxide that show room temperature photoluminescence (PL) have great potential in silicon light emission applications. Nanocrystalline silicon particle formation by laser irradiation has the unique advantage of spatially controlled heating, which is compatible with modern silicon micro-fabrication technology. In this paper, we employ continuous wave laser irradiation to decompose substrate-bound silicon-rich silicon oxide films into crystalline silicon particles and silicon dioxide. The resulting microstructure is studied using transmission electron microscopy techniques with considerable emphasis on the formation and properties of laser damaged regions which typically quench room temperature PL from the nanoparticles. It is shown that such regions consist of an amorphous matrix with a composition similar to silicon dioxide which contains some nanometric silicon particles in addition to pores. A mechanism referred to as "selective silicon ablation" is proposed which consistently explains the experimental observations. Implications for the damage-free laser decomposition of silicon-rich silicon oxides and also for controlled production of porous silicon dioxide films are discussed.

  4. Extending Moore’s Law for Silicon CMOS using More-Moore and More-than-Moore Technologies

    KAUST Repository

    Hussain, Aftab M.

    2016-12-01

    With the advancement of silicon electronics under threat from physical limits to dimensional scaling, the International Technology Roadmap for Semiconductors (ITRS) released a white paper in 2008, detailing the ways in which the semiconductor industry can keep itself continually growing in the twenty-first century. Two distinct paths were proposed: More-Moore and More-than-Moore. While More-Moore approach focuses on the continued use of state-of-the-art, complementary metal oxide semiconductor (CMOS) technology for next generation electronics, More-than-Moore approach calls for a disruptive change in the system architecture and integration strategies. In this doctoral thesis, we investigate both the approaches to obtain performance improvement in the state-of-the-art, CMOS electronics. We present a novel channel material, SiSn, for fabrication of CMOS circuits. This investigation is in line with the More-Moore approach because we are relying on the established CMOS industry infrastructure to obtain an incremental change in the integrated circuit (IC) performance by replacing silicon channel with SiSn. We report a simple, low-cost and CMOS compatible process for obtaining single crystal SiSn wafers. Tin (Sn) is deposited on silicon wafers in the form of a metallic thin film and annealed to facilitate diffusion into the silicon lattice. This diffusion provides for sufficient SiSn layer at the top surface for fabrication of CMOS devices. We report a lowering of band gap and enhanced mobility for SiSn channel MOSFETs compared to silicon control devices. We also present a process for fabrication of vertically integrated flexible silicon to form 3D integrated circuits. This disruptive change in the state-of-the-art, in line with the More-than-Moore approach, promises to increase the performance per area of a silicon chip. We report a process for stacking and bonding these pieces with polymeric bonding and interconnecting them using copper through silicon vias (TSVs). We

  5. Thin-film silicon solar cell technology

    Czech Academy of Sciences Publication Activity Database

    Shah, A. V.; Schade, H.; Vaněček, Milan; Meier, J.; Vallat-Sauvain, E.; Wyrsch, N.; Kroll, U.; Droz, C.; Bailat, J.

    2004-01-01

    Roč. 12, - (2004), s. 113-142 ISSN 1062-7995 R&D Projects: GA MŽP SN/320/11/03 Institutional research plan: CEZ:AV0Z1010914 Keywords : thin-film silicon modules * hydrogenerated amorphous silicon(a-Si:H) * hydrogenerated microcrystalline (ćc-Si:H) * transparent conductive oxydes(TCOs) * building-integrated photovoltaics(BIPV) Subject RIV: BM - Solid Matter Physics ; Magnetism Impact factor: 1.196, year: 2004

  6. Translating silicon nanowire BioFET sensor-technology to embedded point-of-care medical diagnostics

    DEFF Research Database (Denmark)

    Pfreundt, Andrea; Zulfiqar, Azeem; Patou, François

    2013-01-01

    Silicon nanowire and nanoribbon biosensors have shown great promise in the detection of biomarkers at very low concentrations. Their high sensitivity makes them ideal candidates for use in early-stage medical diagnostics and further disease monitoring where low amounts of biomarkers need to be de......Silicon nanowire and nanoribbon biosensors have shown great promise in the detection of biomarkers at very low concentrations. Their high sensitivity makes them ideal candidates for use in early-stage medical diagnostics and further disease monitoring where low amounts of biomarkers need...... to be detected. However, in order to translate this technology from the bench to the bedside, a number of key issues need to be taken into consideration: Integrating nanobiosensors-based technology requires to overcome the difficult tradeoff between imperatives for high device reproducibilty and associated...... rising fabrication costs. Also the translation of nano-scale sensor technology into daily-use point-of-care devices requires acknowledgement of the end-user requirements, making device portability and human-interfacing a focus point in device development. Sample handling or purification for instance...

  7. Silicon microelectronic field-emissive devices for advanced display technology

    Science.gov (United States)

    Morse, J. D.

    1993-03-01

    Field-emission displays (FED's) offer the potential advantages of high luminous efficiency, low power consumption, and low cost compared to AMLCD or CRT technologies. An LLNL team has developed silicon-point field emitters for vacuum triode structures and has also used thin-film processing techniques to demonstrate planar edge-emitter configurations. LLNL is interested in contributing its experience in this and other FED-related technologies to collaborations for commercial FED development. At LLNL, FED development is supported by computational capabilities in charge transport and surface/interface modeling in order to develop smaller, low-work-function field emitters using a variety of materials and coatings. Thin-film processing, microfabrication, and diagnostic/test labs permit experimental exploration of emitter and resistor structures. High field standoff technology is an area of long-standing expertise that guides development of low-cost spacers for FEDS. Vacuum sealing facilities are available to complete the FED production engineering process. Drivers constitute a significant fraction of the cost of any flat-panel display. LLNL has an advanced packaging group that can provide chip-on-glass technologies and three-dimensional interconnect generation permitting driver placement on either the front or the back of the display substrate.

  8. Mechanical engineering and design of silicon-based particle tracking devices

    International Nuclear Information System (INIS)

    Miller, W.O.; Thompson, T.C.; Gamble, M.T.; Reid, R.S.; Woloshun, K.A.; Dransfield, G.D.; Ziock, H.J.

    1990-01-01

    The Mechanical Engineering and Electronics Division of the Los Alamos National Laboratory has been investigating silicon-based particle tracking device technology as part of the Superconducting Super Collider-sponsored silicon subsystem collaboration. Structural, thermal, and materials issues have been addressed. This paper discussed detector structural integrity and stability, including detailed finite element models of the silicon chip support and predictive methods used in designing with advanced composite materials. Electronic thermal loading and efficient dissipation of such energy using heat pipe technology has been investigated. The use of materials whose coefficients of thermal expansion are engineered to match silicon or to be near zero, as appropriate, have been explored. Material analysis and test results from radiation, chemical, and static loading are compared with analytical predictions and discussed. 1 ref., 2 figs., 1 tab

  9. Solar breeder: Energy payback time for silicon photovoltaic systems

    Science.gov (United States)

    Lindmayer, J.

    1977-01-01

    The energy expenditures of the prevailing manufacturing technology of terrestrial photovoltaic cells and panels were evaluated, including silicon reduction, silicon refinement, crystal growth, cell processing and panel building. Energy expenditures include direct energy, indirect energy, and energy in the form of equipment and overhead expenses. Payback times were development using a conventional solar cell as a test vehicle which allows for the comparison of its energy generating capability with the energies expended during the production process. It was found that the energy payback time for a typical solar panel produced by the prevailing technology is 6.4 years. Furthermore, this value drops to 3.8 years under more favorable conditions. Moreover, since the major energy use reductions in terrestrial manufacturing have occurred in cell processing, this payback time directly illustrates the areas where major future energy reductions can be made -- silicon refinement, crystal growth, and panel building.

  10. Catastrophic degradation of the interface of epitaxial silicon carbide on silicon at high temperatures

    Energy Technology Data Exchange (ETDEWEB)

    Pradeepkumar, Aiswarya; Mishra, Neeraj; Kermany, Atieh Ranjbar; Iacopi, Francesca [Queensland Micro and Nanotechnology Centre and Environmental Futures Research Institute, Griffith University, Nathan QLD 4111 (Australia); Boeckl, John J. [Materials and Manufacturing Directorate, Air Force Research Laboratories, Wright-Patterson Air Force Base, Ohio 45433 (United States); Hellerstedt, Jack; Fuhrer, Michael S. [Monash Centre for Atomically Thin Materials, Monash University, Monash, VIC 3800 (Australia)

    2016-07-04

    Epitaxial cubic silicon carbide on silicon is of high potential technological relevance for the integration of a wide range of applications and materials with silicon technologies, such as micro electro mechanical systems, wide-bandgap electronics, and graphene. The hetero-epitaxial system engenders mechanical stresses at least up to a GPa, pressures making it extremely challenging to maintain the integrity of the silicon carbide/silicon interface. In this work, we investigate the stability of said interface and we find that high temperature annealing leads to a loss of integrity. High–resolution transmission electron microscopy analysis shows a morphologically degraded SiC/Si interface, while mechanical stress measurements indicate considerable relaxation of the interfacial stress. From an electrical point of view, the diode behaviour of the initial p-Si/n-SiC junction is catastrophically lost due to considerable inter-diffusion of atoms and charges across the interface upon annealing. Temperature dependent transport measurements confirm a severe electrical shorting of the epitaxial silicon carbide to the underlying substrate, indicating vast predominance of the silicon carriers in lateral transport above 25 K. This finding has crucial consequences on the integration of epitaxial silicon carbide on silicon and its potential applications.

  11. Six-beam homodyne laser Doppler vibrometry based on silicon photonics technology.

    Science.gov (United States)

    Li, Yanlu; Zhu, Jinghao; Duperron, Matthieu; O'Brien, Peter; Schüler, Ralf; Aasmul, Soren; de Melis, Mirko; Kersemans, Mathias; Baets, Roel

    2018-02-05

    This paper describes an integrated six-beam homodyne laser Doppler vibrometry (LDV) system based on a silicon-on-insulator (SOI) full platform technology, with on-chip photo-diodes and phase modulators. Electronics and optics are also implemented around the integrated photonic circuit (PIC) to enable a simultaneous six-beam measurement. Measurement of a propagating guided elastic wave in an aluminum plate (speed ≈ 909 m/s @ 61.5 kHz) is demonstrated.

  12. EDITORIAL: Special issue on silicon photonics

    Science.gov (United States)

    Reed, Graham; Paniccia, Mario; Wada, Kazumi; Mashanovich, Goran

    2008-06-01

    The technology now known as silicon photonics can be traced back to the pioneering work of Soref in the mid-1980s (see, for example, Soref R A and Lorenzo J P 1985 Electron. Lett. 21 953). However, the nature of the research conducted today, whilst it builds upon that early work, is unrecognizable in terms of technology metrics such as device efficiency, device data rate and device dimensions, and even in targeted applications areas. Today silicon photonics is still evolving, and is enjoying a period of unprecedented attention in terms of research focus. This has resulted in orders-of-magnitude improvement in device performance over the last few years to levels many thought were impossible. However, despite the existence of the research field for more than two decades, silicon is still regarded as a 'new' optical material, one that is being manipulated and modified to satisfy the requirements of a range of applications. This is somewhat ironic since silicon is one of the best known and most thoroughly studied materials, thanks to the electronics industry that has made silicon its material of choice. The principal reasons for the lack of study of this 'late developer' are that (i) silicon is an indirect bandgap material and (ii) it does not exhibit a linear electro-optic (Pockels) effect. The former condition means that it is difficult to make a laser in silicon based on the intrinsic performance of the material, and consequently, in recent years, researchers have attempted to modify the material to artificially engineer the conditions for lasing to be viable (see, for example, the review text, Jalali B et al 2008 Silicon Lasers in Silicon Photonics: The State of the Art ed G T Reed (New York: Wiley)). The latter condition means that optical modulators are intrinsically less efficient in silicon than in some other materials, particularly when targeting the popular telecommunications wavelengths around 1.55 μm. Therefore researchers have sought alternative

  13. Development of a fabrication technology for double-sided AC-coupled silicon microstrip detectors

    International Nuclear Information System (INIS)

    Dalla Betta, G.-F.; Boscardin, M.; Bosisio, L.; Rachevskaia, I.; Zen, M.; Zorzi, N.

    2001-01-01

    We report on the development of a fabrication technology for double-sided, AC-coupled silicon microstrip detectors for tracking applications. Two batches of detectors with good electrical figures and a low defect rate were successfully manufactured at IRST Laboratory. The processing techniques and the experimental results obtained from these detector prototypes are presented and discussed

  14. Silicon-Based Technology for Integrated Waveguides and mm-Wave Systems

    DEFF Research Database (Denmark)

    Jovanovic, Vladimir; Gentile, Gennaro; Dekker, Ronald

    2015-01-01

    IC processing is used to develop technology for silicon-filled millimeter-wave-integrated waveguides. The front-end process defines critical waveguide sections and enables integration of dedicated components, such as RF capacitors and resistors. Wafer gluing is used to strengthen the mechanical...... support and deep reactive-ion etching forms the waveguide bulk with smooth and nearly vertical sidewalls. Aluminum metallization covers the etched sidewalls, fully enclosing the waveguides in metal from all sides. Waveguides are fabricated with a rectangular cross section of 560 μm x 280 μm. The measured...

  15. Silicon spintronics with ferromagnetic tunnel devices

    International Nuclear Information System (INIS)

    Jansen, R; Sharma, S; Dash, S P; Min, B C

    2012-01-01

    In silicon spintronics, the unique qualities of ferromagnetic materials are combined with those of silicon, aiming at creating an alternative, energy-efficient information technology in which digital data are represented by the orientation of the electron spin. Here we review the cornerstones of silicon spintronics, namely the creation, detection and manipulation of spin polarization in silicon. Ferromagnetic tunnel contacts are the key elements and provide a robust and viable approach to induce and probe spins in silicon, at room temperature. We describe the basic physics of spin tunneling into silicon, the spin-transport devices, the materials aspects and engineering of the magnetic tunnel contacts, and discuss important quantities such as the magnitude of the spin accumulation and the spin lifetime in the silicon. We highlight key experimental achievements and recent progress in the development of a spin-based information technology. (topical review)

  16. Achievement report for fiscal 1997 on developing a silicon manufacturing process with reduced energy consumption. Investigation and research on analyzing practical application of a technology to manufacture solar cell silicon raw materials; 1997 nendo energy shiyo gorika silicon seizo process kaihatsu. Taiyo denchi silicon genryo seizo gijutsu no jitsuyoka kaiseki ni kansuru chosa kenkyu

    Energy Technology Data Exchange (ETDEWEB)

    NONE

    1998-03-01

    This paper describes the achievement in fiscal 1997 of analyzing practical application of a technology to manufacture solar cell silicon raw materials. Silicon consumption for solar cells in fiscal 1997 has increased to 2000-ton level, and the supply has been very tight. For drastic improvement in the demand and supply situation, development of SOG-Si manufacturing technology and its early practical application are desired. The development of the NEDO mass-production technology using melting and refining has completed constructing the process facilities in fiscal 1998, and will enter the stage of operational research. However, insufficiency in the basic data about behavior of impurities is inhibiting the development. In the substrate manufacturing technology, discussions have shown progress on use of diversifying silicons outside the standard by using the electromagnetic casting process. For slicing and processing the substrates, development of a high-performance slicing equipment and automatic rough rinsing machine is under way. Properties required on silicon raw materials vary considerably widely because of difference in cell making systems and conditions, which is attributable to unknown impurity behavior. When 1GW production is assumed, the cell module manufacturing cost is calculated as 137 yen/W, for which low-cost mass production for its realization, slicing productivity enhancement, and cost reduction are required. The paper also describes site surveys in overseas countries. (NEDO)

  17. Progress in the Development of SERS-Active Substrates Based on Metal-Coated Porous Silicon.

    Science.gov (United States)

    Bandarenka, Hanna V; Girel, Kseniya V; Zavatski, Sergey A; Panarin, Andrei; Terekhov, Sergei N

    2018-05-21

    The present work gives an overview of the developments in surface-enhanced Raman scattering (SERS) with metal-coated porous silicon used as an active substrate. We focused this review on the research referenced to SERS-active materials based on porous silicon, beginning from the patent application in 2002 and enclosing the studies of this year. Porous silicon and metal deposition technologies are discussed. Since the earliest studies, a number of fundamentally different plasmonic nanostructures including metallic dendrites, quasi-ordered arrays of metallic nanoparticles (NPs), and metallic nanovoids have been grown on porous silicon, defined by the morphology of this host material. SERS-active substrates based on porous silicon have been found to combine a high and well-reproducible signal level, storage stability, cost-effective technology and handy use. They make it possible to identify and study many compounds including biomolecules with a detection limit varying from milli- to femtomolar concentrations. The progress reviewed here demonstrates the great prospects for the extensive use of the metal-coated porous silicon for bioanalysis by SERS-spectroscopy.

  18. Progress in the Development of SERS-Active Substrates Based on Metal-Coated Porous Silicon

    Directory of Open Access Journals (Sweden)

    Hanna V. Bandarenka

    2018-05-01

    Full Text Available The present work gives an overview of the developments in surface-enhanced Raman scattering (SERS with metal-coated porous silicon used as an active substrate. We focused this review on the research referenced to SERS-active materials based on porous silicon, beginning from the patent application in 2002 and enclosing the studies of this year. Porous silicon and metal deposition technologies are discussed. Since the earliest studies, a number of fundamentally different plasmonic nanostructures including metallic dendrites, quasi-ordered arrays of metallic nanoparticles (NPs, and metallic nanovoids have been grown on porous silicon, defined by the morphology of this host material. SERS-active substrates based on porous silicon have been found to combine a high and well-reproducible signal level, storage stability, cost-effective technology and handy use. They make it possible to identify and study many compounds including biomolecules with a detection limit varying from milli- to femtomolar concentrations. The progress reviewed here demonstrates the great prospects for the extensive use of the metal-coated porous silicon for bioanalysis by SERS-spectroscopy.

  19. Application of hydrogen-plasma technology for property modification of silicon and producing the silicon-based structures

    International Nuclear Information System (INIS)

    Fedotov, A.K.; Mazanik, A.V.; Ul'yashin, A.G.; Dzhob, R; Farner, V.R.

    2000-01-01

    Effects of atomic hydrogen on the properties of Czochralski-grown single crystal silicon as well as polycrystalline shaped silicon have been investigated. It was established that the buried defect layers created by high-energy hydrogen or helium ion implantation act as a good getter centers for hydrogen atoms introduced in silicon in the process of hydrogen plasma hydrogenation. Atomic hydrogen was shown to be active as a catalyzer significantly enhancing the rate of thermal donors formation in p-type single crystal silicon. This effect can be used for n-p- and p-n-p-silicon based device structures producing [ru

  20. The silicon vertex tracker for star and future applications of silicon drift detectors

    International Nuclear Information System (INIS)

    Bellwied, Rene

    2001-01-01

    The Silicon Vertex Tracker (SVT) for the STAR experiment at the Relativistic Heavy Ion Collider at Brookhaven National Laboratory has recently been completed and installed. First data were taken in July 2001. The SVT is based on a novel semi-conductor technology called Silicon Drift Detectors. 216 large area (6 by 6 cm) Silicon wafers were employed to build a three barrel device capable of vertexing and tracking in a high occupancy environment. Its intrinsic radiation hardness, its operation at room temperature and its excellent position resolution (better than 20 micron) in two dimensions with a one dimensional detector readout, make this technology very robust and inexpensive and thus a viable alternative to CCD, Silicon pixel and Silicon strip detectors in a variety of applications from fundamental research in high-energy and nuclear physics to astrophysics to medical imaging. I will describe the development that led to the STAR-SVT, its performance and possible applications for the near future

  1. Modulation Doping of Silicon using Aluminium-induced Acceptor States in Silicon Dioxide

    OpenAIRE

    K?nig, Dirk; Hiller, Daniel; Gutsch, Sebastian; Zacharias, Margit; Smith, Sean

    2017-01-01

    All electronic, optoelectronic or photovoltaic applications of silicon depend on controlling majority charge carriers via doping with impurity atoms. Nanoscale silicon is omnipresent in fundamental research (quantum dots, nanowires) but also approached in future technology nodes of the microelectronics industry. In general, silicon nanovolumes, irrespective of their intended purpose, suffer from effects that impede conventional doping due to fundamental physical principles such as out-diffusi...

  2. Warpage Characteristics and Process Development of Through Silicon Via-Less Interconnection Technology.

    Science.gov (United States)

    Shen, Wen-Wei; Lin, Yu-Min; Wu, Sheng-Tsai; Lee, Chia-Hsin; Huang, Shin-Yi; Chang, Hsiang-Hung; Chang, Tao-Chih; Chen, Kuan-Neng

    2018-08-01

    In this study, through silicon via (TSV)-less interconnection using the fan-out wafer-level-packaging (FO-WLP) technology and a novel redistribution layer (RDL)-first wafer level packaging are investigated. Since warpage of molded wafer is a critical issue and needs to be optimized for process integration, the evaluation of the warpage issue on a 12-inch wafer using finite element analysis (FEA) at various parameters is presented. Related parameters include geometric dimension (such as chip size, chip number, chip thickness, and mold thickness), materials' selection and structure optimization. The effect of glass carriers with various coefficients of thermal expansion (CTE) is also discussed. Chips are bonded onto a 12-inch reconstituted wafer, which includes 2 RDL layers, 3 passivation layers, and micro bumps, followed by using epoxy molding compound process. Furthermore, an optical surface inspector is adopted to measure the surface profile and the results are compared with the results from simulation. In order to examine the quality of the TSV-less interconnection structure, electrical measurement is conducted and the respective results are presented.

  3. Silicon photonics at the University of Surrey

    Science.gov (United States)

    Reed, G. T.; Mashanovich, G.; Gardes, F. Y.; Gwilliam, R. M.; Wright, N. M.; Thomson, D. J.; Timotijevic, B. D.; Litvinenko, K. L.; Headley, W. R.; Smith, A. J.; Knights, A. P.; Jessop, P. E.; Tarr, N. G.; Deane, J. H. B.

    2009-05-01

    Silicon Photonics is a field that has seen rapid growth and dramatic changes in the past 5 years. According to the MIT Communications Technology Roadmap [1], which aims to establish a common architecture platform across market sectors with a potential $20B in annual revenue, silicon photonics is among the top ten emerging technologies. This has in part been a consequence of the recent involvement of large semiconductor companies around the world, particularly in the USA. Significant investment in the technology has also followed in Japan, Korea, and in the European Union. Low cost is a key driver, so it is imperative to pursue technologies that are mass-producible. Therefore, Silicon Photonics continues to progress at a rapid rate. This paper will describe some of the work of the Silicon Photonics Group at the University of Surrey in the UK. The work is concerned with the sequential development of a series of components for silicon photonic optical circuits, and some of the components are discussed here. In particular the paper will present work on optical waveguides, optical filters, modulators, and lifetime modification of carriers generated by two photon absorption, to improve the performance of Raman amplifiers in silicon.

  4. Behavior of ion-implanted cesium in silicon dioxide films

    International Nuclear Information System (INIS)

    Fishbein, B.J.

    1988-01-01

    Charged impurities in silicon dioxide can be used to controllably shift the flatband voltage of metal-oxide-semiconductor devices independently of the substrate doping, the gate oxide thickness and the gate-electrode work function. Cesium is particularly well suited for this purpose because it is immobile in SiO 2 at normal device operating temperatures, and because it can be controllably introduced into oxide films by ion implantation. Cesium is positively charged in silicon dioxide, resulting in a negative flatband voltage shift. Possible applications for cesium technology include solar cells, devices operated at liquid nitrogen temperature, and power devices. The goal of this work has been to characterize as many aspects of cesium behavior in silicon dioxide as are required for practical applications. Accordingly, cesium-ion implantation, cesium diffusion, and cesium electrical activation in SiO 2 were studied over a broad range of processing conditions. The electrical properties of cesium-containing oxides, including current-voltage characteristics, interface trap density, and inversion-layer carrier mobility were examined, and several potential applications for cesium technology have been experimentally demonstrated

  5. Millimeter-Wave Receiver Concepts for 77 GHz Automotive Radar in Silicon-Germanium Technology

    CERN Document Server

    Kissinger, Dietmar

    2012-01-01

    The book presents the analysis and design of integrated automotive radar receivers in Silicon-Germanium technology, for use in complex multi-channel radar transceiver front-ends in the 77GHz frequency band. The main emphasis of the work is the realization of high-linearity and low-power modular receiver channels as well as the investigation of millimeter-wave integrated test concepts for the receiver front-end.

  6. Atomic scale simulations of hydrogen implantation defects in hydrogen implanted silicon - smart Cut technology

    International Nuclear Information System (INIS)

    Bilteanu, L.

    2010-12-01

    The topic of this thesis is related to the implantation step of the SmartCut TM technology. This technology uses hydrogen in order to transfer silicon layers on insulating substrates. The transfer is performed through a fracture induced by the formation of bidimensional defects well known in literature as 'platelets'. More exactly, we have studied within this thesis work the defects appearing in the post implant state and the evolution of the implantation damage towards a state dominated by platelets. The study is organised into two parts: in the first part we present the results obtained by atomic scale simulations while in the second part we present an infrared spectroscopy study of the evolution of defects concentrations after annealing at different temperatures. The atomic scale simulations have been performed within the density functional theory and they allowed us to compute the formation energies and the migration and recombination barriers. The defects included in our study are: the atomic and diatomic interstitials, the hydrogenated vacancies and multi-vacancies and the several platelets models. The obtained energies allowed us to build a stability hierarchy for these types of defects. This scheme has been confronted with some infrared analysis on hydrogen implanted silicon samples (37 keV) in a sub-dose regime which does not allow usually the formation of platelets during the implantation step. The analysis of the infrared data allowed the detailed description of the defects concentration based on the behaviour of peaks corresponding to the respective defects during annealing. The comparison between these evolutions and the energy scheme obtained previously allowed the validation of an evolution scenario of defects towards the platelet state. (author)

  7. Enabling technologies for silicon microstrip tracking detectors at the HL-LHC

    International Nuclear Information System (INIS)

    Feld, L.; Karpinski, W.; Klein, K.

    2016-04-01

    While the tracking detectors of the ATLAS and CMS experiments have shown excellent performance in Run 1 of LHC data taking, and are expected to continue to do so during LHC operation at design luminosity, both experiments will have to exchange their tracking systems when the LHC is upgraded to the high-luminosity LHC (HL-LHC) around the year 2024. The new tracking systems need to operate in an environment in which both the hit densities and the radiation damage will be about an order of magnitude higher than today. In addition, the new trackers need to contribute to the first level trigger in order to maintain a high data-taking efficiency for the interesting processes. Novel detector technologies have to be developed to meet these very challenging goals. The German groups active in the upgrades of the ATLAS and CMS tracking systems have formed a collaborative ''Project on Enabling Technologies for Silicon Microstrip Tracking Detectors at the HL-LHC'' (PETTL), which was supported by the Helmholtz Alliance ''Physics at the Terascale'' during the years 2013 and 2014. The aim of the project was to share experience and to work together on key areas of mutual interest during the R and D phase of these upgrades. The project concentrated on five areas, namely exchange of experience, radiation hardness of silicon sensors, low mass system design, automated precision assembly procedures, and irradiations. This report summarizes the main achievements.

  8. Enabling technologies for silicon microstrip tracking detectors at the HL-LHC

    Energy Technology Data Exchange (ETDEWEB)

    Feld, L.; Karpinski, W.; Klein, K. [RWTH Aachen Univ. (Germany). 1. Physikalisches Institut B; Collaboration: The PETTL Collaboration; and others

    2016-04-15

    While the tracking detectors of the ATLAS and CMS experiments have shown excellent performance in Run 1 of LHC data taking, and are expected to continue to do so during LHC operation at design luminosity, both experiments will have to exchange their tracking systems when the LHC is upgraded to the high-luminosity LHC (HL-LHC) around the year 2024. The new tracking systems need to operate in an environment in which both the hit densities and the radiation damage will be about an order of magnitude higher than today. In addition, the new trackers need to contribute to the first level trigger in order to maintain a high data-taking efficiency for the interesting processes. Novel detector technologies have to be developed to meet these very challenging goals. The German groups active in the upgrades of the ATLAS and CMS tracking systems have formed a collaborative ''Project on Enabling Technologies for Silicon Microstrip Tracking Detectors at the HL-LHC'' (PETTL), which was supported by the Helmholtz Alliance ''Physics at the Terascale'' during the years 2013 and 2014. The aim of the project was to share experience and to work together on key areas of mutual interest during the R and D phase of these upgrades. The project concentrated on five areas, namely exchange of experience, radiation hardness of silicon sensors, low mass system design, automated precision assembly procedures, and irradiations. This report summarizes the main achievements.

  9. Reaching Grid Parity Using BP Solar Crystalline Silicon Technology: A Systems Class Application

    Energy Technology Data Exchange (ETDEWEB)

    Cunningham, Daniel W; Wohlgemuth, John; Carlson, David E; Clark, Roger F; Gleaton, Mark; Posbic, John P; Zahler, James

    2010-12-06

    The primary target market for this program was the residential and commercial PV markets, drawing on BP Solar's premium product and service offerings, brand and marketing strength, and unique routes to market. These two markets were chosen because: (1) in 2005 they represented more than 50% of the overall US PV market; (2) they are the two markets that will likely meet grid parity first; and (3) they are the two market segments in which product development can lead to the added value necessary to generate market growth before reaching grid parity. Federal investment in this program resulted in substantial progress toward the DOE TPP target, providing significant advancements in the following areas: (1) Lower component costs particularly the modules and inverters. (2) Increased availability and lower cost of silicon feedstock. (3) Product specifically developed for residential and commercial applications. (4) Reducing the cost of installation through optimization of the products. (5) Increased value of electricity in mid-term to drive volume increases, via the green grid technology. (6) Large scale manufacture of PV products in the US, generating increased US employment in manufacturing and installation. To achieve these goals BP Solar assembled a team that included suppliers of critical materials, automated equipment developers/manufacturers, inverter and other BOS manufacturers, a utility company, and University research groups. The program addressed all aspects of the crystalline silicon PV business from raw materials (particularly silicon feedstock) through installation of the system on the customers site. By involving the material and equipment vendors, we ensured that supplies of silicon feedstock and other PV specific materials like encapsulation materials (EVA and cover glass) will be available in the quantities required to meet the DOE goals of 5 to 10 GW of installed US PV by 2015 and at the prices necessary for PV systems to reach grid parity in 2015

  10. Materials of construction for silicon crystal growth

    Science.gov (United States)

    Leipold, M. H.; Odonnell, T. P.; Hagan, M. A.

    1980-01-01

    The performance of materials for construction and in contact with molten silicon for crystal growth is presented. The basis for selection considers physical compatibility, such as thermal expansion and strength, as well as chemical compatibility as indicated by contamination of the silicon. A number of new high technology materials are included as well as data on those previously used. Emphasis is placed on the sources and processing of such materials in that results are frequently dependent on the way a material is prepared as well as its intrinsic constituents.

  11. Silicon ribbon technology assessment 1978-1986 - A computer-assisted analysis using PECAN

    Science.gov (United States)

    Kran, A.

    1978-01-01

    The paper presents a 1978-1986 economic outlook for silicon ribbon technology based on the capillary action shaping technique. The outlook is presented within the framework of two sets of scenarios, which develop strategy for approaching the 1986 national energy capacity cost objective of $0.50/WE peak. The PECAN (Photovoltaic Energy Conversion Analysis) simulation technique is used to develop a 1986 sheet material price ($50/sq m) which apparently can be attained without further scientific breakthrough.

  12. Silicon carbide microsystems for harsh environments

    CERN Document Server

    Wijesundara, Muthu B J

    2011-01-01

    Silicon Carbide Microsystems for Harsh Environments reviews state-of-the-art Silicon Carbide (SiC) technologies that, when combined, create microsystems capable of surviving in harsh environments, technological readiness of the system components, key issues when integrating these components into systems, and other hurdles in harsh environment operation. The authors use the SiC technology platform suite the model platform for developing harsh environment microsystems and then detail the current status of the specific individual technologies (electronics, MEMS, packaging). Additionally, methods

  13. Neutron transmutation doping technology of silicon and overview of trial irradiations at Cirus reactor

    International Nuclear Information System (INIS)

    Singh, Tej; Bhatnagar, Anil; Singh, Kanchhi; Raina, V.K.

    2007-12-01

    Neutron transmutation doped silicon (NTD-Si) has been used extensively in manufacturing of high power semiconductor devices. The quality of NTD-Si, both from view points of dopant concentration and homogeneity has been found superior to the quality of doped silicon produced by conventional methods. The technology of NTD-Si has been perfected to achieve more accurate resistivity and homogenous resistivity with complete elimination of hot spots. In addition, the greater spatial uniformity, as well as the precise control over the resistivity achievable by using the NTD process, has led to a substantial increase in the breakdown voltage capability of thyristors. The report describes the fundamentals of NTD-Si production and discusses various techniques used for control of dopant concentration and homogeneity. Various aspects like radiation damage, residual radio-activity, nuclear heating, surface contamination and annealing requirements of the silicon ingots after irradiation have also been discussed. Details of trail irradiation and characterization of NTD-Si samples have been provided. Future plans for production of NTD-Si in Cirus and Dhruva reactors have also been discussed. (author)

  14. A Three-Dimensional Microdisplacement Sensing System Based on MEMS Bulk-Silicon Technology

    Science.gov (United States)

    Wu, Junjie; Lei, Lihua; Chen, Xin; Cai, Xiaoyu; Li, Yuan; Han, Tao

    2014-01-01

    For the dimensional measurement and characterization of microsized and nanosized components, a three-dimensional microdisplacement sensing system was developed using the piezoresistive effect in silicon. The sensor was fabricated using microelectromechanical system bulk-silicon technology, and it was validated using the finite element method. A precise data acquisition circuit with an accuracy of 20 μV was designed to obtain weak voltage signals. By calibration, the sensing system was shown to have a sensitivity of 17.29 mV/μm and 4.59 mV/μm in the axial and lateral directions, respectively; the nonlinearity in these directions was 0.8% and 1.0% full scale, respectively. A full range of 4.6 μm was achieved in the axial direction. Results of a resolution test indicated that the sensing system had a resolution of 5 nm in the axial direction and 10 nm in the lateral direction. PMID:25360581

  15. A Three-Dimensional Microdisplacement Sensing System Based on MEMS Bulk-Silicon Technology

    Directory of Open Access Journals (Sweden)

    Junjie Wu

    2014-10-01

    Full Text Available For the dimensional measurement and characterization of microsized and nanosized components, a three-dimensional microdisplacement sensing system was developed using the piezoresistive effect in silicon. The sensor was fabricated using microelectromechanical system bulk-silicon technology, and it was validated using the finite element method. A precise data acquisition circuit with an accuracy of 20 μV was designed to obtain weak voltage signals. By calibration, the sensing system was shown to have a sensitivity of 17.29 mV/μm and 4.59 mV/μm in the axial and lateral directions, respectively; the nonlinearity in these directions was 0.8% and 1.0% full scale, respectively. A full range of 4.6 μm was achieved in the axial direction. Results of a resolution test indicated that the sensing system had a resolution of 5 nm in the axial direction and 10 nm in the lateral direction.

  16. Structural defects in monocrystalline silicon: from radiation ones to growing and technological

    International Nuclear Information System (INIS)

    Gerasimenko, N.N.; Pavlyuchenko, M.N.; Dzhamanbalin, K.K.

    2001-01-01

    The systematical review of properties and conditions of radiation structures in monocrystalline silicon including own defects (elementary and complex, disordered fields) as well as defect-impurity formations is presented. The most typical examples of principle effects influence of known defects on radiation-induced processes (phase transformations, diffusion and heteration and others are considered. Experimental facts and models of silicon radiation amorphization have been analyzed in comparison of state of the radiation amorphization radiation problem of metals and alloys. The up-to-date status of the problem of the radiation defects physics are discussed, including end-of-range -, n+-, rod-like- defects. The phenomenon self-organization in crystals with defects has been considered. The examples of directed using radiation defects merged in independent trend - defects engineering - are given

  17. Three-Dimensional Integrated Circuit (3D IC) Key Technology: Through-Silicon Via (TSV).

    Science.gov (United States)

    Shen, Wen-Wei; Chen, Kuan-Neng

    2017-12-01

    3D integration with through-silicon via (TSV) is a promising candidate to perform system-level integration with smaller package size, higher interconnection density, and better performance. TSV fabrication is the key technology to permit communications between various strata of the 3D integration system. TSV fabrication steps, such as etching, isolation, metallization processes, and related failure modes, as well as other characterizations are discussed in this invited review paper.

  18. One dimensional detector for X-ray diffraction with superior energy resolution based on silicon strip detector technology

    International Nuclear Information System (INIS)

    Dąbrowski, W; Fiutowski, T; Wiącek, P; Fink, J; Krane, H-G

    2012-01-01

    1-D position sensitive X-ray detectors based on silicon strip detector technology have become standard instruments in X-ray diffraction and are available from several vendors. As these devices have been proven to be very useful and efficient further improvement of their performance is investigated. The silicon strip detectors in X-ray diffraction are primarily used as counting devices and the requirements concerning the spatial resolution, dynamic range and count rate capability are of primary importance. However, there are several experimental issues in which a good energy resolution is important. The energy resolution of silicon strip detectors is limited by the charge sharing effects in the sensor as well as by noise of the front-end electronics. The charge sharing effects in the sensor and various aspects of the electronics, including the baseline fluctuations, which affect the energy resolution, have been analyzed in detail and a new readout concept has been developed. A front-end ASIC with a novel scheme of baseline restoration and novel interstrip logic circuitry has been designed. The interstrip logic is used to reject the events resulting in significant charge sharing between neighboring strips. At the expense of rejecting small fraction of photons entering the detector one can obtain single strip energy spectra almost free of charge sharing effects. In the paper we present the design considerations and measured performance of the detector being developed. The electronic noise of the system at room temperature is typically of the order of 70 el rms for 17 mm long silicon strips and a peaking time of about 1 μs. The energy resolution of 600 eV FWHM has been achieved including the non-reducible charge sharing effects and the electronic noise. This energy resolution is sufficient to address a common problem in X-ray diffraction, i.e. electronic suppression of the fluorescence radiation from samples containing iron or cobalt while irradiated with 8.04 ke

  19. GaN-on-Silicon - Present capabilities and future directions

    Science.gov (United States)

    Boles, Timothy

    2018-02-01

    Gallium Nitride, in the form of epitaxial HEMT transistors on various substrate materials, is the newest and most promising semiconductor technology for high performance devices in the RF, microwave, and mmW arenas. This is particularly true for GaN-on-Silicon based devices and MMIC's which enable both state-of-the-art high frequency functionality and the ability to scale production into large wafer diameter CMOS foundries. The design and development of GaN-on-Silicon structures and devices will be presented beginning with the basic material parameters, growth of the required epitaxial construction, and leading to the fundamental operational theory of high frequency, high power HEMTs. In this discussion comparisons will be made with alternative substrate materials with emphasis on contrasting the inherent advantages of a silicon based system. Theory of operation of microwave and mmW high power HEMT devices will be presented with special emphasis on fundamental limitations of device performance including inherent frequency limiting transit time analysis, required impedance transformations, internal and external parasitic reactance, thermal impedance optimization, and challenges improved by full integration into monolithic MMICs. Lastly, future directions for implementing GaN-on-Silicon into mainstream CMOS silicon semiconductor technologies will be discussed.

  20. Electroplasma coatings based on silicon-containing hydroxyapatite: Technology and properties

    Science.gov (United States)

    Lyasnikova, A. V.; Markelova, O. A.

    2016-09-01

    IR analysis and the plasma deposition of silicon-containing hydroxyapatite powder have been carried out. It has been shown that the coating exhibits developed morphology and consists of molten powder (including nanosize) particles uniformly distributed over the entire surface. The adhesion characteristics have been calculated and scanning electron microscope images of the resultant coating have been analyzed.

  1. Achievement report for fiscal 1997 on development of practical application technology for photovoltaic power generation systems. Development of technologies to manufacture thin film solar cells (development of technologies to manufacture silicon crystal based high-quality materials and substrates / survey and research on analysis of practical application); 1997 nendo taiyoko hatsuden system jitsuyoka gijutsu kaihatsu seika hokokusho. Usumaku taiyo denchi no seizo gijutsu kaihatsu (zairyo kiban seizo gijutsu kaihatsu / silicon kesshokei kohinshitsu zairyo kiban no seizo gijutsu kaihatsu (jitsuyoka kaiseki ni kansuru chosa kenkyu))

    Energy Technology Data Exchange (ETDEWEB)

    NONE

    1998-03-01

    As a plan to develop technologies to manufacture materials and substrates for thin film solar cells, it is intended to reduce defect density, enhance film forming speed, largely improve the photo-electric conversion efficiency and increase manufacturing productivity. These goals will be realized by establishing methods to control defect density, crystal particle diameters and crystallization rate in silicon crystal systems. A technology to form micro-crystal silicon-based thin films will be developed, that have superior photo-stability, and are capable of realizing low cost and mass production. Discussions will be given on a high-density plasma control technology, a fundamental property evaluation technology for micro crystal silicon thin films, and a device design simulation technology. A technology will be developed to form amorphous silicon layer on a stainless steel substrate by using the plasma CVD process. At the same time, discussions will be given on optical annealing and thermal annealing as reformation methods. Fiscal 1997 has surveyed component technologies to identify and analyze quickly and accurately the technical trends inside and outside the country, and to mass produce thin film solar cells. The Material and Substrate System Technology Subcommittee (silicon crystals) was held to deliberate the four-year development program and its progress. (NEDO)

  2. Silicon microstrip detectors on 6'' technology

    CERN Document Server

    Bölla, G; Günther, M; Martignon, G; Bacchetta, N; Bisello, D; Leonardi, G L; Lucas, T; Wilburn, C

    1999-01-01

    The fabrication of microstrip detectors on 4'' high-resistivity wafers that allow for a maximum workable area of about 42 cm sup 2 has been well established. Using 6'' wafers the workable area increases up to 100 cm sup 2 (more than twice the area of a 4'' wafer) allowing a larger number of detectors to be processed at the same time on the same wafer resulting in a sizable reduction of cost. After a prototyping stage, the CDF silicon tracker upgrade is now receiving final production sensors from Micron Semiconductor Ltd. The performance of double-sided single-metal small stereo angle sensors for the CDF SVXII and ISL detectors has been studied. Results include probe station measurements and test beam results. The problems encountered from prototyping to the final devices are described. A brief overview of the response of the sensors to irradiation with gamma-rays and p sup + up to a dose of 0.5 Mrad (well above the doses expected during Run II of the Tevatron) is included. (author)

  3. Crossing the Resolution Limit in Near-Infrared Imaging of Silicon Chips: Targeting 10-nm Node Technology

    Directory of Open Access Journals (Sweden)

    Krishna Agarwal

    2015-05-01

    Full Text Available The best reported resolution in optical failure analysis of silicon chips is 120-nm half pitch demonstrated by Semicaps Private Limited, whereas the current and future industry requirement for 10-nm node technology is 100-nm half pitch. We show the first experimental evidence for resolution of features with 100-nm half pitch buried in silicon (λ/10.6, thus fulfilling the industry requirement. These results are obtained using near-infrared reflection-mode imaging using a solid immersion lens. The key novel feature of our approach is the choice of an appropriately sized collection pinhole. Although it is usually understood that, in general, resolution is improved by using the smallest pinhole consistent with an adequate signal level, it is found that in practice for silicon chips there is an optimum pinhole size, determined by the generation of induced currents in the sample. In failure analysis of silicon chips, nondestructive imaging is important to avoid disturbing the functionality of integrated circuits. High-resolution imaging techniques like SEM or TEM require the transistors to be exposed destructively. Optical microscopy techniques may be used, but silicon is opaque in the visible spectrum, mandating the use of near-infrared light and thus poor resolution in conventional optical microscopy. We expect our result to change the way semiconductor failure analysis is performed.

  4. Laser wafering for silicon solar

    International Nuclear Information System (INIS)

    Friedmann, Thomas Aquinas; Sweatt, William C.; Jared, Bradley Howell

    2011-01-01

    Current technology cuts solar Si wafers by a wire saw process, resulting in 50% 'kerf' loss when machining silicon from a boule or brick into a wafer. We want to develop a kerf-free laser wafering technology that promises to eliminate such wasteful wire saw processes and achieve up to a ten-fold decrease in the g/W p (grams/peak watt) polysilicon usage from the starting polysilicon material. Compared to today's technology, this will also reduce costs (∼20%), embodied energy, and green-house gas GHG emissions (∼50%). We will use short pulse laser illumination sharply focused by a solid immersion lens to produce subsurface damage in silicon such that wafers can be mechanically cleaved from a boule or brick. For this concept to succeed, we will need to develop optics, lasers, cleaving, and high throughput processing technologies capable of producing wafers with thicknesses < 50 (micro)m with high throughput (< 10 sec./wafer). Wafer thickness scaling is the 'Moore's Law' of silicon solar. Our concept will allow solar manufacturers to skip entire generations of scaling and achieve grid parity with commercial electricity rates. Yet, this idea is largely untested and a simple demonstration is needed to provide credibility for a larger scale research and development program. The purpose of this project is to lay the groundwork to demonstrate the feasibility of laser wafering. First, to design and procure on optic train suitable for producing subsurface damage in silicon with the required damage and stress profile to promote lateral cleavage of silicon. Second, to use an existing laser to produce subsurface damage in silicon, and third, to characterize the damage using scanning electron microscopy and confocal Raman spectroscopy mapping.

  5. Laser wafering for silicon solar.

    Energy Technology Data Exchange (ETDEWEB)

    Friedmann, Thomas Aquinas; Sweatt, William C.; Jared, Bradley Howell

    2011-03-01

    Current technology cuts solar Si wafers by a wire saw process, resulting in 50% 'kerf' loss when machining silicon from a boule or brick into a wafer. We want to develop a kerf-free laser wafering technology that promises to eliminate such wasteful wire saw processes and achieve up to a ten-fold decrease in the g/W{sub p} (grams/peak watt) polysilicon usage from the starting polysilicon material. Compared to today's technology, this will also reduce costs ({approx}20%), embodied energy, and green-house gas GHG emissions ({approx}50%). We will use short pulse laser illumination sharply focused by a solid immersion lens to produce subsurface damage in silicon such that wafers can be mechanically cleaved from a boule or brick. For this concept to succeed, we will need to develop optics, lasers, cleaving, and high throughput processing technologies capable of producing wafers with thicknesses < 50 {micro}m with high throughput (< 10 sec./wafer). Wafer thickness scaling is the 'Moore's Law' of silicon solar. Our concept will allow solar manufacturers to skip entire generations of scaling and achieve grid parity with commercial electricity rates. Yet, this idea is largely untested and a simple demonstration is needed to provide credibility for a larger scale research and development program. The purpose of this project is to lay the groundwork to demonstrate the feasibility of laser wafering. First, to design and procure on optic train suitable for producing subsurface damage in silicon with the required damage and stress profile to promote lateral cleavage of silicon. Second, to use an existing laser to produce subsurface damage in silicon, and third, to characterize the damage using scanning electron microscopy and confocal Raman spectroscopy mapping.

  6. Composite materials and bodies including silicon carbide and titanium diboride and methods of forming same

    Science.gov (United States)

    Lillo, Thomas M.; Chu, Henry S.; Harrison, William M.; Bailey, Derek

    2013-01-22

    Methods of forming composite materials include coating particles of titanium dioxide with a substance including boron (e.g., boron carbide) and a substance including carbon, and reacting the titanium dioxide with the substance including boron and the substance including carbon to form titanium diboride. The methods may be used to form ceramic composite bodies and materials, such as, for example, a ceramic composite body or material including silicon carbide and titanium diboride. Such bodies and materials may be used as armor bodies and armor materials. Such methods may include forming a green body and sintering the green body to a desirable final density. Green bodies formed in accordance with such methods may include particles comprising titanium dioxide and a coating at least partially covering exterior surfaces thereof, the coating comprising a substance including boron (e.g., boron carbide) and a substance including carbon.

  7. Plasma deposition of amorphous silicon-based materials

    CERN Document Server

    Bruno, Giovanni; Madan, Arun

    1995-01-01

    Semiconductors made from amorphous silicon have recently become important for their commercial applications in optical and electronic devices including FAX machines, solar cells, and liquid crystal displays. Plasma Deposition of Amorphous Silicon-Based Materials is a timely, comprehensive reference book written by leading authorities in the field. This volume links the fundamental growth kinetics involving complex plasma chemistry with the resulting semiconductor film properties and the subsequent effect on the performance of the electronic devices produced. Key Features * Focuses on the plasma chemistry of amorphous silicon-based materials * Links fundamental growth kinetics with the resulting semiconductor film properties and performance of electronic devices produced * Features an international group of contributors * Provides the first comprehensive coverage of the subject, from deposition technology to materials characterization to applications and implementation in state-of-the-art devices.

  8. High Efficiency, Low Cost Solar Cells Manufactured Using 'Silicon Ink' on Thin Crystalline Silicon Wafers

    Energy Technology Data Exchange (ETDEWEB)

    Antoniadis, H.

    2011-03-01

    Reported are the development and demonstration of a 17% efficient 25mm x 25mm crystalline Silicon solar cell and a 16% efficient 125mm x 125mm crystalline Silicon solar cell, both produced by Ink-jet printing Silicon Ink on a thin crystalline Silicon wafer. To achieve these objectives, processing approaches were developed to print the Silicon Ink in a predetermined pattern to form a high efficiency selective emitter, remove the solvents in the Silicon Ink and fuse the deposited particle Silicon films. Additionally, standard solar cell manufacturing equipment with slightly modified processes were used to complete the fabrication of the Silicon Ink high efficiency solar cells. Also reported are the development and demonstration of a 18.5% efficient 125mm x 125mm monocrystalline Silicon cell, and a 17% efficient 125mm x 125mm multicrystalline Silicon cell, by utilizing high throughput Ink-jet and screen printing technologies. To achieve these objectives, Innovalight developed new high throughput processing tools to print and fuse both p and n type particle Silicon Inks in a predetermined pat-tern applied either on the front or the back of the cell. Additionally, a customized Ink-jet and screen printing systems, coupled with customized substrate handling solution, customized printing algorithms, and a customized ink drying process, in combination with a purchased turn-key line, were used to complete the high efficiency solar cells. This development work delivered a process capable of high volume producing 18.5% efficient crystalline Silicon solar cells and enabled the Innovalight to commercialize its technology by the summer of 2010.

  9. Silicon Nanocrystal Synthesis in Microplasma Reactor

    Science.gov (United States)

    Nozaki, Tomohiro; Sasaki, Kenji; Ogino, Tomohisa; Asahi, Daisuke; Okazaki, Ken

    Nanocrystalline silicon particles with grains smaller than 5 nm are widely recognized as a key material in optoelectronic devices, lithium battery electrodes, and bio-medical labels. Another important characteristic is that silicon is an environmentally safe material that is used in numerous silicon technologies. To date, several synthesis methods such as sputtering, laser ablation, and plasma-enhanced chemical vapor deposition (PECVD) based on low-pressure silane chemistry (SiH4) have been developed for precise control of size and density distributions of silicon nanocrystals. In this study, we explore the possibility of microplasma technologies for efficient production of mono-dispersed nanocrystalline silicon particles on a micrometer-scale, continuous-flow plasma reactor operated at atmospheric pressure. Mixtures of argon, hydrogen, and silicon tetrachloride were activated using a very-high-frequency (144 MHz) power source in a capillary glass tube with volume of less than 1 μl. Fundamental plasma parameters of the microplasma were characterized using optical emission spectroscopy, which respectively indicated electron density of 1015 cm-3, argon excitation temperature of 5000 K, and rotational temperature of 1500 K. Such high-density non-thermal reactive plasma can decompose silicon tetrachloride into atomic silicon to produce supersaturated silicon vapor, followed by gas-phase nucleation via three-body collision: particle synthesis in high-density plasma media is beneficial for promoting nucleation processes. In addition, further growth of silicon nuclei can be terminated in a short-residence-time reactor. Micro-Raman scattering spectra showed that as-deposited particles are mostly amorphous silicon with a small fraction of silicon nanocrystals. Transmission electron micrography confirmed individual 3-15 nm silicon nanocrystals. Although particles were not mono-dispersed, they were well separated and not coagulated.

  10. Contacting graphene in a 200 mm wafer silicon technology environment

    Science.gov (United States)

    Lisker, Marco; Lukosius, Mindaugas; Kitzmann, Julia; Fraschke, Mirko; Wolansky, Dirk; Schulze, Sebastian; Lupina, Grzegorz; Mai, Andreas

    2018-06-01

    Two different approaches for contacting graphene in a 200 mm wafer silicon technology environment were tested. The key is the opportunity to create a thin SiN passivation layer on top of the graphene protecting it from the damage by plasma processes. The first approach uses pure Ni contacts with a thickness of 200 nm. For the second attempt, Ni is used as the contact metal which substitutes the Ti compared to a standard contact hole filling process. Accordingly, the contact hole filling of this "stacked via" approach is Ni/TiN/W. We demonstrate that the second "stacked Via" is beneficial and shows contact resistances of a wafer scale process with values below 200 Ohm μm.

  11. Formation and photoluminescence of "Cauliflower" silicon nanoparticles

    NARCIS (Netherlands)

    Tang, W.; Eilers, J.J.; Huis, van M.A.; Wang, D.; Schropp, R.E.I.; Vece, Di M.

    2015-01-01

    The technological advantages of silicon make silicon nanoparticles, which can be used as quantum dots in a tandem configuration, highly relevant for photovoltaics. However, producing a silicon quantum dot solar cell structure remains a challenge. Here we use a gas aggregation cluster source to

  12. Silicon processing for photovoltaics II

    CERN Document Server

    Khattak, CP

    2012-01-01

    The processing of semiconductor silicon for manufacturing low cost photovoltaic products has been a field of increasing activity over the past decade and a number of papers have been published in the technical literature. This volume presents comprehensive, in-depth reviews on some of the key technologies developed for processing silicon for photovoltaic applications. It is complementary to Volume 5 in this series and together they provide the only collection of reviews in silicon photovoltaics available.The volume contains papers on: the effect of introducing grain boundaries in silicon; the

  13. Technological development for super-high efficiency solar cells. Technological development of solar-high efficiency singlecrystalline silicon solar cells (high quality singlecrystalline silicon substrates); Chokokoritsu taiyo denchi no gijutsu kaihatsu. Chokokoritsu tankessho silicon taiyo denchi no gijutsu kaihatsu (kohinshitsu tankessho silicon kiban no gijutsu kaihatsu)

    Energy Technology Data Exchange (ETDEWEB)

    Tatsuta, M [New Energy and Industrial Technology Development Organization, Tokyo (Japan)

    1994-12-01

    This paper reports the study results on technological development for high quality efficiency singlecrystalline silicon substrates in fiscal 1994. (1) On electromagnetic casting/once FZ bath method, a Si single crystal of 600mm long was successfully obtained by improvement of power source frequency and furnace parts. High carbon content resulted in no single crystal including solids. In undoped electromagnetic casting ingots, resistivities over 1500ohm-cm were obtained because of effective preventive measures from contaminants. (2) On electromagnetic melting CZ method, since vibration and temperature control of melt surface by magnetic shield was insufficient for stable pulling of single crystals, its practical use was hopeless. (3) On electron beam melting CZ method, a Si single crystal of 25mm in diameter was obtained by preventive measures from evaporation of Si and influence of deposits, and improved uniform deposition distribution in a furnace. The oscillation circuit constant of power source, and water-cooling copper crucible structure were also analyzed for the optimum design of electromagnetic melting furnaces. 3 figs., 1 tab.

  14. Stable configurations of graphene on silicon

    Energy Technology Data Exchange (ETDEWEB)

    Javvaji, Brahmanandam; Shenoy, Bhamy Maithry [Department of Aerospace Engineering, Indian Institute of Science, Bangalore 560012 (India); Mahapatra, D. Roy, E-mail: droymahapatra@aero.iisc.ernet.in [Department of Aerospace Engineering, Indian Institute of Science, Bangalore 560012 (India); Ravikumar, Abhilash [Department of Metallurgical and Materials Engineering, National Institute of Technology Karnataka, Surathkal 575025 (India); Hegde, G.M. [Center for Nano Science and Engineering, Indian Institute of Science, Bangalore 560012 (India); Rizwan, M.R. [Department of Metallurgical and Materials Engineering, National Institute of Technology Karnataka, Surathkal 575025 (India)

    2017-08-31

    Highlights: • Simulations of epitaxial growth process for silicon–graphene system is performed. • Identified the most favourable orientation of graphene sheet on silicon substrate. • Atomic local strain due to the silicon–carbon bond formation is analyzed. - Abstract: Integration of graphene on silicon-based nanostructures is crucial in advancing graphene based nanoelectronic device technologies. The present paper provides a new insight on the combined effect of graphene structure and silicon (001) substrate on their two-dimensional anisotropic interface. Molecular dynamics simulations involving the sub-nanoscale interface reveal a most favourable set of temperature independent orientations of the monolayer graphene sheet with an angle of ∽15° between its armchair direction and [010] axis of the silicon substrate. While computing the favorable stable orientations, both the translation and the rotational vibrations of graphene are included. The possible interactions between the graphene atoms and the silicon atoms are identified from their coordination. Graphene sheet shows maximum bonding density with bond length 0.195 nm and minimum bond energy when interfaced with silicon substrate at 15° orientation. Local deformation analysis reveals probability distribution with maximum strain levels of 0.134, 0.047 and 0.029 for 900 K, 300 K and 100 K, respectively in silicon surface for 15° oriented graphene whereas the maximum probable strain in graphene is about 0.041 irrespective of temperature. Silicon–silicon dimer formation is changed due to silicon–carbon bonding. These results may help further in band structure engineering of silicon–graphene lattice.

  15. Silicon nanomaterials platform for bioimaging, biosensing, and cancer therapy.

    Science.gov (United States)

    Peng, Fei; Su, Yuanyuan; Zhong, Yiling; Fan, Chunhai; Lee, Shuit-Tong; He, Yao

    2014-02-18

    Silicon nanomaterials are an important class of nanomaterials with great potential for technologies including energy, catalysis, and biotechnology, because of their many unique properties, including biocompatibility, abundance, and unique electronic, optical, and mechanical properties, among others. Silicon nanomaterials are known to have little or no toxicity due to favorable biocompatibility of silicon, which is an important precondition for biological and biomedical applications. In addition, huge surface-to-volume ratios of silicon nanomaterials are responsible for their unique optical, mechanical, or electronic properties, which offer exciting opportunities for design of high-performance silicon-based functional nanoprobes, nanosensors, and nanoagents for biological analysis and detection and disease treatment. Moreover, silicon is the second most abundant element (after oxygen) on earth, providing plentiful and inexpensive resources for large-scale and low-cost preparation of silicon nanomaterials for practical applications. Because of these attractive traits, and in parallel with a growing interest in their design and synthesis, silicon nanomaterials are extensively investigated for wide-ranging applications, including energy, catalysis, optoelectronics, and biology. Among them, bioapplications of silicon nanomaterials are of particular interest. In the past decade, scientists have made an extensive effort to construct a silicon nanomaterials platform for various biological and biomedical applications, such as biosensors, bioimaging, and cancer treatment, as new and powerful tools for disease diagnosis and therapy. Nonetheless, there are few review articles covering these important and promising achievements to promote the awareness of development of silicon nanobiotechnology. In this Account, we summarize recent representative works to highlight the recent developments of silicon functional nanomaterials for a new, powerful platform for biological and

  16. From silicon to organic nanoparticle memory devices.

    Science.gov (United States)

    Tsoukalas, D

    2009-10-28

    After introducing the operational principle of nanoparticle memory devices, their current status in silicon technology is briefly presented in this work. The discussion then focuses on hybrid technologies, where silicon and organic materials have been combined together in a nanoparticle memory device, and finally concludes with the recent development of organic nanoparticle memories. The review is focused on the nanoparticle memory concept as an extension of the current flash memory device. Organic nanoparticle memories are at a very early stage of research and have not yet found applications. When this happens, it is expected that they will not directly compete with mature silicon technology but will find their own areas of application.

  17. Graphitized silicon carbide microbeams: wafer-level, self-aligned graphene on silicon wafers

    International Nuclear Information System (INIS)

    Cunning, Benjamin V; Ahmed, Mohsin; Mishra, Neeraj; Kermany, Atieh Ranjbar; Iacopi, Francesca; Wood, Barry

    2014-01-01

    Currently proven methods that are used to obtain devices with high-quality graphene on silicon wafers involve the transfer of graphene flakes from a growth substrate, resulting in fundamental limitations for large-scale device fabrication. Moreover, the complex three-dimensional structures of interest for microelectromechanical and nanoelectromechanical systems are hardly compatible with such transfer processes. Here, we introduce a methodology for obtaining thousands of microbeams, made of graphitized silicon carbide on silicon, through a site-selective and wafer-scale approach. A Ni-Cu alloy catalyst mediates a self-aligned graphitization on prepatterned SiC microstructures at a temperature that is compatible with silicon technologies. The graphene nanocoating leads to a dramatically enhanced electrical conductivity, which elevates this approach to an ideal method for the replacement of conductive metal films in silicon carbide-based MEMS and NEMS devices. (paper)

  18. Surface Passivation for Silicon Heterojunction Solar Cells

    NARCIS (Netherlands)

    Deligiannis, D.

    2017-01-01

    Silicon heterojunction solar cells (SHJ) are currently one of the most promising solar cell technologies in the world. The SHJ solar cell is based on a crystalline silicon (c-Si) wafer, passivated on both sides with a thin intrinsic hydrogenated amorphous silicon (a-Si:H) layer. Subsequently, p-type

  19. Analysis of quantum ballistic electron transport in ultrasmall silicon devices including space-charge and geometric effects

    Science.gov (United States)

    Laux, S. E.; Kumar, A.; Fischetti, M. V.

    2004-05-01

    A two-dimensional device simulation program which self consistently solves the Schrödinger and Poisson equations with current flow is described in detail. Significant approximations adopted in this work are the absence of scattering and a simple six-valley, parabolic band structure for silicon. A modified version of the quantum transmitting boundary method is used to describe open boundary conditions permitting current flow in device solutions far from equilibrium. The continuous energy spectrum of the system is discretized by temporarily imposing two different forms of closed boundary conditions, resulting in energies which sample the density-of-states and establish the wave function normalization conditions. These standing wave solutions ("normal modes") are decomposed into their traveling wave constituents, each of which represents injection from only one of the open boundary contacts ("traveling eigencomponents"). These current-carrying states are occupied by a drifted Fermi distribution associated with their injecting contact and summed to form the electron density in the device. Holes are neglected in this calculation. The Poisson equation is solved on the same finite element computational mesh as the Schrödinger equation; devices of arbitrary geometry can be modeled. Computational performance of the program including characterization of a "Broyden+Newton" algorithm employed in the iteration for self consistency is described. Device results are presented for a narrow silicon resonant tunneling diode (RTD) and many variants of idealized silicon double-gate field effect transistors (DGFETs). The RTD results show two resonant conduction peaks, each of which demonstrates hysteresis. Three 7.5 nm channel length DGFET structures with identical intrinsic device configurations but differing access geometries (straight, taper and "dog bone") are studied and found to have differing current flows owing to quantum-mechanical reflection in their access regions

  20. Key Success Factors and Future Perspective of Silicon-Based Solar Cells

    Directory of Open Access Journals (Sweden)

    S. Binetti

    2013-01-01

    Full Text Available Today, after more than 70 years of continued progress on silicon technology, about 85% of cumulative installed photovolatic (PV modules are based on crystalline silicon (c-Si. PV devices based on silicon are the most common solar cells currently being produced, and it is mainly due to silicon technology that the PV has grown by 40% per year over the last decade. An additional step in the silicon solar cell development is ongoing, and it is related to a further efficiency improvement through defect control, device optimization, surface modification, and nanotechnology approaches. This paper attempts to briefly review the most important advances and current technologies used to produce crystalline silicon solar devices and in the meantime the most challenging and promising strategies acting to increase the efficiency to cost/ratio of silicon solar cells. Eventually, the impact and the potentiality of using a nanotechnology approach in a silicon-based solar cell are also described.

  1. Silicon microfabricated beam expander

    Science.gov (United States)

    Othman, A.; Ibrahim, M. N.; Hamzah, I. H.; Sulaiman, A. A.; Ain, M. F.

    2015-03-01

    The feasibility design and development methods of silicon microfabricated beam expander are described. Silicon bulk micromachining fabrication technology is used in producing features of the structure. A high-precision complex 3-D shape of the expander can be formed by exploiting the predictable anisotropic wet etching characteristics of single-crystal silicon in aqueous Potassium-Hydroxide (KOH) solution. The beam-expander consist of two elements, a micromachined silicon reflector chamber and micro-Fresnel zone plate. The micro-Fresnel element is patterned using lithographic methods. The reflector chamber element has a depth of 40 µm, a diameter of 15 mm and gold-coated surfaces. The impact on the depth, diameter of the chamber and absorption for improved performance are discussed.

  2. Hybrid Integrated Platforms for Silicon Photonics

    Science.gov (United States)

    Liang, Di; Roelkens, Gunther; Baets, Roel; Bowers, John E.

    2010-01-01

    A review of recent progress in hybrid integrated platforms for silicon photonics is presented. Integration of III-V semiconductors onto silicon-on-insulator substrates based on two different bonding techniques is compared, one comprising only inorganic materials, the other technique using an organic bonding agent. Issues such as bonding process and mechanism, bonding strength, uniformity, wafer surface requirement, and stress distribution are studied in detail. The application in silicon photonics to realize high-performance active and passive photonic devices on low-cost silicon wafers is discussed. Hybrid integration is believed to be a promising technology in a variety of applications of silicon photonics.

  3. Silicon solar cell technology state of the art and a proposed double sided cell

    International Nuclear Information System (INIS)

    Seddik, M.M.

    1987-08-01

    A review of the silicon technology state of the art is given. It had been found that single crystal silicon efficiency was limitd to ≥ 20%. The reason was identified to be due to the recombination current loss mechanisms. However, use of new technologies such as back-surface field, surface passivation, double anti-reflection coatings and back-surface illumination demonstrated to achieve higher efficiencies. Experiments were carried out to evaluate the effect of back surfaces illumination on the cell efficiency enhancement. It was found that for single cell, back-surface illumination contribute a 12% increase in efficiency whereas for double cell illumination (back-to-back cells) the improvement was 59% increase in efficiency. A V-shaped flat mirror reflector with optimum angle of 45 deg. to the plane of the cell from both sides achieved the ultimate efficiency performance. Finally, a proposed high current - high efficiency solar cell called ''Double Drift'' - Double Sided Illumination Cell'' was presented. The new structures were in the form of n + pn + or p + np + double junctions. The expected efficiency ranges 50-60% with proper material design, double anti-reflection coatings and V-shaped irregular plane mirror reflector illumination. (author). 43 refs, 4 figs, 7 tabs

  4. Millimeter-wave silicon-based ultra-wideband automotive radar transceivers

    Science.gov (United States)

    Jain, Vipul

    Since the invention of the integrated circuit, the semiconductor industry has revolutionized the world in ways no one had ever anticipated. With the advent of silicon technologies, consumer electronics became light-weight and affordable and paved the way for an Information-Communication-Entertainment age. While silicon almost completely replaced compound semiconductors from these markets, it has been unable to compete in areas with more stringent requirements due to technology limitations. One of these areas is automotive radar sensors, which will enable next-generation collision-warning systems in automobiles. A low-cost implementation is absolutely essential for widespread use of these systems, which leads us to the subject of this dissertation---silicon-based solutions for automotive radars. This dissertation presents architectures and design techniques for mm-wave automotive radar transceivers. Several fully-integrated transceivers and receivers operating at 22-29 GHz and 77-81 GHz are demonstrated in both CMOS and SiGe BiCMOS technologies. Excellent performance is achieved indicating the suitability of silicon technologies for automotive radar sensors. The first CMOS 22-29-GHz pulse-radar receiver front-end for ultra-wideband radars is presented. The chip includes a low noise amplifier, I/Q mixers, quadrature voltage-controlled oscillators, pulse formers and variable-gain amplifiers. Fabricated in 0.18-mum CMOS, the receiver achieves a conversion gain of 35-38.1 dB and a noise figure of 5.5-7.4 dB. Integration of multi-mode multi-band transceivers on a single chip will enable next-generation low-cost automotive radar sensors. Two highly-integrated silicon ICs are designed in a 0.18-mum BiCMOS technology. These designs are also the first reported demonstrations of mm-wave circuits with high-speed digital circuits on the same chip. The first mm-wave dual-band frequency synthesizer and transceiver, operating in the 24-GHz and 77-GHz bands, are demonstrated. All

  5. A study of luminescence from silicon-rich silica fabricated by plasma enhanced chemical vapour deposition

    International Nuclear Information System (INIS)

    Trwoga, P.F.

    1998-01-01

    Silicon is the most studied electronic material known to man and dominates the electronics industry in its use as a semiconductors for nearly all integrated electronics. However, optoelectronics is almost entirely based on III-V materials. This technology is used because silicon is a very inefficient light source, whereas the III-V band structure can lend itself to efficient light emission by electron injection. However, due to the overwhelming dominance of silicon based electronics it is still a highly desirable goal to generate light efficiently from silicon based materials. Recently, studies have demonstrated that efficient visible luminescence can be obtained from certain novel forms of silicon. These materials include porous silicon, hydrogenated amorphous silicon, and silicon-rich silica (SiO x x x is studied in detail; in addition, electroluminescence and rare-earth doping of silicon-rich silica is also addressed. (author)

  6. Key Processes of Silicon-On-Glass MEMS Fabrication Technology for Gyroscope Application.

    Science.gov (United States)

    Ma, Zhibo; Wang, Yinan; Shen, Qiang; Zhang, Han; Guo, Xuetao

    2018-04-17

    MEMS fabrication that is based on the silicon-on-glass (SOG) process requires many steps, including patterning, anodic bonding, deep reactive ion etching (DRIE), and chemical mechanical polishing (CMP). The effects of the process parameters of CMP and DRIE are investigated in this study. The process parameters of CMP, such as abrasive size, load pressure, and pH value of SF1 solution are examined to optimize the total thickness variation in the structure and the surface quality. The ratio of etching and passivation cycle time and the process pressure are also adjusted to achieve satisfactory performance during DRIE. The process is optimized to avoid neither the notching nor lag effects on the fabricated silicon structures. For demonstrating the capability of the modified CMP and DRIE processes, a z-axis micro gyroscope is fabricated that is based on the SOG process. Initial test results show that the average surface roughness of silicon is below 1.13 nm and the thickness of the silicon is measured to be 50 μm. All of the structures are well defined without the footing effect by the use of the modified DRIE process. The initial performance test results of the resonant frequency for the drive and sense modes are 4.048 and 4.076 kHz, respectively. The demands for this kind of SOG MEMS device can be fulfilled using the optimized process.

  7. Research and development of photovoltaic power system. Development of novel technologies for fabrication of high quality silicon thin films for solar cells; Taiyoko hatsuden system no kenkyu kaihatsu. Kohinshitsu silicon usumaku sakusei gijutsu

    Energy Technology Data Exchange (ETDEWEB)

    Shimizu, T [Kanazawa University, Ishikawa (Japan). Faculty of Engineering

    1994-12-01

    Described herein are the results of the FY1994 research program for development of novel technologies for fabrication of high quality thin films of silicon for solar cells. The study on the mechanisms and effects of chemical annealing reveals that the film structure greatly varies depending on substrate temperature during the hydrotreatment process, based on the tests with substrate temperature, deposition of superthin film (T1) and hydrotreatment (T2) as the variable parameters. Chemical annealing at low temperature produces a high-quality a-Si:H film of low defect content. The study on fabrication of thin polycrystalline silicon films at low temperature observes on real time the process of deposition of the thin films on polycrystalline silicon substrates, where a natural oxide film is removed beforehand from the substrate. The results indicate that a thin polycrystalline silicon film of 100% crystallinity can be formed even on a polycrystalline silicon substrate by controlling starting gas composition and substrate temperature. The layer-by-layer method is used as the means for forming the seed crystals on a glass substrate, where deposition and hydrotreatment are repeated alternately, to produce the thin crystalline silicon films of high crystallinity. 3 figs.

  8. Silicon-germanium (Sige) nanostructures production, properties and applications in electronics

    CERN Document Server

    Usami, N

    2011-01-01

    Nanostructured silicon-germanium (SiGe) provides the prospect of novel and enhanced electronic device performance. This book reviews the materials science and technology of SiGe nanostructures, including crystal growth, fabrication of nanostructures, material properties and applications in electronics.$bNanostructured silicon-germanium (SiGe) opens up the prospects of novel and enhanced electronic device performance, especially for semiconductor devices. Silicon-germanium (SiGe) nanostructures reviews the materials science of nanostructures and their properties and applications in different electronic devices. The introductory part one covers the structural properties of SiGe nanostructures, with a further chapter discussing electronic band structures of SiGe alloys. Part two concentrates on the formation of SiGe nanostructures, with chapters on different methods of crystal growth such as molecular beam epitaxy and chemical vapour deposition. This part also includes chapters covering strain engineering and mo...

  9. Substrate and Passivation Techniques for Flexible Amorphous Silicon-Based X-ray Detectors.

    Science.gov (United States)

    Marrs, Michael A; Raupp, Gregory B

    2016-07-26

    Flexible active matrix display technology has been adapted to create new flexible photo-sensing electronic devices, including flexible X-ray detectors. Monolithic integration of amorphous silicon (a-Si) PIN photodiodes on a flexible substrate poses significant challenges associated with the intrinsic film stress of amorphous silicon. This paper examines how altering device structuring and diode passivation layers can greatly improve the electrical performance and the mechanical reliability of the device, thereby eliminating one of the major weaknesses of a-Si PIN diodes in comparison to alternative photodetector technology, such as organic bulk heterojunction photodiodes and amorphous selenium. A dark current of 0.5 pA/mm² and photodiode quantum efficiency of 74% are possible with a pixelated diode structure with a silicon nitride/SU-8 bilayer passivation structure on a 20 µm-thick polyimide substrate.

  10. The status of silicon ribbon growth technology for high-efficiency silicon solar cells

    Science.gov (United States)

    Ciszek, T. F.

    1985-01-01

    More than a dozen methods have been applied to the growth of silicon ribbons, beginning as early as 1963. The ribbon geometry has been particularly intriguing for photovoltaic applications, because it might provide large area, damage free, nearly continuous substrates without the material loss or cost of ingot wafering. In general, the efficiency of silicon ribbon solar cells has been lower than that of ingot cells. The status of some ribbon growth techniques that have achieved laboratory efficiencies greater than 13.5% are reviewed, i.e., edge-defined, film-fed growth (EFG), edge-supported pulling (ESP), ribbon against a drop (RAD), and dendritic web growth (web).

  11. Silicon radiation detectors: materials and applications

    International Nuclear Information System (INIS)

    Walton, J.T.; Haller, E.E.

    1982-10-01

    Silicon nuclear radiation detectors are available today in a large variety of sizes and types. This profusion has been made possible by the ever increasing quality and diameter silicon single crystals, new processing technologies and techniques, and innovative detector design. The salient characteristics of the four basic detector groups, diffused junction, ion implanted, surface barrier, and lithium drift are reviewed along with the silicon crystal requirements. Results of crystal imperfections detected by lithium ion compensation are presented. Processing technologies and techniques are described. Two recent novel position-sensitive detector designs are discussed - one in high-energy particle track reconstruction and the other in x-ray angiography. The unique experimental results obtained with these devices are presented

  12. Silicon microfabricated beam expander

    International Nuclear Information System (INIS)

    Othman, A.; Ibrahim, M. N.; Hamzah, I. H.; Sulaiman, A. A.; Ain, M. F.

    2015-01-01

    The feasibility design and development methods of silicon microfabricated beam expander are described. Silicon bulk micromachining fabrication technology is used in producing features of the structure. A high-precision complex 3-D shape of the expander can be formed by exploiting the predictable anisotropic wet etching characteristics of single-crystal silicon in aqueous Potassium-Hydroxide (KOH) solution. The beam-expander consist of two elements, a micromachined silicon reflector chamber and micro-Fresnel zone plate. The micro-Fresnel element is patterned using lithographic methods. The reflector chamber element has a depth of 40 µm, a diameter of 15 mm and gold-coated surfaces. The impact on the depth, diameter of the chamber and absorption for improved performance are discussed

  13. Silicon microfabricated beam expander

    Energy Technology Data Exchange (ETDEWEB)

    Othman, A., E-mail: aliman@ppinang.uitm.edu.my; Ibrahim, M. N.; Hamzah, I. H.; Sulaiman, A. A. [Faculty of Electrical Engineering, Universiti Teknologi MARA Malaysia, 40450, Shah Alam, Selangor (Malaysia); Ain, M. F. [School of Electrical and Electronic Engineering, Engineering Campus, Universiti Sains Malaysia, Seri Ampangan, 14300,Nibong Tebal, Pulau Pinang (Malaysia)

    2015-03-30

    The feasibility design and development methods of silicon microfabricated beam expander are described. Silicon bulk micromachining fabrication technology is used in producing features of the structure. A high-precision complex 3-D shape of the expander can be formed by exploiting the predictable anisotropic wet etching characteristics of single-crystal silicon in aqueous Potassium-Hydroxide (KOH) solution. The beam-expander consist of two elements, a micromachined silicon reflector chamber and micro-Fresnel zone plate. The micro-Fresnel element is patterned using lithographic methods. The reflector chamber element has a depth of 40 µm, a diameter of 15 mm and gold-coated surfaces. The impact on the depth, diameter of the chamber and absorption for improved performance are discussed.

  14. Hybrid Integrated Platforms for Silicon Photonics

    Directory of Open Access Journals (Sweden)

    John E. Bowers

    2010-03-01

    Full Text Available A review of recent progress in hybrid integrated platforms for silicon photonics is presented. Integration of III-V semiconductors onto silicon-on-insulator substrates based on two different bonding techniques is compared, one comprising only inorganic materials, the other technique using an organic bonding agent. Issues such as bonding process and mechanism, bonding strength, uniformity, wafer surface requirement, and stress distribution are studied in detail. The application in silicon photonics to realize high-performance active and passive photonic devices on low-cost silicon wafers is discussed. Hybrid integration is believed to be a promising technology in a variety of applications of silicon photonics.

  15. Will silicon be the photonic material of the third millenium?

    International Nuclear Information System (INIS)

    Pavesi, L

    2003-01-01

    Silicon microphotonics, a technology which merges photonics and silicon microelectronic components, is rapidly evolving. Many different fields of application are emerging: transceiver modules for optical communication systems, optical bus systems for ULSI circuits, I/O stages for SOC, displays, .... In this review I will give a brief motivation for silicon microphotonics and try to give the state-of-the-art of this technology. The ingredient still lacking is the silicon laser: a review of the various approaches will be presented. Finally, I will try to draw some conclusions where silicon is predicted to be the material to achieve a full integration of electronic and optical devices. (topical review)

  16. Nonclassical light sources for silicon photonics

    Science.gov (United States)

    Bajoni, Daniele; Galli, Matteo

    2017-09-01

    Quantum photonics has recently attracted a lot of attention for its disruptive potential in emerging technologies like quantum cryptography, quantum communication and quantum computing. Driven by the impressive development in nanofabrication technologies and nanoscale engineering, silicon photonics has rapidly become the platform of choice for on-chip integration of high performing photonic devices, now extending their functionalities towards quantum-based applications. Focusing on quantum Information Technology (qIT) as a key application area, we review recent progress in integrated silicon-based sources of nonclassical states of light. We assess the state of the art in this growing field and highlight the challenges that need to be overcome to make quantum photonics a reliable and widespread technology.

  17. Synthesis of Silicon Nanocrystals in Microplasma Reactor

    Science.gov (United States)

    Nozaki, Tomohiro; Sasaki, Kenji; Ogino, Tomohisa; Asahi, Daisuke; Okazaki, Ken

    Nanocrystalline silicon particles with a grain size of at least less than 10 nm are widely recognized as one of the key materials in optoelectronic devices, electrodes of lithium battery, bio-medical labels. There is also important character that silicon is safe material to the environment and easily gets involved in existing silicon technologies. To date, several synthesis methods such as sputtering, laser ablation, and plasma enhanced chemical vapor deposition (PECVD) based on low-pressure silane chemistry (SiH4) have been developed for precise control of size and density distributions of silicon nanocrystals. We explore the possibility of microplasma technologies for the efficient production of mono-dispersed nanocrystalline silicon particles in a micrometer-scale, continuous-flow plasma reactor operated at atmospheric pressure. Mixtures of argon, hydrogen, and silicon tetrachloride were activated using very high frequency (VHF = 144 MHz) power source in a capillary glass tube with a volume of less than 1 μ-liter. Fundamental plasma parameters of VHF capacitively coupled microplasma were characterized by optical emission spectroscopy, showing electron density of approximately 1015 cm-3 and rotational temperature of 1500 K, respectively. Such high-density non-thermal reactive plasma has a capability of decomposing silicon tetrachloride into atomic silicon to produce supersaturated atomic silicon vapor, followed by gas phase nucleation via three-body collision. The particle synthesis in high-density plasma media is beneficial for promoting nucleation process. In addition, further growth of silicon nuclei was able to be favorably terminated in a short-residence time reactor. Micro Raman scattering spectrum showed that as-deposited particles were mostly amorphous silicon with small fraction of silicon nanocrystals. Transmission electron micrograph confirmed individual silicon nanocrystals of 3-15 nm size. Although those particles were not mono-dispersed, they were

  18. Large-Scale PV Module Manufacturing Using Ultra-Thin Polycrystalline Silicon Solar Cells: Annual Subcontract Report, 1 October 2003--30 September 2004

    Energy Technology Data Exchange (ETDEWEB)

    Wohlgemuth, J.; Narayanan, M.

    2005-03-01

    The major objectives of this program are to continue the advancement of BP Solar polycrystalline silicon manufacturing technology. The program includes work in the following areas: Efforts in the casting area to increase ingot size, improve ingot material quality, and improve handling of silicon feedstock as it is loaded into the casting stations; developing wire saws to slice 100- m-thick silicon wafers on 290- m centers; developing equipment for demounting and subsequent handling of very thin silicon wafers; developing cell processes using 100- m-thick silicon wafers that produce encapsulated cells with efficiencies of at least 15.4% at an overall yield exceeding 95%; expanding existing in-line manufacturing data reporting systems to provide active process control; establishing a 50-MW (annual nominal capacity) green-field Mega-plant factory model template based on this new thin polycrystalline silicon technology; facilitating an increase in the silicon feedstock industry's production capacity for lower-cost solar-grade silicon feedstock.

  19. Materials issues in silicon integrated circuit processing

    International Nuclear Information System (INIS)

    Wittmer, M.; Stimmell, J.; Strathman, M.

    1986-01-01

    The symposium on ''Materials Issues in Integrated Circuit Processing'' sought to bring together all of the materials issued pertinent to modern integrated circuit processing. The inherent properties of the materials are becoming an important concern in integrated circuit manufacturing and accordingly research in materials science is vital for the successful implementation of modern integrated circuit technology. The session on Silicon Materials Science revealed the advanced stage of knowledge which topics such as point defects, intrinsic and extrinsic gettering and diffusion kinetics have achieved. Adaption of this knowledge to specific integrated circuit processing technologies is beginning to be addressed. The session on Epitaxy included invited papers on epitaxial insulators and IR detectors. Heteroepitaxy on silicon is receiving great attention and the results presented in this session suggest that 3-d integrated structures are an increasingly realistic possibility. Progress in low temperature silicon epitaxy and epitaxy of thin films with abrupt interfaces was also reported. Diffusion and Ion Implantation were well presented. Regrowth of implant-damaged layers and the nature of the defects which remain after regrowth were discussed in no less than seven papers. Substantial progress was also reported in the understanding of amorphising boron implants and the use of gallium implants for the formation of shallow p/sup +/ -layers

  20. Recent developments in silicon calorimetry

    International Nuclear Information System (INIS)

    Brau, J.E.

    1990-11-01

    We present a survey of some of the recent calorimeter applications of silicon detectors. The numerous attractive features of silicon detectors are summarized, with an emphasis on those aspects important to calorimetry. Several of the uses of this technology are summarized and referenced. We consider applications for electromagnetic calorimetry, hadronic calorimetry, and proposals for the SSC

  1. Silicon technologies ion implantation and thermal treatment

    CERN Document Server

    Baudrant, Annie

    2013-01-01

    The main purpose of this book is to remind new engineers in silicon foundry, the fundamental physical and chemical rules in major Front end treatments: oxidation, epitaxy, ion implantation and impurities diffusion.

  2. Photopolymerizable silicone monomers, oligomers, and resins

    International Nuclear Information System (INIS)

    Jacobine, A.F.; Nakos, S.T.

    1992-01-01

    The purpose of this chapter is to acquaint the general photopolymer researcher with the historical development of the chemistry and technology of photopolymerizable silicone monomers, fluids, and resins. The current status of research in these areas is assessed. The focus of this chapter is not only on the polymer chemistry and application of this technology, but also on important aspects of the synthetic chemistry involved in the preparation of UV-curable silicone monomers, oligomers, and resins. 236 refs., 6 tabs

  3. Neutron Transmutation Doping of Silicon at Research Reactors

    International Nuclear Information System (INIS)

    2012-05-01

    This publication details the processes and history of neutron transmutation doping of silicon, particularly its commercial pathway, followed by the requirements for a technologically modern and economically viable production scheme and the current trends in the global market for semiconductor products. It should serve as guidelines on the technical requirements, involved processes and required quality standards for the transmission of sound practices and advice for research reactor managers and operators planning commercial scale production of silicon. Furthermore, a detailed and specific database of most of the world's research reactor facilities in this domain is included, featuring their characteristics for irradiation capabilities, associated production capacities and processing.

  4. Superconducting Super Collider silicon tracking subsystem research and development

    International Nuclear Information System (INIS)

    Miller, W.O.; Thompson, T.C.; Ziock, H.J.; Gamble, M.T.

    1990-12-01

    The Alamos National Laboratory Mechanical Engineering and Electronics Division has been investigating silicon-based elementary particle tracking device technology as part of the Superconducting Super Collider-sponsored silicon subsystem collaboration. Structural, materials, and thermal issues have been addressed. This paper explores detector structural integrity and stability, including detailed finite element models of the silicon wafer support and predictive methods used in designing with advanced composite materials. The current design comprises a magnesium metal matrix composite (MMC) truss space frame to provide a sparse support structure for the complex array of silicon detectors. This design satisfies the 25-μm structural stability requirement in a 10-Mrad radiation environment. This stability is achieved without exceeding the stringent particle interaction constraints set at 2.5% of a radiation length. Materials studies have considered thermal expansion, elastic modulus, resistance to radiation and chemicals, and manufacturability of numerous candidate materials. Based on optimization of these parameters, the MMC space frame will possess a coefficient of thermal expansion (CTE) near zero to avoid thermally induced distortions, whereas the cooling rings, which support the silicon detectors and heat pipe network, will probably be constructed of a graphite/epoxy composite whose CTE is engineered to match that of silicon. Results from radiation, chemical, and static loading tests are compared with analytical predictions and discussed. Electronic thermal loading and its efficient dissipation using heat pipe cooling technology are discussed. Calculations and preliminary designs for a sprayed-on graphite wick structure are presented. A hydrocarbon such as butane appears to be a superior choice of heat pipe working fluid based on cooling, handling, and safety criteria

  5. Development of low cost silicon solar cells by reusing the silicon saw dust collected during wafering process

    International Nuclear Information System (INIS)

    Zaidi, Z.I.; Raza, B.; Ahmed, M.; Sheikh, H.; Qazi, I.A.

    2002-01-01

    Silicon material due to its abundance in nature and maximum conversion efficiency has been successfully being used for the fabrication of electronic and photovoltaic devices such as ICs, diodes, transistors and solar cells. The 80% of the semiconductor industry is ruled by silicon material. Single crystal silicon solar cells are in use for both space and terrestrial application, due to the well developed technology and better efficiency than polycrystalline and amorphous silicon solar cells. The current research work is an attempt to reduce the cost of single crystal silicon solar cells by reusing the silicon saw dust obtained during the watering process. During the watering process about 45% Si material is wasted in the form of Si powder dust. Various waste powder silicon samples were analyzed using inductively Coupled Plasma (ICP) technique, for metallic impurities critical for solar grade silicon material. The results were evaluated from impurity and cost point of view. (author)

  6. LHCb: Installation and operation of the LHCb Silicon Tracker detector

    CERN Multimedia

    Esperante Pereira, D

    2009-01-01

    The LHCb experiment has been designed to perform high-precision measurements of CP violation and rare decays of B hadrons. The construction and installation phases of the Silicon Tracker (ST) of the experiment were completed by early summer 2008. The LHCb Silicon Tracker sums up to a total sensitive area of about 12 m^2 using silicon micro-strip technology and withstands charged particle fluxes of up to 5 x 10^5cm^−2s^−1. We will report on the preparation of the detectors for the first LHC beams. Selected results from the commissioning in LHCb are shown, including the first beam-related events accumulated during LHC injection tests in September 2008. Lessons are drawn from the experience gathered during the installation and commissioning.

  7. Short p-type silicon microstrip detectors in 3D-stc technology

    Energy Technology Data Exchange (ETDEWEB)

    Eckert, S. [Physikalisches Institut, Albert-Ludwigs-Universitaet Freiburg, Hermann-Herder Strasse 3b, D-79104 Freiburg i. Br. (Germany)], E-mail: simon.eckert@physik.uni-freiburg.de; Jakobs, K.; Kuehn, S.; Parzefall, U. [Physikalisches Institut, Albert-Ludwigs-Universitaet Freiburg, Hermann-Herder Strasse 3b, D-79104 Freiburg i. Br. (Germany); Dalla-Betta, G.-F.; Zoboli, A. [Dipartimento di Ingegneria e Scienza dell' Informazione, Universita degli Studi di Trento, via Sommarive 14, I-38050 Povo di Trento (Italy); Pozza, A.; Zorzi, N. [FBK-irst Trento, Microsystems Division, via Sommarive 18, I-38050 Povo di Trento (Italy)

    2008-10-21

    The luminosity upgrade of the Large Hadron Collider (LHC), the sLHC, will constitute an extremely challenging radiation environment for tracking detectors. Significant improvements in radiation hardness are needed to cope with the increased radiation dose, requiring new tracking detectors. In the upgraded ATLAS detector the region from 20 to 50 cm distance to the beam will be covered by silicon strip detectors (SSD) with short strips. These will have to withstand a 1 MeV neutron equivalent fluence of about 1x10{sup 15}n{sub eq}/cm{sup 2}, hence extreme radiation resistance is necessary. For the short strips, we propose to use SSD realised in the radiation tolerant 3D technology, where rows of columns-etched into the silicon bulk-are joined together to form strips. To demonstrate the feasibility of 3D SSD for the sLHC, we have built prototype modules using 3D-single-type-column (stc) SSD with short strips and front-end electronics from the present ATLAS SCT. The modules were read out with the SCT Data Acquisition system and tested with an IR-laser. We report on the performance of these 3D modules, in particular the noise at 40 MHz which constitutes a measurement of the effective detector capacitance. Conclusions about options for using 3D SSD detectors for tracking at the sLHC are drawn.

  8. Manufacturing technologies for photovoltaics and possible means of their development in Russia (Review). Part 1: General approach to the development of photoelectric converters and basic silicon technologies

    Science.gov (United States)

    Tarasenko, A. B.; Popel', O. S.

    2015-11-01

    The state and key tendencies of the development of basic technologies for manufacture of photoelectric converters (PECs) in the world are considered, and their advantages and disadvantages are discussed. The first part of the review gives short information on the development of photovoltaics in the world and planes of the development of solar power plants in Russia. Total power of photoelectric plants operating in various countries in 2015 exceeded 150 GW and increased in the last ten years with a rate of approximately 50% per year. Russia made important state decisions on the support of the development of renewable power engineering and developed mechanisms, which were attractive for business, on the stimulation of building of the network of solar power plants with a total power to 1.5 GW in the country to 2020. At the same time, the rigid demands are made with respect to the localization of the production of components of these plants that opens new abilities for the development of the domestic production of photovoltaics manufacture. Data on the efficiency of PECs of various types that are attained in the leading laboratories of the world are given. Particular emphasis has been placed on the consideration of basic silicon technologies of PEC manufacture, which had the widest commercial application. The basic methods for production of polycrystalline silicon and making single-crystal and multicrystal silicon are described. Fundamentals of making techniques for plates, PECs, and photoelectric modules based on single-crystal and polycrystalline silicon are considered. The second part will be devoted to modifications of manufacturing techniques for photoelectric converters, enhancement methods for contact structures, and recommendations of authors with respect to the choice of prospective technologies for the expansion of PEC production in Russia. It will involve formulations and substantiations of the most promising lines of the development of photoelectric

  9. Enabling Technologies for Silicon Microstrip Tracking Detectors at the HL-LHC

    CERN Document Server

    Barth, C; Bloch, I.; Bögelspacher, F.; de Boer, W.; Daniels, M.; Dierlamm, A.; Eber, R.; Eckerlin, G.; Eckstein, D.; Eichhorn, T.; Erfle, J.; Feld, L.; Garutti, E.; Gregor, I. -M.; Guthoff, M.; Hartmann, F.; Hauser, M.; Husemann, U.; Jakobs, K.; Junkes, A.; Karpinski, W.; Klein, K.; Kuehn, S.; Lacker, H.; Mahboubi, K.; Müller, Th.; Mussgiller, A.; Nürnberg, A.; Parzefall, U.; Poehlsen, T.; Poley, L.; Preuten, M.; Rehnisch, L.; Sammet, J.; Schleper, P.; Schuwalow, S.; Sperlich, D.; Stanitzki, M.; Steinbrück, G.; Wlochal, M.

    2016-01-01

    While the tracking detectors of the ATLAS and CMS experiments have shown excellent performance in Run 1 of LHC data taking, and are expected to continue to do so during LHC operation at design luminosity, both experiments will have to exchange their tracking systems when the LHC is upgraded to the high-luminosity LHC (HL-LHC) around the year 2024. The new tracking systems need to operate in an environment in which both the hit densities and the radiation damage will be about an order of magnitude higher than today. In addition, the new trackers need to contribute to the first level trigger in order to maintain a high data-taking efficiency for the interesting processes. Novel detector technologies have to be developed to meet these very challenging goals. The German groups active in the upgrades of the ATLAS and CMS tracking systems have formed a collaborative "Project on Enabling Technologies for Silicon Microstrip Tracking Detectors at the HL-LHC" (PETTL), which was supported by the Helmholtz Alliance "Phys...

  10. CHARACTERIZATION OF THE ELECTROPHYSICAL PROPERTIES OF SILICON-SILICON DIOXIDE INTERFACE USING PROBE ELECTROMETRY METHODS

    Directory of Open Access Journals (Sweden)

    V. А. Pilipenko

    2017-01-01

    Full Text Available Introduction of submicron design standards into microelectronic industry and a decrease of the gate dielectric thickness raise the importance of the analysis of microinhomogeneities in the silicon-silicon dioxide system. However, there is very little to no information on practical implementation of probe electrometry methods, and particularly scanning Kelvin probe method, in the interoperational control of real semiconductor manufacturing process. The purpose of the study was the development of methods for nondestructive testing of semiconductor wafers based on the determination of electrophysical properties of the silicon-silicon dioxide interface and their spatial distribution over wafer’s surface using non-contact probe electrometry methods.Traditional C-V curve analysis and scanning Kelvin probe method were used to characterize silicon- silicon dioxide interface. The samples under testing were silicon wafers of KEF 4.5 and KDB 12 type (orientation <100>, diameter 100 mm.Probe electrometry results revealed uniform spatial distribution of wafer’s surface potential after its preliminary rapid thermal treatment. Silicon-silicon dioxide electric potential values were also higher after treatment than before it. This potential growth correlates with the drop in interface charge density. At the same time local changes in surface potential indicate changes in surface layer structure.Probe electrometry results qualitatively reflect changes of interface charge density in silicon-silicon dioxide structure during its technological treatment. Inhomogeneities of surface potential distribution reflect inhomogeneity of damaged layer thickness and can be used as a means for localization of interface treatment defects.

  11. Extremely flexible nanoscale ultrathin body silicon integrated circuits on plastic.

    Science.gov (United States)

    Shahrjerdi, Davood; Bedell, Stephen W

    2013-01-09

    In recent years, flexible devices based on nanoscale materials and structures have begun to emerge, exploiting semiconductor nanowires, graphene, and carbon nanotubes. This is primarily to circumvent the existing shortcomings of the conventional flexible electronics based on organic and amorphous semiconductors. The aim of this new class of flexible nanoelectronics is to attain high-performance devices with increased packing density. However, highly integrated flexible circuits with nanoscale transistors have not yet been demonstrated. Here, we show nanoscale flexible circuits on 60 Å thick silicon, including functional ring oscillators and memory cells. The 100-stage ring oscillators exhibit the stage delay of ~16 ps at a power supply voltage of 0.9 V, the best reported for any flexible circuits to date. The mechanical flexibility is achieved by employing the controlled spalling technology, enabling the large-area transfer of the ultrathin body silicon devices to a plastic substrate at room temperature. These results provide a simple and cost-effective pathway to enable ultralight flexible nanoelectronics with unprecedented level of system complexity based on mainstream silicon technology.

  12. Technology for the large-scale production of multi-crystalline silicon solar cells and modules

    International Nuclear Information System (INIS)

    Weeber, A.W.; De Moor, H.H.C.

    1997-06-01

    In cooperation with Shell Solar Energy (formerly R and S Renewable Energy Systems) and the Research Institute for Materials of the Catholic University Nijmegen the Netherlands Energy Research Foundation (ECN) plans to develop a competitive technology for the large-scale manufacturing of solar cells and solar modules on the basis of multi-crystalline silicon. The project will be carried out within the framework of the Economy, Ecology and Technology (EET) program of the Dutch ministry of Economic Affairs and the Dutch ministry of Education, Culture and Sciences. The aim of the EET-project is to reduce the costs of a solar module by 50% by means of increasing the conversion efficiency as well as the development of cheap processes for large-scale production

  13. Ab initio electronic properties of dual phosphorus monolayers in silicon

    DEFF Research Database (Denmark)

    Drumm, Daniel W.; Per, Manolo C.; Budi, Akin

    2014-01-01

    In the midst of the epitaxial circuitry revolution in silicon technology, we look ahead to the next paradigm shift: effective use of the third dimension - in particular, its combination with epitaxial technology. We perform ab initio calculations of atomically thin epitaxial bilayers in silicon...

  14. Study on Silicon detectors

    International Nuclear Information System (INIS)

    Gervino, G.; Boero, M.; Manfredotti, C.; Icardi, M.; Gabutti, A.; Bagnolatti, E.; Monticone, E.

    1990-01-01

    Prototypes of Silicon microstrip detectors and Silicon large area detectors (3x2 cm 2 ), realized directly by our group, either by ion implantation or by diffusion are presented. The physical detector characteristics and their performances determined by exposing them to different radioactive sources and the results of extensive tests on passivation, where new technological ways have been investigated, are discussed. The calculation of the different terms contributing to the total dark current is reported

  15. Study of the technology of the plasma nanostructuring of silicon to form highly efficient emission structures

    Energy Technology Data Exchange (ETDEWEB)

    Galperin, V. A.; Kitsyuk, E. P. [“Technological Center” Research-and-Production Company (Russian Federation); Pavlov, A. A. [Russian Academy of Sciences, Institute of Nanotechnologies in Microelectronics (Russian Federation); Shamanaev, A. A., E-mail: artemiy.shamanaev@tcen.ru [“Technological Center” Research-and-Production Company (Russian Federation)

    2015-12-15

    New methods for silicon nanostructuring and the possibility of raising the aspect ratios of the structures being formed are considered. It is shown that the technology developed relates to self-formation methods and is an efficient tool for improving the quality of field-emission cathodes based on carbon nanotubes (CNTs) by increasing the Si–CNT contact area and raising the efficiency of the heat sink.

  16. Technology Development on P-type Silicon Strip Detectors for Proton Beam Dosimetry

    International Nuclear Information System (INIS)

    Aouadi, K.; Bouterfa, M.; Delamare, R.; Flandre, D.; Bertrand, D.; Henry, F.

    2013-06-01

    In this paper, we present a technology for the fabrication of n-in-p silicon strip detectors, which is based on the use of Al 2 O 3 oxide compared to p-spray insulation scheme. This technology has been developed using the best technological parameters deduced from simulations, particularly for the p-spray implantation parameters. Different wafers were processed towards the fabrication of the radiation detectors with p-spray insulation and Al 2 O 3 . The evaluation of the prototype detectors has been carried out by performing the electrical characterization of the devices through the measurement of current-voltage and capacitance-voltage characteristics, as well as the measurement of detection response under radiation. The results of electrical measurements indicate that detectors fabricated with Al 2 O 3 exhibit a dark current several times lower than p-spray detectors and show an excellent electrical insulation between strips with a higher inter-strip resistance. Response of Al 2 O 3 strip detector under radiation has been found better. The resulting improved output signal dynamic range finally makes the use of Al 2 O 3 more attractive. (authors)

  17. Silicon Photonics Cloud (SiCloud)

    DEFF Research Database (Denmark)

    DeVore, P. T. S.; Jiang, Y.; Lynch, M.

    2015-01-01

    Silicon Photonics Cloud (SiCloud.org) is the first silicon photonics interactive web tool. Here we report new features of this tool including mode propagation parameters and mode distribution galleries for user specified waveguide dimensions and wavelengths.......Silicon Photonics Cloud (SiCloud.org) is the first silicon photonics interactive web tool. Here we report new features of this tool including mode propagation parameters and mode distribution galleries for user specified waveguide dimensions and wavelengths....

  18. Fiscal 1997 project on the R and D of industrial scientific technology under consignment from NEDO. Report on the results of the R and D of silicon-based polymeric materials (development of liquid methane fueled aircraft engine); 1997 nendo sangyo kagaku gijutsu kenkyu kaihatsu jigyo / Shin energy Sangyo gijutsu Sogo Kaihatsu Kiko itaku. Keisokei kobunshi zairyo no kenkyu kaihatsu (methane nenryo kokukiyo engine kaihatsu) seika hokokusho

    Energy Technology Data Exchange (ETDEWEB)

    NONE

    1998-03-01

    This R and D aims at establishing the basic technology on the molecular design, synthesis, use as materials, and evaluation of silicon-based polymers, of which excellent electronic/optical functions, high heat-resistance/combustion-resistance/dynamic characteristic are expected. The paper introduced the results of the fiscal 1997 R and D of them. The themes are as follows: technology of synthesis of silicon-based polymeric materials with sea-island microstructures, interstitial type structure forming technology, composite materials with organometallic complexes and silicon-based polymers, silicon-based polymer structural materials with ring structures, optimization of the Wurtz`s synthesis method of silicon-based polymers, unsaturated and hypercoordinate organosilicic compounds, function of silicon-based polymers, synthesis and polymerization of new silicon-based monomers, development of a new synthesis method of polysilane and the function, development of new application of silicon-based polymers in imaging devices for recording/memory/display of information, molecular design of {pi}-conjugate and {sigma}-conjugate compounds including silicon, and conformation and electronic state of silicon-based polymeric materials. 186 refs., 141 figs., 68 tabs.

  19. Development of a Process for a High Capacity Arc Heater Production of Silicon for Solar Arrays

    Science.gov (United States)

    Reed, W. H.

    1979-01-01

    A program was established to develop a high temperature silicon production process using existing electric arc heater technology. Silicon tetrachloride and a reductant (sodium) are injected into an arc heated mixture of hydrogen and argon. Under these high temperature conditions, a very rapid reaction is expected to occur and proceed essentially to completion, yielding silicon and gaseous sodium chloride. Techniques for high temperature separation and collection were developed. Included in this report are: test system preparation; testing; injection techniques; kinetics; reaction demonstration; conclusions; and the project status.

  20. Flexible Thermoelectric Generators on Silicon Fabric

    KAUST Repository

    Sevilla, Galo T.

    2012-11-01

    In this work, the development of a Thermoelectric Generator on Flexible Silicon Fabric is explored to extend silicon electronics for flexible platforms. Low cost, easily deployable plastic based flexible electronics are of great interest for smart textile, wearable electronics and many other exciting applications. However, low thermal budget processing and fundamentally limited electron mobility hinders its potential to be competitive with well established and highly developed silicon technology. The use of silicon in flexible electronics involve expensive and abrasive materials and processes. In this work, high performance flexible thermoelectric energy harvesters are demonstrated from low cost bulk silicon (100) wafers. The fabrication of the micro- harvesters was done using existing silicon processes on silicon (100) and then peeled them off from the original substrate leaving it for reuse. Peeled off silicon has 3.6% thickness of bulk silicon reducing the thermal loss significantly and generating nearly 30% more output power than unpeeled harvesters. The demonstrated generic batch processing shows a pragmatic way of peeling off a whole silicon circuitry after conventional fabrication on bulk silicon wafers for extremely deformable high performance integrated electronics. In summary, by using a novel, low cost process, this work has successfully integrated existing and highly developed fabrication techniques to introduce a flexible energy harvester for sustainable applications.

  1. Method For Producing Mechanically Flexible Silicon Substrate

    KAUST Repository

    Hussain, Muhammad Mustafa

    2014-08-28

    A method for making a mechanically flexible silicon substrate is disclosed. In one embodiment, the method includes providing a silicon substrate. The method further includes forming a first etch stop layer in the silicon substrate and forming a second etch stop layer in the silicon substrate. The method also includes forming one or more trenches over the first etch stop layer and the second etch stop layer. The method further includes removing the silicon substrate between the first etch stop layer and the second etch stop layer.

  2. Method For Producing Mechanically Flexible Silicon Substrate

    KAUST Repository

    Hussain, Muhammad Mustafa; Rojas, Jhonathan Prieto

    2014-01-01

    A method for making a mechanically flexible silicon substrate is disclosed. In one embodiment, the method includes providing a silicon substrate. The method further includes forming a first etch stop layer in the silicon substrate and forming a second etch stop layer in the silicon substrate. The method also includes forming one or more trenches over the first etch stop layer and the second etch stop layer. The method further includes removing the silicon substrate between the first etch stop layer and the second etch stop layer.

  3. Silicon Qubits

    Energy Technology Data Exchange (ETDEWEB)

    Ladd, Thaddeus D. [HRL Laboratories, LLC, Malibu, CA (United States); Carroll, Malcolm S. [Sandia National Lab. (SNL-NM), Albuquerque, NM (United States)

    2018-02-28

    Silicon is a promising material candidate for qubits due to the combination of worldwide infrastructure in silicon microelectronics fabrication and the capability to drastically reduce decohering noise channels via chemical purification and isotopic enhancement. However, a variety of challenges in fabrication, control, and measurement leaves unclear the best strategy for fully realizing this material’s future potential. In this article, we survey three basic qubit types: those based on substitutional donors, on metal-oxide-semiconductor (MOS) structures, and on Si/SiGe heterostructures. We also discuss the multiple schema used to define and control Si qubits, which may exploit the manipulation and detection of a single electron charge, the state of a single electron spin, or the collective states of multiple spins. Far from being comprehensive, this article provides a brief orientation to the rapidly evolving field of silicon qubit technology and is intended as an approachable entry point for a researcher new to this field.

  4. Large-Scale PV Module Manufacturing Using Ultra-Thin Polycrystalline Silicon Solar Cells: Final Subcontract Report, 1 April 2002--28 February 2006

    Energy Technology Data Exchange (ETDEWEB)

    Wohlgemuth, J.; Narayanan, M.

    2006-07-01

    The major objectives of this program were to continue advances of BP Solar polycrystalline silicon manufacturing technology. The Program included work in the following areas. (1) Efforts in the casting area to increase ingot size, improve ingot material quality, and improve handling of silicon feedstock as it is loaded into the casting stations. (2) Developing wire saws to slice 100-..mu..m-thick silicon wafers on 290-..mu..m-centers. (3) Developing equipment for demounting and subsequent handling of very thin silicon wafers. (4) Developing cell processes using 100-..mu..m-thick silicon wafers that produce encapsulated cells with efficiencies of at least 15.4% at an overall yield exceeding 95%. (5) Expanding existing in-line manufacturing data reporting systems to provide active process control. (6) Establishing a 50-MW (annual nominal capacity) green-field Mega-plant factory model template based on this new thin polycrystalline silicon technology. (7) Facilitating an increase in the silicon feedstock industry's production capacity for lower-cost solar-grade silicon feedstock..

  5. Integrated circuits of silicon on insulator S.O.I. technologies: State of the art and perspectives

    International Nuclear Information System (INIS)

    Leray, J.L.; Dupont-Nivet, E.; Raffaelli, M.; Coic, Y.M.; Musseau, O.; Pere, J.F.; Lalande, P.; Bredy, J.; Auberton-Herve, A.J.; Bruel, M.; Giffard, B.

    1989-01-01

    Silicon On Insulator technologies have been proposed to increase the integrated circuits performances in radiation operation. Active researches are conducted, in France and abroad. This paper reviews briefly radiation effects phenomenology in that particular type of structure S.O.I. New results are presented that show very good radiation behaviour in term of speed, dose (10 to 100 megarad (Si)), dose rate and S.E.U. performances [fr

  6. Silicon Detectors-Tools for Discovery in Particle Physics

    International Nuclear Information System (INIS)

    Krammer, Manfred

    2009-01-01

    Since the first application of Silicon strip detectors in high energy physics in the early 1980ies these detectors have enabled the experiments to perform new challenging measurements. With these devices it became possible to determine the decay lengths of heavy quarks, for example in the fixed target experiment NA11 at CERN. In this experiment Silicon tracking detectors were used for the identification of particles containing a c-quark. Later on, the experiments at the Large Electron Positron collider at CERN used already larger and sophisticated assemblies of Silicon detectors to identify and study particles containing the b-quark. A very important contribution to the discovery of the last of the six quarks, the top quark, has been made by even larger Silicon vertex detectors inside the experiments CDF and D0 at Fermilab. Nowadays a mature detector technology, the use of Silicon detectors is no longer restricted to the vertex regions of collider experiments. The two multipurpose experiments ATLAS and CMS at the Large Hadron Collider at CERN contain large tracking detectors made of Silicon. The largest is the CMS Inner Tracker consisting of 200 m 2 of Silicon sensor area. These detectors will be very important for a possible discovery of the Higgs boson or of Super Symmetric particles. This paper explains the first applications of Silicon sensors in particle physics and describes the continuous development of this technology up to the construction of the state of the art Silicon detector of CMS.

  7. Increased carrier lifetimes in epitaxial silicon layers on buried silicon nitride produced by ion implantation

    International Nuclear Information System (INIS)

    Skorupa, W.; Kreissig, U.; Hensel, E.; Bartsch, H.

    1984-01-01

    Carrier lifetimes were measured in epitaxial silicon layers deposited on buried silicon nitride produced by high-dose nitrogen implantation at 330 keV. The values were in the range 20-200 μs. The results are remarkable taking into account the high density of crystal defects in the epitaxial layers. Comparing with other SOI technologies the measured lifetimes are higher by 1-2 orders of magnitude. (author)

  8. Using silicone technology to maintain healthy skin in stoma care.

    Science.gov (United States)

    White, Maddie

    The use of silicone in stoma care has grown in recent years and may be considered the next step in the revolutionary development of stoma-care products. Clinical nurse specialists aim to provide evidence-based care at all times, and the same is true for stoma-care nurses. Preventing harm by choosing products that have a sound research base provides the patients with up-to-date, quality care, which enables them to adapt to life with a stoma and return to 'normal' functioning. This article explores the issue of peristomal skin problems and the development of silicone products, and highlights scenarios where it could be an advantage to choose a silicone product.

  9. Silicon-Polymer Encapsulation of High-Level Calcine Waste for Transportation or Disposal

    International Nuclear Information System (INIS)

    Loomis, G.G.; Miller, C.M.; Giansiracusa, J.A.; Kimmel, R.; Prewett, S.V.

    2000-01-01

    This report presents the results of an experimental study investigating the potential uses for silicon-polymer encapsulation of High Level Calcine Waste currently stored within the Idaho Nuclear Technology and Engineering Center (INTEC) at the Idaho National Engineering and Environmental Laboratory (INEEL). The study investigated two different applications of silicon polymer encapsulation. One application uses silicon polymer to produce a waste form suitable for disposal at a High Level Radioactive Waste Disposal Facility directly, and the other application encapsulates the calcine material for transportation to an offsite melter for further processing. A simulated waste material from INTEC, called pilot scale calcine, which contained hazardous materials but no radioactive isotopes was used for the study, which was performed at the University of Akron under special arrangement with Orbit Technologies, the originators of the silicon polymer process called Polymer Encapsulation Technology (PET). This document first discusses the PET process, followed by a presentation of past studies involving PET applications to waste problems. Next, the results of an experimental study are presented on encapsulation of the INTEC calcine waste as it applies to transportation or disposal of calcine waste. Results relating to long-term disposal include: (1) a characterization of the pilot calcine waste; (2) Toxicity Characteristic Leaching Procedure (TCLP) testing of an optimum mixture of pilot calcine, polysiloxane and special additives; and, (3) Material Characterization Center testing MCC-1P evaluation of the optimum waste form. Results relating to transportation of the calcine material for a mixture of maximum waste loading include: compressive strength testing, 10-m drop test, melt testing, and a Department of Transportation (DOT) oxidizer test

  10. Low-Power Silicon-based Thermal Sensors and Actuators for Chemical Applications

    NARCIS (Netherlands)

    Vereshchagina, E.

    2011-01-01

    In the Hot Silicon project low and ultra-low-power Si-based hot surface devices have been developed, i.e. thermal sensors and actuators, for application in catalytic gas micro sensors, micro- and nano- calorimeters. This work include several scientific and technological aspects: • Design and

  11. Planar Fully-Depleted-Silicon-On-Insulator technologies: Toward the 28 nm node and beyond

    Science.gov (United States)

    Doris, B.; DeSalvo, B.; Cheng, K.; Morin, P.; Vinet, M.

    2016-03-01

    This paper presents a comprehensive overview of the research done in the last decade on planar Fully-Depleted-Silicon-On-Insulator (FDSOI) technologies in the frame of the joint development program between IBM, ST Microelectronics and CEA-LETI. In particular, we review the technological developments ranging from substrate engineering to process modules that enable functionality and improve FDSOI performance over several generations. Various multi Vt integration schemes to maximize the benefits of the thin BOX FDSOI platform are discussed. Manufacturability as well as scalability concerns are highlighted and addressed. In addition, this work provides understanding of the performance/power trade-offs for FDSOI circuits and device variability. Finally, clear directions for future application-specific products are given, demonstrating that FDSOI is an attractive CMOS option for next generation high performance and low-power applications.

  12. Beam test performance and simulation of prototypes for the ALICE silicon pixel detector

    International Nuclear Information System (INIS)

    Conrad, J.; Anelli, G.; Antinori, F.

    2007-01-01

    The silicon pixel detector (SPD) of the ALICE experiment in preparation at the Large Hadron Collider (LHC) at CERN is designed to provide the precise vertex reconstruction needed for measuring heavy flavor production in heavy ion collisions at very high energies and high multiplicity. The SPD forms the innermost part of the Inner Tracking System (ITS) which also includes silicon drift and silicon strip detectors. Single assembly prototypes of the ALICE SPD have been tested at the CERN SPS using high energy proton/pion beams in 2002 and 2003. We report on the experimental determination of the spatial precision. We also report on the first combined beam test with prototypes of the other ITS silicon detector technologies at the CERN SPS in November 2004. The issue of SPD simulation is briefly discussed

  13. Monolithic nanoscale photonics-electronics integration in silicon and other group IV elements

    CERN Document Server

    Radamson, Henry

    2014-01-01

    Silicon technology is evolving rapidly, particularly in board-to-board or chip-to chip applications. Increasingly, the electronic parts of silicon technology will carry out the data processing, while the photonic parts take care of the data communication. For the first time, this book describes the merging of photonics and electronics in silicon and other group IV elements. It presents the challenges, the limitations, and the upcoming possibilities of these developments. The book describes the evolution of CMOS integrated electronics, status and development, and the fundamentals of silicon p

  14. DEB-silicone rubber hydrogen absorbing Raman detection technology research

    International Nuclear Information System (INIS)

    Yang Suolong; Zhong Jingrong; Wang Huang; Yang Kaixu; Xiao Jiqun; Liu Jiaxi; Liao Junsheng

    2012-01-01

    The DEB-Pd/C hydrogen getter powder and DEB-Pd/C-silicone rubber getter film were prepared and used for hydrogen detection in close systems by laser Raman method. The DEB alkanes Raman peak intensity changes with the getter time were monitored by Raman spectrometer. As a result, silicone rubber has good compatibility with DEB getter, slow access to hydrogen and good flexible. The alkanes peak intensity-getter time followed a exponential rule. DEB getter films are suitable for Raman on-line monitor of cumulative hydrogen of a closed system at long time. (authors)

  15. Integrated double-sided silicon microstrip detectors

    Directory of Open Access Journals (Sweden)

    Perevertailo V. L.

    2011-11-01

    Full Text Available The problems of design, technology and manufacturing double-sided silicon microstrip detectors using standard equipment production line in mass production of silicon integrated circuits are considered. The design of prototype high-energy particles detector for experiment ALICE (CERN is presented. The parameters of fabricated detectors are comparable with those of similar foreign detectors, but they are distinguished by lesser cost.

  16. Atomic and electronic structures of novel silicon surface structures

    Energy Technology Data Exchange (ETDEWEB)

    Terry, J.H. Jr.

    1997-03-01

    The modification of silicon surfaces is presently of great interest to the semiconductor device community. Three distinct areas are the subject of inquiry: first, modification of the silicon electronic structure; second, passivation of the silicon surface; and third, functionalization of the silicon surface. It is believed that surface modification of these types will lead to useful electronic devices by pairing these modified surfaces with traditional silicon device technology. Therefore, silicon wafers with modified electronic structure (light-emitting porous silicon), passivated surfaces (H-Si(111), Cl-Si(111), Alkyl-Si(111)), and functionalized surfaces (Alkyl-Si(111)) have been studied in order to determine the fundamental properties of surface geometry and electronic structure using synchrotron radiation-based techniques.

  17. Ceramic technology for advanced heat engines project

    Energy Technology Data Exchange (ETDEWEB)

    1990-09-01

    The Ceramic Technology for Advanced Heat Engines Project was developed by the Department of Energy's Office of Transportation Systems in Conservation and Renewable Energy. This project was developed to meet the ceramic technology requirements of the OTT's automotive technology programs. This project is managed by ORNL and is closely coordinated with complementary ceramics tasks funded by other DOE offices, NASA, DoD, and industry. Research is discussed under the following topics; Turbomilling of SiC Whiskers; microwave sintering of silicon nitride; and milling characterization; processing of monolithics; silicon nitride matrix; oxide matrix; silicate matrix; thermal and wear coatings; joining; design; contact interfaces; time-dependent behavior; environmental effects; fracture mechanics; nondestructive evaluation; and technology transfer. References, figures, and tables are included with each topic.

  18. Silicon drift detectors, present and future prospects

    Science.gov (United States)

    Takahashi, J.; Bellwied, R.; Beuttenmuller, R.; Caines, H.; Chen, W.; Dyke, H.; Hoffmann, G. W.; Humanic, T.; Kotov, I.; Kuczewski, P.; Leonhardt, W.; Li, Z.; Lynn, D.; Minor, R.; Munhoz, M.; Ott, G.; Pandey, S. U.; Schambach, J.; Soja, R.; Sugarbaker, E.; Willson, R. M.

    2001-04-01

    Silicon drift detectors provide unambiguous two-dimensional position information for charged particle detection with a single detector layer. A large area silicon drift detector was developed for the inner tracking detector of the STAR experiment at RHIC. In this paper, we discuss the lessons learned and the future prospects of this technology.

  19. 4D tracking with ultra-fast silicon detectors

    Science.gov (United States)

    F-W Sadrozinski, Hartmut; Seiden, Abraham; Cartiglia, Nicolò

    2018-02-01

    The evolution of particle detectors has always pushed the technological limit in order to provide enabling technologies to researchers in all fields of science. One archetypal example is the evolution of silicon detectors, from a system with a few channels 30 years ago, to the tens of millions of independent pixels currently used to track charged particles in all major particle physics experiments. Nowadays, silicon detectors are ubiquitous not only in research laboratories but in almost every high-tech apparatus, from portable phones to hospitals. In this contribution, we present a new direction in the evolution of silicon detectors for charge particle tracking, namely the inclusion of very accurate timing information. This enhancement of the present silicon detector paradigm is enabled by the inclusion of controlled low gain in the detector response, therefore increasing the detector output signal sufficiently to make timing measurement possible. After providing a short overview of the advantage of this new technology, we present the necessary conditions that need to be met for both sensor and readout electronics in order to achieve 4D tracking. In the last section, we present the experimental results, demonstrating the validity of our research path.

  20. A cost roadmap for silicon heterojunction solar cells

    NARCIS (Netherlands)

    Louwen, A.; van Sark, W.; Schropp, R.E.I.; Faaij, A.

    2016-01-01

    Research and development of silicon heterojunction (SHJ) solar cells has seen a marked increase since the recent expiry of core patents describing SHJ technology. SHJ solar cells are expected to offer various cost benefits compared to conventional crystalline silicon solar cells. This paper analyses

  1. A Cost Roadmap for Silicon Heterojunction Solar Cells

    NARCIS (Netherlands)

    Louwen, A.; van Sark, W.G.J.H.M.; Schropp, Ruud; Faaij, A.

    Research and development of silicon heterojunction (SHJ) solar cells has seen a marked increase since the recent expiry of core patents describing SHJ technology. SHJ solar cells are expected to offer various cost benefits compared to conventional crystalline silicon solar cells. This paper analyses

  2. Qualification of a new supplier for silicon particle detectors

    Energy Technology Data Exchange (ETDEWEB)

    Dragicevic, M., E-mail: marko.dragicevic@cern.ch [Institute of High Energy Physics, Austrian Academy of Sciences, Vienna (Austria); Bartl, U. [Infineon Technologies Austria AG, Villach (Austria); Bergauer, T.; Frühwirth, E. [Institute of High Energy Physics, Austrian Academy of Sciences, Vienna (Austria); Gamerith, S.; Hacker, J.; Kröner, F.; Kucher, E.; Moser, J.; Neidhart, T. [Infineon Technologies Austria AG, Villach (Austria); Schulze, H.-J. [Infineon Technologies AG, Munich (Germany); Schustereder, W. [Infineon Technologies Austria AG, Villach (Austria); Treberspurg, W. [Institute of High Energy Physics, Austrian Academy of Sciences, Vienna (Austria); Wübben, T. [Infineon Technologies Austria AG, Villach (Austria)

    2013-12-21

    Most modern particle physics experiments use silicon based sensors for their tracking systems. These sensors are able to detect particles generated in high energy collisions with high spatial resolution and therefore allow the precise reconstruction of particle tracks. So far only a few vendors are capable of producing silicon strip sensors with the quality needed in particle physics experiments. Together with the European semiconductor manufacturer Infineon Technologies Austria AG the Institute of High Energy Physics of the Austrian Academy of Sciences developed planar silicon strip sensors in p-on-n technology. This paper presents the development, production and results from the electrical characterisation of the first sensors produced by Infineon.

  3. Vapor phase epitaxy of silicon on meso porous silicon for deposition on economical substrate and low cost photovoltaic application

    International Nuclear Information System (INIS)

    Quoizola, S.

    2003-01-01

    The silicon is more and more used in the industry. Meanwhile the production cost is a problem to solve to develop the photovoltaic cells production. This thesis presents a new technology based on the use of a meso-porous silicon upper layer,to grow the active silicon layer of 50 μm width. The photovoltaic cell is then realized, the device is removed and placed on a low cost substrate. The silicon substrate of beginning can be used again after cleaning. The first chapter presents the operating and the characteristics of the silicon photovoltaic cell. The second chapter is devoted to the growth technique, the vapor phase epitaxy, and the third chapter to the epitaxy layer. The chapter four deals with the porous silicon and the structure chosen in this study. The chapter five is devoted to the characterization of the epitaxy layer on porous silicon. The photovoltaic cells realized on these layers are presented in the last chapter. (A.L.B.)

  4. Silicon Tracker Design for the ILC

    International Nuclear Information System (INIS)

    Nelson, T.; SLAC

    2005-01-01

    The task of tracking charged particles in energy frontier collider experiments has been largely taken over by solid-state detectors. While silicon microstrip trackers offer many advantages in this environment, large silicon trackers are generally much more massive than their gaseous counterparts. Because of the properties of the machine itself, much of the material that comprises a typical silicon microstrip tracker can be eliminated from a design for the ILC. This realization is the inspiration for a tracker design using lightweight, short, mass-producible modules to tile closed, nested cylinders with silicon microstrips. This design relies upon a few key technologies to provide excellent performance with low cost and complexity. The details of this concept are discussed, along with the performance and status of the design effort

  5. Report for fiscal 1998 on results of research and development of silicon-based polymeric material; 1998 nendo keisokei kobunshi zairyo no kenkyu kaihatsu

    Energy Technology Data Exchange (ETDEWEB)

    NONE

    1999-03-01

    The research and development of 'silicon-based polymeric materials' has been implemented under ten year plan since 1991 by the research and development system for industrial science and technology, with the following subjects conducted in the general accounting section of fiscal 1998. In the research and development of the synthetic technology of electrically conductive silicon-based polymeric materials, a synthetic method was established for unsaturated side-chain group polysilanes as a basic structural unit for structuring multidimensions. In the research and development of the synthetic technology of new silicon-based polymeric materials capable of plotting circuits, network-shaped polysilanes with various amino groups introduced were synthesized, for which electrical conductivity and temperature dependency were measured. In the research and development of new silicon-based polymeric materials with an electro-luminous function and the like, polymeric synthesis began developing smoothly that has hole-transporting and electron transporting properties concerning the electro-luminous function. In the research and development of silicon-based photoelectric conversion materials, examination was made on the improvement of photoelectric conversion performance by materialization technology including lamination and mixture. The general investigation and research committee contrived further advancement of the research and development. (NEDO)

  6. Electrical parameters of silicon on sapphire; influence on aluminium gate MOS devices performances

    International Nuclear Information System (INIS)

    Suat, J.P.; Borel, J.

    1976-01-01

    The question is the quality level of the substrate obtained with MOS technologies on silicon on an insulating substrate. Experimental results are presented on the main electrical parameters of MOS transistors made on silicon on sapphire, e.g. mean values and spreads of: threhold voltage and surface mobilities of transistors, breakdown voltages, and leakage currents of diodes. These devices have been made in three different technologies: enhancement P. channel technology, depletion-enhancement P. channel technology, and complementary MOS technology. These technologies are all aluminium gate processes with standard design rules and 5μm channel length. Measurements show that presently available silicon on sapphire can be considered as a very suitable substrate for many MOS digital applications (but not for dynamic circuits) [fr

  7. Design and Fabrication of Silicon-on-Silicon-Carbide Substrates and Power Devices for Space Applications

    Directory of Open Access Journals (Sweden)

    Gammon P.M.

    2017-01-01

    Full Text Available A new generation of power electronic semiconductor devices are being developed for the benefit of space and terrestrial harsh-environment applications. 200-600 V lateral transistors and diodes are being fabricated in a thin layer of silicon (Si wafer bonded to silicon carbide (SiC. This novel silicon-on-silicon-carbide (Si/SiC substrate solution promises to combine the benefits of silicon-on-insulator (SOI technology (i.e device confinement, radiation tolerance, high and low temperature performance with that of SiC (i.e. high thermal conductivity, radiation hardness, high temperature performance. Details of a process are given that produces thin films of silicon 1, 2 and 5 μm thick on semi-insulating 4H-SiC. Simulations of the hybrid Si/SiC substrate show that the high thermal conductivity of the SiC offers a junction-to-case temperature ca. 4× less that an equivalent SOI device; reducing the effects of self-heating, and allowing much greater power density. Extensive electrical simulations are used to optimise a 600 V laterally diffused metal-oxide-semiconductor field-effect transistor (LDMOSFET implemented entirely within the silicon thin film, and highlight the differences between Si/SiC and SOI solutions.

  8. Silicon photonics for multicore fiber communication

    DEFF Research Database (Denmark)

    Ding, Yunhong; Kamchevska, Valerija; Dalgaard, Kjeld

    2016-01-01

    We review our recent work on silicon photonics for multicore fiber communication, including multicore fiber fan-in/fan-out, multicore fiber switches towards reconfigurable optical add/drop multiplexers. We also present multicore fiber based quantum communication using silicon devices.......We review our recent work on silicon photonics for multicore fiber communication, including multicore fiber fan-in/fan-out, multicore fiber switches towards reconfigurable optical add/drop multiplexers. We also present multicore fiber based quantum communication using silicon devices....

  9. Interviewing Objects: Including Educational Technologies as Qualitative Research Participants

    Science.gov (United States)

    Adams, Catherine A.; Thompson, Terrie Lynn

    2011-01-01

    This article argues the importance of including significant technologies-in-use as key qualitative research participants when studying today's digitally enhanced learning environments. We gather a set of eight heuristics to assist qualitative researchers in "interviewing" technologies-in-use (or other relevant objects), drawing on concrete…

  10. Silicon photonics: some remaining challenges

    Science.gov (United States)

    Reed, G. T.; Topley, R.; Khokhar, A. Z.; Thompson, D. J.; Stanković, S.; Reynolds, S.; Chen, X.; Soper, N.; Mitchell, C. J.; Hu, Y.; Shen, L.; Martinez-Jimenez, G.; Healy, N.; Mailis, S.; Peacock, A. C.; Nedeljkovic, M.; Gardes, F. Y.; Soler Penades, J.; Alonso-Ramos, C.; Ortega-Monux, A.; Wanguemert-Perez, G.; Molina-Fernandez, I.; Cheben, P.; Mashanovich, G. Z.

    2016-03-01

    This paper discusses some of the remaining challenges for silicon photonics, and how we at Southampton University have approached some of them. Despite phenomenal advances in the field of Silicon Photonics, there are a number of areas that still require development. For short to medium reach applications, there is a need to improve the power consumption of photonic circuits such that inter-chip, and perhaps intra-chip applications are viable. This means that yet smaller devices are required as well as thermally stable devices, and multiple wavelength channels. In turn this demands smaller, more efficient modulators, athermal circuits, and improved wavelength division multiplexers. The debate continues as to whether on-chip lasers are necessary for all applications, but an efficient low cost laser would benefit many applications. Multi-layer photonics offers the possibility of increasing the complexity and effectiveness of a given area of chip real estate, but it is a demanding challenge. Low cost packaging (in particular, passive alignment of fibre to waveguide), and effective wafer scale testing strategies, are also essential for mass market applications. Whilst solutions to these challenges would enhance most applications, a derivative technology is emerging, that of Mid Infra-Red (MIR) silicon photonics. This field will build on existing developments, but will require key enhancements to facilitate functionality at longer wavelengths. In common with mainstream silicon photonics, significant developments have been made, but there is still much left to do. Here we summarise some of our recent work towards wafer scale testing, passive alignment, multiplexing, and MIR silicon photonics technology.

  11. Tailorable stimulated Brillouin scattering in nanoscale silicon waveguides.

    Science.gov (United States)

    Shin, Heedeuk; Qiu, Wenjun; Jarecki, Robert; Cox, Jonathan A; Olsson, Roy H; Starbuck, Andrew; Wang, Zheng; Rakich, Peter T

    2013-01-01

    Nanoscale modal confinement is known to radically enhance the effect of intrinsic Kerr and Raman nonlinearities within nanophotonic silicon waveguides. By contrast, stimulated Brillouin-scattering nonlinearities, which involve coherent coupling between guided photon and phonon modes, are stifled in conventional nanophotonics, preventing the realization of a host of Brillouin-based signal-processing technologies in silicon. Here we demonstrate stimulated Brillouin scattering in silicon waveguides, for the first time, through a new class of hybrid photonic-phononic waveguides. Tailorable travelling-wave forward-stimulated Brillouin scattering is realized-with over 1,000 times larger nonlinearity than reported in previous systems-yielding strong Brillouin coupling to phonons from 1 to 18 GHz. Experiments show that radiation pressures, produced by subwavelength modal confinement, yield enhancement of Brillouin nonlinearity beyond those of material nonlinearity alone. In addition, such enhanced and wideband coherent phonon emission paves the way towards the hybridization of silicon photonics, microelectromechanical systems and CMOS signal-processing technologies on chip.

  12. Tailorable stimulated Brillouin scattering in nanoscale silicon waveguides

    Science.gov (United States)

    Shin, Heedeuk; Qiu, Wenjun; Jarecki, Robert; Cox, Jonathan A.; Olsson, Roy H.; Starbuck, Andrew; Wang, Zheng; Rakich, Peter T.

    2013-01-01

    Nanoscale modal confinement is known to radically enhance the effect of intrinsic Kerr and Raman nonlinearities within nanophotonic silicon waveguides. By contrast, stimulated Brillouin-scattering nonlinearities, which involve coherent coupling between guided photon and phonon modes, are stifled in conventional nanophotonics, preventing the realization of a host of Brillouin-based signal-processing technologies in silicon. Here we demonstrate stimulated Brillouin scattering in silicon waveguides, for the first time, through a new class of hybrid photonic–phononic waveguides. Tailorable travelling-wave forward-stimulated Brillouin scattering is realized—with over 1,000 times larger nonlinearity than reported in previous systems—yielding strong Brillouin coupling to phonons from 1 to 18 GHz. Experiments show that radiation pressures, produced by subwavelength modal confinement, yield enhancement of Brillouin nonlinearity beyond those of material nonlinearity alone. In addition, such enhanced and wideband coherent phonon emission paves the way towards the hybridization of silicon photonics, microelectromechanical systems and CMOS signal-processing technologies on chip. PMID:23739586

  13. High performance high-κ/metal gate complementary metal oxide semiconductor circuit element on flexible silicon

    KAUST Repository

    Sevilla, Galo T.

    2016-02-29

    Thinned silicon based complementary metal oxide semiconductor(CMOS)electronics can be physically flexible. To overcome challenges of limited thinning and damaging of devices originated from back grinding process, we show sequential reactive ion etching of silicon with the assistance from soft polymeric materials to efficiently achieve thinned (40 μm) and flexible (1.5 cm bending radius) silicon based functional CMOSinverters with high-κ/metal gate transistors. Notable advances through this study shows large area of silicon thinning with pre-fabricated high performance elements with ultra-large-scale-integration density (using 90 nm node technology) and then dicing of such large and thinned (seemingly fragile) pieces into smaller pieces using excimer laser. The impact of various mechanical bending and bending cycles show undeterred high performance of flexible siliconCMOSinverters. Future work will include transfer of diced silicon chips to destination site, interconnects, and packaging to obtain fully flexible electronic systems in CMOS compatible way.

  14. Practical silicon Light emitting devices fabricated by standard IC technology

    International Nuclear Information System (INIS)

    Aharoni, H.; Monuko du Plessis; Snyman, L.W.

    2004-01-01

    Full Text:Research activities are described with regard to the development of a comprehensive approach for the practical realization of single crystal Silicon Light Emitting Devices (Si-LEDs). Several interesting suggestions for the fabrication of such devices were made in the literature but they were not adopted by the semiconductor industry because they involve non-standard fabrication schemes, requiring special production lines. Our work presents an alternative approach, proposed and realized in practice by us, permitting the fabrication of Si-LEDs using the standard conventional fully industrialized IC technology ''as is'' without any adaptation. It enables their fabrication in the same production lines of the presently existing IC industry. This means that Si-LEDs can now be fabricated simultaneously with other components, such as transistors, on the same silicon chip, using the same masks and processing procedures. The result is that the yield, reliability, and price of the above Si-LEDs are the same as the other Si devices integrated on the same chip. In this work some structural details of several practical Si-LED's designed by us, as well as experimental results describing their performance are presented. These Si-LED's were fabricated to our specifications utilizing standard CMOS/BiCMOS technology, a fact which comprises an achievement by itself. The structure of the Si-LED's, is designed according to specifications such as the required operating voltage, overall light output intensity, its dependence(linear, or non-linear) on the input signal (voltage or current), light generations location (bulk, or near-surface), the emission pattern and uniformity. Such structural design present a problem since the designer can not use any structural parameters (such as doping levels and junction depths for example) but only those which already exist in the production lines. Since the fabrication procedures in these lines are originally designed for processing of

  15. Position-controlled epitaxial III-V nanowires on silicon

    NARCIS (Netherlands)

    Roest, A.L.; Verheijen, M.A.; Wunnicke, O.; Serafin, S.N.; Wondergem, H.J.; Bakkers, E.P.A.M.

    2006-01-01

    We show the epitaxial integration of III-V semiconductor nanowires with silicon technology. The wires are grown by the VLS mechanism with laser ablation as well as metal-organic vapour phase epitaxy. The hetero-epitaxial growth of the III-V nanowires on silicon was confirmed with x-ray diffraction

  16. Seventh workshop on the role of impurities and defects in silicon device processing

    Energy Technology Data Exchange (ETDEWEB)

    NONE

    1997-08-01

    This workshop is the latest in a series which has looked at technological issues related to the commercial development and success of silicon based photovoltaic (PV) modules. PV modules based on silicon are the most common at present, but face pressure from other technologies in terms of cell performance and cell cost. This workshop addresses a problem which is a factor in the production costs of silicon based PV modules.

  17. Epitaxial growth of silicon for layer transfer

    Science.gov (United States)

    Teplin, Charles; Branz, Howard M

    2015-03-24

    Methods of preparing a thin crystalline silicon film for transfer and devices utilizing a transferred crystalline silicon film are disclosed. The methods include preparing a silicon growth substrate which has an interface defining substance associated with an exterior surface. The methods further include depositing an epitaxial layer of silicon on the silicon growth substrate at the surface and separating the epitaxial layer from the substrate substantially along the plane or other surface defined by the interface defining substance. The epitaxial layer may be utilized as a thin film of crystalline silicon in any type of semiconductor device which requires a crystalline silicon layer. In use, the epitaxial transfer layer may be associated with a secondary substrate.

  18. High quality silicon-based substrates for microwave and millimeter wave passive circuits

    Science.gov (United States)

    Belaroussi, Y.; Rack, M.; Saadi, A. A.; Scheen, G.; Belaroussi, M. T.; Trabelsi, M.; Raskin, J.-P.

    2017-09-01

    Porous silicon substrate is very promising for next generation wireless communication requiring the avoidance of high-frequency losses originating from the bulk silicon. In this work, new variants of porous silicon (PSi) substrates have been introduced. Through an experimental RF performance, the proposed PSi substrates have been compared with different silicon-based substrates, namely, standard silicon (Std), trap-rich (TR) and high resistivity (HR). All of the mentioned substrates have been fabricated where identical samples of CPW lines have been integrated on. The new PSi substrates have shown successful reduction in the substrate's effective relative permittivity to values as low as 3.7 and great increase in the substrate's effective resistivity to values higher than 7 kΩ cm. As a concept proof, a mm-wave bandpass filter (MBPF) centred at 27 GHz has been integrated on the investigated substrates. Compared with the conventional MBPF implemented on standard silicon-based substrates, the measured S-parameters of the PSi-based MBPF have shown high filtering performance, such as a reduction in insertion loss and an enhancement of the filter selectivity, with the joy of having the same filter performance by varying the temperature. Therefore, the efficiency of the proposed PSi substrates has been well highlighted. From 1994 to 1995, she was assistant of physics at (USTHB), Algiers . From 1998 to 2011, she was a Researcher at characterization laboratory in ionized media and laser division at the Advanced Technologies Development Center. She has integrated the Analog Radio Frequency Integrated Circuits team as Researcher since 2011 until now in Microelectronic and Nanotechnology Division at Advanced Technologies Development Center (CDTA), Algiers. She has been working towards her Ph.D. degree jointly at CDTA and Ecole Nationale Polytechnique, Algiers, since 2012. Her research interest includes fabrication and characterization of microwave passive devices on porous

  19. Nanostructured silicon for thermoelectric

    Science.gov (United States)

    Stranz, A.; Kähler, J.; Waag, A.; Peiner, E.

    2011-06-01

    Thermoelectric modules convert thermal energy into electrical energy and vice versa. At present bismuth telluride is the most widely commercial used material for thermoelectric energy conversion. There are many applications where bismuth telluride modules are installed, mainly for refrigeration. However, bismuth telluride as material for energy generation in large scale has some disadvantages. Its availability is limited, it is hot stable at higher temperatures (>250°C) and manufacturing cost is relatively high. An alternative material for energy conversion in the future could be silicon. The technological processing of silicon is well advanced due to the rapid development of microelectronics in recent years. Silicon is largely available and environmentally friendly. The operating temperature of silicon thermoelectric generators can be much higher than of bismuth telluride. Today silicon is rarely used as a thermoelectric material because of its high thermal conductivity. In order to use silicon as an efficient thermoelectric material, it is necessary to reduce its thermal conductivity, while maintaining high electrical conductivity and high Seebeck coefficient. This can be done by nanostructuring into arrays of pillars. Fabrication of silicon pillars using ICP-cryogenic dry etching (Inductive Coupled Plasma) will be described. Their uniform height of the pillars allows simultaneous connecting of all pillars of an array. The pillars have diameters down to 180 nm and their height was selected between 1 micron and 10 microns. Measurement of electrical resistance of single silicon pillars will be presented which is done in a scanning electron microscope (SEM) equipped with nanomanipulators. Furthermore, measurement of thermal conductivity of single pillars with different diameters using the 3ω method will be shown.

  20. Silicon nanoparticles: Preparation, properties, and applications

    International Nuclear Information System (INIS)

    Chang Huan; Sun Shu-Qing

    2014-01-01

    Silicon nanoparticles have attracted great attention in the past decades because of their intriguing physical properties, active surface state, distinctive photoluminescence and biocompatibility. In this review, we present some of the recent progress in preparation methodologies and surface functionalization approaches of silicon nanoparticles. Further, their promising applications in the fields of energy and electronic engineering are introduced. (invited review — international conference on nanoscience and technology, china 2013)

  1. Industrial Silicon Wafer Solar Cells

    OpenAIRE

    Neuhaus, Dirk-Holger; Münzer, Adolf

    2007-01-01

    In 2006, around 86% of all wafer-based silicon solar cells were produced using screen printing to form the silver front and aluminium rear contacts and chemical vapour deposition to grow silicon nitride as the antireflection coating onto the front surface. This paper reviews this dominant solar cell technology looking into state-of-the-art equipment and corresponding processes for each process step. The main efficiency losses of this type of solar cell are analyzed to demonstrate the future e...

  2. A deep etching mechanism for trench-bridging silicon nanowires.

    Science.gov (United States)

    Tasdemir, Zuhal; Wollschläger, Nicole; Österle, Werner; Leblebici, Yusuf; Alaca, B Erdem

    2016-03-04

    Introducing a single silicon nanowire with a known orientation and dimensions to a specific layout location constitutes a major challenge. The challenge becomes even more formidable, if one chooses to realize the task in a monolithic fashion with an extreme topography, a characteristic of microsystems. The need for such a monolithic integration is fueled by the recent surge in the use of silicon nanowires as functional building blocks in various electromechanical and optoelectronic applications. This challenge is addressed in this work by introducing a top-down, silicon-on-insulator technology. The technology provides a pathway for obtaining well-controlled silicon nanowires along with the surrounding microscale features up to a three-order-of-magnitude scale difference. A two-step etching process is developed, where the first shallow etch defines a nanoscale protrusion on the wafer surface. After applying a conformal protection on the protrusion, a deep etch step is carried out forming the surrounding microscale features. A minimum nanowire cross-section of 35 nm by 168 nm is demonstrated in the presence of an etch depth of 10 μm. Nanowire cross-sectional features are characterized via transmission electron microscopy and linked to specific process steps. The technology allows control on all dimensional aspects along with the exact location and orientation of the silicon nanowire. The adoption of the technology in the fabrication of micro and nanosystems can potentially lead to a significant reduction in process complexity by facilitating direct access to the nanowire during surface processes such as contact formation and doping.

  3. A deep etching mechanism for trench-bridging silicon nanowires

    International Nuclear Information System (INIS)

    Tasdemir, Zuhal; Alaca, B Erdem; Wollschläger, Nicole; Österle, Werner; Leblebici, Yusuf

    2016-01-01

    Introducing a single silicon nanowire with a known orientation and dimensions to a specific layout location constitutes a major challenge. The challenge becomes even more formidable, if one chooses to realize the task in a monolithic fashion with an extreme topography, a characteristic of microsystems. The need for such a monolithic integration is fueled by the recent surge in the use of silicon nanowires as functional building blocks in various electromechanical and optoelectronic applications. This challenge is addressed in this work by introducing a top-down, silicon-on-insulator technology. The technology provides a pathway for obtaining well-controlled silicon nanowires along with the surrounding microscale features up to a three-order-of-magnitude scale difference. A two-step etching process is developed, where the first shallow etch defines a nanoscale protrusion on the wafer surface. After applying a conformal protection on the protrusion, a deep etch step is carried out forming the surrounding microscale features. A minimum nanowire cross-section of 35 nm by 168 nm is demonstrated in the presence of an etch depth of 10 μm. Nanowire cross-sectional features are characterized via transmission electron microscopy and linked to specific process steps. The technology allows control on all dimensional aspects along with the exact location and orientation of the silicon nanowire. The adoption of the technology in the fabrication of micro and nanosystems can potentially lead to a significant reduction in process complexity by facilitating direct access to the nanowire during surface processes such as contact formation and doping. (paper)

  4. A deep etching mechanism for trench-bridging silicon nanowires

    Science.gov (United States)

    Tasdemir, Zuhal; Wollschläger, Nicole; Österle, Werner; Leblebici, Yusuf; Erdem Alaca, B.

    2016-03-01

    Introducing a single silicon nanowire with a known orientation and dimensions to a specific layout location constitutes a major challenge. The challenge becomes even more formidable, if one chooses to realize the task in a monolithic fashion with an extreme topography, a characteristic of microsystems. The need for such a monolithic integration is fueled by the recent surge in the use of silicon nanowires as functional building blocks in various electromechanical and optoelectronic applications. This challenge is addressed in this work by introducing a top-down, silicon-on-insulator technology. The technology provides a pathway for obtaining well-controlled silicon nanowires along with the surrounding microscale features up to a three-order-of-magnitude scale difference. A two-step etching process is developed, where the first shallow etch defines a nanoscale protrusion on the wafer surface. After applying a conformal protection on the protrusion, a deep etch step is carried out forming the surrounding microscale features. A minimum nanowire cross-section of 35 nm by 168 nm is demonstrated in the presence of an etch depth of 10 μm. Nanowire cross-sectional features are characterized via transmission electron microscopy and linked to specific process steps. The technology allows control on all dimensional aspects along with the exact location and orientation of the silicon nanowire. The adoption of the technology in the fabrication of micro and nanosystems can potentially lead to a significant reduction in process complexity by facilitating direct access to the nanowire during surface processes such as contact formation and doping.

  5. Ceramic silicon-boron-carbon fibers from organic silicon-boron-polymers

    Science.gov (United States)

    Riccitiello, Salvatore R. (Inventor); Hsu, Ming-Ta S. (Inventor); Chen, Timothy S. (Inventor)

    1993-01-01

    Novel high strength ceramic fibers derived from boron, silicon, and carbon organic precursor polymers are discussed. The ceramic fibers are thermally stable up to and beyond 1200 C in air. The method of preparation of the boron-silicon-carbon fibers from a low oxygen content organosilicon boron precursor polymer of the general formula Si(R2)BR(sup 1) includes melt-spinning, crosslinking, and pyrolysis. Specifically, the crosslinked (or cured) precursor organic polymer fibers do not melt or deform during pyrolysis to form the silicon-boron-carbon ceramic fiber. These novel silicon-boron-carbon ceramic fibers are useful in high temperature applications because they retain tensile and other properties up to 1200 C, from 1200 to 1300 C, and in some cases higher than 1300 C.

  6. Industrial Silicon Wafer Solar Cells

    Directory of Open Access Journals (Sweden)

    Dirk-Holger Neuhaus

    2007-01-01

    Full Text Available In 2006, around 86% of all wafer-based silicon solar cells were produced using screen printing to form the silver front and aluminium rear contacts and chemical vapour deposition to grow silicon nitride as the antireflection coating onto the front surface. This paper reviews this dominant solar cell technology looking into state-of-the-art equipment and corresponding processes for each process step. The main efficiency losses of this type of solar cell are analyzed to demonstrate the future efficiency potential of this technology. In research and development, more various advanced solar cell concepts have demonstrated higher efficiencies. The question which arises is “why are new solar cell concepts not transferred into industrial production more frequently?”. We look into the requirements a new solar cell technology has to fulfill to have an advantage over the current approach. Finally, we give an overview of high-efficiency concepts which have already been transferred into industrial production.

  7. On-chip microsystems in silicon: opportunities and limitations

    Science.gov (United States)

    Wolffenbuttel, R. F.

    1996-03-01

    Integrated on-chip micro-instrumentation systems in silicon are complete data acquisition systems on a single chip. This concept has appeared to be the ultimate solution in many applications, as it enables in principle the metamorphosis of a basic sensing element, affected with many shortcomings, into an on-chip data acquisition unit that provides an output digital data stream in a standard format not corrupted by sensor non-idealities. Market acceptance would be maximum, as no special knowledge about the internal operation is required, self-test and self-calibration can be included and the dimensions are not different from those of the integrated circuit. The various aspects that are relevant in estimating the constraints for successful implementation of the integrated silicon smart sensor will be outlined in comparison with the properties of more conventional sensor fabrication technologies. It will be shown that the acceptance of on-chip functional integration in an application depends primarily on the added value in terms of improved specification or functionality that the resulting device provides in that application. The economic viability is therefore decisive rather than the technological constraints. This is in contrast to the traditional technology push prevailing in sensor research over market pull mechanisms.

  8. Future application of Czochralski crystal pulling for silicon

    Science.gov (United States)

    Matlcok, J. H.

    1985-08-01

    Czochralski (Cz) crystal pulling has been the predominant method used for preparing silicon single crystal for the past twenty years. The fundamental technology used has changed little. However, great strides have been made in learning how to make the crystals bigger and of better quality at ever increasing productivity rates. Currently charge sizes of 50 kg of polycrystal silicon are being used for production and crystals up to ten inches in diameter have been grown without major difficulty. The largest material actually being processed in silicon wafer form is 150 mm (6 inches) in diameter. Growing of crystals in a magnetic field has proved to be particularly useful for microscopic impurity control. Major developments in past years on equipment for Cz crystal pulling have included the automatic growth control of the diameter as well as the starting core of the crystal, the use of magnetic fields and around the crystal puller to supress convection, various recharging schemes for dopant control and the use of continuous liquid feed in the crystal puller. The latter, while far from being a reliable production process, is ideal in concept for major improvement in Cz crystal pulling. The Czochralski process will maintain its dominance of silicon crystal production for many years.

  9. Porous Silicon Nanowires

    Science.gov (United States)

    Qu, Yongquan; Zhou, Hailong; Duan, Xiangfeng

    2011-01-01

    In this minreview, we summarize recent progress in the synthesis, properties and applications of a new type of one-dimensional nanostructures — single crystalline porous silicon nanowires. The growth of porous silicon nanowires starting from both p- and n-type Si wafers with a variety of dopant concentrations can be achieved through either one-step or two-step reactions. The mechanistic studies indicate the dopant concentration of Si wafers, oxidizer concentration, etching time and temperature can affect the morphology of the as-etched silicon nanowires. The porous silicon nanowires are both optically and electronically active and have been explored for potential applications in diverse areas including photocatalysis, lithium ion battery, gas sensor and drug delivery. PMID:21869999

  10. White-light emission from porous-silicon-aluminium Schottky junctions

    International Nuclear Information System (INIS)

    Masini, G.; La Monica, S.; Maiello, G.

    1996-01-01

    Porous-silicon-based white-light-emitting devices are presented. The fabrication process on different substrates is described. The peculiarities of technological steps for device fabrication (porous-silicon formation and aluminium treatment) are underlined. Doping profile of the porous layer, current-voltage characteristics, time response, lifetime tests and electroluminescence emission spectrum of the device are presented. A model for electrical behaviour of Al/porous silicon Schottky junction is presented. Electroluminescence spectrum of the presented devices showed strong similarities with white emission from crystalline silicon junctions in the breakdown region

  11. The GLAST silicon-strip tracking system

    International Nuclear Information System (INIS)

    Johnson, Robert P.

    2000-01-01

    The GLAST instrument concept is a gamma-ray pair conversion telescope that uses silicon microstrip detector technology to track the electron-positron pairs resulting from gamma-ray conversions in thin lead foils. A cesium iodide calorimeter following the tracker is used to measure the gamma-ray energy. Silicon strip technology is mature and robust, with an excellent heritage in space science and particle physics. It has many characteristics important for optimal performance of a pair conversion telescope, including high efficiency in thin detector planes, low noise, and excellent resolution and two-track separation. The large size of GLAST and high channel count in the tracker puts demands on the readout technology to operate at very low power, yet with sufficiently low noise occupancy to allow self triggering. A prototype system employing custom-designed ASIC's has been built and tested that meets the design goal of approximately 200 W per channel power consumption with a noise occupancy of less than one hit per trigger per 10,000 channels. Detailed design of the full-scale tracker is well advanced, with non-flight prototypes built for all components, and a complete 50,000 channel engineering demonstration tower module is currently under construction and will be tested in particle beams in late 1999. The flight-instrument conceptual design is for a 4x4 array of tower modules with an aperture of 2.9 m2 and an effective area of greater than 8000 cm2

  12. The GLAST Silicon-Strip Tracking System

    International Nuclear Information System (INIS)

    Johnson, R

    2004-01-01

    The GLAST instrument concept is a gamma-ray pair conversion telescope that uses silicon microstrip detector technology to track the electron-positron pairs resulting from gamma ray conversions in thin lead foils. A cesium iodide calorimeter following the tracker is used to measure the gamma-ray energy. Silicon strip technology is mature and robust, with an excellent heritage in space science and particle physics. It has many characteristics important for optimal performance of a pair conversion telescope, including high efficiency in thin detector planes, low noise, and excellent resolution and two-track separation. The large size of GLAST and high channel count in the tracker puts demands on the readout technology to operate at very low power, yet with sufficiently low noise occupancy to allow self triggering. A prototype system employing custom-designed ASIC's has been built and tested that meets the design goal of approximately 200 (micro)W per channel power consumption with a noise occupancy of less than one hit per trigger per 10,000 channels. Detailed design of the full-scale tracker is well advanced, with non-flight prototypes built for all components, and a complete 50,000 channel engineering demonstration tower module is currently under construction and will be tested in particle beams in late 1999. The flight-instrument conceptual design is for a 4 x 4 array of tower modules with an aperture of 2.9 m 2 and an effective area of greater than 8000 cm 2

  13. Porous silicon nanoparticles for target drag delivery: structure and morphology

    International Nuclear Information System (INIS)

    Spivak, Yu M; Belorus, A O; Somov, P A; Bespalova, K A; Moshnikov, V A; Tulenin, S S

    2015-01-01

    Nanoparticles of porous silicon were obtained by electrochemical anodic etching. Morphology and structure of the particles was investigated by means dynamic light scattering and scanning electron microscopy. The influence of technological conditions of preparation on geometrical parameters of the porous silicon particles (particle size distribution, pore shape and size, the specific surface area of the porous silicon) is discussed. (paper)

  14. Silicon pore optics for future x-ray telescopes

    DEFF Research Database (Denmark)

    Wille, Eric; Bavdaz, Marcos; Wallace, Kotska

    2017-01-01

    arcsec or better. These specifications can only be achieved with a novel technology like Silicon Pore Optics, which is being developed by ESA together with a consortium of European industry. Silicon Pore Optics are made of commercial Si wafers using process technology adapted from the semiconductor...... industry. We present the recent upgrades made to the manufacturing processes and equipment, ranging from the manufacture of single mirror plates towards complete focusing mirror modules mounted in flight configuration, and results from first vibration tests. The performance of the mirror modules is tested...

  15. Solidification and properties of photovoltaic silicon

    International Nuclear Information System (INIS)

    Anon.

    2007-01-01

    Strenuous efforts are being made to develop an economical process for purifying liquid metallurgical-grade silicon, in response to the growing shortages in high-purity silicon for use in manufacturing photovoltaic cells. A research project is studying this issue at C.E. Saclay, Gif-sur-Yvette, France, co-funded by ADEME (the French Environment and Energy Management Agency) and CEA-INSTN (French Atomic Energy Commission National Institute for Nuclear Science and Technology). (authors)

  16. Subwavelength silicon photonics

    International Nuclear Information System (INIS)

    Cheben, P.; Bock, P.J.; Schmid, J.H.; Lapointe, J.; Janz, S.; Xu, D.-X.; Densmore, A.; Delage, A.; Lamontagne, B.; Florjanczyk, M.; Ma, R.

    2011-01-01

    With the goal of developing photonic components that are compatible with silicon microelectronic integrated circuits, silicon photonics has been the subject of intense research activity. Silicon is an excellent material for confining and manipulating light at the submicrometer scale. Silicon optoelectronic integrated devices have the potential to be miniaturized and mass-produced at affordable cost for many applications, including telecommunications, optical interconnects, medical screening, and biological and chemical sensing. We review recent advances in silicon photonics research at the National Research Council Canada. A new type of optical waveguide is presented, exploiting subwavelength grating (SWG) effect. We demonstrate subwavelength grating waveguides made of silicon, including practical components operating at telecom wavelengths: input couplers, waveguide crossings and spectrometer chips. SWG technique avoids loss and wavelength resonances due to diffraction effects and allows for single-mode operation with direct control of the mode confinement by changing the refractive index of a waveguide core over a range as broad as 1.6 - 3.5 simply by lithographic patterning. The light can be launched to these waveguides with a coupling loss as small as 0.5 dB and with minimal wavelength dependence, using coupling structures similar to that shown in Fig. 1. The subwavelength grating waveguides can cross each other with minimal loss and negligible crosstalk which allows massive photonic circuit connectivity to overcome the limits of electrical interconnects. These results suggest that the SWG waveguides could become key elements for future integrated photonic circuits. (authors)

  17. Quantum Properties of Dichroic Silicon Vacancies in Silicon Carbide

    Science.gov (United States)

    Nagy, Roland; Widmann, Matthias; Niethammer, Matthias; Dasari, Durga B. R.; Gerhardt, Ilja; Soykal, Öney O.; Radulaski, Marina; Ohshima, Takeshi; Vučković, Jelena; Son, Nguyen Tien; Ivanov, Ivan G.; Economou, Sophia E.; Bonato, Cristian; Lee, Sang-Yun; Wrachtrup, Jörg

    2018-03-01

    Although various defect centers have displayed promise as either quantum sensors, single photon emitters, or light-matter interfaces, the search for an ideal defect with multifunctional ability remains open. In this spirit, we study the dichroic silicon vacancies in silicon carbide that feature two well-distinguishable zero-phonon lines and analyze the quantum properties in their optical emission and spin control. We demonstrate that this center combines 40% optical emission into the zero-phonon lines showing the contrasting difference in optical properties with varying temperature and polarization, and a 100% increase in the fluorescence intensity upon the spin resonance, and long spin coherence time of their spin-3 /2 ground states up to 0.6 ms. These results single out this defect center as a promising system for spin-based quantum technologies.

  18. Silicon microstrip detectors in 3D technology for the sLHC

    Energy Technology Data Exchange (ETDEWEB)

    Parzefall, Ulrich [Physikalisches Institut, Universitaet Freiburg, Hermann-Herder-Str. 3, D-79104 Freiburg (Germany)], E-mail: ulrich.parzefall@physik.uni-freiburg.de; Dalla Betta, Gian-Franco [INFN and Universita' di Trento, via Sommarive 14, 38050 Povo di Trento (Italy); Eckert, Simon [Physikalisches Institut, Universitaet Freiburg, Hermann-Herder-Str. 3, D-79104 Freiburg (Germany); Eklund, Lars; Fleta, Celeste [University of Glasgow, Department of Physics and Astronomy, Glasgow G12 8QQ (United Kingdom); Jakobs, Karl; Kuehn, Susanne; Pahn, Gregor [Physikalisches Institut, Universitaet Freiburg, Hermann-Herder-Str. 3, D-79104 Freiburg (Germany); Parkes, Chris; Pennicard, David [University of Glasgow, Department of Physics and Astronomy, Glasgow G12 8QQ (United Kingdom); Ronchin, Sabina [FBK-irst, Center for Materials and Microsystems, via Sommarive 18, 38050 Povo di Trento (Italy); Zoboli, Andrea [INFN and Universita' di Trento, via Sommarive 14, 38050 Povo di Trento (Italy); Zorzi, Nicola [FBK-irst, Center for Materials and Microsystems, via Sommarive 18, 38050 Povo di Trento (Italy)

    2009-08-01

    The projected luminosity upgrade of the large hadron collider (LHC), the sLHC, will constitute a challenging radiation environment for tracking detectors. Massive improvements in radiation hardness are required with respect to the LHC. In the layout for the new ATLAS tracker, silicon strip detectors (SSDs) with short strips cover the region from 28 to 60 cm distance to the beam. These SSDs will be exposed to fluences up to 10{sup 15}N{sub eq}/cm{sup 2}, hence radiation resistance is the major concern. It is advantageous to fuse the superior radiation hardness of the 3D design originally conceived for pixel-style applications with the benefits of the well-known planar technology for strip detectors. This is achieved by ganging rows of 3D columns together to form strips. Several prototype sLHC detector modules using 3D SSD with short strips, processed on p-type silicon, and LHC-speed front-end electronics from the present ATLAS semi-conductor tracker (SCT) were built. The modules were tested before and after irradiation to fluences of 10{sup 15}N{sub eq}/cm{sup 2}. The tests were performed with three systems: a highly focused IR-laser with 5{mu}m spot size to make position-resolved scans of the charge collection efficiency (CCE), a Sr{sup 90}{beta}-source set-up to measure the signal levels for a minimum ionizing particles (MIPs), and a beam test with 180 GeV pions at CERN. This article gives a brief overview of the performance of these 3D modules, and draws conclusions about options for using 3D strip sensors as tracking detectors at the sLHC.

  19. Silicon microstrip detectors in 3D technology for the sLHC

    International Nuclear Information System (INIS)

    Parzefall, Ulrich; Dalla Betta, Gian-Franco; Eckert, Simon; Eklund, Lars; Fleta, Celeste; Jakobs, Karl; Kuehn, Susanne; Pahn, Gregor; Parkes, Chris; Pennicard, David; Ronchin, Sabina; Zoboli, Andrea; Zorzi, Nicola

    2009-01-01

    The projected luminosity upgrade of the large hadron collider (LHC), the sLHC, will constitute a challenging radiation environment for tracking detectors. Massive improvements in radiation hardness are required with respect to the LHC. In the layout for the new ATLAS tracker, silicon strip detectors (SSDs) with short strips cover the region from 28 to 60 cm distance to the beam. These SSDs will be exposed to fluences up to 10 15 N eq /cm 2 , hence radiation resistance is the major concern. It is advantageous to fuse the superior radiation hardness of the 3D design originally conceived for pixel-style applications with the benefits of the well-known planar technology for strip detectors. This is achieved by ganging rows of 3D columns together to form strips. Several prototype sLHC detector modules using 3D SSD with short strips, processed on p-type silicon, and LHC-speed front-end electronics from the present ATLAS semi-conductor tracker (SCT) were built. The modules were tested before and after irradiation to fluences of 10 15 N eq /cm 2 . The tests were performed with three systems: a highly focused IR-laser with 5μm spot size to make position-resolved scans of the charge collection efficiency (CCE), a Sr 90 β-source set-up to measure the signal levels for a minimum ionizing particles (MIPs), and a beam test with 180 GeV pions at CERN. This article gives a brief overview of the performance of these 3D modules, and draws conclusions about options for using 3D strip sensors as tracking detectors at the sLHC.

  20. Silicon nitride-fabrication, forming and properties

    International Nuclear Information System (INIS)

    Yehezkel, O.

    1983-01-01

    This article, which is a literature survey of the recent years, includes description of several methods for the formation of silicone nitride, and five methods of forming: Reaction-bonded silicon nitride, sintering, hot pressing, hot isostatic pressing and chemical vapour deposition. Herein are also included data about mechanical and physical properties of silicon nitride and the relationship between the forming method and the properties. (author)

  1. Fiscal 1993 R and D project for industrial science and technology. Report on results of R and D on silicon-based high polymer material; 1993 nendo keisokei kobunshi zairyo no kenkyu kaihtsu seika hokokusho

    Energy Technology Data Exchange (ETDEWEB)

    NONE

    1994-03-01

    R and D was conducted on the silicon-based high polymer that are hoped for superior electronic/optical functions and heat/flame-resistant dynamical properties, for the purpose of establishing fundamental technologies such as molecular design, synthesis, material forming and evaluation method, with the fiscal 1993 results summarized. In the synthesis of electrically conductive silicon-based polymeric materials, a concept of indirect doping was presented, revealing that workability and electrically conductive properties were enhanced by additives. In the synthesis of new silicon-based polymeric materials capable of circuit plotting, studies were made on Si-Si bond forming reaction of alkoxydisilanes as well as on the correlation between polysilane skeleton structure and its property. In the synthesis of new silicon-based polymeric materials having for example a light-emitting function, evaluation was made on synthesis and light emitting property concerning the compound that controlled the silicon skeleton structure. In addition, R and D was conducted on the precision synthesis technology of compounds, on which manifestation of photoelectric conversion function was expected. Further, research was done on unsaturated and high coordination organosilicic compound, functionality of silicon-based high polymer, and synthesis/polymerization of silicon monomer. (NEDO)

  2. High-Throughput Multiple Dies-to-Wafer Bonding Technology and III/V-on-Si Hybrid Lasers for Heterogeneous Integration of Optoelectronic Integrated Circuits

    Directory of Open Access Journals (Sweden)

    Xianshu eLuo

    2015-04-01

    Full Text Available Integrated optical light source on silicon is one of the key building blocks for optical interconnect technology. Great research efforts have been devoting worldwide to explore various approaches to integrate optical light source onto the silicon substrate. The achievements so far include the successful demonstration of III/V-on-Si hybrid lasers through III/V-gain material to silicon wafer bonding technology. However, for potential large-scale integration, leveraging on mature silicon complementary metal oxide semiconductor (CMOS fabrication technology and infrastructure, more effective bonding scheme with high bonding yield is in great demand considering manufacturing needs. In this paper, we propose and demonstrate a high-throughput multiple dies-to-wafer (D2W bonding technology which is then applied for the demonstration of hybrid silicon lasers. By temporarily bonding III/V dies to a handle silicon wafer for simultaneous batch processing, it is expected to bond unlimited III/V dies to silicon device wafer with high yield. As proof-of-concept, more than 100 III/V dies bonding to 200 mm silicon wafer is demonstrated. The high performance of the bonding interface is examined with various characterization techniques. Repeatable demonstrations of 16-III/V-die bonding to pre-patterned 200 mm silicon wafers have been performed for various hybrid silicon lasers, in which device library including Fabry-Perot (FP laser, lateral-coupled distributed feedback (LC-DFB laser with side wall grating, and mode-locked laser (MLL. From these results, the presented multiple D2W bonding technology can be a key enabler towards the large-scale heterogeneous integration of optoelectronic integrated circuits (H-OEIC.

  3. Recent Advances in Photoelectrochemical Applications of Silicon Materials for Solar-to-Chemicals Conversion.

    Science.gov (United States)

    Zhang, Doudou; Shi, Jingying; Zi, Wei; Wang, Pengpeng; Liu, Shengzhong Frank

    2017-11-23

    Photoelectrochemical (PEC) technology for the conversion of solar energy into chemicals requires cost-effective photoelectrodes to efficiently and stably drive anodic and/or cathodic half-reactions to complete the overall reactions for storing solar energy in chemical bonds. The shared properties among semiconducting photoelectrodes and photovoltaic (PV) materials are light absorption, charge separation, and charge transfer. Earth-abundant silicon materials have been widely applied in the PV industry, and have demonstrated their efficiency as alternative photoabsorbers for photoelectrodes. Many efforts have been made to fabricate silicon photoelectrodes with enhanced performance, and significant progress has been achieved in recent years. Herein, recent developments in crystalline and thin-film silicon-based photoelectrodes (including amorphous, microcrystalline, and nanocrystalline silicon) immersed in aqueous solution for PEC hydrogen production from water splitting are summarized, as well as applications in PEC CO 2 reduction and PEC regeneration of discharged species in redox flow batteries. Silicon is an ideal material for the cost-effective production of solar chemicals through PEC methods. © 2017 Wiley-VCH Verlag GmbH & Co. KGaA, Weinheim.

  4. Mechanically flexible optically transparent silicon fabric with high thermal budget devices from bulk silicon (100)

    KAUST Repository

    Hussain, Muhammad Mustafa

    2013-05-30

    Today’s information age is driven by silicon based electronics. For nearly four decades semiconductor industry has perfected the fabrication process of continuingly scaled transistor – heart of modern day electronics. In future, silicon industry will be more pervasive, whose application will range from ultra-mobile computation to bio-integrated medical electronics. Emergence of flexible electronics opens up interesting opportunities to expand the horizon of electronics industry. However, silicon – industry’s darling material is rigid and brittle. Therefore, we report a generic batch fabrication process to convert nearly any silicon electronics into a flexible one without compromising its (i) performance; (ii) ultra-large-scale-integration complexity to integrate billions of transistors within small areas; (iii) state-of-the-art process compatibility, (iv) advanced materials used in modern semiconductor technology; (v) the most widely used and well-studied low-cost substrate mono-crystalline bulk silicon (100). In our process, we make trenches using anisotropic reactive ion etching (RIE) in the inactive areas (in between the devices) of a silicon substrate (after the devices have been fabricated following the regular CMOS process), followed by a dielectric based spacer formation to protect the sidewall of the trench and then performing an isotropic etch to create caves in silicon. When these caves meet with each other the top portion of the silicon with the devices is ready to be peeled off from the bottom silicon substrate. Release process does not need to use any external support. Released silicon fabric (25 μm thick) is mechanically flexible (5 mm bending radius) and the trenches make it semi-transparent (transparency of 7%). © (2013) COPYRIGHT Society of Photo-Optical Instrumentation Engineers (SPIE). Downloading of the abstract is permitted for personal use only.

  5. Mechanically flexible optically transparent silicon fabric with high thermal budget devices from bulk silicon (100)

    KAUST Repository

    Hussain, Muhammad Mustafa; Rojas, Jhonathan Prieto; Sevilla, Galo T.

    2013-01-01

    Today’s information age is driven by silicon based electronics. For nearly four decades semiconductor industry has perfected the fabrication process of continuingly scaled transistor – heart of modern day electronics. In future, silicon industry will be more pervasive, whose application will range from ultra-mobile computation to bio-integrated medical electronics. Emergence of flexible electronics opens up interesting opportunities to expand the horizon of electronics industry. However, silicon – industry’s darling material is rigid and brittle. Therefore, we report a generic batch fabrication process to convert nearly any silicon electronics into a flexible one without compromising its (i) performance; (ii) ultra-large-scale-integration complexity to integrate billions of transistors within small areas; (iii) state-of-the-art process compatibility, (iv) advanced materials used in modern semiconductor technology; (v) the most widely used and well-studied low-cost substrate mono-crystalline bulk silicon (100). In our process, we make trenches using anisotropic reactive ion etching (RIE) in the inactive areas (in between the devices) of a silicon substrate (after the devices have been fabricated following the regular CMOS process), followed by a dielectric based spacer formation to protect the sidewall of the trench and then performing an isotropic etch to create caves in silicon. When these caves meet with each other the top portion of the silicon with the devices is ready to be peeled off from the bottom silicon substrate. Release process does not need to use any external support. Released silicon fabric (25 μm thick) is mechanically flexible (5 mm bending radius) and the trenches make it semi-transparent (transparency of 7%). © (2013) COPYRIGHT Society of Photo-Optical Instrumentation Engineers (SPIE). Downloading of the abstract is permitted for personal use only.

  6. Silicon Tracking Upgrade at CDF

    International Nuclear Information System (INIS)

    Kruse, M.C.

    1998-04-01

    The Collider Detector at Fermilab (CDF) is scheduled to begin recording data from Run II of the Fermilab Tevatron in early 2000. The silicon tracking upgrade constitutes both the upgrade to the CDF silicon vertex detector (SVX II) and the new Intermediate Silicon Layers (ISL) located at radii just beyond the SVX II. Here we review the design and prototyping of all aspects of these detectors including mechanical design, data acquisition, and a trigger based on silicon tracking

  7. Linearization and efficiency enhancement techniques for silicon power amplifiers from RF to mmW

    CERN Document Server

    Kerhervé, Eric

    2015-01-01

    This book provides an overview of current efficiency enhancement and linearization techniques for silicon power amplifier designs. It examines the latest state of the art technologies and design techniques to address challenges for RF cellular mobile, base stations, and RF and mmW WLAN applications. Coverage includes material on current silicon (CMOS, SiGe) RF and mmW power amplifier designs, focusing on advantages and disadvantages compared with traditional GaAs implementations. With this book you will learn: The principles of linearization and efficiency improvement techniquesThe arch

  8. DC characteristics and parameters of silicon carbide high-voltage power BJTs

    International Nuclear Information System (INIS)

    Patrzyk, Joanna; Zarębski, Janusz; Bisewski, Damian

    2016-01-01

    The paper shows the static characteristics and operating parameters of the bipolar power transistors made of silicon carbide and for comparison their equivalents made of classical silicon technology. The characteristics and values of selected operating parameters with special emphasis on the effect of temperature and operating point of considered devices are discussed. Quantitative as well as qualitative differences between the characteristics of the transistor made of silicon and silicon carbide are indicated as well

  9. Position-controlled epitaxial III-V nanowires on silicon

    Energy Technology Data Exchange (ETDEWEB)

    Roest, Aarnoud L; Verheijen, Marcel A; Wunnicke, Olaf; Serafin, Stacey; Wondergem, Harry; Bakkers, Erik P A M [Philips Research Laboratories, Professor Holstlaan 4, 5656 AA Eindhoven (Netherlands); Kavli Institute of NanoScience, Delft University of Technology, PO Box 5046, 2600 GA Delft (Netherlands)

    2006-06-14

    We show the epitaxial integration of III-V semiconductor nanowires with silicon technology. The wires are grown by the VLS mechanism with laser ablation as well as metal-organic vapour phase epitaxy. The hetero-epitaxial growth of the III-V nanowires on silicon was confirmed with x-ray diffraction pole figures and cross-sectional transmission electron microscopy. We show preliminary results of two-terminal electrical measurements of III-V nanowires grown on silicon. E-beam lithography was used to predefine the position of the nanowires.

  10. Position-controlled epitaxial III-V nanowires on silicon

    International Nuclear Information System (INIS)

    Roest, Aarnoud L; Verheijen, Marcel A; Wunnicke, Olaf; Serafin, Stacey; Wondergem, Harry; Bakkers, Erik P A M

    2006-01-01

    We show the epitaxial integration of III-V semiconductor nanowires with silicon technology. The wires are grown by the VLS mechanism with laser ablation as well as metal-organic vapour phase epitaxy. The hetero-epitaxial growth of the III-V nanowires on silicon was confirmed with x-ray diffraction pole figures and cross-sectional transmission electron microscopy. We show preliminary results of two-terminal electrical measurements of III-V nanowires grown on silicon. E-beam lithography was used to predefine the position of the nanowires

  11. Black silicon with black bus-bar strings

    DEFF Research Database (Denmark)

    Davidsen, Rasmus Schmidt; Tang, Peter Torben; Mizushima, Io

    2016-01-01

    We present the combination of black silicon texturing and blackened bus-bar strings as a potential method for obtaining all-black solar panels, while using conventional, front-contacted solar cells. Black silicon was realized by mask-less reactive ion etching resulting in total, average reflectance...... below 0.5% across a 156x156 mm2 silicon wafer. Black bus-bars were realized by oxidized copper resulting in reflectance below 3% in the entire visible wavelength range. The combination of these two technologies may result in aesthetic, all-black panels based on conventional, front-contacted solar cells...

  12. Generation and manipulation of entangled photons on silicon chips

    Directory of Open Access Journals (Sweden)

    Matsuda Nobuyuki

    2016-08-01

    Full Text Available Integrated quantum photonics is now seen as one of the promising approaches to realize scalable quantum information systems. With optical waveguides based on silicon photonics technologies, we can realize quantum optical circuits with a higher degree of integration than with silica waveguides. In addition, thanks to the large nonlinearity observed in silicon nanophotonic waveguides, we can implement active components such as entangled photon sources on a chip. In this paper, we report recent progress in integrated quantum photonic circuits based on silicon photonics. We review our work on correlated and entangled photon-pair sources on silicon chips, using nanoscale silicon waveguides and silicon photonic crystal waveguides. We also describe an on-chip quantum buffer realized using the slow-light effect in a silicon photonic crystal waveguide. As an approach to combine the merits of different waveguide platforms, a hybrid quantum circuit that integrates a silicon-based photon-pair source and a silica-based arrayed waveguide grating is also presented.

  13. A Brief Review of Heavy-Ion Radiation Degradation and Failure of Silicon UMOS Power Transistors

    Directory of Open Access Journals (Sweden)

    Kenneth F. Galloway

    2014-09-01

    Full Text Available Silicon VDMOS power MOSFET technology is being supplanted by UMOS (or trench power MOSFET technology. Designers of spaceborne power electronics systems incorporating this newer power MOSFET technology need to be aware of several unique threats that this technology may encounter in space. Space radiation threats to UMOS power devices include vulnerabilities to SEB, SEGR, and microdose. There have been relatively few studies presented or published on the effects of radiation on this device technology. The S-O-A knowledge of UMOS power device degradation and failure under heavy-ion exposure is reviewed.

  14. Mode-locked silicon evanescent lasers.

    Science.gov (United States)

    Koch, Brian R; Fang, Alexander W; Cohen, Oded; Bowers, John E

    2007-09-03

    We demonstrate electrically pumped lasers on silicon that produce pulses at repetition rates up to 40 GHz. The mode locked lasers generate 4 ps pulses with low jitter and extinction ratios above 18 dB, making them suitable for data and telecommunication transmitters and for clock generation and distribution. Results of both passive and hybrid mode locking are discussed. This type of device could enable new silicon based integrated technologies, such as optical time division multiplexing (OTDM), wavelength division multiplexing (WDM), and optical code division multiple access (OCDMA).

  15. First results of systematic studies done with silicon photomultipliers

    International Nuclear Information System (INIS)

    Bosio, C.; Gentile, S.; Kuznetsova, E.; Meddi, F.

    2008-01-01

    Multicell avalanche photodiode structure operated in Geiger mode usually referred as silicon photomultiplier is a new intensively developing technology for photon detection. Insensitivity to magnetic fields, low operation voltage and small size make silicon photomultipliers very attractive for high-energy physics, astrophysics and medical applications. The presented results are obtained during the first steps taken in order to develop a setup and measurement procedures which allow to compare properties of diverse samples of silicon photomultipliers available on market. The response to low-intensity light was studied for silicon photomultipliers produced by CPTA (Russia), Hamamatsu (Japan), ITC-irst (Italy) and SensL (Ireland).

  16. Clinical evaluation comparing the fit of all-ceramic crowns obtained from silicone and digital intraoral impressions based on wavefront sampling technology.

    Science.gov (United States)

    Pradíes, Guillermo; Zarauz, Cristina; Valverde, Arelhys; Ferreiroa, Alberto; Martínez-Rus, Francisco

    2015-02-01

    The aim of this study was to compare the fit of ceramic crowns fabricated from conventional silicone impressions with the fit of ceramic crowns fabricated from intraoral digital impressions. Twenty-five participants with 30 posterior teeth with a prosthetic demand were selected for the study. Two crowns were made for each preparation. One crown was fabricated from an intraoral digital impression system (IDI group) and the other crown was fabricated from a conventional two-step silicone impression (CI group). To replicate the interface between the crown and the preparation, each crown was cemented on its corresponding clinical preparation with ultra-flow silicone. Each crown was embedded in acrylic resin to stabilise the registered interface and then cut in 2mm thick slices in a buco-lingual orientation. The internal gap was determined as the vertical distance from the internal surface of the crown to the prepared tooth surface at four points (marginal gap, axial gap, crest gap, and occlusal fossa gap) using stereomicroscopy with a magnification of 40×. Data was analysed by using Wilcoxon signed rank test (α=0.05). Internal adaptation values were significantly affected by the impression technique (p=0.001). Mean marginal gap was 76.33 ± 65.32 μm for the crowns of the IDI group and 91.46 ± 72.17 μm for the CI group. All-ceramic crowns fabricated from intraoral digital impressions with wavefront sampling technology demonstrated better internal fit than crowns manufactured from silicone impressions. Impressions obtained from an intraoral digital scanner based on wavefront sampling technology can be used for manufacturing ceramic crowns in the normal clinical practice with better results than conventional impressions with elastomers. Copyright © 2014 Elsevier Ltd. All rights reserved.

  17. Latest developments on the highly granular Silicon-Tungsten Electromagnetic Calorimeter technological prototype for the International Large Detector

    CERN Document Server

    Irles, Adrián

    2017-01-01

    High precision physics at future colliders requires unprecedented highly granular calorimeters for the application of the Particle Flow (PF) algorithm. The physical proof of concept was given in the previous campaign of beam tests of physic prototypes within the CALICE collaboration. We present here the latest beam and laboratory test results and R&D developments for the Silicon-Tungsten Electromagnetic Calorimeter technological prototype with fully embedded very front-end (VFE) electronics for the International Large Detector at the International Linear Collider project.

  18. Double side multicrystalline silicon passivation by one step stain etching-based porous silicon

    Energy Technology Data Exchange (ETDEWEB)

    Mohamed, Seifeddine Belhadj; Ben Rabha, Mohamed; Bessais, Brahim [Laboratoire de Photovoltaique, Centre de Recherches et des Technologies de l' Energie, Technopole de Borj-Cedria, BP 95, 2050 Hammam-Lif (Tunisia)

    2012-10-15

    In this paper, we investigate the effect of stain etching-based porous silicon on the double side multicrystalline silicon. Special attention is given to the use of the stain etched PS as an antireflection coating as well as for surface passivating capabilities. Stain etching of double side multicrystalline silicon leads to the formation of PS nanostructures, that dramatically decrease the surface reflectivity from 30% to about 7% and increase the effective lifetime from 1 {mu}s to 10 {mu}s at a minority carrier density ({Delta}n) of 10{sup 15} cm{sup -3}. These results let us correlate the rise of the lifetime values to the photoluminescence intensity to the hydrogen and oxide passivation as shown by FTIR analysis. This low-cost PS formation process can be applied in the photovoltaic cell technology as a standard procedure (copyright 2012 WILEY-VCH Verlag GmbH and Co. KGaA, Weinheim) (orig.)

  19. Silicon integrated circuits advances in materials and device research

    CERN Document Server

    Kahng, Dawon

    1981-01-01

    Silicon Integrated Circuits, Part B covers the special considerations needed to achieve high-power Si-integrated circuits. The book presents articles about the most important operations needed for the high-power circuitry, namely impurity diffusion and oxidation; crystal defects under thermal equilibrium in silicon and the development of high-power device physics; and associated technology. The text also describes the ever-evolving processing technology and the most promising approaches, along with the understanding of processing-related areas of physics and chemistry. Physicists, chemists, an

  20. High-End Silicon PDICs

    Directory of Open Access Journals (Sweden)

    H. Zimmermann

    2008-05-01

    Full Text Available An overview on integrated silicon photodiodes and photodiode integrated circuits (PDICs or optoelectronic integrated circuits (OEICs for optical storage systems (OSS and fiber receivers is given. It is demonstrated, that by using low-cost silicon technologies high-performance OEICs being true competitors for some III/V-semiconductor OEICs can be realized. OSS-OEICs with bandwidths of up to 380 MHz and fiber receivers with maximum data rates of up to 11 Gbps are described. Low-cost data comm receivers for plastic optical fibers (POF as well as new circuit concepts for OEICs and highly parallel optical receivers are described also in the following.

  1. The LHCb Silicon Tracker, first operational results

    CERN Document Server

    Esperante, D; Adeva, B; Gallas, A; Pérez Trigo, E; Rodríguez Pérez, P; Pazos Álvarez, A; Saborido, J; Vàzquez, P; Bay, A; Bettler, M O; Blanc, F; Bressieux, J; Conti, G; Dupertuis, F; Fave, V; Frei, R; Gauvin, N; Haefeli, G; Keune, A; Luisier, J; Muresan, R; Nakada, T; Needham, M; Nicolas, L; Knecht, M; Potterat, C; Schneider, O; Tran, M; Aquines Gutierrez, O; Bauer, C; Britsch, M; Hofmann, W; Maciuc, F; Schmelling, M; Voss, H; Anderson, J; Buechler, A; Bursche, A; Chiapolini, N; de Cian, M; Elsaesser, C; Hangartner, V; Salzmann, C; Steiner, S; Steinkamp, O; Straumann, U; van Tilburg, J; Tobin, M; Vollhardt, A; Iakovenko, V; Okhrimenko, O; Pugatch, V

    2010-01-01

    The Large Hadron Collider beauty (LHCb) experiment at CERN (Conseil Européen pour la Recherche Nucléaire) is designed to perform precision measurements of b quark decays. The LHCb Silicon Tracker consists of two sub-detectors, the Tracker Turicensis and the Inner Tracker, which are built from silicon micro-strip technology. First performance results of both detectors using data from Large Hadron Collider synchronization tests are presented.

  2. Formation of cross-cutting structures with different porosity on thick silicon wafers

    Directory of Open Access Journals (Sweden)

    Vera A. Yuzova

    2017-06-01

    The second type pass-through structures include a macroporous silicon layer with a thickness of 250 μm which interlock in the depth of the silicon wafer to form a cavity with a size of 4–8 μm. For the formation of the second type structures we only used the first one of the abovementioned stages, the etching time being longer, i.e. 210 min. All the etching procedures were carried out in a cooling chamber at 5 °C. The developed technology will provided for easier and more reliable formation of the monolithic structures of membrane-electrode assembly micro fuel cells.

  3. Infrared characterization of some oxygen-related defects in Czochralski silicon

    International Nuclear Information System (INIS)

    Hallberg, T.

    1993-01-01

    This thesis is based on the work made at Linkoeping University at the Department of Physics and Measurement Technology. It is divided into two parts. The first part is a short introduction to defects in silicon, Fourier transform infrared spectroscopy as well as some physics involved in semiconductor crystals. The second part consists of two papers: Enhanced oxygen precipitation in electron irradiated silicon. Annealing of electron irradiated antimony-doped Czochralski silicon

  4. Silicon sensor probing and radiation studies for the LHCb silicon tracker

    International Nuclear Information System (INIS)

    Lois, Cristina

    2006-01-01

    The LHCb Silicon Tracker (ST) will be built using silicon micro-strip technology. A total of 1400 sensors, with strip pitches of approximately 200μm and three different substrate thicknesses, will be used to cover the sensitive area with readout strips up to 38cm in length. We present the quality assurance program followed by the ST group together with the results obtained for the first batches of sensors from the main production. In addition, we report on an investigation of the radiation hardness of the sensors. Prototype sensors were irradiated with 24GeV/c protons up to fluences equivalent to 20 years of LHCb operation. The damage coefficient for the leakage current was studied, and full depletion voltages were determined

  5. Copper-assisted, anti-reflection etching of silicon surfaces

    Science.gov (United States)

    Toor, Fatima; Branz, Howard

    2014-08-26

    A method (300) for etching a silicon surface (116) to reduce reflectivity. The method (300) includes electroless deposition of copper nanoparticles about 20 nanometers in size on the silicon surface (116), with a particle-to-particle spacing of 3 to 8 nanometers. The method (300) includes positioning (310) the substrate (112) with a silicon surface (116) into a vessel (122). The vessel (122) is filled (340) with a volume of an etching solution (124) so as to cover the silicon surface (116). The etching solution (124) includes an oxidant-etchant solution (146), e.g., an aqueous solution of hydrofluoric acid and hydrogen peroxide. The silicon surface (116) is etched (350) by agitating the etching solution (124) with, for example, ultrasonic agitation, and the etching may include heating (360) the etching solution (124) and directing light (365) onto the silicon surface (116). During the etching, copper nanoparticles enhance or drive the etching process.

  6. Particle interaction and displacement damage in silicon devices operated in radiation environments

    International Nuclear Information System (INIS)

    Leroy, Claude; Rancoita, Pier-Giorgio

    2007-01-01

    Silicon is used in radiation detectors and electronic devices. Nowadays, these devices achieving submicron technology are parts of integrated circuits of large to very large scale integration (VLSI). Silicon and silicon-based devices are commonly operated in many fields including particle physics experiments, nuclear medicine and space. Some of these fields present adverse radiation environments that may affect the operation of the devices. The particle energy deposition mechanisms by ionization and non-ionization processes are reviewed as well as the radiation-induced damage and its effect on device parameters evolution, depending on particle type, energy and fluence. The temporary or permanent damage inflicted by a single particle (single event effect) to electronic devices or integrated circuits is treated separately from the total ionizing dose (TID) effect for which the accumulated fluence causes degradation and from the displacement damage induced by the non-ionizing energy-loss (NIEL) deposition. Understanding of radiation effects on silicon devices has an impact on their design and allows the prediction of a specific device behaviour when exposed to a radiation field of interest

  7. Obtaining porous silicon suitable for sensor technology using MacEtch nonelectrolytic etching

    Directory of Open Access Journals (Sweden)

    Iatsunskyi I. R.

    2013-12-01

    Full Text Available The author suggests to use the etching method MacEtch (metal-assisted chemical etching for production of micro- and nanostructures of porous silicon. The paper presents research results on the morphology structures obtained at different parameters of deposition and etching processes. The research has shown that, depending on the parameters of deposition of silver particles and silicon wafers etching, the obtained surface morphology may be different. There may be both individual crater-like pores and developed porous or macroporous surface. These results indicate that the MacEtch etching is a promising method for obtaining micro-porous silicon nanostructures suitable for effective use in gas sensors and biological object sensors.

  8. Use of porous silicon to minimize oxidation induced stacking fault defects in silicon

    International Nuclear Information System (INIS)

    Shieh, S.Y.; Evans, J.W.

    1992-01-01

    This paper presents methods for minimizing stacking fault defects, generated during oxidation of silicon, include damaging the back of the wafer or depositing poly-silicon on the back. In either case a highly defective structure is created and this is capable of gettering either self-interstitials or impurities which promote nucleation of stacking fault defects. A novel method of minimizing these defects is to form a patch of porous silicon on the back of the wafer by electrochemical etching. Annealing under inert gas prior to oxidation may then result in the necessary gettering. Experiments were carried out in which wafers were subjected to this treatment. Subsequent to oxidation, the wafers were etched to remove oxide and reveal defects. The regions of the wafer adjacent to the porous silicon patch were defect-free, whereas remote regions had defects. Deep level transient spectroscopy has been used to examine the gettering capability of porous silicon, and the paper discusses the mechanism by which the porous silicon getters

  9. Piezoresistive silicon nanowire resonators as embedded building blocks in thick SOI

    Science.gov (United States)

    Nasr Esfahani, Mohammad; Kilinc, Yasin; Çagatay Karakan, M.; Orhan, Ezgi; Hanay, M. Selim; Leblebici, Yusuf; Erdem Alaca, B.

    2018-04-01

    The use of silicon nanowire resonators in nanoelectromechanical systems for new-generation sensing and communication devices faces integration challenges with higher-order structures. Monolithic and deterministic integration of such nanowires with the surrounding microscale architecture within the same thick crystal is a critical aspect for the improvement of throughput, reliability and device functionality. A monolithic and IC-compatible technology based on a tuned combination of etching and protection processes was recently introduced yielding silicon nanowires within a 10 μ m-thick device layer. Motivated by its success, the implications of the technology regarding the electromechanical resonance are studied within a particular setting, where the resonator is co-fabricated with all terminals and tuning electrodes. Frequency response is measured via piezoresistive readout with frequency down-mixing. Measurements indicate mechanical resonance with frequencies as high as 100 MHz exhibiting a Lorentzian behavior with proper transition to nonlinearity, while Allan deviation on the order of 3-8 ppm is achieved. Enabling the fabrication of silicon nanowires in thick silicon crystals using conventional semiconductor manufacturing, the present study thus demonstrates an alternative pathway to bottom-up and thin silicon-on-insulator approaches for silicon nanowire resonators.

  10. Silicon-Carbide Power MOSFET Performance in High Efficiency Boost Power Processing Unit for Extreme Environments

    Science.gov (United States)

    Ikpe, Stanley A.; Lauenstein, Jean-Marie; Carr, Gregory A.; Hunter, Don; Ludwig, Lawrence L.; Wood, William; Del Castillo, Linda Y.; Fitzpatrick, Fred; Chen, Yuan

    2016-01-01

    Silicon-Carbide device technology has generated much interest in recent years. With superior thermal performance, power ratings and potential switching frequencies over its Silicon counterpart, Silicon-Carbide offers a greater possibility for high powered switching applications in extreme environment. In particular, Silicon-Carbide Metal-Oxide- Semiconductor Field-Effect Transistors' (MOSFETs) maturing process technology has produced a plethora of commercially available power dense, low on-state resistance devices capable of switching at high frequencies. A novel hard-switched power processing unit (PPU) is implemented utilizing Silicon-Carbide power devices. Accelerated life data is captured and assessed in conjunction with a damage accumulation model of gate oxide and drain-source junction lifetime to evaluate potential system performance at high temperature environments.

  11. Technology challenges for ultrasmall silicon MOSFET's

    International Nuclear Information System (INIS)

    Dennard, R.H.

    1981-01-01

    Work on silicon MOSFET devices scaled down to half-micron dimensions is gathering momentum in research labs for VLSI applications. Further reductions in device geometries by only a factor of two will bring us to the edge of some fundamental barriers to miniaturization. Design requirements for very thin layers in the device structure lead to resistance effects, statistical fluctuation of doping impurities, and increased concern for interface properties. Scaling down of applied voltage is difficult because built-in junction potentials and other small voltage terms are no longer negligible. Increased susceptibility to spurious operation or permanent damage from alpha particles, cosmic particles, or other high-energy radiation is reviewed

  12. Low cost monocrystalline silicon sheet fabrication for solar cells by advanced ingot technology

    Science.gov (United States)

    Fiegl, G. F.; Bonora, A. C.

    1980-01-01

    The continuous liquid feed (CLF) Czochralski furnace and the enhanced I.D. slicing technology for the low-cost production of monocrystalline silicon sheets for solar cells are discussed. The incorporation of the CLF system is shown to improve ingot production rate significantly. As demonstrated in actual runs, higher than average solidification rates (75 to 100 mm/hr for 150 mm 1-0-0 crystals) can be achieved, when the system approaches steady-state conditions. The design characteristics of the CLF furnace are detailed, noting that it is capable of precise control of dopant impurity incorporation in the axial direction of the crystal. The crystal add-on cost is computed to be $11.88/sq m, considering a projected 1986 25-slice per cm conversion factor with an 86% crystal growth yield.

  13. Impurities in silicon and their impact on solar cell performance

    NARCIS (Netherlands)

    Coletti, Gianluca

    2011-01-01

    Photovoltaic conversion of solar energy is a rapidly growing technology. More than 80% of global solar cell production is currently based on silicon. The aim of this thesis is to understand the complex relation between impurity content of silicon starting material (“feedstock”) and the resulting

  14. Strain-induced generation of silicon nanopillars

    International Nuclear Information System (INIS)

    Bollani, Monica; Osmond, Johann; Nicotra, Giuseppe; Spinella, Corrado; Narducci, Dario

    2013-01-01

    Silicon metal-assisted chemical etching (MACE) is a nanostructuring technique exploiting the enhancement of the silicon etch rate at some metal–silicon interfaces. Compared to more traditional approaches, MACE is a high-throughput technique, and it is one of the few that enables the growth of vertical 1D structures of virtually unlimited length. As such, it has already found relevant technological applications in fields ranging from energy conversion to biosensing. Yet, its implementation has always required metal patterning to obtain nanopillars. Here, we report how MACE may lead to the formation of porous silicon nanopillars even in the absence of gold patterning. We show how the use of inhomogeneous yet continuous gold layers leads to the generation of a stress field causing spontaneous local delamination of the metal—and to the formation of silicon nanopillars where the metal disruption occurs. We observed the spontaneous formation of nanopillars with diameters ranging from 40 to 65 nm and heights up to 1 μm. Strain-controlled generation of nanopillars is consistent with a mechanism of silicon oxidation by hole injection through the metal layer. Spontaneous nanopillar formation could enable applications of this method to contexts where ordered distributions of nanopillars are not required, while patterning by high-resolution techniques is either impractical or unaffordable. (paper)

  15. University Crystalline Silicon Photovoltaics Research and Development

    Energy Technology Data Exchange (ETDEWEB)

    Ajeet Rohatgi; Vijay Yelundur; Abasifreke Ebong; Dong Seop Kim

    2008-08-18

    The overall goal of the program is to advance the current state of crystalline silicon solar cell technology to make photovoltaics more competitive with conventional energy sources. This program emphasizes fundamental and applied research that results in low-cost, high-efficiency cells on commercial silicon substrates with strong involvement of the PV industry, and support a very strong photovoltaics education program in the US based on classroom education and hands-on training in the laboratory.

  16. Mechanism of floating body effect mitigation via cutting off source injection in a fully-depleted silicon-on-insulator technology

    International Nuclear Information System (INIS)

    Huang Pengcheng; Chen Shuming; Chen Jianjun

    2016-01-01

    In this paper, the effect of floating body effect (FBE) on a single event transient generation mechanism in fully depleted (FD) silicon-on-insulator (SOI) technology is investigated using three-dimensional technology computer-aided design (3D-TCAD) numerical simulation. The results indicate that the main SET generation mechanism is not carrier drift/diffusion but floating body effect (FBE) whether for positive or negative channel metal oxide semiconductor (PMOS or NMOS). Two stacking layout designs mitigating FBE are investigated as well, and the results indicate that the in-line stacking (IS) layout can mitigate FBE completely and is area penalty saving compared with the conventional stacking layout. (paper)

  17. Semiconducting silicon nanowires for biomedical applications

    CERN Document Server

    Coffer, JL

    2014-01-01

    Biomedical applications have benefited greatly from the increasing interest and research into semiconducting silicon nanowires. Semiconducting Silicon Nanowires for Biomedical Applications reviews the fabrication, properties, and applications of this emerging material. The book begins by reviewing the basics, as well as the growth, characterization, biocompatibility, and surface modification, of semiconducting silicon nanowires. It goes on to focus on silicon nanowires for tissue engineering and delivery applications, including cellular binding and internalization, orthopedic tissue scaffol

  18. A Magnetic Resonance Force Microscopy Quantum Computer with Tellurium Donors in Silicon

    OpenAIRE

    Berman, G. P.; Doolen, G. D.; Tsifrinovich, V. I.

    2000-01-01

    We propose a magnetic resonance force microscopy (MRFM)-based nuclear spin quantum computer using tellurium impurities in silicon. This approach to quantum computing combines the well-developed silicon technology with expected advances in MRFM.

  19. FY 1991 Report on the results of the research and development of silicon-based high-molecular-weight materials; 1991 nendo keisokei kobunshi zairyo no kenkyu kaihatsu seika hokokusho

    Energy Technology Data Exchange (ETDEWEB)

    NONE

    1992-03-01

    The research and development project has been started to establish the basic technologies for molecular designs, synthesis, material production and evaluation of silicon-based high-molecular-weight materials expected to exhibit excellent characteristics, e.g., electro-optical functions, resistance to heat, flame retardance and mechanical properties. The efforts in FY 1991, the first year for the 10-year project, are mainly directed to the surveys on the R and D trends, both domestic and foreign, to clarify the relationship between the structures and functions/properties. The R and D projects followed include the technologies for synthesizing (1) electroconductive silicon-based high-molecular-weight materials, (2) novel silicon-based high-molecular-weight materials capable of drawing circuits, (3) novel, light-emitting silicon-based high-molecular-weight materials and (4) silicon-based opto-electric conversion materials for the electro-optical functional high-molecular-weight materials; and (1) synthesis of high-molecular-weight structural materials of sea island structure, (2) technologies for forming inter-penetrating type structures (IPN), (3) development of composite structural materials of organometallic complex and silicon-based high-molecular-weight material, and (4) development of silicon-based high-molecular-weight materials of ring structure for the high-molecular-weight structural materials. (NEDO)

  20. The development of the market for neutron transmutation doped silicon

    International Nuclear Information System (INIS)

    Herzer, H.; Vieweg-Gutberlet, G.

    1984-01-01

    Neutron transmutation doped silicon was introduced to the electronic device market in the 1975-1976 time period. Today, neutron transmutation doping is definitely a mature technology applied mainly to semiconductor power devices. There is no doubt that the power device sector will remain the major consumer of NTD silicon in the near future. This paper examines the possible application of NTD silicon to other areas of the semiconductor market, and concludes that the need for NTD silicon will continue to grow and will expand into other applications. Consequently, unless new reactor capacities become available by the end of the decade, NTD silicon applications will probably be limited mainly to power and sensor devices

  1. SiNTO EWT silicon solar cells

    OpenAIRE

    Fallisch, A.; Keding, R.; Kästner, G.; Bartsch, J.; Werner, S.; Stüwe, D.; Specht, J.; Preu, R.; Biro, D.

    2010-01-01

    In this work we combine the SiNTO cell process with the EWT cell concept. All masking steps are performed by inkjet printing technology. The via-holes and laser-fired contacts are created by high-speed laser drilling. A new polishing process, which is suitable for inkjet masking, to pattern the interdigitated grid on the rear side is developed. For passivation purposes a thermal silicon oxide is used for the rear surface and a silicon nitride antireflection coating for the front surface. An e...

  2. Advanced Non-Destructive Assessment Technology to Determine the Aging of Silicon Containing Materials for Generation IV Nuclear Reactors

    Science.gov (United States)

    Koenig, T. W.; Olson, D. L.; Mishra, B.; King, J. C.; Fletcher, J.; Gerstenberger, L.; Lawrence, S.; Martin, A.; Mejia, C.; Meyer, M. K.; Kennedy, R.; Hu, L.; Kohse, G.; Terry, J.

    2011-06-01

    To create an in-situ, real-time method of monitoring neutron damage within a nuclear reactor core, irradiated silicon carbide samples are examined to correlate measurable variations in the material properties with neutron fluence levels experienced by the silicon carbide (SiC) during the irradiation process. The reaction by which phosphorus doping via thermal neutrons occurs in the silicon carbide samples is known to increase electron carrier density. A number of techniques are used to probe the properties of the SiC, including ultrasonic and Hall coefficient measurements, as well as high frequency impedance analysis. Gamma spectroscopy is also used to examine residual radioactivity resulting from irradiation activation of elements in the samples. Hall coefficient measurements produce the expected trend of increasing carrier concentration with higher fluence levels, while high frequency impedance analysis shows an increase in sample impedance with increasing fluence.

  3. Single-Event Effects in Silicon Carbide Power Devices

    Science.gov (United States)

    Lauenstein, Jean-Marie; Casey, Megan C.; LaBel, Kenneth A.; Ikpe, Stanley; Topper, Alyson D.; Wilcox, Edward P.; Kim, Hak; Phan, Anthony M.

    2015-01-01

    This report summarizes the NASA Electronic Parts and Packaging Program Silicon Carbide Power Device Subtask efforts in FY15. Benefits of SiC are described and example NASA Programs and Projects desiring this technology are given. The current status of the radiation tolerance of silicon carbide power devices is given and paths forward in the effort to develop heavy-ion single-event effect hardened devices indicated.

  4. Sunlight-thin nanophotonic monocrystalline silicon solar cells

    Science.gov (United States)

    Depauw, Valérie; Trompoukis, Christos; Massiot, Inès; Chen, Wanghua; Dmitriev, Alexandre; Cabarrocas, Pere Roca i.; Gordon, Ivan; Poortmans, Jef

    2017-09-01

    Introducing nanophotonics into photovoltaics sets the path for scaling down the surface texture of crystalline-silicon solar cells from the micro- to the nanoscale, allowing to further boost the photon absorption while reducing silicon material loss. However, keeping excellent electrical performance has proven to be very challenging, as the absorber is damaged by the nanotexturing and the sensitivity to the surface recombination is dramatically increased. Here we realize a light-wavelength-scale nanotextured monocrystalline silicon cell with the confirmed efficiency of 8.6% and an effective thickness of only 830 nm. For this we adopt a self-assembled large-area and industry-compatible amorphous ordered nanopatterning, combined with an advanced surface passivation, earning strongly enhanced solar light absorption while retaining efficient electron collection. This prompts the development of highly efficient flexible and semitransparent photovoltaics, based on the industrially mature monocrystalline silicon technology.

  5. Large magnetoresistance effect in nitrogen-doped silicon

    Directory of Open Access Journals (Sweden)

    Tao Wang

    2017-05-01

    Full Text Available In this work, we reported a large magnetoresistance effect in silicon by ion implantation of nitrogen atoms. At room temperature, the magnetoresistance of silicon reaches 125 % under magnetic field 1.7 T and voltage bias -80 V. By applying an alternating magnetic field with a frequency (f of 0.008 Hz, we find that the magnetoresistance of silicon is divided into f and 2f two signal components, which represent the linear and quadratic magnetoresistance effects, respectively. The analysis based on tuning the magnetic field and the voltage bias reveals that electric-field-induced space-charge effect plays an important role to enhance both the linear and quadratic magnetoresistance effects. Observation as well as a comprehensive explanation of large MR in silicon, especially based on semiconductor CMOS implantation technology, will be an important progress towards magnetoelectronic applications.

  6. Silicon pore optics for the international x-ray observatory

    Science.gov (United States)

    Wille, E.; Wallace, K.; Bavdaz, M.; Collon, M. J.; Günther, R.; Ackermann, M.; Beijersbergen, M. W.; Riekerink, M. O.; Blom, M.; Lansdorp, B.; de Vreede, L.

    2017-11-01

    Lightweight X-ray Wolter optics with a high angular resolution will enable the next generation of X-ray telescopes in space. The International X-ray Observatory (IXO) requires a mirror assembly of 3 m2 effective area (at 1.5 keV) and an angular resolution of 5 arcsec. These specifications can only be achieved with a novel technology like Silicon Pore Optics, which is developed by ESA together with a consortium of European industry. Silicon Pore Optics are made of commercial Si wafers using process technology adapted from the semiconductor industry. We present the manufacturing process ranging from single mirror plates towards complete focusing mirror modules mounted in flight configuration. The performance of the mirror modules is tested using X-ray pencil beams or full X-ray illumination. In 2009, an angular resolution of 9 arcsec was achieved, demonstrating the improvement of the technology compared to 17 arcsec in 2007. Further development activities of Silicon Pore Optics concentrate on ruggedizing the mounting system and performing environmental tests, integrating baffles into the mirror modules and assessing the mass production.

  7. Development of advanced Czochralski Growth Process to produce low cost 150 KG silicon ingots from a single crucible for technology readiness

    Science.gov (United States)

    1981-01-01

    The goals in this program for advanced czochralski growth process to produce low cost 150 kg silicon ingots from a single crucible for technology readiness are outlined. To provide a modified CG2000 crystal power capable of pulling a minimum of five crystals, each of approximately 30 kg in weight, 150 mm diameter from a single crucible with periodic melt replenishment. Crystals to have: resistivity of 1 to 3 ohm cm, p-type; dislocation density below 1- to the 6th power per cm; orientation (100); after growth yield of greater than 90%. Growth throughput of greater than 2.5 kg per hour of machine operation using a radiation shield. Prototype equipment suitable for use as a production facility. The overall cost goal is $.70 per peak watt by 1986. To accomplish these goals, the modified CG2000 grower and development program includes: (1) increased automation with a microprocessor based control system; (2) sensors development which will increase the capability of the automatic controls system, and provide technology transfer of the developed systems.

  8. Fiscal 1993 R and D project for industrial science and technology. Report on results in developing methane-fueled aircraft engine (R and D on silicon-based polymeric material); 1993 nendo methane nenryo kokukiyo engine kaihatsu seika hokokusho. Keisokei kobunshi zairyo no gijutsu kaihatsu

    Energy Technology Data Exchange (ETDEWEB)

    NONE

    1994-03-01

    R and D was conducted on silicon-based polymeric materials for structural use, for the purpose of establishing fundamental technologies such as molecular design, synthesis, material forming and evaluation method concerning silicon-based polymers, with the fiscal 1993 results summarized. In the studies of synthesis technologies of silicon-based polymeric materials having a sea-island structure, a series of polymers with an Si-C main chain structure were prepared by ring-opening polymerization of the cyclic monomers. In the studies of interpenetrating polymer network (IPN) structure forming technologies, polycarbosilanes with superior thermal stability and solvent solubility were synthesized through structural control based on molecular design. In the studies of composite structural materials between organic metallic complex and silicon-based high polymer, the compounding was carried out by introducing or blending organic metallic complex into the main chain of silicon polymer, with evaluation made on the heat resistance. The studies of silicon polymer structural materials having a ring structure were conducted on high heat resistant polymers that were obtained by dehydrocoupling polymerization with magnesia as a catalyst. (NEDO)

  9. Economic assessment of possible electron accelerator applications in curing silicon rubber based electric installation material

    International Nuclear Information System (INIS)

    Rmot, L.

    1976-01-01

    A description is given of the conventional technology of production of conductors with silicon rubber insulation and of the radiation vulcanization method, i.e., the radiation cross-linking of silicon rubber. An economic comparison is shown for both technologies. The analysis shows that the indices for the radiation cross-linking technology are favourable and that the introduction thereof would be advantageous. (J.P.)

  10. Investigation of silicon sensors quality as a function of the ohmic side processing technology

    CERN Document Server

    Bloch, P; Golubkov, S A; Golutvin, I A; Egorov, N; Konjkov, K; Kozlov, Y; Peisert, Anna; Sidorov, A; Zamiatin, N I; Cheremuhin, A E

    2002-01-01

    Silicon sensors designed for the CMS Preshower detector must have a high breakdown voltage in order to be fully efficient after a strong irradiation. Studies made by several groups left bracket 1,2,3 right bracket have underlined the importance of the p**+ side geometrical parameters, such as the metal width and the number and spacing of guard rings. We have in addition investigated the effects related to the ohmic side processing and found that the breakdown voltage depends strongly on the depth of the effective "dead" n**+ layer. By increasing this thickness from mum to 2.5mum, the fraction of sensors with breakdown voltage higher than 500V increased from 22% to more than 80%. On the other hand, it was noticed that the starting surface quality of the wafer (double side polished or single side polished) does not affect the detectors parameters for a given production technology. The thick n**+-layer protects against initial wafer surface and defects caused by the technological treatment during the detector pr...

  11. Radiation hardness of silicon detectors for collider experiments

    International Nuclear Information System (INIS)

    Golutvin, I.; Cheremukhin, A.; Fefelova, E.

    1995-01-01

    The silicon planar detectors before and after fast neutron irradiation ( n o> = 1.35 MeV) at room temperature have been investigated. Maximal neutron fluence has been 8 · 10 13 cm -2 . The detectors have been manufactured of the high resistivity (1 : 10 k Ohm · cm) n-type float-zone silicon (FZ-Si) with the orientation supplied by two different producers: WACKER CHEMITRONIC and Zaporojie Titanium-Magnesium Factory (ZTMF). The influence of fast neutron irradiation of the main parameters of the starting silicon before the technological high temperature treatment has been investigated as well. 30 refs., 17 figs., 5 tabs

  12. Non-agglomerated silicon nanoparticles on (0 0 1) silicon substrate formed by PLA and their photoluminescence properties

    International Nuclear Information System (INIS)

    Du Jun; Tu Hailing; Wang Lei

    2009-01-01

    In this work, non-agglomerated silicon nanoparticles formed on Si(0 0 1) substrate were synthesized by pulsed laser ablation (PLA) and their photoluminescence (PL) properties were studied. The controllable parameters in PLA process include mainly pulsed laser energy, target-to-substrate distance and buffer gas pressure. In particular, the effect of buffer gas pressure on the formation of non-agglomerated and size-controlled silicon nanoparticles has been discussed. The results show that non-agglomerated and size-controlled silicon nanoparticles can be fabricated with particle size in the range of 2-10 nm when Ar buffer gas pressure was varied from 50 to 10 Pa. Most of these nanoparticles are in form of single crystal with less surface oxidation in the as-deposited samples. The PL peak positions are located at 581-615 nm for Si nanoparticles with size of 2-10 nm. When exposed to air for up to 60 days, the core/shell structure of Si nanoparticles would be formed, which in turn could be responsible for the blue shift of PL peak position. Pt noble metal coating has passivation effect for surface stabilization of Si nanoparticles and shows relatively satisfied time-stability of PL intensity. These results suggest that the Si nanoparticles prepared by PLA have a large potential for the fabrication of optically active photonic devices based on the Si technology.

  13. Light-Induced Degradation of Thin Film Silicon Solar Cells

    International Nuclear Information System (INIS)

    Hamelmann, F U; Weicht, J A; Behrens, G

    2016-01-01

    Silicon-wafer based solar cells are still domination the market for photovoltaic energy conversion. However, most of the silicon is used only for mechanical stability, while only a small percentage of the material is needed for the light absorption. Thin film silicon technology reduces the material demand to just some hundred nanometer thickness. But even in a tandem stack (amorphous and microcrystalline silicon) the efficiencies are lower, and light-induced degradation is an important issue. The established standard tests for characterisation are not precise enough to predict the performance of thin film silicon solar cells under real conditions, since many factors do have an influence on the degradation. We will show some results of laboratory and outdoor measurements that we are going to use as a base for advanced modelling and simulation methods. (paper)

  14. Characterisation of edgeless technologies for pixellated and strip silicon detectors with a micro-focused X-ray beam

    Science.gov (United States)

    Bates, R.; Blue, A.; Christophersen, M.; Eklund, L.; Ely, S.; Fadeyev, V.; Gimenez, E.; Kachkanov, V.; Kalliopuska, J.; Macchiolo, A.; Maneuski, D.; Phlips, B. F.; Sadrozinski, H. F.-W.; Stewart, G.; Tartoni, N.; Zain, R. M.

    2013-01-01

    Reduced edge or ``edgeless'' detector design offers seamless tileability of sensors for a wide range of applications from particle physics to synchrotron and free election laser (FEL) facilities and medical imaging. Combined with through-silicon-via (TSV) technology, this would allow reduced material trackers for particle physics and an increase in the active area for synchrotron and FEL pixel detector systems. In order to quantify the performance of different edgeless fabrication methods, 2 edgeless detectors were characterized at the Diamond Light Source using an 11 μm FWHM 15 keV micro-focused X-ray beam. The devices under test were: a 150 μm thick silicon active edge pixel sensor fabricated at VTT and bump-bonded to a Medipix2 ROIC; and a 300 μm thick silicon strip sensor fabricated at CIS with edge reduction performed by SCIPP and the NRL and wire bonded to an ALiBaVa readout system. Sub-pixel resolution of the 55 μm active edge pixels was achieved. Further scans showed no drop in charge collection recorded between the centre and edge pixels, with a maximum deviation of 5% in charge collection between scanned edge pixels. Scans across the cleaved and standard guard ring edges of the strip detector also show no reduction in charge collection. These results indicate techniques such as the scribe, cleave and passivate (SCP) and active edge processes offer real potential for reduced edge, tiled sensors for imaging detection applications.

  15. The challenge of screen printed Ag metallization on nano-scale poly-silicon passivated contacts for silicon solar cells

    Science.gov (United States)

    Jiang, Lin; Song, Lixin; Yan, Li; Becht, Gregory; Zhang, Yi; Hoerteis, Matthias

    2017-08-01

    Passivated contacts can be used to reduce metal-induced recombination for higher energy conversion efficiency for silicon solar cells, and are obtained increasing attentions by PV industries in recent years. The reported thicknesses of passivated contact layers are mostly within tens of nanometer range, and the corresponding metallization methods are realized mainly by plating/evaporation technology. This high cost metallization cannot compete with the screen printing technology, and may affect its market potential comparing with the presently dominant solar cell technology. Very few works have been reported on screen printing metallization on passivated contact solar cells. Hence, there is a rising demand to realize screen printing metallization technology on this topic. In this work, we investigate applying screen printing metallization pastes on poly-silicon passivated contacts. The critical challenge for us is to build low contact resistance that can be competitive to standard technology while restricting the paste penetrations within the thin nano-scale passivated contact layers. The contact resistivity of 1.1mohm-cm2 and the open circuit voltages > 660mV are achieved, and the most appropriate thickness range is estimated to be around 80 150nm.

  16. Silicon based light-emitting materials and devices

    International Nuclear Information System (INIS)

    Chen Weide

    1999-01-01

    Silicon based light-emitting materials and devices are the key to optoelectronic integration. Recently, there has been significant progress in materials engineering methods. The author reviews the latest developments in this area including erbium doped silicon, porous silicon, nanocrystalline silicon and Si/SiO 2 superlattice structures. The incorporation of these different materials into devices is described and future device prospects are assessed

  17. Grazing-incident PIXE Analysis Technology

    International Nuclear Information System (INIS)

    Li Hongri; Wang Guangpu; Liang Kun; Yang Ru; Han Dejun

    2009-01-01

    In the article, the grazing incidence technology is first applied to the PIXE (proton induced X-ray emission) analysis. Three pieces of samples were investigated, including the contaminated aluminium substrate, the SIMOX (separated by oxygen implantation) SOI (Silicon on Insulator) sample and the silicon wafer implanted with Fe + . The results reveal that the grazing-incident proton can improve the sensitivity of PIXE in trace analysis, especially for samples contaminated on surface. With the penetration depth of the proton bean decreased, the ratio of the peak area to the detection limit raised observably and the sensitivity near the sample surface increased. (authors)

  18. Silicon sensors for trackers at high-luminosity environment

    Energy Technology Data Exchange (ETDEWEB)

    Peltola, Timo, E-mail: timo.peltola@helsinki.fi

    2015-10-01

    The planned upgrade of the LHC accelerator at CERN, namely the high luminosity (HL) phase of the LHC (HL-LHC foreseen for 2023), will result in a more intense radiation environment than the present tracking system that was designed for. The required upgrade of the all-silicon central trackers at the ALICE, ATLAS, CMS and LHCb experiments will include higher granularity and radiation hard sensors. The radiation hardness of the new sensors must be roughly an order of magnitude higher than in the current LHC detectors. To address this, a massive R&D program is underway within the CERN RD50 Collaboration “Development of Radiation Hard Semiconductor Devices for Very High Luminosity Colliders” to develop silicon sensors with sufficient radiation tolerance. Research topics include the improvement of the intrinsic radiation tolerance of the sensor material and novel detector designs with benefits like reduced trapping probability (thinned and 3D sensors), maximized sensitive area (active edge sensors) and enhanced charge carrier generation (sensors with intrinsic gain). A review of the recent results from both measurements and TCAD simulations of several detector technologies and silicon materials at radiation levels expected for HL-LHC will be presented. - Highlights: • An overview of the recent results from the RD50 collaboration. • Accuracy of TCAD simulations increased by including both bulk and surface damage. • Sensors with n-electrode readout and MCz material offer higher radiation hardness. • 3D detectors are a promising choice for the extremely high fluence environments. • Detectors with an enhanced charge carrier generation under systematic investigation.

  19. Silicon solid state devices and radiation detection

    CERN Document Server

    Leroy, Claude

    2012-01-01

    This book addresses the fundamental principles of interaction between radiation and matter, the principles of working and the operation of particle detectors based on silicon solid state devices. It covers a broad scope with respect to the fields of application of radiation detectors based on silicon solid state devices from low to high energy physics experiments including in outer space and in the medical environment. This book covers stateof- the-art detection techniques in the use of radiation detectors based on silicon solid state devices and their readout electronics, including the latest developments on pixelated silicon radiation detector and their application.

  20. Silicon integrated circuit process

    International Nuclear Information System (INIS)

    Lee, Jong Duck

    1985-12-01

    This book introduces the process of silicon integrated circuit. It is composed of seven parts, which are oxidation process, diffusion process, ion implantation process such as ion implantation equipment, damage, annealing and influence on manufacture of integrated circuit and device, chemical vapor deposition process like silicon Epitaxy LPCVD and PECVD, photolithography process, including a sensitizer, spin, harden bake, reflection of light and problems related process, infrared light bake, wet-etch, dry etch, special etch and problems of etching, metal process like metal process like metal-silicon connection, aluminum process, credibility of aluminum and test process.

  1. Silicon integrated circuit process

    Energy Technology Data Exchange (ETDEWEB)

    Lee, Jong Duck

    1985-12-15

    This book introduces the process of silicon integrated circuit. It is composed of seven parts, which are oxidation process, diffusion process, ion implantation process such as ion implantation equipment, damage, annealing and influence on manufacture of integrated circuit and device, chemical vapor deposition process like silicon Epitaxy LPCVD and PECVD, photolithography process, including a sensitizer, spin, harden bake, reflection of light and problems related process, infrared light bake, wet-etch, dry etch, special etch and problems of etching, metal process like metal process like metal-silicon connection, aluminum process, credibility of aluminum and test process.

  2. Safety procedures used during the manufacturing of amorphous silicon solar cells

    Energy Technology Data Exchange (ETDEWEB)

    Dickson, C R

    1987-01-01

    The Solarex Thin Film Division is a leader in the manufacturing of amorphous-silicon products for sale in domestic and foreign markets. Similarly, Solarex assumes a leadership role in recognizing the importance of safety in a manufacturing environment. Although many of the safety issues are similar to those in the semiconductor industry, this paper presents topics specific to amorphous silicon technology and the manufacturing ,f amorphous-silicon products. These topics are deposition of conducting transparent oxides (CTOs), amorphous silicon deposition, laser scribing, processing chemicals, fire prevention and administrative responsibilities.

  3. Magnetic resonance force microscopy quantum computer with tellurium donors in silicon.

    Science.gov (United States)

    Berman, G P; Doolen, G D; Hammel, P C; Tsifrinovich, V I

    2001-03-26

    We propose a magnetic resonance force microscopy (MRFM)-based nuclear spin quantum computer using tellurium impurities in silicon. This approach to quantum computing combines well-developed silicon technology and expected advances in MRFM. Our proposal does not use electrostatic gates to realize quantum logic operations.

  4. Magnetic Resonance Force Microscopy Quantum Computer with Tellurium Donors in Silicon

    International Nuclear Information System (INIS)

    Berman, G. P.; Doolen, G. D.; Hammel, P. C.; Tsifrinovich, V. I.

    2001-01-01

    We propose a magnetic resonance force microscopy (MRFM)-based nuclear spin quantum computer using tellurium impurities in silicon. This approach to quantum computing combines well-developed silicon technology and expected advances in MRFM. Our proposal does not use electrostatic gates to realize quantum logic operations

  5. Radiation resistant passivation of silicon solar cells

    International Nuclear Information System (INIS)

    Swanson, R.M.; Gan, J.Y.; Gruenbaum, P.E.

    1991-01-01

    This patent describes a silicon solar cell having improved stability when exposed to concentrated solar radiation. It comprises a body of silicon material having a major surface for receiving radiation, a plurality of p and n conductivity regions in the body for collecting electrons and holes created by impinging radiation, and a passivation layer on the major surface including a first layer of silicon oxide in contact with the body and a polycrystalline silicon layer on the first layer of silicon oxide

  6. Silicone nanocomposite coatings for fabrics

    Science.gov (United States)

    Eberts, Kenneth (Inventor); Lee, Stein S. (Inventor); Singhal, Amit (Inventor); Ou, Runqing (Inventor)

    2011-01-01

    A silicone based coating for fabrics utilizing dual nanocomposite fillers providing enhanced mechanical and thermal properties to the silicone base. The first filler includes nanoclusters of polydimethylsiloxane (PDMS) and a metal oxide and a second filler of exfoliated clay nanoparticles. The coating is particularly suitable for inflatable fabrics used in several space, military, and consumer applications, including airbags, parachutes, rafts, boat sails, and inflatable shelters.

  7. Photoluminescence and electrical properties of silicon oxide and silicon nitride superlattices containing silicon nanocrystals

    International Nuclear Information System (INIS)

    Shuleiko, D V; Ilin, A S

    2016-01-01

    Photoluminescence and electrical properties of superlattices with thin (1 to 5 nm) alternating silicon-rich silicon oxide or silicon-rich silicon nitride, and silicon oxide or silicon nitride layers containing silicon nanocrystals prepared by plasma-enhanced chemical vapor deposition with subsequent annealing were investigated. The entirely silicon oxide based superlattices demonstrated photoluminescence peak shift due to quantum confinement effect. Electrical measurements showed the hysteresis effect in the vicinity of zero voltage due to structural features of the superlattices from SiOa 93 /Si 3 N 4 and SiN 0 . 8 /Si 3 N 4 layers. The entirely silicon nitride based samples demonstrated resistive switching effect, comprising an abrupt conductivity change at about 5 to 6 V with current-voltage characteristic hysteresis. The samples also demonstrated efficient photoluminescence with maximum at ∼1.4 eV, due to exiton recombination in silicon nanocrystals. (paper)

  8. Quantum interference and manipulation of entanglement in silicon wire waveguide quantum circuits

    International Nuclear Information System (INIS)

    Bonneau, D; Engin, E; O'Brien, J L; Thompson, M G; Ohira, K; Suzuki, N; Yoshida, H; Iizuka, N; Ezaki, M; Natarajan, C M; Tanner, M G; Hadfield, R H; Dorenbos, S N; Zwiller, V

    2012-01-01

    Integrated quantum photonic waveguide circuits are a promising approach to realizing future photonic quantum technologies. Here, we present an integrated photonic quantum technology platform utilizing the silicon-on-insulator material system, where quantum interference and the manipulation of quantum states of light are demonstrated in components orders of magnitude smaller than previous implementations. Two-photon quantum interference is presented in a multi-mode interference coupler, and the manipulation of entanglement is demonstrated in a Mach-Zehnder interferometer, opening the way to an all-silicon photonic quantum technology platform. (paper)

  9. Process development for high-efficiency silicon solar cells

    Energy Technology Data Exchange (ETDEWEB)

    Gee, J.M.; Basore, P.A.; Buck, M.E.; Ruby, D.S.; Schubert, W.K.; Silva, B.L.; Tingley, J.W.

    1991-12-31

    Fabrication of high-efficiency silicon solar cells in an industrial environment requires a different optimization than in a laboratory environment. Strategies are presented for process development of high-efficiency silicon solar cells, with a goal of simplifying technology transfer into an industrial setting. The strategies emphasize the use of statistical experimental design for process optimization, and the use of baseline processes and cells for process monitoring and quality control. 8 refs.

  10. Monocrystalline silicon solar cells applied in photovoltaic system

    OpenAIRE

    L.A. Dobrzański; A. Drygała; M. Giedroć; M. Macek

    2012-01-01

    Purpose: The aim of the paper is to fabricate the monocrystalline silicon solar cells using the conventional technology by means of screen printing process and to make of them photovoltaic system.Design/methodology/approach: The investigation of current – voltage characteristic to determinate basic electrical properties of monocrystalline silicon solar cells were investigated under Standard Test Condition. Photovoltaic module was produced from solar cells with the largest short-circuit curren...

  11. Methods To Determine the Silicone Oil Layer Thickness in Sprayed-On Siliconized Syringes.

    Science.gov (United States)

    Loosli, Viviane; Germershaus, Oliver; Steinberg, Henrik; Dreher, Sascha; Grauschopf, Ulla; Funke, Stefanie

    2018-01-01

    The silicone lubricant layer in prefilled syringes has been investigated with regards to siliconization process performance, prefilled syringe functionality, and drug product attributes, such as subvisible particle levels, in several studies in the past. However, adequate methods to characterize the silicone oil layer thickness and distribution are limited, and systematic evaluation is missing. In this study, white light interferometry was evaluated to close this gap in method understanding. White light interferometry demonstrated a good accuracy of 93-99% for MgF 2 coated, curved standards covering a thickness range of 115-473 nm. Thickness measurements for sprayed-on siliconized prefilled syringes with different representative silicone oil distribution patterns (homogeneous, pronounced siliconization at flange or needle side, respectively) showed high instrument (0.5%) and analyst precision (4.1%). Different white light interferometry instrument parameters (autofocus, protective shield, syringe barrel dimensions input, type of non-siliconized syringe used as base reference) had no significant impact on the measured average layer thickness. The obtained values from white light interferometry applying a fully developed method (12 radial lines, 50 mm measurement distance, 50 measurements points) were in agreement with orthogonal results from combined white and laser interferometry and 3D-laser scanning microscopy. The investigated syringe batches (lot A and B) exhibited comparable longitudinal silicone oil layer thicknesses ranging from 170-190 nm to 90-100 nm from flange to tip and homogeneously distributed silicone layers over the syringe barrel circumference (110- 135 nm). Empty break-loose (4-4.5 N) and gliding forces (2-2.5 N) were comparably low for both analyzed syringe lots. A silicone oil layer thickness of 100-200 nm was thus sufficient for adequate functionality in this particular study. Filling the syringe with a surrogate solution including short

  12. Flat-plate solar array project. Volume 2: Silicon material

    Science.gov (United States)

    Lutwack, R.

    1986-10-01

    The goal of the Silicon Material Task, a part of the Flat Plate Solar Array (FSA) Project, was to develop and demonstate the technology for the low cost production of silicon of suitable purity to be used as the basic material for the manufacture of terrestrial photovoltaic solar cells. Summarized are 11 different processes for the production of silicon that were investigated and developed to varying extent by industrial, university, and Government researchers. The silane production section of the Union Carbide Corp. (UCC) silane process was developed completely in this program. Coupled with Siemens-type chemical vapor deposition reactors, the process was carried through the pilot stage. The overall UCC process involves the conversion of metallurgical-grade silicon to silane followed by decomposition of the silane to purified silicon. The other process developments are described to varying extents. Studies are reported on the effects of impurities in silicon on both silicon-material properties and on solar cell performance. These studies on the effects of impurities yielded extensive information and models for relating specific elemental concentrations to levels of deleterious effects.

  13. Flat-plate solar array project. Volume 2: Silicon material

    Science.gov (United States)

    Lutwack, R.

    1986-01-01

    The goal of the Silicon Material Task, a part of the Flat Plate Solar Array (FSA) Project, was to develop and demonstate the technology for the low cost production of silicon of suitable purity to be used as the basic material for the manufacture of terrestrial photovoltaic solar cells. Summarized are 11 different processes for the production of silicon that were investigated and developed to varying extent by industrial, university, and Government researchers. The silane production section of the Union Carbide Corp. (UCC) silane process was developed completely in this program. Coupled with Siemens-type chemical vapor deposition reactors, the process was carried through the pilot stage. The overall UCC process involves the conversion of metallurgical-grade silicon to silane followed by decomposition of the silane to purified silicon. The other process developments are described to varying extents. Studies are reported on the effects of impurities in silicon on both silicon-material properties and on solar cell performance. These studies on the effects of impurities yielded extensive information and models for relating specific elemental concentrations to levels of deleterious effects.

  14. Radiation-hard silicon photonics for high energy physics and beyond

    CERN Multimedia

    CERN. Geneva

    2016-01-01

    Silicon photonics (SiPh) is currently being investigated as a promising technology for future radiation hard optical links. The possibility of integrating SiPh devices with electronics and/or silicon particle sensors as well as an expected very high resistance against radiation damage make this technology particularly interesting for potential use close to the interaction points in future in high energy physics experiments and other radiation-sensitive applications. The presentation will summarize the outcomes of the research on radiation hard SiPh conducted within the ICE-DIP projected.

  15. Measurement of delta-rays in ATLAS silicon sensors

    CERN Document Server

    The ATLAS collaboration

    2013-01-01

    In the inner detector of the ATLAS experiment at the LHC, $\\delta$-rays originating from particle interactions in the silicon sensors may cause additional hit channels. A method for identifying silicon hit clusters that are enlarged due to the emission of a $\\delta$-ray is presented. Using pp collision data the expectation is confirmed that the $\\delta$-ray production rate depends linearly on the path length of the particle in silicon, independently of layer radius and detector technology. The range of the $\\delta$-rays, which is a property of the material and should not depend on anything else, is indeed found to be constant as a function of detector layer, path length in silicon and momentum of the particle traversing the silicon. As a by-product of this analysis a method is proposed that could correct for the effect of these $\\delta$-rays, and this could be used to improve track reconstruction.

  16. Emerging heterogeneous integrated photonic platforms on silicon

    Directory of Open Access Journals (Sweden)

    Fathpour Sasan

    2015-05-01

    Full Text Available Silicon photonics has been established as a mature and promising technology for optoelectronic integrated circuits, mostly based on the silicon-on-insulator (SOI waveguide platform. However, not all optical functionalities can be satisfactorily achieved merely based on silicon, in general, and on the SOI platform, in particular. Long-known shortcomings of silicon-based integrated photonics are optical absorption (in the telecommunication wavelengths and feasibility of electrically-injected lasers (at least at room temperature. More recently, high two-photon and free-carrier absorptions required at high optical intensities for third-order optical nonlinear effects, inherent lack of second-order optical nonlinearity, low extinction ratio of modulators based on the free-carrier plasma effect, and the loss of the buried oxide layer of the SOI waveguides at mid-infrared wavelengths have been recognized as other shortcomings. Accordingly, several novel waveguide platforms have been developing to address these shortcomings of the SOI platform. Most of these emerging platforms are based on heterogeneous integration of other material systems on silicon substrates, and in some cases silicon is integrated on other substrates. Germanium and its binary alloys with silicon, III–V compound semiconductors, silicon nitride, tantalum pentoxide and other high-index dielectric or glass materials, as well as lithium niobate are some of the materials heterogeneously integrated on silicon substrates. The materials are typically integrated by a variety of epitaxial growth, bonding, ion implantation and slicing, etch back, spin-on-glass or other techniques. These wide range of efforts are reviewed here holistically to stress that there is no pure silicon or even group IV photonics per se. Rather, the future of the field of integrated photonics appears to be one of heterogenization, where a variety of different materials and waveguide platforms will be used for

  17. Comparative Analysis of the Principal Characteristics of Microsilica Obtained from Silicon Manufacture Wastes and Used in Concrete Production Technologies

    Science.gov (United States)

    Balabanov, V. B.; Putsenko, K. N.

    2017-11-01

    On the basis of the survey of foreign and domestic literature over the past 65 years devoted to the study of the properties and the technology of applying microsilica in the capacity of modifying additives to concretes. Microsilica obtained as a by-product from the waste of ferroalloy plants and from the plants involved in production of silicon compounds is discussed. Analysis of the principal characteristics of different types of microsilica obtained from different sources is conducted.

  18. Second Breakdown Susceptibility of Silicon-On-Sapphire Diodes having Systematically Different Geometries.

    Science.gov (United States)

    1980-05-30

    Sunshine’s experiments less enlight - ening than they might otherwise have been. First, changes in optical transmittance could not be correlated directly to...silicon- on-sapphire technology ) and the orientation of the silicon surface ex- posed to the oxide layer44 ,46 ,4 7,51. Not enough data were taken to at...success. With rapid progress of semi- conductor technology , such simplified and largely intuitive methods proved to be inadequate for dealing with

  19. Radiation-hard silicon gate bulk CMOS cell family

    International Nuclear Information System (INIS)

    Gibbon, C.F.; Habing, D.H.; Flores, R.S.

    1980-01-01

    A radiation-hardened bulk silicon gate CMOS technology and a topologically simple, high-performance dual-port cell family utilizing this process have been demonstrated. Additional circuits, including a random logic circuit containing 4800 transistors on a 236 x 236 mil die, are presently being designed and processed. Finally, a joint design-process effort is underway to redesign the cell family in reduced design rules; this results in a factor of 2.5 cell size reduction and a factor of 3 decrease in chip interconnect area. Cell performance is correspondingly improved

  20. X- and gamma-ray N+PP+ silicon detectors with high radiation resistance

    International Nuclear Information System (INIS)

    Petris, M.; Ruscu, R.; Moraru, R.; Cimpoca, V.

    1998-01-01

    We have investigated the use of p-type silicon detectors as starting material for X-and gamma-ray detectors because of several potential benefits it would bring: 1. high purity p-type silicon grown by the float-zone process exhibits better radial dopant uniformity than n-type float-zone silicon; 2. it is free of radiation damage due to the neutron transmutation doping process and behaves better in a radiation field because mainly acceptor like centers are created through the exposure and the bulk material type inversion does not occur as in the n-type silicon. But the p-type silicon, in combination with a passivating layer of silicon dioxide, leads to a more complex detector layout since the positive charge in the oxide causes an inversion in the surface layer under the silicon dioxide. Consequently, it would be expected that N + P diodes have a higher leakage current than P + N ones. All these facts have been demonstrated experimentally. These features set stringent requirements for the technology of p-type silicon detectors. Our work presents two new geometries and an improved technology for p-type high resistivity material to obtain low noise radiation detectors. Test structures were characterized before and after the gamma exposure with a cumulative dose in the range 10 4 - 5 x 10 6 rad ( 60 Co). Results indicate that proposed structures and their technology enable the development of reliable N + PP + silicon detectors. For some samples (0.8 - 12 mm 2 ), extremely low reverse currents were obtained and, in combination with a low noise charge preamplifier, the splitting of 241 Am X-ray lines was possible and also the Mn Kα line (5.9 keV) was extracted from the noise with a 1.9 keV FWHM at the room temperature. An experimental model of a nuclear probe based on these diodes was designed for X-ray detection applications. (authors)

  1. Research Update: Phonon engineering of nanocrystalline silicon thermoelectrics

    Directory of Open Access Journals (Sweden)

    Junichiro Shiomi

    2016-10-01

    Full Text Available Nanocrystalline silicon thermoelectrics can be a solution to improve the cost-effectiveness of thermoelectric technology from both material and integration viewpoints. While their figure-of-merit is still developing, recent advances in theoretical/numerical calculations, property measurements, and structural synthesis/fabrication have opened up possibilities to develop the materials based on fundamental physics of phonon transport. Here, this is demonstrated by reviewing a series of works on nanocrystalline silicon materials using calculations of multiscale phonon transport, measurements of interfacial heat conduction, and synthesis from nanoparticles. Integration of these approaches allows us to engineer phonon transport to improve the thermoelectric performance by introducing local silicon-oxide structures.

  2. Rapid Prototyping of Nanofluidic Slits in a Silicone Bilayer

    Science.gov (United States)

    Kole, Thomas P.; Liao, Kuo-Tang; Schiffels, Daniel; Ilic, B. Robert; Strychalski, Elizabeth A.; Kralj, Jason G.; Liddle, J. Alexander; Dritschilo, Anatoly; Stavis, Samuel M.

    2015-01-01

    This article reports a process for rapidly prototyping nanofluidic devices, particularly those comprising slits with microscale widths and nanoscale depths, in silicone. This process consists of designing a nanofluidic device, fabricating a photomask, fabricating a device mold in epoxy photoresist, molding a device in silicone, cutting and punching a molded silicone device, bonding a silicone device to a glass substrate, and filling the device with aqueous solution. By using a bilayer of hard and soft silicone, we have formed and filled nanofluidic slits with depths of less than 400 nm and aspect ratios of width to depth exceeding 250 without collapse of the slits. An important attribute of this article is that the description of this rapid prototyping process is very comprehensive, presenting context and details which are highly relevant to the rational implementation and reliable repetition of the process. Moreover, this process makes use of equipment commonly found in nanofabrication facilities and research laboratories, facilitating the broad adaptation and application of the process. Therefore, while this article specifically informs users of the Center for Nanoscale Science and Technology (CNST) at the National Institute of Standards and Technology (NIST), we anticipate that this information will be generally useful for the nanofabrication and nanofluidics research communities at large, and particularly useful for neophyte nanofabricators and nanofluidicists. PMID:26958449

  3. Silicon materials outlook study for 1980-85 calendar years

    Energy Technology Data Exchange (ETDEWEB)

    Costogue, E.; Ferber, R.; Hasbach, W.; Pellin, R.; Yaws, C.

    1979-11-01

    Photovoltaic solar cell arrays converting solar energy into electrical energy can become a cost-effective, alternative energy source provided that an adequate supply of low-priced solar cell materials and automated fabrication techniques are available. Presently, the photovoltaic industry is dependent upon polycrystalline silicon which is produced primarily for the discrete semiconductor device industry. This dependency is expected to continue until DOE-sponsored new technology developments mature. Recent industry forecasts have predicted a limited supply of polycrystalline silicon material and a shortage could occur in the early 80's. The Jet Propulsion Laboratory's Technology Development and Application Lead Center formed an ad hoc committee at JPL, SERI and consultant personnel to conduct interviews with key polycrystalline manufacturers and a large cross-section of single crystal ingot growers and wafer manufacturers. Industry consensus and conclusions reached from the analysis of the data obtained by the committee are reported. The highlight of the study is that there is a high probability of polycrystalline silicon shortage by the end of CY 1982 and a strong seller's market after CY 1981 which will foster price competition for available silicon.

  4. Achievement report for fiscal 1997. Technological development for practical application of a solar energy power generation system /development of technology to manufacture solar cells/development of technology to manufacture thin film solar cells (development of technology to manufacture materials and substrates (development of technology to manufacture silicon crystal based high-quality materials and substrates)); 1997 nendo taiyoko hatsuden system jitsuyoka gijutsu kaihatsu seika hokokusho. Taiyo denchi seizo gijutsu kaihatsu, usumaku taiyo denchi seizo gijutsu kaihatsu, zairyo kiban seizo gijutsu kaihatsu (silicon kesshokei kohinshitsu zairyo kiban no seizo gujutsu kaihatsu)

    Energy Technology Data Exchange (ETDEWEB)

    NONE

    1998-03-01

    It is intended to develop thin film solar cells capable of mass production with high photo-stability and at low cost. Thus, the objective of the present research is to analyze the growth process of micro crystal silicon based thin films, the crystal being a high quality silicon crystal based material, and develop technology to manufacture high-quality micro crystal silicon thin films based on the findings therefrom. It was found that, when silicon source is available in cathode, pure hydrogen plasma forms micro crystal silicon films by using the plasma as a result of the chemical transportation effect from the silicon source. It was revealed that the crystal formation due to hydrogen plasma exposure is performed substantially by the crystals forming the films due to the chemical transportation effect, rather than crystallization in the vicinity of the surface. The crystal formation under this experiment was concluded that the formation takes place during film growth accompanied by diffusion of film forming precursors on the surface on which the film grows. According to the result obtained so far, the most important issue in the future is particularly the control of crystal growing azimuth by reducing the initially formed amorphous layer by controlling the stress in the initial phase for film formation, and by controlling the film forming precursors. (NEDO)

  5. Dephosphorization of Levitated Silicon-Iron Droplets for Production of Solar-Grade Silicon

    Science.gov (United States)

    Le, Katherine; Yang, Yindong; Barati, Mansoor; McLean, Alexander

    2018-05-01

    The treatment of relatively inexpensive silicon-iron alloys is a potential refining route in order to generate solar-grade silicon. Phosphorus is one of the more difficult impurity elements to remove by conventional processing. In this study, electromagnetic levitation was used to investigate phosphorus behavior in silicon-iron alloy droplets exposed to H2-Ar gas mixtures under various experimental conditions including, refining time, temperature (1723 K to 1993 K), gas flow rate, iron content, and initial phosphorus concentration in the alloy. Thermodynamic modeling of the dephosphorization reaction permitted prediction of the various gaseous products and indicated that diatomic phosphorus is the dominant species formed.

  6. Optical nano artifact metrics using silicon random nanostructures

    Science.gov (United States)

    Matsumoto, Tsutomu; Yoshida, Naoki; Nishio, Shumpei; Hoga, Morihisa; Ohyagi, Yasuyuki; Tate, Naoya; Naruse, Makoto

    2016-08-01

    Nano-artifact metrics exploit unique physical attributes of nanostructured matter for authentication and clone resistance, which is vitally important in the age of Internet-of-Things where securing identities is critical. However, expensive and huge experimental apparatuses, such as scanning electron microscopy, have been required in the former studies. Herein, we demonstrate an optical approach to characterise the nanoscale-precision signatures of silicon random structures towards realising low-cost and high-value information security technology. Unique and versatile silicon nanostructures are generated via resist collapse phenomena, which contains dimensions that are well below the diffraction limit of light. We exploit the nanoscale precision ability of confocal laser microscopy in the height dimension; our experimental results demonstrate that the vertical precision of measurement is essential in satisfying the performances required for artifact metrics. Furthermore, by using state-of-the-art nanostructuring technology, we experimentally fabricate clones from the genuine devices. We demonstrate that the statistical properties of the genuine and clone devices are successfully exploited, showing that the liveness-detection-type approach, which is widely deployed in biometrics, is valid in artificially-constructed solid-state nanostructures. These findings pave the way for reasonable and yet sufficiently secure novel principles for information security based on silicon random nanostructures and optical technologies.

  7. Plasmonic and silicon spherical nanoparticle antireflective coatings

    Science.gov (United States)

    Baryshnikova, K. V.; Petrov, M. I.; Babicheva, V. E.; Belov, P. A.

    2016-03-01

    Over the last decade, plasmonic antireflecting nanostructures have been extensively studied to be utilized in various optical and optoelectronic systems such as lenses, solar cells, photodetectors, and others. The growing interest to all-dielectric photonics as an alternative optical technology along with plasmonics motivates us to compare antireflective properties of plasmonic and all-dielectric nanoparticle coatings based on silver and crystalline silicon respectively. Our simulation results for spherical nanoparticles array on top of amorphous silicon show that both silicon and silver coatings demonstrate strong antireflective properties in the visible spectral range. For the first time, we show that zero reflectance from the structure with silicon coatings originates from the destructive interference of electric- and magnetic-dipole responses of nanoparticle array with the wave reflected from the substrate, and we refer to this reflection suppression as substrate-mediated Kerker effect. We theoretically compare the silicon and silver coating effectiveness for the thin-film photovoltaic applications. Silver nanoparticles can be more efficient, enabling up to 30% increase of the overall absorbance in semiconductor layer. Nevertheless, silicon coatings allow up to 64% absorbance increase in the narrow band spectral range because of the substrate-mediated Kerker effect, and band position can be effectively tuned by varying the nanoparticles sizes.

  8. Silicon calorimetry for the SSC[ Superconducting Supercollider

    International Nuclear Information System (INIS)

    Bertrand, C.; Borchi, E.; Brau, J.E.

    1989-01-01

    SSC experiments will rely heavily on their calorimeters. Silicon calorimetry, which has been introduced in recent years as a useful technology, has many attractive characteristics which may make it a viable option for consideration. The many attractive properties of silicon detectors are reviewed. The relevant present day applications of large areas of silicon detectors are summarize to illustrate the emerging use. The troublesome issue of radiation damage in a high luminosity environment like the SSC is considered with a summary of much of the recent new measurements which help clarify this situation. A discussion of the electronics and a possible mechanical configuration is presented, followed by a summary of the outstanding R and D issues. 31 refs., 11 figs., 3 tabs

  9. Fabrication of silicon condenser microphones using single wafer technology

    NARCIS (Netherlands)

    Scheeper, P.R.; van der Donk, A.G.H.; Olthuis, Wouter; Bergveld, Piet

    1992-01-01

    A condenser microphone design that can be fabricated using the sacrificial layer technique is proposed and tested. The microphone backplate is a 1-¿m plasma-enhanced chemical-vapor-deposited (PECVD) silicon nitride film with a high density of acoustic holes (120-525 holes/mm2), covered with a thin

  10. Silicon containing copolymers

    CERN Document Server

    Amiri, Sahar; Amiri, Sanam

    2014-01-01

    Silicones have unique properties including thermal oxidative stability, low temperature flow, high compressibility, low surface tension, hydrophobicity and electric properties. These special properties have encouraged the exploration of alternative synthetic routes of well defined controlled microstructures of silicone copolymers, the subject of this Springer Brief. The authors explore the synthesis and characterization of notable block copolymers. Recent advances in controlled radical polymerization techniques leading to the facile synthesis of well-defined silicon based thermo reversible block copolymers?are described along with atom transfer radical polymerization (ATRP), a technique utilized to develop well-defined functional thermo reversible block copolymers. The brief also focuses on Polyrotaxanes and their great potential as stimulus-responsive materials which produce poly (dimethyl siloxane) (PDMS) based thermo reversible block copolymers.

  11. Silicon Nanowires for All-Optical Signal Processing in Optical Communication

    DEFF Research Database (Denmark)

    Pu, Minhao; Hu, Hao; Ji, Hua

    2012-01-01

    Silicon (Si), the second most abundant element on earth, has dominated in microelectronics for many decades. It can also be used for photonic devices due to its transparency in the range of optical telecom wavelengths which will enable a platform for a monolithic integration of optics...... and microelectronics. Silicon photonic nanowire waveguides fabricated on silicon-on-insulator (SOI) substrates are crucial elements in nano-photonic integrated circuits. The strong light confinement in nanowires induced by high index contrast SOI material enhances the nonlinear effects in the silicon nanowire core...... such as four-wave mixing (FWM) which is an imperative process for optical signal processing. Since the current mature silicon fabrication technology enables a precise dimension control on nanowires, dispersion engineering can be performed by tailoring nanowire dimensions to realize an efficient nonlinear...

  12. Recycling of silicon: from industrial waste to biocompatible nanoparticles for nanomedicine

    Science.gov (United States)

    Kozlov, N. K.; Natashina, U. A.; Tamarov, K. P.; Gongalsky, M. B.; Solovyev, V. V.; Kudryavtsev, A. A.; Sivakov, V.; Osminkina, L. A.

    2017-09-01

    The formation of photoluminescent porous silicon (PSi) nanoparticles (NPs) is usually based on an expensive semiconductor grade wafers technology. Here, we report a low-cost method of PSi NPs synthesis from the industrial silicon waste remained after the wafer production. The proposed method is based on metal-assisted wet-chemical etching (MACE) of the silicon surface of cm-sized metallurgical grade silicon stones which leads to a nanostructuring of the surface due to an anisotropic etching, with subsequent ultrasound fracturing in water. The obtained PSi NPs exhibit bright red room temperature photoluminescence (PL) and demonstrate similar microstructure and physical characteristics in comparison with the nanoparticles synthesized from semiconductor grade Si wafers. PSi NPs prepared from metallurgical grade silicon stones, similar to silicon NPs synthesized from high purity silicon wafer, show low toxicity to biological objects that open the possibility of using such type of NPs in nanomedicine.

  13. High breakdown-strength composites from liquid silicone rubbers

    DEFF Research Database (Denmark)

    Vudayagiri, Sindhu; Zakaria, Shamsul Bin; Yu, Liyun

    2014-01-01

    In this paper we investigate the performance of liquid silicone rubbers (LSRs) as dielectric elastomer transducers. Commonly used silicones in this application include room-temperature vulcanisable (RTV) silicone elastomers and composites thereof. Pure LSRs and their composites with commercially...

  14. The effect of texture unit shape on silicon surface on the absorption properties

    Energy Technology Data Exchange (ETDEWEB)

    Hua, Xiao-She; Zhang, Yi-Jie; Wang, Hao-Wei [Institute of Ecological and Environmental Materials, School of Environmental Science and Engineering, Shanghai Jiao Tong University, Shanghai 200240 (China)

    2010-02-15

    Improving the utilization ratio of sunlight is a key factor for the development of solar cell. In this work, different structures including triangular pyramid, rectangular pyramid, hexangular pyramid and cone structure are established to investigate the influences of many factors, like geometrical shape, density and the top angle of the texture unit on silicon front surface to sunlight absorption. Ray-tracing technology is used for simulation. The simulation results indicate that the triangular pyramid texture on silicon front surface performs the best, and its total absorption rate is more than 90% for the light with wavelength between 640 and 1080 nm when the top angle of pyramid is less than 100 . (author)

  15. Lithium ion batteries based on nanoporous silicon

    Science.gov (United States)

    Tolbert, Sarah H.; Nemanick, Eric J.; Kang, Chris Byung-Hwa

    2015-09-22

    A lithium ion battery that incorporates an anode formed from a Group IV semiconductor material such as porous silicon is disclosed. The battery includes a cathode, and an anode comprising porous silicon. In some embodiments, the anode is present in the form of a nanowire, a film, or a powder, the porous silicon having a pore diameters within the range between 2 nm and 100 nm and an average wall thickness of within the range between 1 nm and 100 nm. The lithium ion battery further includes, in some embodiments, a non-aqueous lithium containing electrolyte. Lithium ion batteries incorporating a porous silicon anode demonstrate have high, stable lithium alloying capacity over many cycles.

  16. Process for making silicon

    Science.gov (United States)

    Levin, Harry (Inventor)

    1987-01-01

    A reactor apparatus (10) adapted for continuously producing molten, solar grade purity elemental silicon by thermal reaction of a suitable precursor gas, such as silane (SiH.sub.4), is disclosed. The reactor apparatus (10) includes an elongated reactor body (32) having graphite or carbon walls which are heated to a temperature exceeding the melting temperature of silicon. The precursor gas enters the reactor body (32) through an efficiently cooled inlet tube assembly (22) and a relatively thin carbon or graphite septum (44). The septum (44), being in contact on one side with the cooled inlet (22) and the heated interior of the reactor (32) on the other side, provides a sharp temperature gradient for the precursor gas entering the reactor (32) and renders the operation of the inlet tube assembly (22) substantially free of clogging. The precursor gas flows in the reactor (32) in a substantially smooth, substantially axial manner. Liquid silicon formed in the initial stages of the thermal reaction reacts with the graphite or carbon walls to provide a silicon carbide coating on the walls. The silicon carbide coated reactor is highly adapted for prolonged use for production of highly pure solar grade silicon. Liquid silicon (20) produced in the reactor apparatus (10) may be used directly in a Czochralski or other crystal shaping equipment.

  17. Process for forming a porous silicon member in a crystalline silicon member

    Science.gov (United States)

    Northrup, M. Allen; Yu, Conrad M.; Raley, Norman F.

    1999-01-01

    Fabrication and use of porous silicon structures to increase surface area of heated reaction chambers, electrophoresis devices, and thermopneumatic sensor-actuators, chemical preconcentrates, and filtering or control flow devices. In particular, such high surface area or specific pore size porous silicon structures will be useful in significantly augmenting the adsorption, vaporization, desorption, condensation and flow of liquids and gasses in applications that use such processes on a miniature scale. Examples that will benefit from a high surface area, porous silicon structure include sample preconcentrators that are designed to adsorb and subsequently desorb specific chemical species from a sample background; chemical reaction chambers with enhanced surface reaction rates; and sensor-actuator chamber devices with increased pressure for thermopneumatic actuation of integrated membranes. Examples that benefit from specific pore sized porous silicon are chemical/biological filters and thermally-activated flow devices with active or adjacent surfaces such as electrodes or heaters.

  18. Scattering characteristics from porous silicon

    Directory of Open Access Journals (Sweden)

    R. Sabet-Dariani

    2000-12-01

    Full Text Available   Porous silicon (PS layers come into existance as a result of electrochemical anodization on silicon. Although a great deal of research has been done on the formation and optical properties of this material, the exact mechanism involved is not well-understood yet.   In this article, first, the optical properties of silicon and porous silicon are described. Then, previous research and the proposed models about reflection from PS and the origin of its photoluminescence are reveiwed. The reflecting and scattering, absorption and transmission of light from this material, are then investigated. These experiments include,different methods of PS sample preparation their photoluminescence, reflecting and scattering of light determining different characteristics with respect to Si bulk.

  19. High temperature corrosion of silicon carbide and silicon nitride in the presence of chloride compound

    International Nuclear Information System (INIS)

    McNallan, M.

    1993-01-01

    Silicon carbide and silicon nitride are resistant to oxidation because a protective silicon dioxide films on their surfaces in most oxidizing environments. Chloride compounds can attack the surface in two ways: 1) chlorine can attack the silicon directly to form a volatile silicon chloride compound or 2) alkali compounds combined with the chlorine can be transported to the surface where they flux the silica layer by forming stable alkali silicates. Alkali halides have enough vapor pressure that a sufficient quantity of alkali species to cause accelerated corrosion can be transported to the ceramic surface without the formation of a chloride deposit. When silicon carbide is attacked simultaneously by chlorine and oxygen, the corrosion products include both volatile and condensed spices. Silicon nitride is much more resistance to this type of attack than silicon carbide. Silicon based ceramics are exposed to oxidizing gases in the presence of alkali chloride vapors, the rate of corrosion is controlled primarily by the driving force for the formation of alkali silicate, which can be quantified as the activity of the alkali oxide in equilibrium with the corrosive gas mixture. In a gas mixture containing a fixed partial pressure of KCl, the rate of corrosion is accelerated by increasing the concentration of water vapor and inhibited by increasing the concentration of HCl. Similar results have been obtained for mixtures containing other alkalis and halogens. (Orig./A.B.)

  20. Monolithically interconnected Silicon-Film{trademark} module technology: Annual technical report, 25 November 1997--24 November 1998

    Energy Technology Data Exchange (ETDEWEB)

    Hall, R.B.; Ford, D.H.; Rand, J.A.; Ingram, A.E.

    1999-11-11

    AstroPower continued its development of an advanced thin-silicon-based photovoltaic module product. This module combines the performance advantages of thin, light-trapped silicon layers with the capability of integration into a low-cost, monolithically interconnected array. This report summarizes the work carried out over the first year of a three-year, cost-shared contract, which has yielded the following results: Development of a low-cost, insulating, ceramic substrate that provides mechanical support at silicon growth temperatures, is matched to the thermal expansion of silicon, provides the optical properties required for light trapping through random texturing, and can be formed in large areas on a continuous basis. Different deposition techniques have been investigated, and AstroPower has developed deposition processes for the back conductive layer, the p-type silicon layer, and the mechanical/chemical barrier layer. Polycrystalline films of silicon have been grown on ceramics using AstroPower's Silicon-Film{trademark} process. These films are from 50 to 75 {micro}m thick, with columnar grains extending through the thickness of the film. Aspect ratios from 5:1 to 20:1 have been observed in these films.

  1. Micro-spectroscopy on silicon wafers and solar cells

    Directory of Open Access Journals (Sweden)

    Gundel Paul

    2011-01-01

    Full Text Available Abstract Micro-Raman (μRS and micro-photoluminescence spectroscopy (μPLS are demonstrated as valuable characterization techniques for fundamental research on silicon as well as for technological issues in the photovoltaic production. We measure the quantitative carrier recombination lifetime and the doping density with submicron resolution by μPLS and μRS. μPLS utilizes the carrier diffusion from a point excitation source and μRS the hole density-dependent Fano resonances of the first order Raman peak. This is demonstrated on micro defects in multicrystalline silicon. In comparison with the stress measurement by μRS, these measurements reveal the influence of stress on the recombination activity of metal precipitates. This can be attributed to the strong stress dependence of the carrier mobility (piezoresistance of silicon. With the aim of evaluating technological process steps, Fano resonances in μRS measurements are analyzed for the determination of the doping density and the carrier lifetime in selective emitters, laser fired doping structures, and back surface fields, while μPLS can show the micron-sized damage induced by the respective processes.

  2. Casting Technology.

    Science.gov (United States)

    Wright, Michael D.; And Others

    1992-01-01

    Three articles discuss (1) casting technology as it relates to industry, with comparisons of shell casting, shell molding, and die casting; (2) evaporative pattern casting for metals; and (3) high technological casting with silicone rubber. (JOW)

  3. Optical continuum generation on a silicon chip

    Science.gov (United States)

    Jalali, Bahram; Boyraz, Ozdal; Koonath, Prakash; Raghunathan, Varun; Indukuri, Tejaswi; Dimitropoulos, Dimitri

    2005-08-01

    Although the Raman effect is nearly two orders of magnitude stronger than the electronic Kerr nonlinearity in silicon, under pulsed operation regime where the pulse width is shorter than the phonon response time, Raman effect is suppressed and Kerr nonlinearity dominates. Continuum generation, made possible by the non-resonant Kerr nonlinearity, offers a technologically and economically appealing path to WDM communication at the inter-chip or intra-chip levels. We have studied this phenomenon experimentally and theoretically. Experimentally, a 2 fold spectral broadening is obtained by launching ~4ps optical pulses with 2.2GW/cm2 peak power into a conventional silicon waveguide. Theoretical calculations, that include the effect of two-photon-absorption, free carrier absorption and refractive index change indicate that up to >30 times spectral broadening is achievable in an optimized device. The broadening is due to self phase modulation and saturates due to two photon absorption. Additionally, we find that free carrier dynamics also contributes to the spectral broadening and cause the overall spectrum to be asymmetric with respect to the pump wavelength.

  4. Hybrid III-V Silicon Lasers

    Science.gov (United States)

    Bowers, John

    2014-03-01

    Abstract: A number of important breakthroughs in the past decade have focused attention on Si as a photonic platform. We review here recent progress in this field, focusing on efforts to make lasers, amplifiers, modulators and photodetectors on or in silicon. We also describe optimum quantum well design and distributed feedback cavity design to reduce the threshold and increase the efficiency and power output. The impact active silicon photonic integrated circuits could have on interconnects, telecommunications and on silicon electronics is reviewed. Biography: John Bowers holds the Fred Kavli Chair in Nanotechnology, and is the Director of the Institute for Energy Efficiency and a Professor in the Departments of Electrical and Computer Engineering and Materials at UCSB. He is a cofounder of Aurrion, Aerius Photonics and Calient Networks. Dr. Bowers received his M.S. and Ph.D. degrees from Stanford University and worked for AT&T Bell Laboratories and Honeywell before joining UC Santa Barbara. Dr. Bowers is a member of the National Academy of Engineering and a fellow of the IEEE, OSA and the American Physical Society. He is a recipient of the OSA/IEEE Tyndall Award, the OSA Holonyak Prize, the IEEE LEOS William Streifer Award and the South Coast Business and Technology Entrepreneur of the Year Award. He and coworkers received the EE Times Annual Creativity in Electronics (ACE) Award for Most Promising Technology for the hybrid silicon laser in 2007. Bowers' research is primarily in optoelectronics and photonic integrated circuits. He has published ten book chapters, 600 journal papers, 900 conference papers and has received 54 patents. He has published 180 invited papers and conference papers, and given 16 plenary talks at conferences. As well as Chong Zhang.

  5. Numerical Simulation Of Silicon-Ribbon Growth

    Science.gov (United States)

    Woda, Ben K.; Kuo, Chin-Po; Utku, Senol; Ray, Sujit Kumar

    1987-01-01

    Mathematical model includes nonlinear effects. In development simulates growth of silicon ribbon from melt. Takes account of entire temperature and stress history of ribbon. Numerical simulations performed with new model helps in search for temperature distribution, pulling speed, and other conditions favoring growth of wide, flat, relatively defect-free silicon ribbons for solar photovoltaic cells at economically attractive, high production rates. Also applicable to materials other than silicon.

  6. Silicon and Civilization,

    Science.gov (United States)

    1980-11-04

    of a diamond. 7. The particular physical and chemical properties of silicon resulted in the fact that in the periodic system it was found in the III...small quantities. Silica is found in blades of grass and grain, in reed and bamboo shoots, where it serves to stiffen the stalk. 2. Diatomite ... properties desired in technology. Quartz glass is very resistant to temperature change since it has a very small coefficient of thermal expansion, is

  7. Ion beam figuring of silicon aspheres

    Science.gov (United States)

    Demmler, Marcel; Zeuner, Michael; Luca, Alfonz; Dunger, Thoralf; Rost, Dirk; Kiontke, Sven; Krüger, Marcus

    2011-03-01

    Silicon lenses are widely used for infrared applications. Especially for portable devices the size and weight of the optical system are very important factors. The use of aspherical silicon lenses instead of spherical silicon lenses results in a significant reduction of weight and size. The manufacture of silicon lenses is more challenging than the manufacture of standard glass lenses. Typically conventional methods like diamond turning, grinding and polishing are used. However, due to the high hardness of silicon, diamond turning is very difficult and requires a lot of experience. To achieve surfaces of a high quality a polishing step is mandatory within the manufacturing process. Nevertheless, the required surface form accuracy cannot be achieved through the use of conventional polishing methods because of the unpredictable behavior of the polishing tools, which leads to an unstable removal rate. To overcome these disadvantages a method called Ion Beam Figuring can be used to manufacture silicon lenses with high surface form accuracies. The general advantage of the Ion Beam Figuring technology is a contactless polishing process without any aging effects of the tool. Due to this an excellent stability of the removal rate without any mechanical surface damage is achieved. The related physical process - called sputtering - can be applied to any material and is therefore also applicable to materials of high hardness like Silicon (SiC, WC). The process is realized through the commercially available ion beam figuring system IonScan 3D. During the process, the substrate is moved in front of a focused broad ion beam. The local milling rate is controlled via a modulated velocity profile, which is calculated specifically for each surface topology in order to mill the material at the associated positions to the target geometry. The authors will present aspherical silicon lenses with very high surface form accuracies compared to conventionally manufactured lenses.

  8. Silicon technology-based micro-systems for atomic force microscopy/photon scanning tunnelling microscopy.

    Science.gov (United States)

    Gall-Borrut, P; Belier, B; Falgayrettes, P; Castagne, M; Bergaud, C; Temple-Boyer, P

    2001-04-01

    We developed silicon nitride cantilevers integrating a probe tip and a wave guide that is prolonged on the silicon holder with one or two guides. A micro-system is bonded to a photodetector. The resulting hybrid system enables us to obtain simultaneously topographic and optical near-field images. Examples of images obtained on a longitudinal cross-section of an optical fibre are shown.

  9. Silicon Carbide Corrugated Mirrors for Space Telescopes, Phase I

    Data.gov (United States)

    National Aeronautics and Space Administration — Trex Enterprises Corporation (Trex) proposes technology development to manufacture monolithic, lightweight silicon carbide corrugated mirrors (SCCM) suitable for...

  10. Silicon Drift Detectors - A Novel Technology for Vertex Detectors

    Science.gov (United States)

    Lynn, D.

    1996-10-01

    Silicon Drift Detectors (SDD) are novel position sensing silicon detectors which operate in a manner analogous to gas drift detectors. Single SDD's were shown in the CERN NA45 experiment to permit excellent spatial resolution (pseudo-rapidity. Over the last three years we undertook a concentrated R+D effort to optimize the performance of the detector by minimizing the inactive area, the operating voltage and the data volume. We will present test results from several wafer prototypes. The charge produced by the passage of ionizing particles through the bulk of the detectors is collected on segmented anodes, with a pitch of 250 μm, on the far edges of the detector. The anodes are wire-bonded to a thick film multi-chip module which contains preamplifier/shaper chips and CMOS based switched capacitor arrays used as an analog memory pipeline. The ADC is located off-detector. The complete readout chain from the wafer to the DAQ will be presented. Finally we will show physics performance simulations based on the resolution achieved by the SVT prototypes.

  11. A review of oxide, silicon nitride, and silicon carbide brazing

    International Nuclear Information System (INIS)

    Santella, M.L.; Moorhead, A.J.

    1987-01-01

    There is growing interest in using ceramics for structural applications, many of which require the fabrication of components with complicated shapes. Normal ceramic processing methods restrict the shapes into which these materials can be produced, but ceramic joining technology can be used to overcome many of these limitations, and also offers the possibility for improving the reliability of ceramic components. One method of joining ceramics is by brazing. The metallic alloys used for bonding must wet and adhere to the ceramic surfaces without excessive reaction. Alumina, partially stabilized zirconia, and silicon nitride have high ionic character to their chemical bonds and are difficult to wet. Alloys for brazing these materials must be formulated to overcome this problem. Silicon carbide, which has some metallic characteristics, reacts excessively with many alloys, and forms joints of low mechanical strength. The brazing characteristics of these three types of ceramics, and residual stresses in ceramic-to-metal joints are briefly discussed

  12. Techniques for hot embossing microstructures on liquid silicone rubbers with fillers

    DEFF Research Database (Denmark)

    Vudayagiri, Sindhu; Yu, Liyun; Skov, Anne Ladegaard

    2015-01-01

    Embossing is an established process for the thermoplastic elastomers but not yet for the thermosetting elastomers. It has already been shown that hot embossing is a viable technology for imprinting microstructures in addition to curing thin silicone films at their gel point. It is one of the simp......Embossing is an established process for the thermoplastic elastomers but not yet for the thermosetting elastomers. It has already been shown that hot embossing is a viable technology for imprinting microstructures in addition to curing thin silicone films at their gel point. It is one...

  13. Implementation Challenges for Sintered Silicon Carbide Fiber Bonded Ceramic Materials for High Temperature Applications

    Science.gov (United States)

    Singh, M.

    2011-01-01

    During the last decades, a number of fiber reinforced ceramic composites have been developed and tested for various aerospace and ground based applications. However, a number of challenges still remain slowing the wide scale implementation of these materials. In addition to continuous fiber reinforced composites, other innovative materials have been developed including the fibrous monoliths and sintered fiber bonded ceramics. The sintered silicon carbide fiber bonded ceramics have been fabricated by the hot pressing and sintering of silicon carbide fibers. However, in this system reliable property database as well as various issues related to thermomechanical performance, integration, and fabrication of large and complex shape components has yet to be addressed. In this presentation, thermomechanical properties of sintered silicon carbide fiber bonded ceramics (as fabricated and joined) will be presented. In addition, critical need for manufacturing and integration technologies in successful implementation of these materials will be discussed.

  14. First human hNT neurons patterned on parylene-C/silicon dioxide substrates: Combining an accessible cell line and robust patterning technology for the study of the pathological adult human brain.

    Science.gov (United States)

    Unsworth, C P; Graham, E S; Delivopoulos, E; Dragunow, M; Murray, A F

    2010-12-15

    In this communication, we describe a new method which has enabled the first patterning of human neurons (derived from the human teratocarcinoma cell line (hNT)) on parylene-C/silicon dioxide substrates. We reveal the details of the nanofabrication processes, cell differentiation and culturing protocols necessary to successfully pattern hNT neurons which are each key aspects of this new method. The benefits in patterning human neurons on silicon chip using an accessible cell line and robust patterning technology are of widespread value. Thus, using a combined technology such as this will facilitate the detailed study of the pathological human brain at both the single cell and network level. Copyright © 2010 Elsevier B.V. All rights reserved.

  15. Solar technology assessment project. Volume 6: Photovoltaic technology assessment

    Science.gov (United States)

    Backus, C. E.

    1981-04-01

    Industrial production of photovoltaic systems and volume of sales are reviewed. Low cost silicon production techniques are reviewed, including the Czochralski process, heat exchange method, edge defined film fed growth, dentritic web growth, and silicon on ceramic process. Semicrystalline silicon, amorphous silicon, and low cost poly-silicon are discussed as well as advanced materials and concentrator systems. Balance of system components beyond those needed to manufacture the solar panels are included. Nontechnical factors are assessed. The 1986 system cost goals are briefly reviewed.

  16. Towards hybrid heterojunction silicon solar cells with organic charge carrier selective contacts

    OpenAIRE

    Jäckle, Sara Lisa

    2017-01-01

    Photovoltaic is an essential part of the needed global transition towards renewable energies. Even though many materials have good absorption and energy conversion properties, the market is dominated by technologies based on crystalline silicon. Silicon has the advantage of being neither toxic nor rare on earth and it is very well investigated due to its extensive use in microelectronics. The best power conversion efficiencies of silicon solar cells and modules are achieved by sophisticated d...

  17. Research and Application Progress of Silicone Rubber Materials in Aviation

    Directory of Open Access Journals (Sweden)

    HUANG Yanhua

    2016-06-01

    Full Text Available The research progress of heat resistance, cold resistance, electrical conductivity and damping properties of aviation silicone rubber were reviewed in this article. The heat resistance properties of silicone rubber can be enhanced by changing the molecular structure (main chain, end-group, side chain and molecular weight of the gum and adding special heat-resistance filler. The cold resistance of aviation silicone rubber can be enhanced by adjusting the side chain molecular structure of the gum and the content of different gum chain. The electrical conductivity of silicone rubber can be improved by optimizing, blending and dispersing of conductive particles. The damping property of silicone rubber can be improved by designing and synthesizing of high-molecular polysiloxane damping agent. Furthermore, the application of aviation silicone rubber used in high-low temperature seal, electrical conduction and vibration damping technology are also summarized, and the high performance (for example long-term high temperature resistance, ultralow temperature resistance, high electromagnetic shelding, long-term fatigue resistance vibration damping, quasi constant modulus and so on of special silicone rubber is the future direction of aviation silicone rubber.

  18. Hydrogenated amorphous silicon sensors based on thin film on ASIC technology

    CERN Document Server

    Despeisse, M; Anelli, G; Jarron, P; Kaplon, J; Rusack, R; Saramad, S; Wyrsch, N

    2006-01-01

    The performance and limitations of a novel detector technology based on the deposition of a thin-film sensor on top of processed integrated circuits have been studied. Hydrogenated amorphous silicon (a-Si:H) films have been deposited on top of CMOS circuits developed for these studies and the resulting "thin-film on ASIC" (TFA) detectors are presented. The leakage current of the a-Si:H sensor at high reverse biases turns out to be an important parameter limiting the performance of a TFA detector. Its detailed study and the pixel segmentation of the detector are presented. High internal electric fields (in the order of 10/sup 4/-10/sup 5/ V/cm) can be built in the a-Si:H sensor and overcome the low mobility of electrons and holes in a-Si:H. Signal induction by generated carrier motion and speed in the a-Si:H sensor have been studied with a 660 nm pulsed laser on a TFA detector based on an ASIC integrating 5 ns peaking time pre- amplifiers. The measurement set-up also permits to study the depletion of the senso...

  19. Thin-film photovoltaic technology

    Energy Technology Data Exchange (ETDEWEB)

    Bhattacharya, R.N. [National Renewable Energy Laboratory, Golden, CO (United States)

    2010-07-01

    The high material and processing costs associated with single-crystal and polycrystalline silicon wafers that are commonly used in photovoltaic cells render these modules expensive. This presentation described thin-film solar cell technology as a promising alternative to silicon solar cell technology. Cadmium telluride (CdTe) thin films along with copper, indium, gallium, and selenium (CIGS) thin films have become the leaders in this field. Their large optical absorption coefficient can be attributed to a direct energy gap that allows the use of thin layers (1-2 {mu}m) of active material. The efficiency of thin-film solar cell devices based on CIGS is 20 per cent, compared to 16.7 per cent for thin-film solar cell devices based on CdTe. IBM recently reported an efficiency of 9.7 per cent for a new type of inorganic thin-film solar cell based on a Cu{sub 2}ZnSn(S, Se){sub 4} compound. The efficiency of an organic thin-film solar cell is 7.9 per cent. This presentation included a graph of PV device efficiencies and discussed technological advances in non-vacuum deposited, CIGS-based thin-film solar cells. 1 fig.

  20. Silicon nanowire hot carrier electroluminescence

    Energy Technology Data Exchange (ETDEWEB)

    Plessis, M. du, E-mail: monuko@up.ac.za; Joubert, T.-H.

    2016-08-31

    Avalanche electroluminescence from silicon pn junctions has been known for many years. However, the internal quantum efficiencies of these devices are quite low due to the indirect band gap nature of the semiconductor material. In this study we have used reach-through biasing and SOI (silicon-on-insulator) thin film structures to improve the internal power efficiency and the external light extraction efficiency. Both continuous silicon thin film pn junctions and parallel nanowire pn junctions were manufactured using a custom SOI technology. The pn junctions are operated in the reach-through mode of operation, thus increasing the average electric field within the fully depleted region. Experimental results of the emission spectrum indicate that the most dominant photon generating mechanism is due to intraband hot carrier relaxation processes. It was found that the SOI nanowire light source external power efficiency is at least an order of magnitude better than the comparable bulk CMOS (Complementary Metal Oxide Semiconductor) light source. - Highlights: • We investigate effect of electric field on silicon avalanche electroluminescence. • With reach-through pn junctions the current and carrier densities are kept constant. • Higher electric fields increase short wavelength radiation. • Higher electric fields decrease long wavelength radiation. • The effect of the electric field indicates intraband transitions as main mechanism.

  1. Mid-infrared integrated photonics on silicon: a perspective

    Directory of Open Access Journals (Sweden)

    Lin Hongtao

    2017-12-01

    Full Text Available The emergence of silicon photonics over the past two decades has established silicon as a preferred substrate platform for photonic integration. While most silicon-based photonic components have so far been realized in the near-infrared (near-IR telecommunication bands, the mid-infrared (mid-IR, 2–20-μm wavelength band presents a significant growth opportunity for integrated photonics. In this review, we offer our perspective on the burgeoning field of mid-IR integrated photonics on silicon. A comprehensive survey on the state-of-the-art of key photonic devices such as waveguides, light sources, modulators, and detectors is presented. Furthermore, on-chip spectroscopic chemical sensing is quantitatively analyzed as an example of mid-IR photonic system integration based on these basic building blocks, and the constituent component choices are discussed and contrasted in the context of system performance and integration technologies.

  2. Silicone metalization

    Energy Technology Data Exchange (ETDEWEB)

    Maghribi, Mariam N. (Livermore, CA); Krulevitch, Peter (Pleasanton, CA); Hamilton, Julie (Tracy, CA)

    2008-12-09

    A system for providing metal features on silicone comprising providing a silicone layer on a matrix and providing a metal layer on the silicone layer. An electronic apparatus can be produced by the system. The electronic apparatus comprises a silicone body and metal features on the silicone body that provide an electronic device.

  3. Wafer scale nano-membrane supported on a silicon microsieve using thin-film transfer technology

    NARCIS (Netherlands)

    Unnikrishnan, S.; Jansen, Henricus V.; Berenschot, Johan W.; Elwenspoek, Michael Curt

    A new micromachining method to fabricate wafer scale nano-membranes is described. The delicate thin-film nano-membrane is supported on a robust silicon microsieve fabricated by plasma etching. The silicon sieve is micromachined independently of the thin-film, which is later transferred onto it by

  4. Biological and medical sensor technologies

    CERN Document Server

    Iniewski, Krzysztof

    2012-01-01

    Biological and Medical Sensor Technologies presents contributions from top experts who explore the development and implementation of sensors for various applications used in medicine and biology. Edited by a pioneer in the area of advanced semiconductor materials, the book is divided into two sections. The first part covers sensors for biological applications. Topics include: Advanced sensing and communication in the biological world DNA-derivative architectures for long-wavelength bio-sensing Label-free silicon photonics Quartz crystal microbalance-based biosensors Lab-on-chip technologies fo

  5. Micromachined silicon seismic accelerometer development

    Energy Technology Data Exchange (ETDEWEB)

    Barron, C.C.; Fleming, J.G.; Montague, S. [and others

    1996-08-01

    Batch-fabricated silicon seismic transducers could revolutionize the discipline of seismic monitoring by providing inexpensive, easily deployable sensor arrays. Our ultimate goal is to fabricate seismic sensors with sensitivity and noise performance comparable to short-period seismometers in common use. We expect several phases of development will be required to accomplish that level of performance. Traditional silicon micromachining techniques are not ideally suited to the simultaneous fabrication of a large proof mass and soft suspension, such as one needs to achieve the extreme sensitivities required for seismic measurements. We have therefore developed a novel {open_quotes}mold{close_quotes} micromachining technology that promises to make larger proof masses (in the 1-10 mg range) possible. We have successfully integrated this micromolding capability with our surface-micromachining process, which enables the formation of soft suspension springs. Our calculations indicate that devices made in this new integrated technology will resolve down to at least sub-{mu}G signals, and may even approach the 10{sup -10} G/{radical}Hz acceleration levels found in the low-earth-noise model.

  6. Silicon waveguides produced by wafer bonding

    DEFF Research Database (Denmark)

    Poulsen, Mette; Jensen, Flemming; Bunk, Oliver

    2005-01-01

    X-ray waveguides are successfully produced employing standard silicon technology of UV photolithography and wafer bonding. Contrary to theoretical expectations for similar systems even 100 mu m broad guides of less than 80 nm height do not collapse and can be used as one dimensional waveguides...

  7. Plasma-made silicon nanograss and related nanostructures

    International Nuclear Information System (INIS)

    Shieh, Jiann; Ravipati, Srikanth; Ko, Fu-Hsiang; Ostrikov, Kostya

    2011-01-01

    Plasma-made nanostructures show outstanding potential for applications in nanotechnology. This paper provides a concise overview on the progress of plasma-based synthesis and applications of silicon nanograss and related nanostructures. The materials described here include black silicon, Si nanotips produced using a self-masking technique as well as self-organized silicon nanocones and nanograss. The distinctive features of the Si nanograss, two-tier hierarchical and tilted nanograss structures are discussed. Specific applications based on the unique features of the silicon nanograss are also presented.

  8. Quantitative analyses of impurity silicon-carbide (SiC) and high-purity-titanium by neutron activation analyses based on k0-standardization method. Development of irradiation silicon technology in productivity using research reactor (Joint research)

    International Nuclear Information System (INIS)

    Motohashi, Jun; Takahashi, Hiroyuki; Magome, Hirokatsu; Sasajima, Fumio; Tokunaga, Okihiro; Kawasaki, Kozo; Onizawa, Koji; Isshiki, Masahiko

    2009-07-01

    JRR-3 and JRR-4 have been providing neutron-transmutation-doped silicon (NTD-Si) by using the silicon NTD process, which is a method to produce a high quality semiconductor. The domestic supply of NTD-Si is insufficient for the demand, and the market of NTD-Si is significantly growing at present. It is very important to increase achieve the production. To fulfill the requirement, we have been investigating a neutron filter, which is made of high-purity-titanium, for uniform doping. Silicon-carbide (SiC) semiconductor doped with NTD technology is considered suitable for high power devices with superior performances to conventional Si-based devices. We are very interested in the SiC as well. This report presents the results obtained after the impurity contents in the high-purity-titanium and SiC were analyzed by neutron activation analyses (NAA) using k 0 -standardization method. There were 6 and 9 impurity elements detected from the high-purity-titanium and SiC, respectively. Among those Sc from the high-purity-titanium and Fe from SiC were comparatively long half life nuclides. From the viewpoint of exposure in handling them, we need to examine the impurity control of materials. (author)

  9. Oxygen defect processes in silicon and silicon germanium

    KAUST Repository

    Chroneos, A.

    2015-06-18

    Silicon and silicon germanium are the archetypical elemental and alloy semiconductor materials for nanoelectronic, sensor, and photovoltaic applications. The investigation of radiation induced defects involving oxygen, carbon, and intrinsic defects is important for the improvement of devices as these defects can have a deleterious impact on the properties of silicon and silicon germanium. In the present review, we mainly focus on oxygen-related defects and the impact of isovalent doping on their properties in silicon and silicon germanium. The efficacy of the isovalent doping strategies to constrain the oxygen-related defects is discussed in view of recent infrared spectroscopy and density functional theory studies.

  10. Oxygen defect processes in silicon and silicon germanium

    KAUST Repository

    Chroneos, A.; Sgourou, E. N.; Londos, C. A.; Schwingenschlö gl, Udo

    2015-01-01

    Silicon and silicon germanium are the archetypical elemental and alloy semiconductor materials for nanoelectronic, sensor, and photovoltaic applications. The investigation of radiation induced defects involving oxygen, carbon, and intrinsic defects is important for the improvement of devices as these defects can have a deleterious impact on the properties of silicon and silicon germanium. In the present review, we mainly focus on oxygen-related defects and the impact of isovalent doping on their properties in silicon and silicon germanium. The efficacy of the isovalent doping strategies to constrain the oxygen-related defects is discussed in view of recent infrared spectroscopy and density functional theory studies.

  11. Fiscal 1998 New Sunshine Program achievement report. Development for practical application of photovoltaic system - Development of thin-film solar cell manufacturing technology (Development of low-cost large-area module manufacturing technology - Development of application type novel-structure thin-film solar cell manufacturing technology - Development of amorphous silicon/thin-film polycrystalline silicon hybrid thin-film solar cell manufacturing technology); 1998 nendo taiyoko hatsuden system jitsuyoka gijutsu kaihatsu seika hokokusho. Usumaku taiyo denchi no seizo gijutsu kaihatsu / tei cost daimenseki module seizo gijutsu kaihatsu (oyogata shinkozo usumaku taiyo denchi no seizo gijutsu kaihatsu / amorphous silicon/usumaku takessho silicon hybrid usumaku taiyo denchi no seizo gijutsu kaihatsu)

    Energy Technology Data Exchange (ETDEWEB)

    NONE

    1999-03-01

    The project aims to manufacture the above for the development of low-cost high-efficiency practical cells. Technologies were developed to homogeneously fabricate films with an average efficiency of 10% or more in a 100mm times 85mm area in a STAR (naturally surface texture and enhanced absorption with a back reflector) structure thin-film polycrystalline silicon (poly-Si) solar cell. The texture shape was improved for a higher light trapping effect and a STAR structure cell highly sensitive to long wavelengths and fit for use for a hybrid cell bottom layer was obtained. Various cells were examined for temperature characteristics, and it was found that thin-film poly-Si cells present a temperature coefficient equal to or less than that of bulk single-crystal silicon systems, and hybrid cells a temperature coefficient similar to that of a-Si systems. The technology was applied to a hybrid solar cell in which an a-Si cell was placed on STAR structure thin film poly-Si cells, and a resultant 3-layer a-Si/poly-Si/poly-Si cell exhibited a stabilization factor of 12.0% after 550 hours of optical irradiation. (NEDO)

  12. Electronic transport in mixed-phase hydrogenated amorphous/nanocrystalline silicon thin films

    Science.gov (United States)

    Wienkes, Lee Raymond

    Interest in mixed-phase silicon thin film materials, composed of an amorphous semiconductor matrix in which nanocrystalline inclusions are embedded, stems in part from potential technological applications, including photovoltaic and thin film transistor technologies. Conventional mixed-phase silicon films are produced in a single plasma reactor, where the conditions of the plasma must be precisely tuned, limiting the ability to adjust the film and nanoparticle parameters independently. The films presented in this thesis are deposited using a novel dual-plasma co-deposition approach in which the nanoparticles are produced separately in an upstream reactor and then injected into a secondary reactor where an amorphous silicon film is being grown. The degree of crystallinity and grain sizes of the films are evaluated using Raman spectroscopy and X-ray diffraction respectively. I describe detailed electronic measurements which reveal three distinct conduction mechanisms in n-type doped mixed-phase amorphous/nanocrystalline silicon thin films over a range of nanocrystallite concentrations and temperatures, covering the transition from fully amorphous to ~30% nanocrystalline. As the temperature is varied from 470 to 10 K, we observe activated conduction, multiphonon hopping (MPH) and Mott variable range hopping (VRH) as the nanocrystal content is increased. The transition from MPH to Mott-VRH hopping around 100K is ascribed to the freeze out of the phonon modes. A conduction model involving the parallel contributions of these three distinct conduction mechanisms is shown to describe both the conductivity and the reduced activation energy data to a high accuracy. Additional support is provided by measurements of thermal equilibration effects and noise spectroscopy, both done above room temperature (>300 K). This thesis provides a clear link between measurement and theory in these complex materials.

  13. Annual Technology Baseline (Including Supporting Data); NREL (National Renewable Energy Laboratory)

    Energy Technology Data Exchange (ETDEWEB)

    Blair, Nate; Cory, Karlynn; Hand, Maureen; Parkhill, Linda; Speer, Bethany; Stehly, Tyler; Feldman, David; Lantz, Eric; Augusting, Chad; Turchi, Craig; O' Connor, Patrick

    2015-07-08

    Consistent cost and performance data for various electricity generation technologies can be difficult to find and may change frequently for certain technologies. With the Annual Technology Baseline (ATB), National Renewable Energy Laboratory provides an organized and centralized dataset that was reviewed by internal and external experts. It uses the best information from the Department of Energy laboratory's renewable energy analysts and Energy Information Administration information for conventional technologies. The ATB will be updated annually in order to provide an up-to-date repository of current and future cost and performance data. Going forward, we plan to revise and refine the values using best available information. The ATB includes both a presentation with notes (PDF) and an associated Excel Workbook. The ATB includes the following electricity generation technologies: land-based wind; offshore wind; utility-scale solar PV; concentrating solar power; geothermal power; hydropower plants (upgrades to existing facilities, powering non-powered dams, and new stream-reach development); conventional coal; coal with carbon capture and sequestration; integrated gasification combined cycle coal; natural gas combustion turbines; natural gas combined cycle; conventional biopower. Nuclear laboratory's renewable energy analysts and Energy Information Administration information for conventional technologies. The ATB will be updated annually in order to provide an up-to-date repository of current and future cost and performance data. Going forward, we plan to revise and refine the values using best available information.

  14. Direct modification of silicon surface by nanosecond laser interference lithography

    Energy Technology Data Exchange (ETDEWEB)

    Wang, Dapeng [JR3CN and CNM (Changchun University of Science and Technology), Changchun 130022 (China); JR3CN and IRAC (University of Bedfordshire), Luton LU1 3JU (United Kingdom); Wang, Zuobin, E-mail: wangz@cust.edu.cn [JR3CN and CNM (Changchun University of Science and Technology), Changchun 130022 (China); JR3CN and IRAC (University of Bedfordshire), Luton LU1 3JU (United Kingdom); Zhang, Ziang [JR3CN and CNM (Changchun University of Science and Technology), Changchun 130022 (China); Yue, Yong [JR3CN and CNM (Changchun University of Science and Technology), Changchun 130022 (China); JR3CN and IRAC (University of Bedfordshire), Luton LU1 3JU (United Kingdom); Li, Dayou [JR3CN and IRAC (University of Bedfordshire), Luton LU1 3JU (United Kingdom); Maple, Carsten [JR3CN and CNM (Changchun University of Science and Technology), Changchun 130022 (China); JR3CN and IRAC (University of Bedfordshire), Luton LU1 3JU (United Kingdom)

    2013-10-01

    Periodic and quasi-periodic structures on silicon surface have numerous significant applications in photoelectronics and surface engineering. A number of technologies have been developed to fabricate the structures in various research fields. In this work, we take the strategy of direct nanosecond laser interference lithography technology, and focus on the silicon material to create different well-defined surface structures based on theoretical analysis of the formation of laser interference patterns. Two, three and four-beam laser interference systems were set up to fabricate the grating, regular triangle and square structures on silicon surfaces, respectively. From the AFM micrographs, the critical features of structures have a dependence on laser fluences. For a relative low laser fluence, grating and dot structures formed with bumps due to the Marangoni Effect. With the increase of laser fluences, melt and evaporation behaviors can be responsible for the laser modification. By properly selecting the process parameters, well-defined grating and dot structures can been achieved. It can be demonstrated that direct laser interference lithography is a facile and efficient technology with the advantage of a single process procedure over macroscale areas for the fabrication of micro and nano structures.

  15. ESSenTIAL: EPIXfab services specifically targeting (SME) industrial takeup of advanced silicon photonics

    NARCIS (Netherlands)

    Pozo Torres, J.M.; Kumar, P.; Lo Cascio, D.M.R.; Khanna, A.; Dumon, P.; Delbeke, D.; Baets, R.; Fournier, M.; Fedeli, J.-M.; Fulbert, L.; Zimmermann, L.; Tillack, B.; Tian, H.; Aalto, T.; O'Brien, P.; Deptuck, D.; Xu, J.; Zhang, X.; Gale, D.

    2012-01-01

    ePIXfab brings silicon photonics within reach of European small and medium sized enterprises, thereby building on its track record and its integration into Europractice. To this end, ePIXfab offers affordable access to standardized active and passive silicon photonic IC and packaging technology, a

  16. Preparation and Characterisation of Amorphous-silicon Photovoltaic Devices Having Microcrystalline Emitters

    International Nuclear Information System (INIS)

    Gutierrez, M. T.; Gandia, J. J.; Carabe, J.

    1999-01-01

    The present work summarises the essential aspects of the research carried out so far at CIEMAT on amorphous-silicon solar cells. The experience accumulated on the preparation and characterisation of amorphous and microcrystalline silicon has allowed to start from intrinsic (absorbent) and p- and n-type (emitters) materials not only having excellent optoelectronic properties, but enjoying certain technological advantages with respect to those developed by other groups. Among these are absorbent-layer growth rates between 5 and 10 times as fast as conventional ones and microcrystalline emitters prepared without using hydrogen. The preparation of amorphous-silicon cells has required the solution of a number of problems, such as those related to pinholes, edge leak currents and diffusion of metals into the semiconductor. Once such constraints have been overcome, it has been demonstrated not only that the amorphous-silicon technology developed at CIEMAT is valid for making solar cells, but also that the quality of the semiconductor material is good for the application according to the partial results obtained. The development of thin-film laser-scribing technology is considered essential. Additionally it has been concluded that cross contamination, originated by the fact of using a single-chamber reactor, is the basic factor limiting the quality of the cells developed at CIEMAT. The present research activity is highly focused on the solution of this problem. (Author)23 refs

  17. Silicon deposition in nanopores using a liquid precursor

    Science.gov (United States)

    Masuda, Takashi; Tatsuda, Narihito; Yano, Kazuhisa; Shimoda, Tatsuya

    2016-11-01

    Techniques for depositing silicon into nanosized spaces are vital for the further scaling down of next-generation devices in the semiconductor industry. In this study, we filled silicon into 3.5-nm-diameter nanopores with an aspect ratio of 70 by exploiting thermodynamic behaviour based on the van der Waals energy of vaporized cyclopentasilane (CPS). We originally synthesized CPS as a liquid precursor for semiconducting silicon. Here we used CPS as a gas source in thermal chemical vapour deposition under atmospheric pressure because vaporized CPS can fill nanopores spontaneously. Our estimation of the free energy of CPS based on Lifshitz van der Waals theory clarified the filling mechanism, where CPS vapour in the nanopores readily undergoes capillary condensation because of its large molar volume compared to those of other vapours such as water, toluene, silane, and disilane. Consequently, a liquid-specific feature was observed during the deposition process; specifically, condensed CPS penetrated into the nanopores spontaneously via capillary force. The CPS that filled the nanopores was then transformed into solid silicon by thermal decomposition at 400 °C. The developed method is expected to be used as a nanoscale silicon filling technology, which is critical for the fabrication of future quantum scale silicon devices.

  18. Investigation of optimized experimental parameters including laser wavelength for boron measurement in photovoltaic grade silicon using laser-induced breakdown spectroscopy

    International Nuclear Information System (INIS)

    Darwiche, S.; Benmansour, M.; Eliezer, N.; Morvan, D.

    2010-01-01

    The quantification of boron and other impurities in photovoltaic grade silicon was investigated using the LIBS technique with attention to the laser wavelength employed, temporal parameters, and the nature of the ambient gas. The laser wavelength was found to have a moderate effect on the performance of the process, while the type of purge gas and temporal parameters had a strong effect on the signal-to-background ratio (SBR) of the boron spectral emission, which was used to determine the boron concentration in silicon. The three parameters are not independent, meaning that for each different purge gas, different optimal temporal parameters are observed. Electron density was also calculated from Stark broadening of the 390.5 nm silicon emission line in order to better understand the different performances observed when using different gases and gating parameters. Calibration curves were made for boron measurement in silicon using certified standards with different purge gases while using the temporal parameters which had been optimized for that gas. By comparing the calibration curves, it was determined that argon is superior to helium or air for use as the analysis chamber purge gas with an UV laser.

  19. Design, characterization and beam test performance of different silicon microstrip detector geometries

    International Nuclear Information System (INIS)

    Catacchini, E.; Ciampolini, L.; Civinini, C.; D'Alessandro, R.; Focardi, E.; Lenzi, M.; Meschini, M.; Parrini, G.; Pieri, M.

    1998-01-01

    During the last few years a large number of silicon microstrip detectors has been especially designed and tested in order to study and optimize the performances of the tracking devices to be used in the forward-backward part of the CMS (technical proposal, CERN/LHCC 94-38 LHCC/Pl, 15 December 1994) experiment. Both single and double sided silicon detectors of a trapezoidal ('wedge') shape and with different strip configurations, including prototypes produced with double metal technology, were characterized in the laboratory and tested using high-energy beams. Furthermore, due to the high-radiation environment where the detectors should operate, particular care was devoted to the study of the characteristics of heavily irradiated detectors. The main results of detector performances (charge response, signal-to-noise ratio, spatial resolution etc.) will be reviewed and discussed. (author)

  20. Functional silicone copolymers and elastomers with high dielectric permittivity

    DEFF Research Database (Denmark)

    Madsen, Frederikke Bahrt; Daugaard, Anders Egede; Hvilsted, Søren

    Dielectric elastomers (DEs) are a new and promising transducer technology and are often referred to as ‘artificial muscles’, due to their ability to undergo large deformations when stimulated by electric fields. DEs consist of a soft and thin elastomeric film sandwiched between compliant electrodes......, thereby forming a capacitor [1]. Silicone elastomers are one of the most used materials for DEs due to their high efficiency, fast response times and low viscous losses. The major disadvantage of silicone elastomers is that they possess relatively low dielectric permittivity, which means that a high...... electrical field is necessary to operate the DE. The necessary electrical field can be lowered by creating silicone elastomers with higher dielectric permittivity, i.e. with a higher energy density.The aim of this work is to create new and improved silicone elastomers with high dielectric permittivity...

  1. Design, fabrication, and characterization of silicon pore optics for ATHENA/IXO

    DEFF Research Database (Denmark)

    Collon, Maximilien J.; Günther, Ramses; Ackermann, Marcelo

    2011-01-01

    Silicon pore optics is a technology developed to enable future large area X-ray telescopes, such as the International X-ray Observatory (IXO) or the Advanced Telescope for High ENergy Astrophysics (ATHENA), an L-class candidate mission in the ESA Space Science Programme 'Cosmic Visions 2015-2025'...... integrated into petals, and mounted onto the spacecraft to form an X-ray optic. In this paper we will present the silicon pore optics mass manufacturing process and latest X-ray test results.......-2025'. ATHENA/IXO use nested mirrors in Wolter-I configuration to focus grazing incidence X-ray photons on a detector plane. The x-ray optics will have to meet stringent performance requirements including an effective area of a few m2 at 1.25 keV and angular resolution between 5(IXO) and 9(ATHENA) arc seconds...

  2. Performance analysis of communication links based on VCSEL and silicon photonics technology for high-capacity data-intensive scenario.

    Science.gov (United States)

    Boletti, A; Boffi, P; Martelli, P; Ferrario, M; Martinelli, M

    2015-01-26

    To face the increased demand for bandwidth, cost-effectiveness and simplicity of future Ethernet data communications, a comparison between two different solutions based on directly-modulated VCSEL sources and Silicon Photonics technologies is carried out. Also by exploiting 4-PAM modulation, the transmission of 50-Gb/s and beyond capacity per channel is analyzed by means of BER performance. Applications for optical backplane, very short reach and in case of client-optics networks and intra and inter massive data centers communications (up to 10 km) are taken into account. A comparative analysis based on the power consumption is also proposed.

  3. Enhanced Electroluminescence from Silicon Quantum Dots Embedded in Silicon Nitride Thin Films Coupled with Gold Nanoparticles in Light Emitting Devices

    Directory of Open Access Journals (Sweden)

    Ana Luz Muñoz-Rosas

    2018-03-01

    Full Text Available Nowadays, the use of plasmonic metal layers to improve the photonic emission characteristics of several semiconductor quantum dots is a booming tool. In this work, we report the use of silicon quantum dots (SiQDs embedded in a silicon nitride thin film coupled with an ultra-thin gold film (AuNPs to fabricate light emitting devices. We used the remote plasma enhanced chemical vapor deposition technique (RPECVD in order to grow two types of silicon nitride thin films. One with an almost stoichiometric composition, acting as non-radiative spacer; the other one, with a silicon excess in its chemical composition, which causes the formation of silicon quantum dots imbibed in the silicon nitride thin film. The ultra-thin gold film was deposited by the direct current (DC-sputtering technique, and an aluminum doped zinc oxide thin film (AZO which was deposited by means of ultrasonic spray pyrolysis, plays the role of the ohmic metal-like electrode. We found that there is a maximum electroluminescence (EL enhancement when the appropriate AuNPs-spacer-SiQDs configuration is used. This EL is achieved at a moderate turn-on voltage of 11 V, and the EL enhancement is around four times bigger than the photoluminescence (PL enhancement of the same AuNPs-spacer-SiQDs configuration. From our experimental results, we surmise that EL enhancement may indeed be due to a plasmonic coupling. This kind of silicon-based LEDs has the potential for technology transfer.

  4. Advanced TEM Characterization for the Development of 28-14nm nodes based on fully-depleted Silicon-on-Insulator Technology

    International Nuclear Information System (INIS)

    Servanton, G; Clement, L; Lepinay, K; Lorut, F; Pantel, R; Pofelski, A; Bicais, N

    2013-01-01

    The growing demand for wireless multimedia applications (smartphones, tablets, digital cameras) requires the development of devices combining both high speed performances and low power consumption. A recent technological breakthrough making a good compromise between these two antagonist conditions has been proposed: the 28-14nm CMOS transistor generations based on a fully-depleted Silicon-on-Insulator (FD-SOI) performed on a thin Si film of 5-6nm. In this paper, we propose to review the TEM characterization challenges that are essential for the development of extremely power-efficient System on Chip (SoC)

  5. Doping of silicon carbide by ion implantation

    International Nuclear Information System (INIS)

    Gimbert, J.

    1999-01-01

    It appeared that in some fields, as the hostile environments (high temperature or irradiation), the silicon compounds showed limitations resulting from the electrical and mechanical properties. Doping of 4H and 6H silicon carbide by ion implantation is studied from a physicochemical and electrical point of view. It is necessary to obtain n-type and p-type material to realize high power and/or high frequency devices, such as MESFETs and Schottky diodes. First, physical and electrical properties of silicon carbide are presented and the interest of developing a process technology on this material is emphasised. Then, physical characteristics of ion implantation and particularly classical dopant implantation, such as nitrogen, for n-type doping, and aluminium and boron, for p-type doping are described. Results with these dopants are presented and analysed. Optimal conditions are extracted from these experiences so as to obtain a good crystal quality and a surface state allowing device fabrication. Electrical conduction is then described in the 4H and 6H-SiC polytypes. Freezing of free carriers and scattering processes are described. Electrical measurements are carried out using Hall effect on Van der Panw test patterns, and 4 point probe method are used to draw the type of the material, free carrier concentrations, resistivity and mobility of the implanted doped layers. These results are commented and compared to the theoretical analysis. The influence of the technological process on electrical conduction is studied in view of fabricating implanted silicon carbide devices. (author)

  6. Radiation-hardened bulk CMOS technology

    International Nuclear Information System (INIS)

    Dawes, W.R. Jr.; Habing, D.H.

    1979-01-01

    The evolutionary development of a radiation-hardened bulk CMOS technology is reviewed. The metal gate hardened CMOS status is summarized, including both radiation and reliability data. The development of a radiation-hardened bulk silicon gate process which was successfully implemented to a commercial microprocessor family and applied to a new, radiation-hardened, LSI standard cell family is also discussed. The cell family is reviewed and preliminary characterization data is presented. Finally, a brief comparison of the various radiation-hardened technologies with regard to performance, reliability, and availability is made

  7. A review of recent progress in heterogeneous silicon tandem solar cells

    Science.gov (United States)

    Yamaguchi, Masafumi; Lee, Kan-Hua; Araki, Kenji; Kojima, Nobuaki

    2018-04-01

    Silicon solar cells are the most established solar cell technology and are expected to dominate the market in the near future. As state-of-the-art silicon solar cells are approaching the Shockley-Queisser limit, stacking silicon solar cells with other photovoltaic materials to form multi-junction devices is an obvious pathway to further raise the efficiency. However, many challenges stand in the way of fully realizing the potential of silicon tandem solar cells because heterogeneously integrating silicon with other materials often degrades their qualities. Recently, above or near 30% silicon tandem solar cell has been demonstrated, showing the promise of achieving high-efficiency and low-cost solar cells via silicon tandem. This paper reviews the recent progress of integrating solar cell with other mainstream solar cell materials. The first part of this review focuses on the integration of silicon with III-V semiconductor solar cells, which is a long-researched topic since the emergence of III-V semiconductors. We will describe the main approaches—heteroepitaxy, wafer bonding and mechanical stacking—as well as other novel approaches. The second part introduces the integration of silicon with polycrystalline thin-film solar cells, mainly perovskites on silicon solar cells because of its rapid progress recently. We will also use an analytical model to compare the material qualities of different types of silicon tandem solar cells and project their practical efficiency limits.

  8. 11th Workshop on Crystalline Silicon Solar Cell Materials and Processes, Extended Abstracts and Papers, 19-22 August 2001, Estes Park, Colorado

    Energy Technology Data Exchange (ETDEWEB)

    Sopori, B.

    2001-08-16

    The 11th Workshop will provide a forum for an informal exchange of technical and scientific information between international researchers in the photovoltaic and non-photovoltaic fields. Discussions will include the various aspects of impurities and defects in silicon--their properties, the dynamics during device processing, and their application for developing low-cost processes for manufacturing high-efficiency silicon solar cells. Sessions and panel discussions will review impurities and defects in crystalline-silicon PV, advanced cell structures, new processes and process characterization techniques, and future manufacturing demands. The workshop will emphasize some of the promising new technologies in Si solar cell fabrication that can lower PV energy costs and meet the throughput demands of the future. The three-day workshop will consist of presentations by invited speakers, followed by discussion sessions. Topics to be discussed are: Si Mechanical properties and Wafer Handling, Advanced Topics in PV Fundamentals, Gettering and Passivation, Impurities and Defects, Advanced Emitters, Crystalline Silicon Growth, and Solar Cell Processing. The workshop will also include presentations by NREL subcontractors who will review the highlights of their research during the current subcontract period. In addition, there will be two poster sessions presenting the latest research and development results. Some presentations will address recent technologies in the microelectronics field that may have a direct bearing on PV.

  9. Silicon solar cell - from R and D to production

    International Nuclear Information System (INIS)

    Akhter, P.

    1995-01-01

    During last 30 years tremendous research and development efforts have concluded that tech-economically silicon is the most suitable material for the manufacturing of solar cells and a number of achievements have been made in the processing of both the materials nd devices. A number of novel structure have been designed and fabricated. The crystalline silicon technology has now become mature enough and is ready to take off from R/D laboratories to large scale fabrication. At laboratory scale the performance of monocrystalline silicon cells have already reached very close to the theoretical value. However the processing cost and efficiency being complimentary, the commercial cells, as a trade off, have to compromise at rather lower efficiencies. Further efforts of lowering the processing cost of both the material and devices are in progress. At the same time attempts are being made to understand the physics of all those factors that limit the efficiency; develop the technologies to eliminate or optimize such effects to reach limiting efficiency with lowest possible cost. All such factors, along with the development will be discussed. (author)

  10. Considerations for Solar Energy Technologies to Make Progress Towards Grid Price Parity

    Energy Technology Data Exchange (ETDEWEB)

    Woodhouse, Michael; Fu, Ran; Chung, Donald; Horowitz, Kelsey; Remo, Timothy; Feldman, David; Margolis, Robert

    2015-11-07

    In this seminar the component costs for solar photovoltaics module and system prices will be highlighted. As a basis for comparison to other renewable and traditional energy options, the metric of focus will be total lifecycle cost-of-energy (LCOE). Several innovations to traditional photovoltaics technologies (including crystalline silicon, CdTe, and CIGS) and developing technologies (including organics and perovskites) that may close the gaps in LCOE will be discussed.

  11. Printed Barium Strontium Titanate capacitors on silicon

    Energy Technology Data Exchange (ETDEWEB)

    Sette, Daniele [Univ. Grenoble Alpes, F-38000 Grenoble (France); CEA, LETI, MINATEC Campus, F-38054 Grenoble (France); Luxembourg Institute of Science and Technology LIST, Materials Research and Technology Department, L-4422 Belvaux (Luxembourg); Kovacova, Veronika [Univ. Grenoble Alpes, F-38000 Grenoble (France); CEA, LETI, MINATEC Campus, F-38054 Grenoble (France); Defay, Emmanuel, E-mail: emmanuel.defay@list.lu [Univ. Grenoble Alpes, F-38000 Grenoble (France); CEA, LETI, MINATEC Campus, F-38054 Grenoble (France); Luxembourg Institute of Science and Technology LIST, Materials Research and Technology Department, L-4422 Belvaux (Luxembourg)

    2015-08-31

    In this paper, we show that Barium Strontium Titanate (BST) films can be prepared by inkjet printing of sol–gel precursors on platinized silicon substrate. Moreover, a functional variable capacitor working in the GHz range has been made without any lithography or etching steps. Finally, this technology requires 40 times less precursors than the standard sol–gel spin-coating technique. - Highlights: • Inkjet printing of Barium Strontium Titanate films • Deposition on silicon substrate • Inkjet printed silver top electrode • First ever BST films thinner than 1 μm RF functional variable capacitor that has required no lithography.

  12. Evolutionary process development towards next generation crystalline silicon solar cells : a semiconductor process toolbox application

    Directory of Open Access Journals (Sweden)

    Tous L.

    2012-08-01

    Full Text Available Bulk crystalline Silicon solar cells are covering more than 85% of the world’s roof top module installation in 2010. With a growth rate of over 30% in the last 10 years this technology remains the working horse of solar cell industry. The full Aluminum back-side field (Al BSF technology has been developed in the 90’s and provides a production learning curve on module price of constant 20% in average. The main reason for the decrease of module prices with increasing production capacity is due to the effect of up scaling industrial production. For further decreasing of the price per wattpeak silicon consumption has to be reduced and efficiency has to be improved. In this paper we describe a successive efficiency improving process development starting from the existing full Al BSF cell concept. We propose an evolutionary development includes all parts of the solar cell process: optical enhancement (texturing, polishing, anti-reflection coating, junction formation and contacting. Novel processes are benchmarked on industrial like baseline flows using high-efficiency cell concepts like i-PERC (Passivated Emitter and Rear Cell. While the full Al BSF crystalline silicon solar cell technology provides efficiencies of up to 18% (on cz-Si in production, we are achieving up to 19.4% conversion efficiency for industrial fabricated, large area solar cells with copper based front side metallization and local Al BSF applying the semiconductor toolbox.

  13. 3D, Flash, Induced Current Readout for Silicon Sensors

    Energy Technology Data Exchange (ETDEWEB)

    Parker, Sherwood I. [Univ. of Hawaii, Honolulu, HI (United States)

    2014-06-07

    A new method for silicon microstrip and pixel detector readout using (1) 65 nm-technology current amplifers which can, for the first time with silicon microstrop and pixel detectors, have response times far shorter than the charge collection time (2) 3D trench electrodes large enough to subtend a reasonable solid angle at most track locations and so have adequate sensitivity over a substantial volume of pixel, (3) induced signals in addition to, or in place of, collected charge

  14. Cerebral migration of intraocular silicone oil: an MRI study

    DEFF Research Database (Denmark)

    Kiilgaard, Jens Folke; Milea, Dan; Løgager, Vibeke

    2011-01-01

    for retinal detachment. Methods: Nineteen patients included in this study were referred for silicone oil removal after uncomplicated retinal detachment surgery using internal silicone oil tamponade. Patients with a previous history of intraocular silicone oil, glaucoma or optic pit were excluded. After...

  15. Laminated Amorphous Silicon Neutron Detector (pre-print)

    International Nuclear Information System (INIS)

    McHugh, Harry; Branz, Howard; Stradins, Paul; Xu, Yueqin

    2009-01-01

    An internal R and D project was conducted at the Special Technologies Laboratory (STL) of National Security Technologies, LLC (NSTec), to determine the feasibility of developing a multi-layer boron-10 based thermal neutron detector using the amorphous silicon (AS) technology currently employed in the manufacture of liquid crystal displays. The boron-10 neutron reaction produces an alpha that can be readily detected. A single layer detector, limited to an approximately 2-micron-thick layer of boron, has a theoretical sensitivity of about 3%; hence a thin multi-layer device with high sensitivity can theoretically be manufactured from single layer detectors. Working with National Renewable Energy Laboratory (NREL), an AS PiN diode alpha detector was developed and tested. The PiN diode was deposited on a boron-10 coated substrate. Testing confirmed that the neutron sensitivity was nearly equal to the theoretical value of 3%. However, adhesion problems with the boron-10 coating prevented successful development of a prototype detector. Future efforts will include boron deposition work and development of integrated AS signal processing circuitry.

  16. Building a Successful Technology Cluster

    Science.gov (United States)

    Silicon Valley is the iconic cluster—a dense regional network of companies, universities, research institutions, and other stakeholders involved in a single industry. Many regions have sought to replicate the success of Silicon Valley, which has produced technological innov...

  17. A 850 GHz SIS receiver employing silicon micro-machining technology

    Science.gov (United States)

    Kooi, J. W.; Pety, J.; Schaffer, P. L.; Phillips, T. G.; Bumble, B.; LeDuc, H. G.; Walker, C. K.

    1996-01-01

    A 850 GHz superconductor-insulator-superconductor (SIS) heterodyne receiver which uses a radiofrequency tuned niobium tunnel junction fabricated on a 1 micron thick silicon nitrate membrane, is reported. From video and heterodyne measurements, it was calculated that the niobium film loss in the radiofrequency matching network is about 6.8 dB at 822 GHz. These results are approximately a factor of two higher than the theoretical loss predicted by the Mattis-Bardeen theory in the extreme anomalous limit. The junction design and the receiver configuration are described, including the mixer block, the membrane construction and the cooled optics. The performance tests using a Fourier transform spectrometer to measure the response of the radiofrequency matching network, and the SIS simulations of the receiver response to cold and hot loads, the infrared noise contribution and the overall mixer conversion efficiency, are reported. It is concluded that the receiver response is limited by the absorption loss in the radiofrequency matching network.

  18. Silicon carbide MOSFET integrated circuit technology

    Energy Technology Data Exchange (ETDEWEB)

    Brown, D.M.; Downey, E.; Ghezzo, M.; Kretchmer, J.; Krishnamurthy, V.; Hennessy, W.; Michon, G. [General Electric Co., Schenectady, NY (United States). Corporate Research and Development Center

    1997-07-16

    The research and development activities carried out to demonstrate the status of MOS planar technology for the manufacture of high temperature SiC ICs will be described. These activities resulted in the design, fabrication and demonstration of the World`s first SiC analog IC - a monolithic MOSFET operational amplifier. Research tasks required for the development of a planar SiC MOSFET IC technology included characterization of the SiC/SiO{sub 2} interface using thermally grown oxides: high temperature (350 C) reliability studies of thermally grown oxides: ion implantation studies of donor (N) and acceptor (B) dopants to form junction diodes: epitaxial layer characterization: N channel inversion and depletion mode MOSFETs; device isolation methods and finally integrated circuit design, fabrication and testing of the World`s first monolithic SiC operational amplifier IC. These studies defined a SiC n-channel depletion mode MOSFET IC technology and outlined tasks required to improve all types of SiC devices. For instance, high temperature circuit drift instabilities at 350 C were discovered and characterized. This type of instability needs to be understood and resolved because it affects the high temperature reliability of other types of SiC devices. Improvements in SiC wafer surface quality and the use of deposited oxides instead of thermally grown SiO{sub 2} gate dielectrics will probably be required for enhanced reliability. The slow reverse recovery time exhibited by n{sup +}-p diodes formed by N ion implantation is a problem that needs to be resolved for all types of planar bipolar devices. The reproducibility of acceptor implants needs to be improved before CMOS ICs and many types of power device structures will be manufacturable. (orig.) 51 refs.

  19. Extending Moore’s Law for Silicon CMOS using More-Moore and More-than-Moore Technologies

    KAUST Repository

    Hussain, Aftab M.

    2016-01-01

    , promises to increase the performance per area of a silicon chip. We report a process for stacking and bonding these pieces with polymeric bonding and interconnecting them using copper through silicon vias (TSVs). We report a process for fabricating through

  20. Silicon epitaxy on textured double layer porous silicon by LPCVD

    International Nuclear Information System (INIS)

    Cai Hong; Shen Honglie; Zhang Lei; Huang Haibin; Lu Linfeng; Tang Zhengxia; Shen Jiancang

    2010-01-01

    Epitaxial silicon thin film on textured double layer porous silicon (DLPS) was demonstrated. The textured DLPS was formed by electrochemical etching using two different current densities on the silicon wafer that are randomly textured with upright pyramids. Silicon thin films were then grown on the annealed DLPS, using low-pressure chemical vapor deposition (LPCVD). The reflectance of the DLPS and the grown silicon thin films were studied by a spectrophotometer. The crystallinity and topography of the grown silicon thin films were studied by Raman spectroscopy and SEM. The reflectance results show that the reflectance of the silicon wafer decreases from 24.7% to 11.7% after texturing, and after the deposition of silicon thin film the surface reflectance is about 13.8%. SEM images show that the epitaxial silicon film on textured DLPS exhibits random pyramids. The Raman spectrum peaks near 521 cm -1 have a width of 7.8 cm -1 , which reveals the high crystalline quality of the silicon epitaxy.

  1. Technological development for super-high efficiency solar cells. Technological development for super-high efficiency singlecrystalline silicon solar cells (super-high efficiency singlecrystalline Si solar cells); Chokokoritsu taiyo denchi no gijutsu kaihatsu. Chokokoritsu tankessho silicon taiyo denchi no gijutsu kaihatsu (chokokoritsu tankessho silicon taiyo denchi cell no gijutsu kaihatsu)

    Energy Technology Data Exchange (ETDEWEB)

    Tatsuta, M [New Energy and Industrial Technology Development Organization, Tokyo (Japan)

    1994-12-01

    This paper reports the study results on technological development of super-high efficiency singlecrystalline silicon solar cells in fiscal 1994. (1) On development of high-performance light receiving layer, the fine electrode for receiving surfaces was designed to reduce serial resistance, and the high-quality oxide passivation film was studied to reduce surface recombination velocity. (2) On development of forming technology of back heterojunction, the high-quality cell with B-doped fine crystalline Si film on its back was studied by heat treatment of the fine crystalline Si film, and the cell structure with high back reflectance of light was also studied. (3) On analysis for high-efficiency cells, the relation between the back recombination velocity at the interface between p-type substrate and back passivation film, and the internal collection efficiency as probe light was injected from the back, was calculated by numerical simulation. As a result, the cell back recombination velocity could be evaluated by measuring the spectral internal collection efficiency to back injection. 15 figs., 6 tabs.

  2. A MoTe2-based light-emitting diode and photodetector for silicon photonic integrated circuits.

    Science.gov (United States)

    Bie, Ya-Qing; Grosso, Gabriele; Heuck, Mikkel; Furchi, Marco M; Cao, Yuan; Zheng, Jiabao; Bunandar, Darius; Navarro-Moratalla, Efren; Zhou, Lin; Efetov, Dmitri K; Taniguchi, Takashi; Watanabe, Kenji; Kong, Jing; Englund, Dirk; Jarillo-Herrero, Pablo

    2017-12-01

    One of the current challenges in photonics is developing high-speed, power-efficient, chip-integrated optical communications devices to address the interconnects bottleneck in high-speed computing systems. Silicon photonics has emerged as a leading architecture, in part because of the promise that many components, such as waveguides, couplers, interferometers and modulators, could be directly integrated on silicon-based processors. However, light sources and photodetectors present ongoing challenges. Common approaches for light sources include one or few off-chip or wafer-bonded lasers based on III-V materials, but recent system architecture studies show advantages for the use of many directly modulated light sources positioned at the transmitter location. The most advanced photodetectors in the silicon photonic process are based on germanium, but this requires additional germanium growth, which increases the system cost. The emerging two-dimensional transition-metal dichalcogenides (TMDs) offer a path for optical interconnect components that can be integrated with silicon photonics and complementary metal-oxide-semiconductors (CMOS) processing by back-end-of-the-line steps. Here, we demonstrate a silicon waveguide-integrated light source and photodetector based on a p-n junction of bilayer MoTe 2 , a TMD semiconductor with an infrared bandgap. This state-of-the-art fabrication technology provides new opportunities for integrated optoelectronic systems.

  3. A MoTe2-based light-emitting diode and photodetector for silicon photonic integrated circuits

    Science.gov (United States)

    Bie, Ya-Qing; Grosso, Gabriele; Heuck, Mikkel; Furchi, Marco M.; Cao, Yuan; Zheng, Jiabao; Bunandar, Darius; Navarro-Moratalla, Efren; Zhou, Lin; Efetov, Dmitri K.; Taniguchi, Takashi; Watanabe, Kenji; Kong, Jing; Englund, Dirk; Jarillo-Herrero, Pablo

    2017-12-01

    One of the current challenges in photonics is developing high-speed, power-efficient, chip-integrated optical communications devices to address the interconnects bottleneck in high-speed computing systems. Silicon photonics has emerged as a leading architecture, in part because of the promise that many components, such as waveguides, couplers, interferometers and modulators, could be directly integrated on silicon-based processors. However, light sources and photodetectors present ongoing challenges. Common approaches for light sources include one or few off-chip or wafer-bonded lasers based on III-V materials, but recent system architecture studies show advantages for the use of many directly modulated light sources positioned at the transmitter location. The most advanced photodetectors in the silicon photonic process are based on germanium, but this requires additional germanium growth, which increases the system cost. The emerging two-dimensional transition-metal dichalcogenides (TMDs) offer a path for optical interconnect components that can be integrated with silicon photonics and complementary metal-oxide-semiconductors (CMOS) processing by back-end-of-the-line steps. Here, we demonstrate a silicon waveguide-integrated light source and photodetector based on a p-n junction of bilayer MoTe2, a TMD semiconductor with an infrared bandgap. This state-of-the-art fabrication technology provides new opportunities for integrated optoelectronic systems.

  4. HRTEM analysis of the nanostructure of porous silicon

    International Nuclear Information System (INIS)

    Martin-Palma, R.J.; Pascual, L.; Landa-Canovas, A.R.; Herrero, P.; Martinez-Duart, J.M.

    2006-01-01

    The nanometric structure of porous silicon makes this material to be very suitable for its use in many different fields, including optoelectronics and biological applications. In the present work, the structure of porous silicon was investigated in detail by means of cross-sectional high-resolution transmission electron microscopy and digital image processing, together with electron energy loss spectroscopy. The structure of the Si/porous silicon interface and that of the silicon nanocrystals that compose porous silicon have been analyzed in detail. A strong strain contrast in the Si/porous silicon interface caused by high stresses was observed. Accordingly, dislocation pairs are found to be a possible mechanism of lattice matching between porous silicon and the Si substrate. Finally, high relative concentration of oxygen in the porous silicon layer was observed, together with low relative electron concentration in the conduction band when compared to Si

  5. Apparatus for making molten silicon

    Science.gov (United States)

    Levin, Harry (Inventor)

    1988-01-01

    A reactor apparatus (10) adapted for continuously producing molten, solar grade purity elemental silicon by thermal reaction of a suitable precursor gas, such as silane (SiH.sub.4), is disclosed. The reactor apparatus (10) includes an elongated reactor body (32) having graphite or carbon walls which are heated to a temperature exceeding the melting temperature of silicon. The precursor gas enters the reactor body (32) through an efficiently cooled inlet tube assembly (22) and a relatively thin carbon or graphite septum (44). The septum (44), being in contact on one side with the cooled inlet (22) and the heated interior of the reactor (32) on the other side, provides a sharp temperature gradient for the precursor gas entering the reactor (32) and renders the operation of the inlet tube assembly (22) substantially free of clogging. The precursor gas flows in the reactor (32) in a substantially smooth, substantially axial manner. Liquid silicon formed in the initial stages of the thermal reaction reacts with the graphite or carbon walls to provide a silicon carbide coating on the walls. The silicon carbide coated reactor is highly adapted for prolonged use for production of highly pure solar grade silicon. Liquid silicon (20) produced in the reactor apparatus (10) may be used directly in a Czochralski or other crystal shaping equipment.

  6. High-speed detection at two micrometres with monolithic silicon photodiodes

    Science.gov (United States)

    Ackert, Jason J.; Thomson, David J.; Shen, Li; Peacock, Anna C.; Jessop, Paul E.; Reed, Graham T.; Mashanovich, Goran Z.; Knights, Andrew P.

    2015-06-01

    With continued steep growth in the volume of data transmitted over optical networks there is a widely recognized need for more sophisticated photonics technologies to forestall a ‘capacity crunch’. A promising solution is to open new spectral regions at wavelengths near 2 μm and to exploit the long-wavelength transmission and amplification capabilities of hollow-core photonic-bandgap fibres and the recently available thulium-doped fibre amplifiers. To date, photodetector devices for this window have largely relied on III-V materials or, where the benefits of integration with silicon photonics are sought, GeSn alloys, which have been demonstrated thus far with only limited utility. Here, we describe a silicon photodiode operating at 20 Gbit s-1 in this wavelength region. The detector is compatible with standard silicon processing and is integrated directly with silicon-on-insulator waveguides, which suggests future utility in silicon-based mid-infrared integrated optics for applications in communications.

  7. Characteristics of thin-film transistors based on silicon nitride passivation by excimer laser direct patterning

    International Nuclear Information System (INIS)

    Chen, Chao-Nan; Huang, Jung-Jie

    2013-01-01

    This study explored the removal of silicon nitride using KrF laser ablation technology with a high threshold fluence of 990 mJ/cm 2 . This technology was used for contact hole patterning to fabricate SiN x -passivation-based amorphous-silicon thin films in a transistor device. Compared to the photolithography process, laser direct patterning using KrF laser ablation technology can reduce the number of process steps by at least three. Experimental results showed that the mobility and threshold voltages of thin film transistors patterned using the laser process were 0.16 cm 2 /V-sec and 0.2 V, respectively. The device performance and the test results of gate voltage stress reliability demonstrated that laser direct patterning is a promising alternative to photolithography in the panel manufacturing of thin-film transistors for liquid crystal displays. - Highlights: ► KrF laser ablation technology is used to remove silicon nitride. ► A simple method for direct patterning contact-hole in thin-film-transistor device. ► Laser technology reduced processing by at least three steps

  8. Slim edges in double-sided silicon 3D detectors

    International Nuclear Information System (INIS)

    Povoli, M; Dalla Betta, G-F; Bagolini, A; Boscardin, M; Giacomini, G; Vianello, E; Zorzi, N

    2012-01-01

    Minimization of the insensitive edge area is one of the key requirements for silicon radiation detectors to be used in future silicon trackers. In 3D detectors this goal can be achieved with the active edge, at the expense of a high fabrication process complexity. In the framework of the ATLAS 3D sensor collaboration, we produced modified 3D silicon sensors with a double-sided technology. While this approach is not suitable to obtain active edges, because it does not use a support wafer, it allows for a new type of edge termination, the slim edge. In this paper we report on the development of the slim edge, from numerical simulations to design and testing, proving that it works effectively without increasing the fabrication complexity of silicon 3D detectors, and that it could be further optimized to reduce the insensitive edge region to less than 100 μm.

  9. Production of electronic grade lunar silicon by disproportionation of silicon difluoride

    Science.gov (United States)

    Agosto, William N.

    1993-01-01

    Waldron has proposed to extract lunar silicon by sodium reduction of sodium fluorosilicate derived from reacting sodium fluoride with lunar silicon tetrafluoride. Silicon tetrafluoride is obtained by the action of hydrofluoric acid on lunar silicates. While these reactions are well understood, the resulting lunar silicon is not likely to meet electronic specifications of 5 nines purity. Dale and Margrave have shown that silicon difluoride can be obtained by the action of silicon tetrafluoride on elemental silicon at elevated temperatures (1100-1200 C) and low pressures (1-2 torr). The resulting silicon difluoride will then spontaneously disproportionate into hyperpure silicon and silicon tetrafluoride in vacuum at approximately 400 C. On its own merits, silicon difluoride polymerizes into a tough waxy solid in the temperature range from liquid nitrogen to about 100 C. It is the silicon analog of teflon. Silicon difluoride ignites in moist air but is stable under lunar surface conditions and may prove to be a valuable industrial material that is largely lunar derived for lunar surface applications. The most effective driver for lunar industrialization may be the prospects for industrial space solar power systems in orbit or on the moon that are built with lunar materials. Such systems would require large quantities of electronic grade silicon or compound semiconductors for photovoltaics and electronic controls. Since silicon is the most abundant semimetal in the silicate portion of any solar system rock (approximately 20 wt percent), lunar silicon production is bound to be an important process in such a solar power project. The lunar silicon extraction process is discussed.

  10. Towards Ordered Silicon Nanostructures through Self-Assembling Mechanisms and Processes

    Directory of Open Access Journals (Sweden)

    R. A. Puglisi

    2015-01-01

    Full Text Available The design and development of innovative architectures for memory storage and energy conversion devices are at the forefront of current research efforts driving us towards a sustainable future. However, issues related to the cost, efficiency, and reliability of current technologies are still severely limiting their overtake of the standard designs. The use of ordered nanostructured silicon is expected to overcome these limitations and push the advancement of the alternative technologies. Specifically, self-assembling of block copolymers has been recognized as a promising and cost-effective approach to organize silicon nanostructures. This work reviews some of the most important findings on block copolymer self-assembling and complements those with the results of new experimental studies. First of all, a quantitative analysis is presented on the ordering and fluctuations expected in the synthesis of silicon nanostructures by using standard synthesis methods like chemical vapour deposition. Then the effects of the several parameters guiding the ordering mechanisms in the block copolymer systems, such as film thickness, molecular weight, annealing conditions, solvent, and substrate topography are discussed. Finally, as a proof of concept, an in-house developed example application to solar cells is presented, based on silicon nanostructures resulting from self-assembling of block copolymers.

  11. 76 FR 175 - Faurecia Emissions Control Technologies Including On-Site Leased Workers From Adecco Employment...

    Science.gov (United States)

    2011-01-03

    ... Technologies Including On-Site Leased Workers From Adecco Employment Servcies and Emcon Technologies, Troy, MI..., applicable to workers of Faurecia Emissions Control Technologies, Troy, Michigan, including on-site leased workers from Adecco Employment Services, Troy, Michigan. The Department's notice of determination was...

  12. Silicon carbide: A unique platform for metal-oxide-semiconductor physics

    Energy Technology Data Exchange (ETDEWEB)

    Liu, Gang [Institute for Advanced Materials, Devices and Nanotechnology, Rutgers University, Piscataway, New Jersey 08854 (United States); Tuttle, Blair R. [Department of Physics and Astronomy, Vanderbilt University, Nashville, Tennessee 37235 (United States); Dhar, Sarit [Department of Physics, Auburn University, Auburn, Alabama 36849 (United States)

    2015-06-15

    A sustainable energy future requires power electronics that can enable significantly higher efficiencies in the generation, distribution, and usage of electrical energy. Silicon carbide (4H-SiC) is one of the most technologically advanced wide bandgap semiconductor that can outperform conventional silicon in terms of power handling, maximum operating temperature, and power conversion efficiency in power modules. While SiC Schottky diode is a mature technology, SiC power Metal Oxide Semiconductor Field Effect Transistors are relatively novel and there is large room for performance improvement. Specifically, major initiatives are under way to improve the inversion channel mobility and gate oxide stability in order to further reduce the on-resistance and enhance the gate reliability. Both problems relate to the defects near the SiO{sub 2}/SiC interface, which have been the focus of intensive studies for more than a decade. Here we review research on the SiC MOS physics and technology, including its brief history, the state-of-art, and the latest progress in this field. We focus on the two main scientific problems, namely, low channel mobility and bias temperature instability. The possible mechanisms behind these issues are discussed at the device physics level as well as the atomic scale, with the support of published physical analysis and theoretical studies results. Some of the most exciting recent progress in interface engineering for improving the channel mobility and fundamental understanding of channel transport is reviewed.

  13. Development of large area, high efficiency amorphous silicon solar cell

    Energy Technology Data Exchange (ETDEWEB)

    Yoon, K.S.; Kim, S.; Kim, D.W. [Yu Kong Taedok Institute of Technology (Korea, Republic of)

    1996-02-01

    The objective of the research is to develop the mass-production technologies of high efficiency amorphous silicon solar cells in order to reduce the costs of solar cells and dissemination of solar cells. Amorphous silicon solar cell is the most promising option of thin film solar cells which are relatively easy to reduce the costs. The final goal of the research is to develop amorphous silicon solar cells having the efficiency of 10%, the ratio of light-induced degradation 15% in the area of 1200 cm{sup 2} and test the cells in the form of 2 Kw grid-connected photovoltaic system. (author) 35 refs., 8 tabs., 67 figs.

  14. Small area silicon diffused junction x-ray detectors

    International Nuclear Information System (INIS)

    Walton, J.T.; Pehl, R.H.; Larsh, A.E.

    1981-10-01

    The low temperature performance of silicon diffused junction detectors in the measurement of low energy x-rays is reported. The detectors have an area of 0.04 cm 2 and a thickness of 100 μm. The spectral resolutions of these detectors were found to be in close agreement with expected values indicating that the defects introduced by the high temperature processing required in the device fabrication were not deleteriously affecting the detection of low energy x-rays. Device performance over a temperature range of 77 to 150 0 K is given. These detectors were designed to detect low energy x-rays in the presence of minimum ionizing electrons. The successful application of silicon diffused junction technology to x-ray detector fabrication may facilitate the development of other novel silicon x-ray detector designs

  15. Super soft silicone elastomers with high dielectric permittivity

    DEFF Research Database (Denmark)

    Madsen, Frederikke Bahrt; Yu, Liyun; Hvilsted, Søren

    2015-01-01

    Dielectric elastomers (DEs) have many favourable properties. The obstacle of high driving voltages, however, limits the commercial viability of the technology at present. Driving voltage can be lowered by decreasing the Young’s modulus and increasing the dielectric permittivity of silicone...... elastomers. A decrease in Young’s modulus, however, is often accompanied by the loss of mechanical stability and thereby the lifetime of the DE. New soft elastomer matrices with high dielectric permittivity and low Young’s modulus, with no loss of mechanical stability, were prepared by two different...... approaches using chloropropyl-functional silicone polymers. The first approach was based on synthesised chloropropyl-functional copolymers that were cross-linkable and thereby formed the basis of new silicone networks with high dielectric permittivity (e.g. a 43% increase). These networks were soft without...

  16. Small area silicon diffused junction X-ray detectors

    Science.gov (United States)

    Walton, J. T.; Pehl, R. H.; Larsh, A. E.

    1982-01-01

    The low-temperature performance of silicon diffused junction detectors in the measurement of low energy X-rays is reported. The detectors have an area of 0.04 sq cm and a thickness of 100 microns. The spectral resolutions of these detectors were found to be in close agreement with expected values, indicating that the defects introduced by the high-temperature processing required in the device fabrication were not deleteriously affecting the detection of low-energy X-rays. Device performance over a temperature range of 77 K to 150 K is given. These detectors were designed to detect low-energy X-rays in the presence of minimum ionizing electrons. The successful application of silicon-diffused junction technology to X-ray detector fabrication may facilitate the development of other novel silicon X-ray detector designs.

  17. Noble gas atoms as chemical impurities in silicon

    International Nuclear Information System (INIS)

    Tkachev, V.D.; Mudryi, A.V.; Minaev, N.S.

    1984-01-01

    The behaviour of noble gas atoms implanted in silicon is studied by the luminescence method. The energy position of Moessbauer-type luminescence bands with zero-phonon lines 1.0148, 1.0120, 1.0097, 1.0048 eV and others connected with implanted atoms of neon, helium, argon, krypton, respectively, indicates the formation of deep energy levels in the forbidden gap of silicon. Implantation of the noble gas isotopes confirms their participation in formation processes of the luminescence centers in silicon. The temperature range of existence and the symmetry of defects incorporating the noble gas atoms are found. It is noted that noble gas atoms form impurity complexes with deep energy levels and their behaviour in crystals does not differ from that of main doped or residual technological impurity atoms. (author)

  18. Tailoring of silicon crystals for relativistic-particle channeling

    International Nuclear Information System (INIS)

    Guidi, V.; Antonini, A.; Baricordi, S.; Logallo, F.; Malagu, C.; Milan, E.; Ronzoni, A.; Stefancich, M.; Martinelli, G.; Vomiero, A.

    2005-01-01

    In the last years, the research on channeling of relativistic particles has progressed considerably. A significant contribution has been provided by the development of techniques for quality improvement of the crystals. In particular, a planar etching of the surfaces of the silicon crystals proved useful to remove the superficial layer, which is a region very rich in imperfections, in turn leading to greater channeling efficiency. Micro-fabrication techniques, borrowed from silicon technology, may also be useful: micro-indentation and deposition of tensile or compressive layers onto silicon samples allow one to impart an even curvature to the samples. In this way, different topologies may be envisaged, such as a bent crystal for deflection of protons and ions or an undulator to force coherent oscillations of positrons and electrons

  19. Technology for bonding silicon nitride ceramics. Heat treatment technology to improve diffusion bonding strength; Chikka keiso ceramics no setsugo gijutsu. Kakusan setsugo kyodo kaizen no tame no metsushori gijutsu

    Energy Technology Data Exchange (ETDEWEB)

    Nakamura, M.; Shigematsu, K. [National Industrial Research Institute of Nagoya,Nagoya (Japan)

    1999-01-25

    Silicon nitride ceramics is a structural ceramics with excellent high temperature strength and tenacity, being expected of expansion of application as a high temperature material. However, its processibility is poor, and special sintering technique is required to manufacture members of complex shapes. Therefore, development has been made on a technology to manufacture bonded materials with high mechanical strength, by which diffusion bonding in high temperature nitrogen gas and heat treatment are combined, and crystalline structure in the vicinity of bonding interface is controlled. (translated by NEDO)

  20. Micro benchtop optics by bulk silicon micromachining

    Science.gov (United States)

    Lee, Abraham P.; Pocha, Michael D.; McConaghy, Charles F.; Deri, Robert J.

    2000-01-01

    Micromachining of bulk silicon utilizing the parallel etching characteristics of bulk silicon and integrating the parallel etch planes of silicon with silicon wafer bonding and impurity doping, enables the fabrication of on-chip optics with in situ aligned etched grooves for optical fibers, micro-lenses, photodiodes, and laser diodes. Other optical components that can be microfabricated and integrated include semi-transparent beam splitters, micro-optical scanners, pinholes, optical gratings, micro-optical filters, etc. Micromachining of bulk silicon utilizing the parallel etching characteristics thereof can be utilized to develop miniaturization of bio-instrumentation such as wavelength monitoring by fluorescence spectrometers, and other miniaturized optical systems such as Fabry-Perot interferometry for filtering of wavelengths, tunable cavity lasers, micro-holography modules, and wavelength splitters for optical communication systems.

  1. The progress report of the Instrumentation and Technological Electronical Division, for 1987

    International Nuclear Information System (INIS)

    1988-05-01

    The 1987 activity report of the CEA Instrumentation and Technological Electronic Division (ITED), is presented. The ITED fields of interest include nuclear, space, health, defense and civil domains. The research development and perspectives are summarized. Concerning materials and components the following research programs are included: silicon integrated circuits, silicon on isolator, common experimental laboratory, mass memory, lasers, photodetection, flat screens, and sensors. In the field of instrumentations and systems, the retained research guidelines are focused on: medical and biological instrumentation, the environment, the nuclear domain. Moreover, the research fields of physics, artificial intelligence and software, production, robots, architecture and integration are also included [fr

  2. Space and military radiation effects in silicon-on-insulator devices

    International Nuclear Information System (INIS)

    Schwank, J.R.

    1996-09-01

    Advantages in transient ionizing and single-event upset (SEU) radiation hardness of silicon-on-insulator (SOI) technology spurred much of its early development. Both of these advantages are a direct result of the reduced charge collection volume inherent to SOI technology. The fact that SOI transistor structures do not include parasitic n-p-n-p paths makes them immune to latchup. Even though considerable improvement in transient and single-event radiation hardness can be obtained by using SOI technology, there are some attributes of SOI devices and circuits that tend to limit their overall hardness. These attributes include the bipolar effect that can ultimately reduce the hardness of SOI ICs to SEU and transient ionizing radiation, and charge buildup in buried and sidewall oxides that can degrade the total-dose hardness of SOI devices. Nevertheless, high-performance SOI circuits can be fabricated that are hardened to both space and nuclear radiation environments, and radiation-hardened systems remain an active market for SOI devices. The effects of radiation on SOI MOS devices are reviewed

  3. Silicon germanium as a novel mask for silicon deep reactive ion etching

    KAUST Repository

    Serry, Mohamed Y.

    2013-10-01

    This paper reports on the use of p-type polycrystalline silicon germanium (poly-Si1-xGex) thin films as a new masking material for the cryogenic deep reactive ion etching (DRIE) of silicon. We investigated the etching behavior of various poly-Si1-xGex:B (0silicon, silicon oxide, and photoresist was determined at different etching temperatures, ICP and RF powers, and SF6 to O2 ratios. The study demonstrates that the etching selectivity of the SiGe mask for silicon depends strongly on three factors: Ge content; boron concentration; and etching temperature. Compared to conventional SiO2 and SiN masks, the proposed SiGe masking material exhibited several advantages, including high etching selectivity to silicon (>1:800). Furthermore, the SiGe mask was etched in SF6/O2 plasma at temperatures ≥ - 80°C and at rates exceeding 8 μm/min (i.e., more than 37 times faster than SiO2 or SiN masks). Because of the chemical and thermodynamic stability of the SiGe film as well as the electronic properties of the mask, it was possible to deposit the proposed film at CMOS backend compatible temperatures. The paper also confirms that the mask can easily be dry-removed after the process with high etching-rate by controlling the ICP and RF power and the SF6 to O2 ratios, and without affecting the underlying silicon substrate. Using low ICP and RF power, elevated temperatures (i.e., > - 80°C), and an adjusted O2:SF6 ratio (i.e., ~6%), we were able to etch away the SiGe mask without adversely affecting the final profile. Ultimately, we were able to develop deep silicon- trenches with high aspect ratio etching straight profiles. © 1992-2012 IEEE.

  4. The spatial resolution of silicon-based electron detectors in beta-autoradiography.

    Science.gov (United States)

    Cabello, Jorge; Wells, Kevin

    2010-03-21

    Thin tissue autoradiography is an imaging modality where ex-vivo tissue sections are placed in direct contact with autoradiographic film. These tissue sections contain a radiolabelled ligand bound to a specific biomolecule under study. This radioligand emits beta - or beta+ particles ionizing silver halide crystals in the film. High spatial resolution autoradiograms are obtained using low energy radioisotopes, such as (3)H where an intrinsic 0.1-1 microm spatial resolution can be achieved. Several digital alternatives have been presented over the past few years to replace conventional film but their spatial resolution has yet to equal film, although silicon-based imaging technologies have demonstrated higher sensitivity compared to conventional film. It will be shown in this work how pixel size is a critical parameter for achieving high spatial resolution for low energy uncollimated beta imaging. In this work we also examine the confounding factors impeding silicon-based technologies with respect to spatial resolution. The study considers charge diffusion in silicon and detector noise, and this is applied to a range of radioisotopes typically used in autoradiography. Finally an optimal detector geometry to obtain the best possible spatial resolution for a specific technology and a specific radioisotope is suggested.

  5. The LHCb Silicon Inner Tracker

    International Nuclear Information System (INIS)

    Sievers, P.

    2002-01-01

    A silicon strip detector has been adopted as baseline technology for the LHCb Inner Tracker system. It consists of nine planar stations covering a cross-shaped area around the LHCb beam pipe. Depending on the final layout of the stations the sensitive surface of the Inner Tracker will be of the order of 14 m 2 . Ladders have to be 22 cm long and the pitch of the sensors should be as large as possible in order to reduce costs of the readout electronics. Major design criteria are material budget, short shaping time and a moderate spatial resolution of about 80 μm. After an introduction on the requirements of the LHCb Inner Tracker we present a description and characterization of silicon prototype sensors. First, laboratory and test beam results are discussed

  6. Gamma Large Area Silicon Telescope (GLAST)

    International Nuclear Information System (INIS)

    Godfrey, G.L.

    1993-11-01

    The recent discoveries and excitement generated by EGRET have prompted an investigation into modern technologies ultimately leading to the next generation space-based gamma ray telescope. The goal is to design a detector that will increase the data acquisition rate by almost two orders of magnitude beyond EGRET, while at the same time improving on the angular resolution, the energy measurement of reconstructed gamma rays, and the triggering capability of the instrument. The GLAST proposal is based on the assertion that silicon particle detectors are the technology of choice for space application: no consumables, no gas volume, robust (versus fragile), long lived, and self triggering. The GLAST detector is roughly modeled after EGRET in that a tracking module precedes a calorimeter. The GLAST Tracker has planes of thin radiatior interspersed with planes of crossed-strip (x,y) 300-μm-pitch silicon detectors to measure the coordinates of converted electron-positron pairs. The gap between the layers (∼5 cm) provides a lever arm in track fitting resulting in an angular resolution of 0.1 degree at high energy (the low energy angular resolution at 100 MeV would be about 2 degree, limited by multiple scattering). A possible GLAST calorimeter is made of a mosaic of Csl crystals of order 10 r.l. in depth, with silicon photodiodes readout. The increased depth of the GLAST calorimeter over EGRET's extends the energy range to about 300 GeV

  7. The status of lightweight photovoltaic space array technology based on amorphous silicon solar cells

    Science.gov (United States)

    Hanak, Joseph J.; Kaschmitter, Jim

    1991-01-01

    Ultralight, flexible photovoltaic (PV) array of amorphous silicon (a-Si) was identified as a potential low cost power source for small satellites. A survey was conducted of the status of the a-Si PV array technology with respect to present and future performance, availability, cost, and risks. For existing, experimental array blankets made of commercial cell material, utilizing metal foil substrates, the Beginning of Life (BOL) performance at Air Mass Zero (AM0) and 35 C includes total power up to 200 W, power per area of 64 W/sq m and power per weight of 258 W/kg. Doubling of power per weight occurs when polyimide substrates are used. Estimated End of Life (EOL) power output after 10 years in a nominal low earth orbit would be 80 pct. of BOL, the degradation being due to largely light induced effects (-10 to -15 pct.) and in part (-5 pct.) to space radiation. Predictions for the year 1995 for flexible PV arrays, made on the basis of published results for rigid a-Si modules, indicate EOL power output per area and per weight of 105 W/sq m and 400 W/kg, respectively, while predictions for the late 1990s based on existing U.S. national PV program goals indicate EOL values of 157 W/sq m and 600 W/kg. Cost estimates by vendors for 200 W ultralight arrays in volume of over 1000 units range from $100/watt to $125/watt. Identified risks include the lack of flexible, space compatible encapsulant, the lack of space qualification effort, recent partial or full acquisitions of US manufacturers of a-Si cells by foreign firms, and the absence of a national commitment for a long range development program toward developing of this important power source for space.

  8. Micromachining of buried micro channels in silicon

    NARCIS (Netherlands)

    de Boer, Meint J.; Tjerkstra, R.W.; Berenschot, Johan W.; Jansen, Henricus V.; Burger, G.J.; Burger, G.J.; Gardeniers, Johannes G.E.; Elwenspoek, Michael Curt; van den Berg, Albert

    A new method for the fabrication of micro structures for fluidic applications, such as channels, cavities, and connector holes in the bulk of silicon wafers, called buried channel technology (BCT), is presented in this paper. The micro structures are constructed by trench etching, coating of the

  9. Selective etching of n-type silicon in pn junction structure in hydrofluoric acid and its application in silicon nanowire fabrication

    International Nuclear Information System (INIS)

    Wang Huiquan; Jin Zhonghe; Zheng Yangming; Ma Huilian; Wang Yuelin; Li Tie

    2008-01-01

    Boron is selectively implanted on the surface of an n-type silicon wafer to form a p-type area surrounded by an n-type area. The wafer is then put into a buffered oxide etch solution. It is found that the n-type area can be selectively etched without illumination, with an etching rate lower than 1 nm min -1 , while the p-type area can be selectively etched under illumination with a much higher etching rate. The possible mechanism of the etching phenomenon is discussed. A simple fabrication process of silicon nanowires is proposed according to the above phenomenon. In this process only traditional micro-electromechanical system technology is used. Dimensions of the fabricated nanowire can be controlled well. A 50 nm wide and 50 nm thick silicon nanowire has been formed using this method

  10. Compact Quantum Random Number Generator with Silicon Nanocrystals Light Emitting Device Coupled to a Silicon Photomultiplier

    Science.gov (United States)

    Bisadi, Zahra; Acerbi, Fabio; Fontana, Giorgio; Zorzi, Nicola; Piemonte, Claudio; Pucker, Georg; Pavesi, Lorenzo

    2018-02-01

    A small-sized photonic quantum random number generator, easy to be implemented in small electronic devices for secure data encryption and other applications, is highly demanding nowadays. Here, we propose a compact configuration with Silicon nanocrystals large area light emitting device (LED) coupled to a Silicon photomultiplier to generate random numbers. The random number generation methodology is based on the photon arrival time and is robust against the non-idealities of the detector and the source of quantum entropy. The raw data show high quality of randomness and pass all the statistical tests in national institute of standards and technology tests (NIST) suite without a post-processing algorithm. The highest bit rate is 0.5 Mbps with the efficiency of 4 bits per detected photon.

  11. Electrical properties of pressure quenched silicon by thermal spraying

    International Nuclear Information System (INIS)

    Tan, S.Y.; Gambino, R.J.; Sampath, S.; Herman, H.

    2007-01-01

    High velocity thermal spray deposition of polycrystalline silicon film onto single crystal substrates, yields metastable high pressure forms of silicon in nanocrystalline form within the deposit. The phases observed in the deposit include hexagonal diamond-Si, R-8, BC-8 and Si-IX. The peculiar attribute of this transformation is that it occurs only on orientation silicon substrate. The silicon deposits containing the high pressure phases display a substantially higher electrical conductivity. The resistivity profile of the silicon deposit containing shock induced metastable silicon phases identified by X-ray diffraction patterns. The density of the pressure induced polymorphic silicon is higher at deposit/substrate interface. A modified two-layer model is presented to explain the resistivity of the deposit impacted by the pressure induced polymorphic silicon generated by the thermal spraying process. The pressure quenched silicon deposits on the p - silicon substrate, with or without metastable phases, display the barrier potential of about 0.72 eV. The measured hall mobility value of pressure quenched silicon deposits is in the range of polycrystalline silicon. The significance of this work lies in the fact that the versatility of thermal spray may enable applications of these high pressure forms of silicon

  12. Quantum interference in heterogeneous superconducting-photonic circuits on a silicon chip.

    Science.gov (United States)

    Schuck, C; Guo, X; Fan, L; Ma, X; Poot, M; Tang, H X

    2016-01-21

    Quantum information processing holds great promise for communicating and computing data efficiently. However, scaling current photonic implementation approaches to larger system size remains an outstanding challenge for realizing disruptive quantum technology. Two main ingredients of quantum information processors are quantum interference and single-photon detectors. Here we develop a hybrid superconducting-photonic circuit system to show how these elements can be combined in a scalable fashion on a silicon chip. We demonstrate the suitability of this approach for integrated quantum optics by interfering and detecting photon pairs directly on the chip with waveguide-coupled single-photon detectors. Using a directional coupler implemented with silicon nitride nanophotonic waveguides, we observe 97% interference visibility when measuring photon statistics with two monolithically integrated superconducting single-photon detectors. The photonic circuit and detector fabrication processes are compatible with standard semiconductor thin-film technology, making it possible to implement more complex and larger scale quantum photonic circuits on silicon chips.

  13. The assembly of the silicon tracker for the GLAST beam test engineering model

    International Nuclear Information System (INIS)

    Allport, P.; Atwood, E.; Atwood, W.; Beck, G.; Bhatnager, B.; Bloom, E.; Broeder, J.; Chen, V.; Clark, J.; Cotton, N.; Couto e Silva, E. do; Feerick, B.; Giebels, G.; Godfrey, G.; Handa, T.; Hernando, J.A.; Hirayama, M.; Johnson, R.P.; Kamae, T.; Kashiguine, S.; Kroeger, W.; Milbury, C.; Miller, W.; Millican, O.; Nikolaou, M.; Nordby, M.; Ohsugi, T.; Paliaga, G.; Ponslet, E.; Rowe, W.; Sadrozinski, H.F.-W.; Spencer, E.; Stromberg, S.; Swensen, E.; Takayuki, M.; Tournear, D.; Webster, A.; Winkler, G.; Yamamoto, K.; Yamamura, K.; Yoshida, S.

    2001-01-01

    The silicon tracker for the engineering model of the GLAST Large Area Telescope (LAT) to date represents the largest surface of silicon microstrip detectors assembled in a tracker (2.7 m 2 ). It demonstrates the feasibility of employing this technology for satellite based experiments, in which large effective areas and high reliability are required. This note gives an overview of the assembly of this silicon tracker and discusses in detail studies performed to track quality assurance: leakage current, mechanical alignment and production yields

  14. Illuminating the future of silicon photonics: optical coupling of carbon nanotubes to microrings

    International Nuclear Information System (INIS)

    Kato, Y K

    2015-01-01

    Advances in carbon nanotube material quality and processing techniques have led to an increased interest in nanotube photonics. In particular, emission in the telecommunication wavelengths makes nanotubes compatible with silicon photonics. Noury et al (2014 Nanotechnology 25 215201) have reported on carbon nanotube photoluminescence coupled to silicon microring resonators, underscoring the advantage of combining carbon nanotube emitters with silicon photonics. Their results open up the possibility of using nanotubes in other waveguide-based devices, taking advantage of well-established technologies. (viewpoint)

  15. Systematic characterization and quality assurance of silicon micro-strip sensors for the Silicon Tracking System of the CBM experiment

    Science.gov (United States)

    Ghosh, P.

    2014-07-01

    The Silicon Tracking System (STS) is the central detector of the Compressed Baryonic Matter (CBM) experiment at future Facility for Anti-proton and Ion Research (FAIR) at Darmstadt. The task of the STS is to reconstruct trajectories of charged particles originating at relatively high multiplicities from the high rate beam-target interactions. The tracker comprises of 300 μm thick silicon double-sided micro-strip sensors. These sensors should be radiation hard in order to reconstruct charged particles up to a maximum radiation dose of 1 × 1014neqcm-2. Systematic characterization allows us to investigate the sensor response and perform quality assurance (QA) tests. In this paper, systematic characterization of prototype double-sided silicon micro-strip sensors will be discussed. This procedure includes visual, passive electrical, and radiation hardness test. Presented results include tests on three different prototypes of silicon micro-strip sensors.

  16. Systematic characterization and quality assurance of silicon micro-strip sensors for the Silicon Tracking System of the CBM experiment

    International Nuclear Information System (INIS)

    Ghosh, P

    2014-01-01

    The Silicon Tracking System (STS) is the central detector of the Compressed Baryonic Matter (CBM) experiment at future Facility for Anti-proton and Ion Research (FAIR) at Darmstadt. The task of the STS is to reconstruct trajectories of charged particles originating at relatively high multiplicities from the high rate beam-target interactions. The tracker comprises of 300 μm thick silicon double-sided micro-strip sensors. These sensors should be radiation hard in order to reconstruct charged particles up to a maximum radiation dose of 1 × 10 14 n eq cm −2 . Systematic characterization allows us to investigate the sensor response and perform quality assurance (QA) tests. In this paper, systematic characterization of prototype double-sided silicon micro-strip sensors will be discussed. This procedure includes visual, passive electrical, and radiation hardness test. Presented results include tests on three different prototypes of silicon micro-strip sensors

  17. Colloidal characterization of ultrafine silicon carbide and silicon nitride powders

    Science.gov (United States)

    Whitman, Pamela K.; Feke, Donald L.

    1986-01-01

    The effects of various powder treatment strategies on the colloid chemistry of aqueous dispersions of silicon carbide and silicon nitride are examined using a surface titration methodology. Pretreatments are used to differentiate between the true surface chemistry of the powders and artifacts resulting from exposure history. Silicon nitride powders require more extensive pretreatment to reveal consistent surface chemistry than do silicon carbide powders. As measured by titration, the degree of proton adsorption from the suspending fluid by pretreated silicon nitride and silicon carbide powders can both be made similar to that of silica.

  18. Amorphous silicon as high index photonic material

    Science.gov (United States)

    Lipka, T.; Harke, A.; Horn, O.; Amthor, J.; Müller, J.

    2009-05-01

    Silicon-on-Insulator (SOI) photonics has become an attractive research topic within the area of integrated optics. This paper aims to fabricate SOI-structures for optical communication applications with lower costs compared to standard fabrication processes as well as to provide a higher flexibility with respect to waveguide and substrate material choice. Amorphous silicon is deposited on thermal oxidized silicon wafers with plasma-enhanced chemical vapor deposition (PECVD). The material is optimized in terms of optical light transmission and refractive index. Different a-Si:H waveguides with low propagation losses are presented. The waveguides were processed with CMOS-compatible fabrication technologies and standard DUV-lithography enabling high volume production. To overcome the large mode-field diameter mismatch between incoupling fiber and sub-μm waveguides three dimensional, amorphous silicon tapers were fabricated with a KOH etched shadow mask for patterning. Using ellipsometric and Raman spectroscopic measurements the material properties as refractive index, layer thickness, crystallinity and material composition were analyzed. Rapid thermal annealing (RTA) experiments of amorphous thin films and rib waveguides were performed aiming to tune the refractive index of the deposited a-Si:H waveguide core layer after deposition.

  19. Edge pixel response studies of edgeless silicon sensor technology for pixellated imaging detectors

    Science.gov (United States)

    Maneuski, D.; Bates, R.; Blue, A.; Buttar, C.; Doonan, K.; Eklund, L.; Gimenez, E. N.; Hynds, D.; Kachkanov, S.; Kalliopuska, J.; McMullen, T.; O'Shea, V.; Tartoni, N.; Plackett, R.; Vahanen, S.; Wraight, K.

    2015-03-01

    Silicon sensor technologies with reduced dead area at the sensor's perimeter are under development at a number of institutes. Several fabrication methods for sensors which are sensitive close to the physical edge of the device are under investigation utilising techniques such as active-edges, passivated edges and current-terminating rings. Such technologies offer the goal of a seamlessly tiled detection surface with minimum dead space between the individual modules. In order to quantify the performance of different geometries and different bulk and implant types, characterisation of several sensors fabricated using active-edge technology were performed at the B16 beam line of the Diamond Light Source. The sensors were fabricated by VTT and bump-bonded to Timepix ROICs. They were 100 and 200 μ m thick sensors, with the last pixel-to-edge distance of either 50 or 100 μ m. The sensors were fabricated as either n-on-n or n-on-p type devices. Using 15 keV monochromatic X-rays with a beam spot of 2.5 μ m, the performance at the outer edge and corners pixels of the sensors was evaluated at three bias voltages. The results indicate a significant change in the charge collection properties between the edge and 5th (up to 275 μ m) from edge pixel for the 200 μ m thick n-on-n sensor. The edge pixel performance of the 100 μ m thick n-on-p sensors is affected only for the last two pixels (up to 110 μ m) subject to biasing conditions. Imaging characteristics of all sensor types investigated are stable over time and the non-uniformities can be minimised by flat-field corrections. The results from the synchrotron tests combined with lab measurements are presented along with an explanation of the observed effects.

  20. Radiation effects in technologies of semiconductor materials and devises

    International Nuclear Information System (INIS)

    Korshunov, F.P.; Bogatyrev, Yu.V.; Lastovskij, S.B.; Marchenko, I.G.; Zhdanovich, N.E.

    2003-01-01

    In the paper were considered the physical basics and practical results of using of penetrating radiations in technologies of nuclear transmutation of semiconductor materials (Si, GaAs) as well as in production of semiconductor devices including high-power silicon diodes, thyristors and transistors. It is shown the high efficiency of radiation technology for increasing of electronic device speed, exclusion of technological operations such as gold or platinum diffusions, increase of quality, decrease of prime cost and increase of good-to-bad device ratio yield

  1. ``New'' energy states lead to phonon-less optoelectronic properties in nanostructured silicon

    Science.gov (United States)

    Singh, Vivek; Yu, Yixuan; Korgel, Brian; Nagpal, Prashant

    2014-03-01

    Silicon is arguably one of the most important technological material for electronic applications. However, indirect bandgap of silicon semiconductor has prevented optoelectronic applications due to phonon assistance required for photon light absorption/emission. Here we show, that previously unexplored surface states in nanostructured silicon can couple with quantum-confined energy levels, leading to phonon-less exciton-recombination and photoluminescence. We demonstrate size dependence (2.4 - 8.3 nm) of this coupling observed in small uniform silicon nanocrystallites, or quantum-dots, by direct measurements of their electronic density of states and low temperature measurements. To enhance the optical absorption of the these silicon quantum-dots, we utilize generation of resonant surface plasmon polariton waves, which leads to several fold increase in observed spectrally-resolved photocurrent near the quantum-confined bandedge states. Therefore, these enhanced light emission and absorption enhancement can have important implications for applications of nanostructured silicon for optoelectronic applications in photovoltaics and LEDs.

  2. Ionization-induced rearrangement of defects in silicon

    International Nuclear Information System (INIS)

    Vinetskij, V.L.; Manojlo, M.A.; Matvijchuk, A.S.; Strikha, V.I.; Kholodar', G.A.

    1988-01-01

    Ionizing factor effect on defect rearrangement in silicon including centers with deep local electron levels in the p-n-transition region is considered. Deep center parameters were determined using non-steady-state capacity spectroscopy of deep levels (NCDLS) method. NCDLS spectrum measurement was performed using source p + -n - diodes and after their irradiation with 15 keV energy electrons or laser pulses. It is ascertained that in silicon samples containing point defect clusters defect rearrangement under ionizing factor effect takes place, i.e. deep level spectra are changed. This mechanism is efficient in case of silicon irradiation with subthreshold energy photons and electrons and can cause degradation of silicon semiconducting structures

  3. Crystalline silicon thin film growth by ECR plasma CVD for solar cells

    International Nuclear Information System (INIS)

    Licai Wang

    1999-07-01

    This thesis describes the background, motivation and work carried out towards this PhD programme entitled 'Crystalline Silicon Thin Film Growth by ECR Plasma CVD for Solar Cells'. The fundamental principles of silicon solar cells are introduced with a review of silicon thin film and bulk solar cells. The development and prospects for thin film silicon solar cells are described. Some results of a modelling study on thin film single crystalline solar cells are given which has been carried out using a commercially available solar cell simulation package (PC-1D). This is followed by a description of thin film deposition techniques. These include Chemical Vapour Deposition (CVD) and Plasma-Assisted CVD (PACVD). The basic theory and technology of the emerging technique of Electron Cyclotron Resonance (ECR) PACVD, which was used in this research, are introduced and the potential advantages summarised. Some of the basic methods of material and cell characterisation are briefly described, together with the work carried out in this research. The growth by ECR PACVD at temperatures 2 illumination. The best efficiency in the ECR grown structures was 13.76% using an epitaxial emitter. Cell performance was analysed in detail and the factors controlling performance identified by fitting self-consistently the fight and dark current-voltage and spectral response data using PC-1D. Finally, the conclusions for this research and suggestions for further work are outlined. (author)

  4. Preparation of micro-pored silicone elastomer through radiation crosslinking

    International Nuclear Information System (INIS)

    Gao Xiaoling; Gu Mei; Xie Xubing; Huang Wei

    2013-01-01

    The radiation crosslinking was adopted to prepare the micro-pored silicone elastomer, which was performed by vulcanization and foaming respectively. Radiation crosslinking is a new method to prepare micro-pored material with high performance by use of radiation technology. Silicon dioxide was used as filler, and silicone elastomer was vulcanized by electron beams, then the micro-pored material was made by heating method at a high temperature. The effects of absorbed dose and filler content on the performance and morphology were investigated. The structure and distribution of pores were observed by SEM. The results show that the micro-pored silicon elastomer can be prepared successfully by controlling the absorbed dose and filler content. It has a smooth surface similar to a rubber meanwhile the pores are round and unconnected to each other with the minimum size of 14 μm. And the good mechanical performance can be suitable for further uses. (authors)

  5. Numerical study of self-heating effects of small-size MOSFETs fabricated on silicon-on-aluminum nitride substrate

    International Nuclear Information System (INIS)

    Ding Yanfang; Zhu Ziqiang; Zhu Ming; Lin Chenglu

    2006-01-01

    Compared with bulk-silicon technology, silicon-on-insulator (SOI) technology possesses many advantages but it is inevitable that the buried silicon dioxide layer also thermally insulates the metal-oxide-silicon field-effect transistors (MOSFETs) from the bulk due to the low thermal conductivity. One of the alternative insulator to replace the buried oxide layer is aluminum nitride (MN), which has a thermal conductivity that is about 200 times higher than that of SiO 2 (320 W·m -1 ·K -1 versus 1.4 W·m -1 ·K -l ). To investigate the self-heating effects of small-size MOSFETs fabricated on silicon-on-aluminum nitride (SOAN) substrate, a two-dimensional numerical analysis is performed by using a device simulator called MEDICI run on a Solaris workstation to simulate the electrical characteristics and temperature distribution by comparing with those of bulk and standard SOI MOSFETs. Our study suggests that AIN is a suitable alternative to silicon dioxide as a buried dielectric in SOI and expands the applications of SOI to high temperature conditions. (authors)

  6. Silicon-Germanium Front-End Electronics for Space-Based Radar Applications

    Data.gov (United States)

    National Aeronautics and Space Administration — Over the past two decades, Silicon-Germanium (SiGe) heterojunction bipolar transistor (HBT) technology has emerged as a strong platform for high-frequency...

  7. Roof-integrated amorphous silicon photovoltaic installation at the Institute for Micro-Technology; Installation photovoltaique IMT Neuchatel silicium amorphe integre dans toiture

    Energy Technology Data Exchange (ETDEWEB)

    Tscharner, R.; Shah, A.V.

    2003-07-01

    This final report for the Swiss Federal Office of Energy (SFOE) describes the 6.44 kW grid-connected photovoltaic (PV) power plant that has been in operation since 1996 at the Institute for Micro-Technology in Neuchatel, Switzerland. The PV plant, which features large-area, fully integrated modules using amorphous silicon cells was the first of its kind in Switzerland. Experience gained with the installation, which has been fully operational since its construction, as well as the power produced and efficiencies measured are presented and commented. The role of the installation as the forerunner of new, so-called 'micro-morph' thin-film solar cell technology developed at the institute is stressed. Technical details of the plant and its performance are given.

  8. Back contact to film silicon on metal for photovoltaic cells

    Science.gov (United States)

    Branz, Howard M.; Teplin, Charles; Stradins, Pauls

    2013-06-18

    A crystal oriented metal back contact for solar cells is disclosed herein. In one embodiment, a photovoltaic device and methods for making the photovoltaic device are disclosed. The photovoltaic device includes a metal substrate with a crystalline orientation and a heteroepitaxial crystal silicon layer having the same crystal orientation of the metal substrate. A heteroepitaxial buffer layer having the crystal orientation of the metal substrate is positioned between the substrate and the crystal silicon layer to reduce diffusion of metal from the metal foil into the crystal silicon layer and provide chemical compatibility with the heteroepitaxial crystal silicon layer. Additionally, the buffer layer includes one or more electrically conductive pathways to electrically couple the crystal silicon layer and the metal substrate.

  9. Quantitative measurements of C-reactive protein using silicon nanowire arrays

    Directory of Open Access Journals (Sweden)

    Min-Ho Lee

    2008-03-01

    Full Text Available Min-Ho Lee, Kuk-Nyung Lee, Suk-Won Jung, Won-Hyo Kim, Kyu-Sik Shin, Woo-Kyeong SeongKorea Electronics Technology Institute, Gyeonggi, KoreaAbstract: A silicon nanowire-based sensor for biological application showed highly desirable electrical responses to either pH changes or receptor-ligand interactions such as protein disease markers, viruses, and DNA hybridization. Furthermore, because the silicon nanowire can display results in real-time, it may possess superior characteristics for biosensing than those demonstrated in previously studied methods. However, despite its promising potential and advantages, certain process-related limitations of the device, due to its size and material characteristics, need to be addressed. In this article, we suggest possible solutions. We fabricated silicon nanowire using a top-down and low cost micromachining method, and evaluate the sensing of molecules after transfer and surface modifications. Our newly designed method can be used to attach highly ordered nanowires to various substrates, to form a nanowire array device, which needs to follow a series of repetitive steps in conventional fabrication technology based on a vapor-liquid-solid (VLS method. For evaluation, we demonstrated that our newly fabricated silicon nanowire arrays could detect pH changes as well as streptavidin-biotin binding events. As well as the initial proof-of-principle studies, C-reactive protein binding was measured: electrical signals were changed in a linear fashion with the concentration (1 fM to 1 nM in PBS containing 1.37 mM of salts. Finally, to address the effects of Debye length, silicon nanowires coupled with antigen proteins underwent electrical signal changes as the salt concentration changed.Keywords: silicon nanowire array, C-reactive protein, vapor-liquid-solid method

  10. Visualizing a silicon quantum computer

    International Nuclear Information System (INIS)

    Sanders, Barry C; Hollenberg, Lloyd C L; Edmundson, Darran; Edmundson, Andrew

    2008-01-01

    Quantum computation is a fast-growing, multi-disciplinary research field. The purpose of a quantum computer is to execute quantum algorithms that efficiently solve computational problems intractable within the existing paradigm of 'classical' computing built on bits and Boolean gates. While collaboration between computer scientists, physicists, chemists, engineers, mathematicians and others is essential to the project's success, traditional disciplinary boundaries can hinder progress and make communicating the aims of quantum computing and future technologies difficult. We have developed a four minute animation as a tool for representing, understanding and communicating a silicon-based solid-state quantum computer to a variety of audiences, either as a stand-alone animation to be used by expert presenters or embedded into a longer movie as short animated sequences. The paper includes a generally applicable recipe for successful scientific animation production.

  11. Visualizing a silicon quantum computer

    Science.gov (United States)

    Sanders, Barry C.; Hollenberg, Lloyd C. L.; Edmundson, Darran; Edmundson, Andrew

    2008-12-01

    Quantum computation is a fast-growing, multi-disciplinary research field. The purpose of a quantum computer is to execute quantum algorithms that efficiently solve computational problems intractable within the existing paradigm of 'classical' computing built on bits and Boolean gates. While collaboration between computer scientists, physicists, chemists, engineers, mathematicians and others is essential to the project's success, traditional disciplinary boundaries can hinder progress and make communicating the aims of quantum computing and future technologies difficult. We have developed a four minute animation as a tool for representing, understanding and communicating a silicon-based solid-state quantum computer to a variety of audiences, either as a stand-alone animation to be used by expert presenters or embedded into a longer movie as short animated sequences. The paper includes a generally applicable recipe for successful scientific animation production.

  12. Visualizing a silicon quantum computer

    Energy Technology Data Exchange (ETDEWEB)

    Sanders, Barry C [Institute for Quantum Information Science, University of Calgary, Calgary, Alberta T2N 1N4 (Canada); Hollenberg, Lloyd C L [ARC Centre of Excellence for Quantum Computer Technology, School of Physics, University of Melbourne, Victoria 3010 (Australia); Edmundson, Darran; Edmundson, Andrew [EDM Studio Inc., Level 2, 850 16 Avenue SW, Calgary, Alberta T2R 0S9 (Canada)], E-mail: bsanders@qis.ucalgary.ca, E-mail: lloydch@unimelb.edu.au, E-mail: darran@edmstudio.com

    2008-12-15

    Quantum computation is a fast-growing, multi-disciplinary research field. The purpose of a quantum computer is to execute quantum algorithms that efficiently solve computational problems intractable within the existing paradigm of 'classical' computing built on bits and Boolean gates. While collaboration between computer scientists, physicists, chemists, engineers, mathematicians and others is essential to the project's success, traditional disciplinary boundaries can hinder progress and make communicating the aims of quantum computing and future technologies difficult. We have developed a four minute animation as a tool for representing, understanding and communicating a silicon-based solid-state quantum computer to a variety of audiences, either as a stand-alone animation to be used by expert presenters or embedded into a longer movie as short animated sequences. The paper includes a generally applicable recipe for successful scientific animation production.

  13. Formation of nanoclusters of gadolinium atoms in silicon

    International Nuclear Information System (INIS)

    Iliev, Kh.M.; Saparniyazova, Z.M.; Ismajlov, K.A.; Madzhitov, M.Kh.

    2011-01-01

    A technology of stage wise low temperature diffusion of gadolinium into silicon that makes it possible to form nanoclusters of impurity atoms with a significant magnetic moment distributed throughout the volume of the material has been developed. It is shown that, unlike the samples obtained by high temperature diffusion doping, the samples prepared by the new technology do not have surface erosion, and alloys and silicides are not formed in the near surface region. Nanoclusters of impurity atoms of gadolinium in the volume of the crystal lattice of the silicon are studied using an MIK-5 infrared microscope. It is found that, in the stage wise low temperature diffusion, the temperature and time of the diffusion have an effect not only on the depth of penetration of the impurities but also on the sizes of the resulting clusters; these factors can also prevent the formation of clusters. The study of the effect of low temperature treatments on the size and distribution of clusters shows that, upon annealing in the temperature range of 500-700 degrees Celsius, the ordering of the clusters of gadolinium impurity atoms is observed. A further increase in the annealing temperature leads to the destruction of gadolinium clusters in the silicon bulk. (authors)

  14. Tailoring the optical constants in single-crystal silicon with embedded silver nanostructures for advanced silicon photonics applications

    International Nuclear Information System (INIS)

    Akhter, Perveen; Huang, Mengbing; Spratt, William; Kadakia, Nirag; Amir, Faisal

    2015-01-01

    Plasmonic effects associated with metal nanostructures are expected to hold the key to tailoring light emission/propagation and harvesting solar energy in materials including single crystal silicon which remains the backbone in the microelectronics and photovoltaics industries but unfortunately, lacks many functionalities needed for construction of advanced photonic and optoelectronics devices. Currently, silicon plasmonic structures are practically possible only in the configuration with metal nanoparticles or thin film arrays on a silicon surface. This does not enable one to exploit the full potential of plasmonics for optical engineering in silicon, because the plasmonic effects are dominant over a length of ∼50 nm, and the active device region typically lies below the surface much beyond this range. Here, we report on a novel method for the formation of silver nanoparticles embedded within a silicon crystal through metal gettering from a silver thin film deposited at the surface to nanocavities within the Si created by hydrogen ion implantation. The refractive index of the Ag-nanostructured layer is found to be 3–10% lower or higher than that of silicon for wavelengths below or beyond ∼815–900 nm, respectively. Around this wavelength range, the optical extinction values increase by a factor of 10–100 as opposed to the pure silicon case. Increasing the amount of gettered silver leads to an increased extinction as well as a redshift in wavelength position for the resonance. This resonance is attributed to the surface plasmon excitation of the resultant silver nanoparticles in silicon. Additionally, we show that the profiles for optical constants in silicon can be tailored by varying the position and number of nanocavity layers. Such silicon crystals with embedded metal nanostructures would offer novel functional base structures for applications in silicon photonics, optoelectronics, photovoltaics, and plasmonics

  15. Arsenic implantation into polycrystalline silicon and diffusion to silicon substrate

    International Nuclear Information System (INIS)

    Tsukamoto, K.; Akasaka, Y.; Horie, K.

    1977-01-01

    Arsenic implantation into polycrystalline silicon and drive-in diffusion to silicon substrate have been investigated by MeV He + backscattering analysis and also by electrical measurements. The range distributions of arsenic implanted into polycrystalline silicon are well fitted to Gaussian distributions over the energy range 60--350 keV. The measured values of R/sub P/ and ΔR/sub P/ are about 10 and 20% larger than the theoretical predictions, respectively. The effective diffusion coefficient of arsenic implanted into polycrystalline silicon is expressed as D=0.63 exp[(-3.22 eV/kT)] and is independent of the arsenic concentration. The drive-in diffusion of arsenic from the implanted polycrystalline silicon layer into the silicon substrate is significantly affected by the diffusion atmosphere. In the N 2 atmosphere, a considerable amount of arsenic atoms diffuses outward to the ambient. The outdiffusion can be suppressed by encapsulation with Si 3 N 4 . In the oxidizing atmosphere, arsenic atoms are driven inward by growing SiO 2 due to the segregation between SiO 2 and polycrystalline silicon, and consequently the drive-in diffusion of arsenic is enhanced. At the interface between the polycrystalline silicon layer and the silicon substrate, arsenic atoms are likely to segregate at the polycrystalline silicon side

  16. Gyroscope Technology and Applications: A Review in the Industrial Perspective

    Directory of Open Access Journals (Sweden)

    Vittorio M. N. Passaro

    2017-10-01

    Full Text Available This paper is an overview of current gyroscopes and their roles based on their applications. The considered gyroscopes include mechanical gyroscopes and optical gyroscopes at macro- and micro-scale. Particularly, gyroscope technologies commercially available, such as Mechanical Gyroscopes, silicon MEMS Gyroscopes, Ring Laser Gyroscopes (RLGs and Fiber-Optic Gyroscopes (FOGs, are discussed. The main features of these gyroscopes and their technologies are linked to their performance.

  17. Graphene as a transparent electrode for amorphous silicon-based solar cells

    International Nuclear Information System (INIS)

    Vaianella, F.; Rosolen, G.; Maes, B.

    2015-01-01

    The properties of graphene in terms of transparency and conductivity make it an ideal candidate to replace indium tin oxide (ITO) in a transparent conducting electrode. However, graphene is not always as good as ITO for some applications, due to a non-negligible absorption. For amorphous silicon photovoltaics, we have identified a useful case with a graphene-silica front electrode that improves upon ITO. For both electrode technologies, we simulate the weighted absorption in the active layer of planar amorphous silicon-based solar cells with a silver back-reflector. The graphene device shows a significantly increased absorbance compared to ITO-based cells for a large range of silicon thicknesses (34.4% versus 30.9% for a 300 nm thick silicon layer), and this result persists over a wide range of incidence angles

  18. Graphene as a transparent electrode for amorphous silicon-based solar cells

    Science.gov (United States)

    Vaianella, F.; Rosolen, G.; Maes, B.

    2015-06-01

    The properties of graphene in terms of transparency and conductivity make it an ideal candidate to replace indium tin oxide (ITO) in a transparent conducting electrode. However, graphene is not always as good as ITO for some applications, due to a non-negligible absorption. For amorphous silicon photovoltaics, we have identified a useful case with a graphene-silica front electrode that improves upon ITO. For both electrode technologies, we simulate the weighted absorption in the active layer of planar amorphous silicon-based solar cells with a silver back-reflector. The graphene device shows a significantly increased absorbance compared to ITO-based cells for a large range of silicon thicknesses (34.4% versus 30.9% for a 300 nm thick silicon layer), and this result persists over a wide range of incidence angles.

  19. Graphene as a transparent electrode for amorphous silicon-based solar cells

    Energy Technology Data Exchange (ETDEWEB)

    Vaianella, F., E-mail: Fabio.Vaianella@umons.ac.be; Rosolen, G.; Maes, B. [Micro- and Nanophotonic Materials Group, Faculty of Science, University of Mons, 20 place du Parc, B-7000 Mons (Belgium)

    2015-06-28

    The properties of graphene in terms of transparency and conductivity make it an ideal candidate to replace indium tin oxide (ITO) in a transparent conducting electrode. However, graphene is not always as good as ITO for some applications, due to a non-negligible absorption. For amorphous silicon photovoltaics, we have identified a useful case with a graphene-silica front electrode that improves upon ITO. For both electrode technologies, we simulate the weighted absorption in the active layer of planar amorphous silicon-based solar cells with a silver back-reflector. The graphene device shows a significantly increased absorbance compared to ITO-based cells for a large range of silicon thicknesses (34.4% versus 30.9% for a 300 nm thick silicon layer), and this result persists over a wide range of incidence angles.

  20. Porous silicon: silicon quantum dots for photonic applications

    International Nuclear Information System (INIS)

    Pavesi, L.; Guardini, R.

    1996-01-01

    Porous silicon formation and structure characterization are briefly illustrated. Its luminescence properties rae presented and interpreted on the basis of exciton recombination in quantum dot structures: the trap-controlled hopping mechanism is used to describe the recombination dynamics. Porous silicon application to photonic devices is considered: porous silicon multilayer in general, and micro cavities in particular are described. The present situation in the realization of porous silicon LEDs is considered, and future developments in this field of research are suggested. (author). 30 refs., 30 figs., 13 tabs

  1. Silicon-Rich Silicon Carbide Hole-Selective Rear Contacts for Crystalline-Silicon-Based Solar Cells.

    Science.gov (United States)

    Nogay, Gizem; Stuckelberger, Josua; Wyss, Philippe; Jeangros, Quentin; Allebé, Christophe; Niquille, Xavier; Debrot, Fabien; Despeisse, Matthieu; Haug, Franz-Josef; Löper, Philipp; Ballif, Christophe

    2016-12-28

    The use of passivating contacts compatible with typical homojunction thermal processes is one of the most promising approaches to realizing high-efficiency silicon solar cells. In this work, we investigate an alternative rear-passivating contact targeting facile implementation to industrial p-type solar cells. The contact structure consists of a chemically grown thin silicon oxide layer, which is capped with a boron-doped silicon-rich silicon carbide [SiC x (p)] layer and then annealed at 800-900 °C. Transmission electron microscopy reveals that the thin chemical oxide layer disappears upon thermal annealing up to 900 °C, leading to degraded surface passivation. We interpret this in terms of a chemical reaction between carbon atoms in the SiC x (p) layer and the adjacent chemical oxide layer. To prevent this reaction, an intrinsic silicon interlayer was introduced between the chemical oxide and the SiC x (p) layer. We show that this intrinsic silicon interlayer is beneficial for surface passivation. Optimized passivation is obtained with a 10-nm-thick intrinsic silicon interlayer, yielding an emitter saturation current density of 17 fA cm -2 on p-type wafers, which translates into an implied open-circuit voltage of 708 mV. The potential of the developed contact at the rear side is further investigated by realizing a proof-of-concept hybrid solar cell, featuring a heterojunction front-side contact made of intrinsic amorphous silicon and phosphorus-doped amorphous silicon. Even though the presented cells are limited by front-side reflection and front-side parasitic absorption, the obtained cell with a V oc of 694.7 mV, a FF of 79.1%, and an efficiency of 20.44% demonstrates the potential of the p + /p-wafer full-side-passivated rear-side scheme shown here.

  2. NTD Silicon; Product Characteristics, Main Uses and Growth Potential

    International Nuclear Information System (INIS)

    Hansen, M. G.; Bjorling, C. F.

    2013-01-01

    Topsil is a specialised manufacturer of ultrapure float zone silicon since 1959, headquartered in Denmark. Topsil co-pioneered the invention of Neutron Transmutation Doped (NTD) monocrystalline silicon with research institute Risoe in the 1970s and has since then been world leading manufacturer of NTD silicon for the power market. This presentation will focus on NTD silicon; its characteristics, invention and main uses. It will address the trends of the power market and market projections for NTD, and discuss the growth potential in the years ahead, including larger silicon wafers and management of the NTD supply chain

  3. NTD Silicon; Product Characteristics, Main Uses and Growth Potential

    Energy Technology Data Exchange (ETDEWEB)

    Hansen, M. G.; Bjorling, C. F. [Topsil Semiconductor Materials A/S, Odense (Denmark)

    2013-07-01

    Topsil is a specialised manufacturer of ultrapure float zone silicon since 1959, headquartered in Denmark. Topsil co-pioneered the invention of Neutron Transmutation Doped (NTD) monocrystalline silicon with research institute Risoe in the 1970s and has since then been world leading manufacturer of NTD silicon for the power market. This presentation will focus on NTD silicon; its characteristics, invention and main uses. It will address the trends of the power market and market projections for NTD, and discuss the growth potential in the years ahead, including larger silicon wafers and management of the NTD supply chain.

  4. Impurities of oxygen in silicon

    International Nuclear Information System (INIS)

    Gomes, V.M.S.

    1985-01-01

    The electronic structure of oxygen complex defects in silicon, using molecular cluster model with saturation by watson sphere into the formalism of Xα multiple scattering method is studied. A systematic study of the simulation of perfect silicon crystal and an analysis of the increasing of atom number in the clusters are done to choose the suitable cluster for the calculations. The divacancy in three charge states (Si:V 2 + , Si:V 2 0 , Si:V 2 - ), of the oxygen pair (Si:O 2 ) and the oxygen-vacancy pair (Si:O.V) neighbours in the silicon lattice, is studied. Distortions for the symmetry were included in the Si:V 2 + and Si:O 2 systems. The behavior of defect levels related to the cluster size of Si:V 2 0 and Si:O 2 systems, the insulated oxygen impurity of silicon in interstitial position (Si:O i ), and the complexes involving four oxygen atoms are analysed. (M.C.K.) [pt

  5. Microelectromechanical pump utilizing porous silicon

    Science.gov (United States)

    Lantz, Jeffrey W [Albuquerque, NM; Stalford, Harold L [Norman, OK

    2011-07-19

    A microelectromechanical (MEM) pump is disclosed which includes a porous silicon region sandwiched between an inlet chamber and an outlet chamber. The porous silicon region is formed in a silicon substrate and contains a number of pores extending between the inlet and outlet chambers, with each pore having a cross-section dimension about equal to or smaller than a mean free path of a gas being pumped. A thermal gradient is provided along the length of each pore by a heat source which can be an electrical resistance heater or an integrated circuit (IC). A channel can be formed through the silicon substrate so that inlet and outlet ports can be formed on the same side of the substrate, or so that multiple MEM pumps can be connected in series to form a multi-stage MEM pump. The MEM pump has applications for use in gas-phase MEM chemical analysis systems, and can also be used for passive cooling of ICs.

  6. Silicon detectors operating beyond the LHC collider conditions: scenarios for radiation fields and detector degradation

    International Nuclear Information System (INIS)

    Lazanu, I.; Lazanu, S.

    2004-01-01

    Particle physics makes its greatest advances with experiments at the highest energies. The way to advance to a higher energy regime is through hadron colliders, or through non-accelerator experiments, as for example the space astroparticle missions. In the near future, the Large Hadron Collider (LHC) will be operational, and beyond that, its upgrades: the Super-LHC (SLHC) and the hypothetical Very Large Hadron Collider (VLHC). At the present time, there are no detailed studies for future accelerators, except those referring to LHC. For the new hadron collider LHC and some of its updates in luminosity and energy, the silicon detectors could represent an important option, especially for the tracking system and calorimetry. The main goal of this paper is to analyse the expected long-time degradation of the silicon as material and for silicon detectors, during continuous radiation, in these hostile conditions. The behaviour of silicon in relation to various scenarios for upgrade in energy and luminosity is discussed in the frame of a phenomenological model developed previously by the authors and now extended to include new mechanisms, able to explain and give solutions to discrepancies between model predictions and detector behaviour after hadron irradiation. Different silicon material parameters resulting from different technologies are considered to evaluate what materials are harder to radiation and consequently could minimise the degradation of device parameters in conditions of continuous long time operation. (authors)

  7. Linear signal processing using silicon micro-ring resonators

    DEFF Research Database (Denmark)

    Peucheret, Christophe; Ding, Yunhong; Ou, Haiyan

    2012-01-01

    We review our recent achievements on the use of silicon micro-ring resonators for linear optical signal processing applications, including modulation format conversion, phase-to-intensity modulation conversion and waveform shaping.......We review our recent achievements on the use of silicon micro-ring resonators for linear optical signal processing applications, including modulation format conversion, phase-to-intensity modulation conversion and waveform shaping....

  8. Direct Growth of Graphene on Silicon by Metal-Free Chemical Vapor Deposition

    Science.gov (United States)

    Tai, Lixuan; Zhu, Daming; Liu, Xing; Yang, Tieying; Wang, Lei; Wang, Rui; Jiang, Sheng; Chen, Zhenhua; Xu, Zhongmin; Li, Xiaolong

    2018-06-01

    The metal-free synthesis of graphene on single-crystal silicon substrates, the most common commercial semiconductor, is of paramount significance for many technological applications. In this work, we report the growth of graphene directly on an upside-down placed, single-crystal silicon substrate using metal-free, ambient-pressure chemical vapor deposition. By controlling the growth temperature, in-plane propagation, edge-propagation, and core-propagation, the process of graphene growth on silicon can be identified. This process produces atomically flat monolayer or bilayer graphene domains, concave bilayer graphene domains, and bulging few-layer graphene domains. This work would be a significant step toward the synthesis of large-area and layer-controlled, high-quality graphene on single-crystal silicon substrates. [Figure not available: see fulltext.

  9. Silicon micropattern detector: a dream

    Energy Technology Data Exchange (ETDEWEB)

    Heijne, E H.M.; Jarron, P; Olsen, A; Redaelli, N

    1988-12-15

    The present use of silicon microstrip detectors in elementary particle physics experiments is described and future needs are evaluated. Possibilities and problems to be encountered in the development of a true two-dimensional detector with intelligent data collection are discussed. This paper serves as an introduction to various other contributions to the conference proceedings, either dealing with futuristic device designs or with cautious steps on the road of technology development.

  10. Battery, especially for portable devices, has an anode containing silicon

    NARCIS (Netherlands)

    Kan, S.Y.

    2002-01-01

    The anode (2) contains silicon. A battery with a silicon-containing anode is claimed. An Independent claim is also included for a method used to make the battery, comprising the doping of a silicon substrate (1) with charge capacity-increasing material (preferably boron, phosphorous or arsenic),

  11. Compact Quantum Random Number Generator with Silicon Nanocrystals Light Emitting Device Coupled to a Silicon Photomultiplier

    Directory of Open Access Journals (Sweden)

    Zahra Bisadi

    2018-02-01

    Full Text Available A small-sized photonic quantum random number generator, easy to be implemented in small electronic devices for secure data encryption and other applications, is highly demanding nowadays. Here, we propose a compact configuration with Silicon nanocrystals large area light emitting device (LED coupled to a Silicon photomultiplier to generate random numbers. The random number generation methodology is based on the photon arrival time and is robust against the non-idealities of the detector and the source of quantum entropy. The raw data show high quality of randomness and pass all the statistical tests in national institute of standards and technology tests (NIST suite without a post-processing algorithm. The highest bit rate is 0.5 Mbps with the efficiency of 4 bits per detected photon.

  12. Development of technology of complex aluminum-silicon-chrome alloy with utilization of off grade raw materials

    Directory of Open Access Journals (Sweden)

    A. Mekhtiev

    2015-01-01

    Full Text Available Experimental studies on obtaining a complex aluminum-silicon-chrome alloy (FASCh from Karaganda high-ash coals and high-carbon ferrochromefines were carried out. A method for smelting low-carbon ferrochrome using aluminum-silicon-chrome alloy as a reductant is suggested.

  13. Development of practical application technology for photovoltaic power generation systems in fiscal 1997. Development of technologies to manufacture application type thin film solar cells with new structure (development of technologies to manufacture amorphous silicon and thin film poly-crystal silicon hybrid thin film solar cells); 1997 nendo taiyoko hatsuden system jitsuyoka gijutsu kaihatsu. Usumaku taiyo denchi no seizo gijutsu kaihatsu, oyogata shinkozo usumaku taiyo denchi no seizo gijutsu kaihatsu (amorphous silicon/usumaku takessho silicon hybrid usumaku taiyo denchi no seizo gijutsu kaihatsu)

    Energy Technology Data Exchange (ETDEWEB)

    NONE

    1998-03-01

    Research and development was performed with an objective to manufacture amorphous silicon and thin film poly-crystal silicon hybrid solar cells with large area and at low cost, being a high-efficiency next generation solar cell. The research was performed based on a principle that low-cost substrates shall be used, that a manufacturing process capable of forming amorphous silicon films with large area shall be based on, and that silicon film with as thin as possible thickness shall be used. Fiscal 1997 has started research and development on making the cells hybrid with amorphous silicon cells. As a result of the research and development, such achievements have been attained as using texture structure on the rear layer in thin poly-crystal silicon film solar cells with a thickness of two microns, and having achieved conversion efficiency of 10.1% by optimizing the junction interface forming conditions. A photo-deterioration test was carried out on hybrid cells which combine the thin poly-crystal silicon film cells having STAR structure with the amorphous silicon cells. Stabilization efficiency of 11.5% was attained after light has been irradiated for 500 hours or longer. (NEDO)

  14. Silicon production using long flaming coal and improvement of its quality indicators

    Directory of Open Access Journals (Sweden)

    A. D. Mekhtiev

    2014-10-01

    Full Text Available The object of this study is to explore possibility of metallothermic producing of crystalline silicon using various types of carbon reducing agents as a reducing agent. The experience of existing enterprises shows that one of the best carbon reducing agents qualifying silicon electric melting technology is charcoal. On the other hand, charcoal has a number of disadvantages, such as its scarcity, high cost and low mechanical strength. Experimental melts has shown the principal possibility of producing the crystalline silicon that meets the requirements of quartz standard using low ash special coke and long-flame coal as reducing agents.

  15. Clathrates and beyond: Low-density allotropy in crystalline silicon

    Energy Technology Data Exchange (ETDEWEB)

    Beekman, Matt [Department of Physics, California Polytechnic State University, San Luis Obispo, California 93407 (United States); Wei, Kaya; Nolas, George S., E-mail: gnolas@usf.edu [Department of Physics, University of South Florida, Tampa, Florida 33620 (United States)

    2016-12-15

    In its common, thermodynamically stable state, silicon adopts the same crystal structure as diamond. Although only a few alternative allotropic structures have been discovered and studied over the past six decades, advanced methods for structure prediction have recently suggested a remarkably rich low-density phase space that has only begun to be explored. The electronic properties of these low-density allotropes of silicon, predicted by first-principles calculations, indicate that these materials could offer a pathway to improving performance and reducing cost in a variety of electronic and energy-related applications. In this focus review, we provide an introduction and overview of recent theoretical and experimental results related to low-density allotropes of silicon, highlighting the significant potential these materials may have for technological applications, provided substantial challenges to their experimental preparation can be overcome.

  16. Silicon: the evolution of its use in biomaterials.

    Science.gov (United States)

    Henstock, J R; Canham, L T; Anderson, S I

    2015-01-01

    In the 1970s, several studies revealed the requirement for silicon in bone development, while bioactive silicate glasses simultaneously pioneered the current era of bioactive materials. Considerable research has subsequently focused on the chemistry and biological function of silicon in bone, demonstrating that the element has at least two separate effects in the extracellular matrix: (i) interacting with glycosaminoglycans and proteoglycans during their synthesis, and (ii) forming ionic substitutions in the crystal lattice structure of hydroxyapatite. In addition, the dissolution products of bioactive glass (predominantly silicic acids) have significant effects on the molecular biology of osteoblasts in vitro, regulating the expression of several genes including key osteoblastic markers, cell cycle regulators and extracellular matrix proteins. Researchers have sought to capitalize on these effects and have generated a diverse array of biomaterials, which include bioactive glasses, silicon-substituted hydroxyapatites and pure, porosified silicon, but all these materials share similarities in the mechanisms that result in their bioactivity. This review discusses the current data obtained from original research in biochemistry and biomaterials science supporting the role of silicon in bone, comparing both the biological function of the element and analysing the evolution of silicon-containing biomaterials. Copyright © 2014 Acta Materialia Inc. Published by Elsevier Ltd. All rights reserved.

  17. Radiation hardening of integrated circuits technologies

    International Nuclear Information System (INIS)

    Auberton-Herve, A.J.; Leray, J.L.

    1991-01-01

    The radiation hardening studies started in the mid decade -1960-1970. To survive the different military or space radiative environment, a new engineering science borned, to understand the degradation of electronics components. The different solutions to improve the electronic behavior in such environment, have been named radiation hardening of the technologies. Improvement of existing technologies, and qualification method have been widely studied. However, at the other hand, specific technologies was developped : The Silicon On Insulator technologies for CMOS or Bipolar. The HSOI3HD technology (supported by DGA-CEA DAM and LETI with THOMSON TMS) offers today the highest hardening level for the integration density of hundreds of thousand transistors on the same silicon. Full complex systems would be realized on a single die with a technological radiation hardening and no more system hardening

  18. Thermal Properties of the Silicon Microstrip Endcap Detector

    CERN Document Server

    Feld, Lutz; Hammarström, R

    1998-01-01

    Irradiated silicon detectors must be cooled in order to guarantee stable short and long term operation. Using the SiF1 milestone prototype we have performed a detailed analysis of the thermal properties of the silicon microstrip endcap detector. The strongest constraint on the cooling system is shown to be set by the need to avoid thermal runaway of the silicon detectors. We show that, taking into account the radiation damage to the silicon after 10 years of LHC operation and including some safety margin, the detector will need a cooling fluid temperature of around -20 C. The highest temperature on the silicon will then be in the range -15 C to -10 C. This sets an upper limit on the ambient temperature in the tracker volume.

  19. A tracer aided study on silicon chemistry in biological systems

    NARCIS (Netherlands)

    Brasser, H.J.

    2009-01-01

    Silicon (Si) is omnipresent in nature, and it is involved in important but diverse roles in a broad range of organisms, including diatoms, higher plants and humans. Some organisms, like the diatoms, need high amounts of silicon, and master silicon chemistry to a high extend using several enzymes.

  20. Integrating photonics with silicon nanoelectronics for the next generation of systems on a chip.

    Science.gov (United States)

    Atabaki, Amir H; Moazeni, Sajjad; Pavanello, Fabio; Gevorgyan, Hayk; Notaros, Jelena; Alloatti, Luca; Wade, Mark T; Sun, Chen; Kruger, Seth A; Meng, Huaiyu; Al Qubaisi, Kenaish; Wang, Imbert; Zhang, Bohan; Khilo, Anatol; Baiocco, Christopher V; Popović, Miloš A; Stojanović, Vladimir M; Ram, Rajeev J

    2018-04-01

    Electronic and photonic technologies have transformed our lives-from computing and mobile devices, to information technology and the internet. Our future demands in these fields require innovation in each technology separately, but also depend on our ability to harness their complementary physics through integrated solutions 1,2 . This goal is hindered by the fact that most silicon nanotechnologies-which enable our processors, computer memory, communications chips and image sensors-rely on bulk silicon substrates, a cost-effective solution with an abundant supply chain, but with substantial limitations for the integration of photonic functions. Here we introduce photonics into bulk silicon complementary metal-oxide-semiconductor (CMOS) chips using a layer of polycrystalline silicon deposited on silicon oxide (glass) islands fabricated alongside transistors. We use this single deposited layer to realize optical waveguides and resonators, high-speed optical modulators and sensitive avalanche photodetectors. We integrated this photonic platform with a 65-nanometre-transistor bulk CMOS process technology inside a 300-millimetre-diameter-wafer microelectronics foundry. We then implemented integrated high-speed optical transceivers in this platform that operate at ten gigabits per second, composed of millions of transistors, and arrayed on a single optical bus for wavelength division multiplexing, to address the demand for high-bandwidth optical interconnects in data centres and high-performance computing 3,4 . By decoupling the formation of photonic devices from that of transistors, this integration approach can achieve many of the goals of multi-chip solutions 5 , but with the performance, complexity and scalability of 'systems on a chip' 1,6-8 . As transistors smaller than ten nanometres across become commercially available 9 , and as new nanotechnologies emerge 10,11 , this approach could provide a way to integrate photonics with state-of-the-art nanoelectronics.

  1. Using virtual reality technology to include field operators in simulation and training

    International Nuclear Information System (INIS)

    Nystad, E.; Strand, S.

    2006-01-01

    By using virtual reality technology, field operators can be included in simulator training. A study has been performed where field operators could perform their activities in a virtual plant and communicate with a control room operator who was placed in a physical control room simulator. This paper describes the use of VR technology in the study and how the operators experienced interacting with the virtual plant. (author)

  2. Subsurface oxidation for micropatterning silicon (SOMS).

    Science.gov (United States)

    Zhang, Feng; Sautter, Ken; Davis, Robert C; Linford, Matthew R

    2009-02-03

    Here we present a straightforward patterning technique for silicon: subsurface oxidation for micropatterning silicon (SOMS). In this method, a stencil mask is placed above a silicon surface. Radio-frequency plasma oxidation of the substrate creates a pattern of thicker oxide in the exposed regions. Etching with HF or KOH produces very shallow or much higher aspect ratio features on silicon, respectively, where patterning is confirmed by atomic force microscopy, scanning electron microscopy, and optical microscopy. The oxidation process itself is studied under a variety of reaction conditions, including higher and lower oxygen pressures (2 and 0.5 Torr), a variety of powers (50-400 W), different times and as a function of reagent purity (99.5 or 99.994% oxygen). SOMS can be easily executed in any normal chemistry laboratory with a plasma generator. Because of its simplicity, it may have industrial viability.

  3. Silicon Thin-Film Solar Cells

    Directory of Open Access Journals (Sweden)

    Guy Beaucarne

    2007-01-01

    with plasma-enhanced chemical vapor deposition (PECVD. In spite of the fundamental limitation of this material due to its disorder and metastability, the technology is now gaining industrial momentum thanks to the entry of equipment manufacturers with experience with large-area PECVD. Microcrystalline Si (also called nanocrystalline Si is a material with crystallites in the nanometer range in an amorphous matrix, and which contains less defects than amorphous silicon. Its lower bandgap makes it particularly appropriate as active material for the bottom cell in tandem and triple junction devices. The combination of an amorphous silicon top cell and a microcrystalline bottom cell has yielded promising results, but much work is needed to implement it on large-area and to limit light-induced degradation. Finally thin-film polysilicon solar cells, with grain size in the micrometer range, has recently emerged as an alternative photovoltaic technology. The layers have a grain size ranging from 1 μm to several tens of microns, and are formed at a temperature ranging from 600 to more than 1000∘C. Solid Phase Crystallization has yielded the best results so far but there has recently been fast progress with seed layer approaches, particularly those using the aluminum-induced crystallization technique.

  4. Beam tests of ATLAS SCT silicon strip detector modules

    CERN Document Server

    Campabadal, F; Key, M; Lozano, M; Martínez, C; Pellegrini, G; Rafí, J M; Ullán, M; Johansen, L; Pommeresche, B; Stugu, B; Ciocio, A; Fadeev, V; Gilchriese, M G D; Haber, C; Siegrist, J; Spieler, H; Vu, C; Bell, P J; Charlton, D G; Dowell, John D; Gallop, B J; Homer, R J; Jovanovic, P; Mahout, G; McMahon, T J; Wilson, J A; Barr, A J; Carter, J R; Fromant, B P; Goodrick, M J; Hill, J C; Lester, C G; Palmer, M J; Parker, M A; Robinson, D; Sabetfakhri, A; Shaw, R J; Anghinolfi, F; Chesi, Enrico Guido; Chouridou, S; Fortin, R; Grosse-Knetter, J; Gruwé, M; Ferrari, P; Jarron, P; Kaplon, J; MacPherson, A; Niinikoski, T O; Pernegger, H; Roe, S; Rudge, A; Ruggiero, G; Wallny, R; Weilhammer, P; Bialas, W; Dabrowski, W; Grybos, P; Koperny, S; Blocki, J; Brückman, P; Gadomski, S; Godlewski, J; Górnicki, E; Malecki, P; Moszczynski, A; Stanecka, E; Stodulski, M; Szczygiel, R; Turala, M; Wolter, M; Ahmad, A; Benes, J; Carpentieri, C; Feld, L; Ketterer, C; Ludwig, J; Meinhardt, J; Runge, K; Mikulec, B; Mangin-Brinet, M; D'Onofrio, M; Donega, M; Moêd, S; Sfyrla, A; Ferrère, D; Clark, A G; Perrin, E; Weber, M; Bates, R L; Cheplakov, A P; Saxon, D H; O'Shea, V; Smith, K M; Iwata, Y; Ohsugi, T; Kohriki, T; Kondo, T; Terada, S; Ujiie, N; Ikegami, Y; Unno, Y; Takashima, R; Brodbeck, T; Chilingarov, A G; Hughes, G; Ratoff, P; Sloan, T; Allport, P P; Casse, G L; Greenall, A; Jackson, J N; Jones, T J; King, B T; Maxfield, S J; Smith, N A; Sutcliffe, P; Vossebeld, Joost Herman; Beck, G A; Carter, A A; Lloyd, S L; Martin, A J; Morris, J; Morin, J; Nagai, K; Pritchard, T W; Anderson, B E; Butterworth, J M; Fraser, T J; Jones, T W; Lane, J B; Postranecky, M; Warren, M R M; Cindro, V; Kramberger, G; Mandic, I; Mikuz, M; Duerdoth, I P; Freestone, J; Foster, J M; Ibbotson, M; Loebinger, F K; Pater, J; Snow, S W; Thompson, R J; Atkinson, T M; Bright, G; Kazi, S; Lindsay, S; Moorhead, G F; Taylor, G N; Bachindgagyan, G; Baranova, N; Karmanov, D; Merkine, M; Andricek, L; Bethke, Siegfried; Kudlaty, J; Lutz, Gerhard; Moser, H G; Nisius, R; Richter, R; Schieck, J; Cornelissen, T; Gorfine, G W; Hartjes, F G; Hessey, N P; de Jong, P; Muijs, A J M; Peeters, S J M; Tomeda, Y; Tanaka, R; Nakano, I; Dorholt, O; Danielsen, K M; Huse, T; Sandaker, H; Stapnes, S; Bargassa, Pedrame; Reichold, A; Huffman, T; Nickerson, R B; Weidberg, A; Doucas, G; Hawes, B; Lau, W; Howell, D; Kundu, N; Wastie, R; Böhm, J; Mikestikova, M; Stastny, J; Broklová, Z; Broz, J; Dolezal, Z; Kodys, P; Kubík, P; Reznicek, P; Vorobel, V; Wilhelm, I; Chren, D; Horazdovsky, T; Linhart, V; Pospísil, S; Sinor, M; Solar, M; Sopko, B; Stekl, I; Ardashev, E N; Golovnya, S N; Gorokhov, S A; Kholodenko, A G; Rudenko, R E; Ryadovikov, V N; Vorobev, A P; Adkin, P J; Apsimon, R J; Batchelor, L E; Bizzell, J P; Booker, P; Davis, V R; Easton, J M; Fowler, C; Gibson, M D; Haywood, S J; MacWaters, C; Matheson, J P; Matson, R M; McMahon, S J; Morris, F S; Morrissey, M; Murray, W J; Phillips, P W; Tyndel, M; Villani, E G; Dorfan, D E; Grillo, A A; Rosenbaum, F; Sadrozinski, H F W; Seiden, A; Spencer, E; Wilder, M; Booth, P; Buttar, C M; Dawson, I; Dervan, P; Grigson, C; Harper, R; Moraes, A; Peak, L S; Varvell, K E; Chu Ming Lee; Hou Li Shing; Lee Shih Chang; Teng Ping Kun; Wan Chang Chun; Hara, K; Kato, Y; Kuwano, T; Minagawa, M; Sengoku, H; Bingefors, N; Brenner, R; Ekelöf, T J C; Eklund, L; Bernabeu, J; Civera, J V; Costa, M J; Fuster, J; García, C; García, J E; González-Sevilla, S; Lacasta, C; Llosa, G; Martí i García, S; Modesto, P; Sánchez, J; Sospedra, L; Vos, M; Fasching, D; González, S; Jared, R C; Charles, E

    2005-01-01

    The design and technology of the silicon strip detector modules for the Semiconductor Tracker (SCT) of the ATLAS experiment have been finalised in the last several years. Integral to this process has been the measurement and verification of the tracking performance of the different module types in test beams at the CERN SPS and the KEK PS. Tests have been performed to explore the module performance under various operating conditions including detector bias voltage, magnetic field, incidence angle, and state of irradiation up to 3 multiplied by 1014 protons per square centimetre. A particular emphasis has been the understanding of the operational consequences of the binary readout scheme.

  5. Device physics underlying silicon heterojunction and passivating-contact solar cells: A topical review

    KAUST Repository

    Chavali, Raghu V. K.

    2018-01-15

    The device physics of commercially dominant diffused-junction silicon solar cells is well understood, allowing sophisticated optimization of this class of devices. Recently, so-called passivating-contact solar cell technologies have become prominent, with Kaneka setting the world\\'s silicon solar cell efficiency record of 26.63% using silicon heterojunction contacts in an interdigitated configuration. Although passivating-contact solar cells are remarkably efficient, their underlying device physics is not yet completely understood, not in the least because they are constructed from diverse materials that may introduce electronic barriers in the current flow. To bridge this gap in understanding, we explore the device physics of passivating contact silicon heterojunction (SHJ) solar cells. Here, we identify the key properties of heterojunctions that affect cell efficiency, analyze the dependence of key heterojunction properties on carrier transport under light and dark conditions, provide a self-consistent multiprobe approach to extract heterojunction parameters using several characterization techniques (including dark J-V, light J-V, C-V, admittance spectroscopy, and Suns-Voc), propose design guidelines to address bottlenecks in energy production in SHJ cells, and develop a process-to-module modeling framework to establish the module\\'s performance limits. We expect that our proposed guidelines resulting from this multiscale and self-consistent framework will improve the performance of future SHJ cells as well as other passivating contact-based solar cells.

  6. Optical characterization of nanocrystals in silicon rich oxide superlattices and porous silicon

    International Nuclear Information System (INIS)

    Agocs, E.; Petrik, P.; Milita, S.; Vanzetti, L.; Gardelis, S.; Nassiopoulou, A.G.; Pucker, G.; Balboni, R.; Fried, M.

    2011-01-01

    We propose to analyze ellipsometry data by using effective medium approximation (EMA) models. Thanks to EMA, having nanocrystalline reference dielectric functions and generalized critical point (GCP) model the physical parameters of two series of samples containing silicon nanocrystals, i.e. silicon rich oxide (SRO) superlattices and porous silicon layers (PSL), have been determined. The superlattices, consisting of ten SRO/SiO 2 layer pairs, have been prepared using plasma enhanced chemical vapor deposition. The porous silicon layers have been prepared using short monopulses of anodization current in the transition regime between porous silicon formation and electropolishing, in a mixture of hydrofluoric acid and ethanol. The optical modeling of both structures is similar. The effective dielectric function of the layer is calculated by EMA using nanocrystalline components (nc-Si and GCP) in a dielectric matrix (SRO) or voids (PSL). We discuss the two major problems occurring when modeling such structures: (1) the modeling of the vertically non-uniform layer structures (including the interface properties like nanoroughness at the layer boundaries) and (2) the parameterization of the dielectric function of nanocrystals. We used several techniques to reduce the large number of fit parameters of the GCP models. The obtained results are in good agreement with those obtained by X-ray diffraction and electron microscopy. We investigated the correlation of the broadening parameter and characteristic EMA components with the nanocrystal size and the sample preparation conditions, such as the annealing temperatures of the SRO superlattices and the anodization current density of the porous silicon samples. We found that the broadening parameter is a sensitive measure of the nanocrystallinity of the samples, even in cases, where the nanocrystals are too small to be visible for X-ray scattering. Major processes like sintering, phase separation, and intermixing have been

  7. 75 FR 43555 - Experian, Global Technology Services, a Subsidiary of Experian, Including a Leased Employee From...

    Science.gov (United States)

    2010-07-26

    ... Technology Services, a Subsidiary of Experian, Including a Leased Employee From Tapfin Working Off-Site in... Experian, Global Technology Services, a subsidiary of Experian, including on-site leased workers from... control of the Schaumburg, Illinois location of Experian, Global Technology Services, a subsidiary of...

  8. Geochemistry of silicon isotopes

    Energy Technology Data Exchange (ETDEWEB)

    Ding, Tiping; Li, Yanhe; Gao, Jianfei; Hu, Bin [Chinese Academy of Geological Science, Beijing (China). Inst. of Mineral Resources; Jiang, Shaoyong [China Univ. of Geosciences, Wuhan (China).

    2018-04-01

    Silicon is one of the most abundant elements in the Earth and silicon isotope geochemistry is important in identifying the silicon source for various geological bodies and in studying the behavior of silicon in different geological processes. This book starts with an introduction on the development of silicon isotope geochemistry. Various analytical methods are described and compared with each other in detail. The mechanisms of silicon isotope fractionation are discussed, and silicon isotope distributions in various extraterrestrial and terrestrial reservoirs are updated. Besides, the applications of silicon isotopes in several important fields are presented.

  9. Novel approaches for low-cost through-silicon vias

    NARCIS (Netherlands)

    Bullema, J.E.; Bressers, P.; Oosterhuis, G.; Mueller, M.; Huis in 't veld, A.J.; Roozeboom, F.

    2011-01-01

    3D stacking of integrated circuits is an emerging packaging technology to enable a high degree of functional integration and miniaturization. Footprint reduction in 3D stacking can be achieved by use of Through Silicon Vias (TSV). Creation of TSVs with Deep Reactive Ion Etching (DRIE), laser

  10. Silicon solar cells with high efficiencies. Final report; Silicium-Solarzellen mit hoechsten Wirkungsgraden. Abschlussbericht

    Energy Technology Data Exchange (ETDEWEB)

    Wettling, W.; Knobloch, J.; Glunz, S.W.; Henninger, V.; Kamerewerd, F.J.; Koester, B.; Leimenstoll, A.; Schaeffer, E.; Schumacher, J.; Sterk, S.; Warta, W.

    1996-06-01

    In this report the basic activities for the development of the silicon high efficiency solar cell technology are described. The project had two main goals: (i) The improvement of efficiencies using a systematic optimization of all cell parameters and technology steps and (ii) the simplification of the technology towards the possibilities of an industrial production, keeping the cell efficiency at a high level. Starting from the LBSF technology, developed at Fraunhofer ISE, the reduction of all loss mechanisms led to efficiencies up to 22.5% on FZ-silicon. Using a modification of this technology efficiencies of up to 21.7% have been reached on Cz-silicon. Even after the reduction of the number of photolithographic steps from six to three efficiencies up to 21.6% on FZ- and 19.5% on Cz-silicon have been obtained. These are best values in an international comparison. (orig.) [Deutsch] In diesem Projektbericht werden grundlegende Arbeiten zur Entwicklung der Silicium-`Highefficiency`-Solarzellentechnologie beschrieben. Das Projekt hatte zwei Hauptziele: (i) Die Erhoehung der Wirkungsgrade durch eine systematische Optimierung aller Zellparameter und aller Technologieschritte und (ii) die Vereinfachung der Technologie unter Beibehaltung sehr hoher Wirkungsgrade mit dem Ziel einer Annaeherung an die Moeglichkeiten der Industriefertigung. Ausgehend von der im Fraunhofer ISE entwickelten LBSF-Technologie gelang es durch Reduzierung aller Verlustmechanismen, Wirkungsgrade bis zu 22.5% auf FZ-Silicium zu erreichen. Nach Anpassung der Technologie wurden auf Cz-Silicium Wirkungsgrade bis 21.7% erzielt. Ein von sechs auf drei Fotomaskenschritte reduzierter Prozess erzielte immerhin noch Werte bis 21.6% auf FZ- und 19.5% auf Cz-Material. Alle dieser Werte stellen im internationalen Vergleich Spitzenleistungen dar. (orig.)

  11. FinFET centric variability-aware compact model extraction and generation technology supporting DTCO

    OpenAIRE

    Wang, Xingsheng; Cheng, Binjie; Reid, David; Pender, Andrew; Asenov, Plamen; Millar, Campbell; Asenov, Asen

    2015-01-01

    In this paper, we present a FinFET-focused variability-aware compact model (CM) extraction and generation technology supporting design-technology co-optimization. The 14-nm CMOS technology generation silicon on insulator FinFETs are used as testbed transistors to illustrate our approach. The TCAD simulations include a long-range process-induced variability using a design of experiment approach and short-range purely statistical variability (mismatch). The CM extraction supports a hierarchical...

  12. Experimental identification of nitrogen-vacancy complexes in nitrogen implanted silicon

    Science.gov (United States)

    Adam, Lahir Shaik; Law, Mark E.; Szpala, Stanislaw; Simpson, P. J.; Lawther, Derek; Dokumaci, Omer; Hegde, Suri

    2001-07-01

    Nitrogen implantation is commonly used in multigate oxide thickness processing for mixed signal complementary metal-oxide-semiconductor and System on a Chip technologies. Current experiments and diffusion models indicate that upon annealing, implanted nitrogen diffuses towards the surface. The mechanism proposed for nitrogen diffusion is the formation of nitrogen-vacancy complexes in silicon, as indicated by ab initio studies by J. S. Nelson, P. A. Schultz, and A. F. Wright [Appl. Phys. Lett. 73, 247 (1998)]. However, to date, there does not exist any experimental evidence of nitrogen-vacancy formation in silicon. This letter provides experimental evidence through positron annihilation spectroscopy that nitrogen-vacancy complexes indeed form in nitrogen implanted silicon, and compares the experimental results to the ab initio studies, providing qualitative support for the same.

  13. Silicon pore optics for future x-ray telescopes

    Science.gov (United States)

    Wille, Eric; Bavdaz, Marcos; Wallace, Kotska; Shortt, Brian; Collon, Maximilien; Ackermann, Marcelo; Günther, Ramses; Olde Riekerink, Mark; Koelewijn, Arenda; Haneveld, Jeroen; van Baren, Coen; Erhard, Markus; Kampf, Dirk; Christensen, Finn; Krumrey, Michael; Freyberg, Michael; Burwitz, Vadim

    2017-11-01

    Lightweight X-ray Wolter optics with a high angular resolution will enable the next generation of X-ray telescopes in space. The candidate mission ATHENA (Advanced Telescope for High Energy Astrophysics) required a mirror assembly of 1 m2 effective area (at 1 keV) and an angular resolution of 10 arcsec or better. These specifications can only be achieved with a novel technology like Silicon Pore Optics, which is being developed by ESA together with a consortium of European industry. Silicon Pore Optics are made of commercial Si wafers using process technology adapted from the semiconductor industry. We present the recent upgrades made to the manufacturing processes and equipment, ranging from the manufacture of single mirror plates towards complete focusing mirror modules mounted in flight configuration, and results from first vibration tests. The performance of the mirror modules is tested at X-ray facilities that were recently extended to measure optics at a focal distance up to 20 m.

  14. Surface engineered porous silicon for stable, high performance electrochemical supercapacitors

    Science.gov (United States)

    Oakes, Landon; Westover, Andrew; Mares, Jeremy W.; Chatterjee, Shahana; Erwin, William R.; Bardhan, Rizia; Weiss, Sharon M.; Pint, Cary L.

    2013-10-01

    Silicon materials remain unused for supercapacitors due to extreme reactivity of silicon with electrolytes. However, doped silicon materials boast a low mass density, excellent conductivity, a controllably etched nanoporous structure, and combined earth abundance and technological presence appealing to diverse energy storage frameworks. Here, we demonstrate a universal route to transform porous silicon (P-Si) into stable electrodes for electrochemical devices through growth of an ultra-thin, conformal graphene coating on the P-Si surface. This graphene coating simultaneously passivates surface charge traps and provides an ideal electrode-electrolyte electrochemical interface. This leads to 10-40X improvement in energy density, and a 2X wider electrochemical window compared to identically-structured unpassivated P-Si. This work demonstrates a technique generalizable to mesoporous and nanoporous materials that decouples the engineering of electrode structure and electrochemical surface stability to engineer performance in electrochemical environments. Specifically, we demonstrate P-Si as a promising new platform for grid-scale and integrated electrochemical energy storage.

  15. Surface engineered porous silicon for stable, high performance electrochemical supercapacitors

    Science.gov (United States)

    Oakes, Landon; Westover, Andrew; Mares, Jeremy W.; Chatterjee, Shahana; Erwin, William R.; Bardhan, Rizia; Weiss, Sharon M.; Pint, Cary L.

    2013-01-01

    Silicon materials remain unused for supercapacitors due to extreme reactivity of silicon with electrolytes. However, doped silicon materials boast a low mass density, excellent conductivity, a controllably etched nanoporous structure, and combined earth abundance and technological presence appealing to diverse energy storage frameworks. Here, we demonstrate a universal route to transform porous silicon (P-Si) into stable electrodes for electrochemical devices through growth of an ultra-thin, conformal graphene coating on the P-Si surface. This graphene coating simultaneously passivates surface charge traps and provides an ideal electrode-electrolyte electrochemical interface. This leads to 10–40X improvement in energy density, and a 2X wider electrochemical window compared to identically-structured unpassivated P-Si. This work demonstrates a technique generalizable to mesoporous and nanoporous materials that decouples the engineering of electrode structure and electrochemical surface stability to engineer performance in electrochemical environments. Specifically, we demonstrate P-Si as a promising new platform for grid-scale and integrated electrochemical energy storage. PMID:24145684

  16. The New Silicon Strip Detectors for the CMS Tracker Upgrade

    CERN Document Server

    Dragicevic, Marko

    2010-01-01

    The first introductory part of the thesis describes the concept of the CMS experiment. The tasks of the various detector systems and their technical implementations in CMS are explained. To facilitate the understanding of the basic principles of silicon strip sensors, the subsequent chapter discusses the fundamentals in semiconductor technology, with particular emphasis on silicon. The necessary process steps to manufacture strip sensors in a so-called planar process are described in detail. Furthermore, the effects of irradiation on silicon strip sensors are discussed. To conclude the introductory part of the thesis, the design of the silicon strip sensors of the CMS Tracker are described in detail. The choice of the substrate material and the complex geometry of the sensors are reviewed and the quality assurance procedures for the production of the sensors are presented. Furthermore the design of the detector modules are described. The main part of this thesis starts with a discussion on the demands on the ...

  17. Hybrid integrated single-wavelength laser with silicon micro-ring reflector

    Science.gov (United States)

    Ren, Min; Pu, Jing; Krishnamurthy, Vivek; Xu, Zhengji; Lee, Chee-Wei; Li, Dongdong; Gonzaga, Leonard; Toh, Yeow T.; Tjiptoharsono, Febi; Wang, Qian

    2018-02-01

    A hybrid integrated single-wavelength laser with silicon micro-ring reflector is demonstrated theoretically and experimentally. It consists of a heterogeneously integrated III-V section for optical gain, an adiabatic taper for light coupling, and a silicon micro-ring reflector for both wavelength selection and light reflection. Heterogeneous integration processes for multiple III-V chips bonded to an 8-inch Si wafer have been developed, which is promising for massive production of hybrid lasers on Si. The III-V layer is introduced on top of a 220-nm thick SOI layer through low-temperature wafer-boning technology. The optical coupling efficiency of >85% between III-V and Si waveguide has been achieved. The silicon micro-ring reflector, as the key element of the hybrid laser, is studied, with its maximized reflectivity of 85.6% demonstrated experimentally. The compact single-wavelength laser enables fully monolithic integration on silicon wafer for optical communication and optical sensing application.

  18. Study on the fabrication of silicon nanoparticles in an amorphous silicon light absorbing layer for solar cell applications

    International Nuclear Information System (INIS)

    Park, Joo Hyung; Song, Jin Soo; Lee, Jae Hee; Lee, Jeong Chul

    2012-01-01

    Hydrogenated amorphous-silicon (a-Si:H) thin-film solar cells have advantages of relatively simple technology, less material consumption, higher absorption ratio compared to crystalline silicon, and low cost due to the use of cheaper substrates rather than silicon wafers. However, together with those advantages, amorphous-silicon thin-film solar cells face several issues such as a relatively lower efficiency, a relatively wider bandgap, and the Staebler-Wronski effect (SWE) compared to other competing materials (i.e., crystalline silicon, CdTe, Cu(In x Ga (1-x) )Se 2 (CIGS), etc.). As a remedy for those drawbacks and a way to enhance the cell conversion efficiency at the same time, the employment of crystalline silicon nanoparticles (Si-NPs) in the a-Si matrix is proposed to organize the quantum-dot (QD) structure as the light-absorbing layer. This structure of the light absorbing layer consists of single-crystal Si-NPs in an a-Si:H thin-film matrix. The single-crystal Si-NPs are synthesized by using SiH 4 gas decomposition with CO 2 laser pyrolysis, and the sizes of Si-NPs are calibrated to control their bandgaps. The synthesized size-controlled Si-NPs are directly transferred to another chamber to form a QD structure by using co-deposition of the Si-NPs and the a-Si:H matrix. Transmission electron microscopy (TEM) analyses are employed to verify the sizes and the crystalline properties of the Si-NPs alone and of the Si-NPs in the a-Si:H matrix. The TEM results show successful co-deposition of size-controlled Si-NPs in the a-Si:H matrix, which is meaningful because it suggests the possibility of further enhancement of the a-Si:H solar-cell structure and of tandem structure applications by using a single element.

  19. Interaction between rare-earth ions and amorphous silicon nanoclusters produced at low processing temperatures

    Energy Technology Data Exchange (ETDEWEB)

    Meldrum, A. [Department of Physics, University of Alberta, Edmonton, T6G2J1 (Canada)]. E-mail: ameldrum@ualberta.ca; Hryciw, A. [Department of Physics, University of Alberta, Edmonton, T6G2J1 (Canada); MacDonald, A.N. [Department of Physics, University of Alberta, Edmonton, T6G2J1 (Canada); Blois, C. [Department of Physics, University of Alberta, Edmonton, T6G2J1 (Canada); Clement, T. [Department of Electrical and Computer Engineering, University of Alberta, Edmonton, T6G2V4 (Canada); De Corby, R. [Department of Electrical and Computer Engineering, University of Alberta, Edmonton, T6G2V4 (Canada); Wang, J. [Department of Physics, Chinese University of Hong Kong, Shatin, Hong Kong (China); Li Quan [Department of Physics, Chinese University of Hong Kong, Shatin, Hong Kong (China)

    2006-12-15

    Temperatures of 1000 deg. C and higher are a significant problem for the incorporation of erbium-doped silicon nanocrystal devices into standard silicon technology, and make the fabrication of contacts and reflectors in light emitting devices difficult. In the present work, we use energy-filtered TEM imaging techniques to show the formation of size-controlled amorphous silicon nanoclusters in SiO films annealed between 400 and 500 deg. C. The PL properties of such films are characteristic of amorphous silicon, and the spectrum can be controlled via a statistical size effect-as opposed to quantum confinement-that has previously been proposed for porous amorphous silicon. Finally, we show that amorphous nanoclusters sensitize the luminescence from the rare-earth ions Er, Nd, Yb, and Tm with excitation cross-sections similar in magnitude to erbium-doped silicon nanocrystal composites, and with a similar nonresonant energy transfer mechanism.

  20. Retinal Layers Measurements following Silicone Oil Tamponade for Retinal Detachment Surgery.

    Science.gov (United States)

    Jurišić, Darija; Geber, Mia Zorić; Ćavar, Ivan; Utrobičić, Dobrila Karlica

    2017-12-19

    This study aimed to investigate the influence of silicone oil on the retinal nerve fiber layer (RNFL) thickness in patients with primary rhegmatogenous retinal detachment who underwent vitreoretinal surgery. The study included 47 patients (eyes), who underwent a pars plana vitrectomy with the silicone oil tamponade. The control group included unoperated eye of all participants. Spectral-domain optical coherence tomography (SD-OCT) was used for the measurements of peripapilar and macular RNFL thickness. The average peripapillary RNFL thickness was significantly higher in the silicone oil filled eyes during endotamponade and after its removal. The eyes with elevated IOP had less thickening of the RNFL in comparison to the eyes with normal IOP. Central macular thickness and macular volume were decreased in the silicone oil filled eyes in comparison to the control eyes. In conclusion, silicone oil caused peripapilar RNFL thickening in the vitrectomized eyes during endotamponade and after silicone oil removal.

  1. Silicon pore optics developments and status

    DEFF Research Database (Denmark)

    Bavdaz, Marcos; Wille, Eric; Wallace, Kotska

    2012-01-01

    Silicon Pore Optics (SPO) is a lightweight high performance X-ray optics technology being developed in Europe, driven by applications in observatory class high energy astrophysics missions. An example of such application is the former ESA science mission candidate ATHENA (Advanced Telescope...... for High Energy Astrophysics), which uses the SPO technology for its two telescopes, in order to provide an effective area exceeding 1 m2 at 1 keV, and 0.5 m2 at 6 keV, featuring an angular resolution of 10" or better [1 to 24]. This paper reports on the development activities led by ESA, and the status...

  2. Silicon heterojunction transistor

    International Nuclear Information System (INIS)

    Matsushita, T.; Oh-uchi, N.; Hayashi, H.; Yamoto, H.

    1979-01-01

    SIPOS (Semi-insulating polycrystalline silicon) which is used as a surface passivation layer for highly reliable silicon devices constitutes a good heterojunction for silicon. P- or B-doped SIPOS has been used as the emitter material of a heterojunction transistor with the base and collector of silicon. An npn SIPOS-Si heterojunction transistor showing 50 times the current gain of an npn silicon homojunction transistor has been realized by high-temperature treatments in nitrogen and low-temperature annealing in hydrogen or forming gas

  3. Article Including Environmental Barrier Coating System

    Science.gov (United States)

    Lee, Kang N. (Inventor)

    2015-01-01

    An enhanced environmental barrier coating for a silicon containing substrate. The enhanced barrier coating may include a bond coat doped with at least one of an alkali metal oxide and an alkali earth metal oxide. The enhanced barrier coating may include a composite mullite bond coat including BSAS and another distinct second phase oxide applied over said surface.

  4. BUILDING MATERIALS AND PRODUCTS BASED ON SILICON MANGANESE SLAGS

    Directory of Open Access Journals (Sweden)

    BOLSHAKOV V. I.

    2016-05-01

    Full Text Available Raising of problem. Currently of particular relevance was given to the matter of introduction in manufacture of building materials and products, resource-saving techniques and technologies; integrated use of raw materials and materials that prevent or significantly reduce their harmful impact on the environment. This allows you to recycle hundreds of thousands of tons of the fiery liquid slags of silicon manganese and to develop effective structural materials that can replace metals, non-metallic building materials of natural origin, concretes, cast stone, plastics and refractories. Purpose. The study of the structure and properties of building materials and products from electric furnace slag of silicon manganese. Conclusion. Slags from the smelting of silicon manganese are classified as acidic. Their lime factor is in the range of 0.47–0.52. The composition of the slag located in the heterogeneous region SiO2 near the line of separation of cristobalite spread to the crystallization of wollastonite, according to the ternary system MnO-CaO-SiO2, which in consideration of their stability, allows the development of technology of building materials (gravel, sand, granulated slag, etc. and products (foundation blocks, road slabs, containers for transportation and storage of hazardous waste, and others.

  5. Low temperature spalling of silicon: A crack propagation study

    Energy Technology Data Exchange (ETDEWEB)

    Bertoni, Mariana; Uberg Naerland, Tine; Stoddard, Nathan; Guimera Coll, Pablo

    2017-06-08

    Spalling is a promising kerfless method for cutting thin silicon wafers while doubling the yield of a silicon ingot. The main obstacle in this technology is the high total thickness variation of the spalled wafers, often as high as 100% of the wafer thickness. It has been suggested before that a strong correlation exists between low crack velocities and a smooth surface, but this correlation has never been shown during a spalling process in silicon. The reason lies in the challenge associated to measuring such velocities. In this contribution, we present a new approach to assess, in real time, the crack velocity as it propagates during a low temperature spalling process. Understanding the relationship between crack velocity and surface roughness during spalling can pave the way to attain full control on the surface quality of the spalled wafer.

  6. Microwave technology for waste management applications including disposition of electronic circuitry

    International Nuclear Information System (INIS)

    Wicks, G.G.; Clark, D.E.; Schulz, R.L.; Folz, D.C.

    1995-01-01

    Microwave technology is being developed nationally and internationally for a variety of environmental remediation purposes. These efforts include treatment and destruction of a vast array of gaseous, liquid and solid hazardous wastes as well as subsequent immobilization of selected components. Microwave technology provides an important contribution to an arsenal of existing remediation methods that are designed to protect the public and environment from undesirable consequences of hazardous materials. Applications of microwave energy for environmental remediation will be discussed. Emphasized will be a newly developed microwave process designed to treat discarded electronic circuitry and reclaim the precious metals within for reuse

  7. Advanced Exploration Technologies: Micro and Nano Technologies Enabling Space Missions in the 21st Century

    Science.gov (United States)

    Krabach, Timothy

    1998-01-01

    Some of the many new and advanced exploration technologies which will enable space missions in the 21st century and specifically the Manned Mars Mission are explored in this presentation. Some of these are the system on a chip, the Computed-Tomography imaging Spectrometer, the digital camera on a chip, and other Micro Electro Mechanical Systems (MEMS) technology for space. Some of these MEMS are the silicon micromachined microgyroscope, a subliming solid micro-thruster, a micro-ion thruster, a silicon seismometer, a dewpoint microhygrometer, a micro laser doppler anemometer, and tunable diode laser (TDL) sensors. The advanced technology insertion is critical for NASA to decrease mass, volume, power and mission costs, and increase functionality, science potential and robustness.

  8. Vertical integration of high-Q silicon nitride microresonators into silicon-on-insulator platform.

    Science.gov (United States)

    Li, Qing; Eftekhar, Ali A; Sodagar, Majid; Xia, Zhixuan; Atabaki, Amir H; Adibi, Ali

    2013-07-29

    We demonstrate a vertical integration of high-Q silicon nitride microresonators into the silicon-on-insulator platform for applications at the telecommunication wavelengths. Low-loss silicon nitride films with a thickness of 400 nm are successfully grown, enabling compact silicon nitride microresonators with ultra-high intrinsic Qs (~ 6 × 10(6) for 60 μm radius and ~ 2 × 10(7) for 240 μm radius). The coupling between the silicon nitride microresonator and the underneath silicon waveguide is based on evanescent coupling with silicon dioxide as buffer. Selective coupling to a desired radial mode of the silicon nitride microresonator is also achievable using a pulley coupling scheme. In this work, a 60-μm-radius silicon nitride microresonator has been successfully integrated into the silicon-on-insulator platform, showing a single-mode operation with an intrinsic Q of 2 × 10(6).

  9. Cost analysis of two silicon heterojunction solar cell designs

    NARCIS (Netherlands)

    Louwen, A.; van Sark, W.G.J.H.M.; Schropp, R.E.I.; Turkenburg, W.C.; Faaij, A.P.C.

    2013-01-01

    Research and Development of Silicon Heterojunction (SHJ) solar cells has seen a marked increase since the recent expiry of core patents describing SHJ technology. This paper investigates the production costs associated with two different SHJ cell designs investigated within the FLASH programme, a

  10. PVD Silicon Carbide as a Thin Film Packaging Technology for Antennas on LCP Substrates for Harsh Environments

    Science.gov (United States)

    Scardelletti, Maximilian C.; Stanton, John W.; Ponchak, George E.; Jordan, Jennifer L.; Zorman, Christian A.

    2010-01-01

    This paper describes an effort to develop a thin film packaging technology for microfabricated planar antennas on polymeric substrates based on silicon carbide (SiC) films deposited by physical vapor deposition (PVD). The antennas are coplanar waveguide fed dual frequency folded slot antennas fabricated on liquid crystal polymer (LCP) substrates. The PVD SiC thin films were deposited directly onto the antennas by RF sputtering at room temperature at a chamber pressure of 30 mTorr and a power level of 300 W. The SiC film thickness is 450 nm. The return loss and radiation patterns were measured before and after the SiC-coated antennas were submerged into perchloric acid for 1 hour. No degradation in RF performance or physical integrity of the antenna was observed.

  11. Towards micro-assembly of hybrid MOEMS components on a reconfigurable silicon free-space micro-optical bench

    International Nuclear Information System (INIS)

    Bargiel, S; Gorecki, C; Rabenorosoa, K; Clévy, C; Lutz, P

    2010-01-01

    The 3D integration of hybrid chips is a viable approach for the micro-optical technologies to reduce the costs of assembly and packaging. In this paper a technology platform for the hybrid integration of MOEMS components on a reconfigurable silicon free-space micro-optical bench (FS-MOB) is presented. In this approach a desired optical component (e.g. micromirror, microlens) is integrated with a removable and adjustable silicon holder which can be manipulated, aligned and fixed in the precisely etched rail of the silicon baseplate by use of a robotic micro-assembly station. An active-based gripping system allows modification of the holder position on the baseplate with nanometre precision. The fabrication processes of the micromachined parts of the micro-optical bench, based on bulk micromachining of standard silicon wafer and SOI wafer, are described. The successful assembly of the holders, equipped with a micromirror and a refractive glass ball microlens, on the baseplate rail is demonstrated.

  12. A Heat and Mass Transfer Model of a Silicon Pilot Furnace

    Science.gov (United States)

    Sloman, Benjamin M.; Please, Colin P.; Van Gorder, Robert A.; Valderhaug, Aasgeir M.; Birkeland, Rolf G.; Wegge, Harald

    2017-10-01

    The most common technological route for metallurgical silicon production is to feed quartz and a carbon source ( e.g., coal, coke, or charcoal) into submerged-arc furnaces, which use electrodes as electrical conductors. We develop a mathematical model of a silicon furnace. A continuum approach is taken, and we derive from first principles the equations governing the time evolution of chemical concentrations, gas partial pressures, velocity, and temperature within a one-dimensional vertical section of a furnace. Numerical simulations are obtained for this model and are shown to compare favorably with experimental results obtained using silicon pilot furnaces. A rising interface is shown to exist at the base of the charge, with motion caused by the heating of the pilot furnace. We find that more reactive carbon reduces the silicon monoxide losses, while reducing the carbon content in the raw material mixture causes greater solid and liquid material to build-up in the charge region, indicative of crust formation (which can be detrimental to the silicon production process). We also comment on how the various findings could be relevant for industrial operations.

  13. Plasma deposition of microcrystalline silicon solar cells. Looking beyond the glass

    Energy Technology Data Exchange (ETDEWEB)

    Donker, M.N. van den

    2006-07-01

    Microcrystalline silicon emerged in the past decade as highly interesting material for application in efficient and stable thin film silicon solar cells. It consists of nanometer-sized crystallites embedded in a micrometer-sized columnar structure, which gradually evolves during the SiH{sub 4} based deposition process starting from an amorphous incubation layer. Understanding of and control over this transient and multi-scale growth process is essential in the route towards low-cost microcrystalline silicon solar cells. This thesis presents an experimental study on the technologically relevant high rate (5-10 Aa s{sup -1}) parallel plate plasma deposition process of state-of-the-art microcrystalline silicon solar cells. The objective of the work was to explore and understand the physical limits of the plasma deposition process as well as to develop diagnostics suitable for process control in eventual solar cell production. Among the developed non-invasive process diagnostics were a pyrometer, an optical spectrometer, a mass spectrometer and a voltage probe. Complete thin film silicon solar cells and modules were deposited and characterized. (orig.)

  14. Analytical and Experimental Evaluation of Joining Silicon Carbide to Silicon Carbide and Silicon Nitride to Silicon Nitride for Advanced Heat Engine Applications Phase II

    Energy Technology Data Exchange (ETDEWEB)

    Sundberg, G.J.

    1994-01-01

    Techniques were developed to produce reliable silicon nitride to silicon nitride (NCX-5101) curved joins which were used to manufacture spin test specimens as a proof of concept to simulate parts such as a simple rotor. Specimens were machined from the curved joins to measure the following properties of the join interlayer: tensile strength, shear strength, 22 C flexure strength and 1370 C flexure strength. In parallel, extensive silicon nitride tensile creep evaluation of planar butt joins provided a sufficient data base to develop models with accurate predictive capability for different geometries. Analytical models applied satisfactorily to the silicon nitride joins were Norton's Law for creep strain, a modified Norton's Law internal variable model and the Monkman-Grant relationship for failure modeling. The Theta Projection method was less successful. Attempts were also made to develop planar butt joins of siliconized silicon carbide (NT230).

  15. Development of a process for high capacity arc heater production of silicon for solar arrays

    Science.gov (United States)

    Meyer, T. N.

    1980-01-01

    A high temperature silicon production process using existing electric arc heater technology is discussed. Silicon tetrachloride and a reductant, liquid sodium, were injected into an arc heated mixture of hydrogen and argon. Under these high temperature conditions, a very rapid reaction occurred, yielding silicon and gaseous sodium chloride. Techniques for high temperature separation and collection of the molten silicon were developed. The desired degree of separation was not achieved. The electrical, control and instrumentation, cooling water, gas, SiCl4, and sodium systems are discussed. The plasma reactor, silicon collection, effluent disposal, the gas burnoff stack, and decontamination and safety are also discussed. Procedure manuals, shakedown testing, data acquisition and analysis, product characterization, disassembly and decontamination, and component evaluation are reviewed.

  16. Strong coupling of a single electron in silicon to a microwave photon

    Science.gov (United States)

    Mi, X.; Cady, J. V.; Zajac, D. M.; Deelman, P. W.; Petta, J. R.

    2017-01-01

    Silicon is vital to the computing industry because of the high quality of its native oxide and well-established doping technologies. Isotopic purification has enabled quantum coherence times on the order of seconds, thereby placing silicon at the forefront of efforts to create a solid-state quantum processor. We demonstrate strong coupling of a single electron in a silicon double quantum dot to the photonic field of a microwave cavity, as shown by the observation of vacuum Rabi splitting. Strong coupling of a quantum dot electron to a cavity photon would allow for long-range qubit coupling and the long-range entanglement of electrons in semiconductor quantum dots.

  17. A large area silicon UCN detector with the analysis of UCN polarization

    International Nuclear Information System (INIS)

    Lasakov, M.S.; Serebrov, A.P.; Khusainov, A.Kh.; Pustovoit, A.; Borisov, Yu.V.; Fomin, A.K.; Geltenbort, P.; Kon'kov, O.I.; Kotina, I.M.; Shablii, A.I.; Solovei, V.A.; Vasiliev, A.V.

    2005-01-01

    A silicon UCN detector with an area of 45cm 2 and with a 6 LiF converter was developed at PNPI. The spectral efficiency of the silicon UCN detector was measured by means of a gravitational spectrometer at ILL. The sandwich-type detector from two silicon plates with a 6 LiF converter placed between them was also studied. Using this type of technology the UCN detector with analysis of polarization was developed and tested. The analyzing power of this detector assembly reaches up to 75% for the main part of UCN spectrum. This UCN detector with analysis of UCN polarization can be used in the new EDM spectrometer

  18. Electrical Control of g-Factor in a Few-Hole Silicon Nanowire MOSFET.

    Science.gov (United States)

    Voisin, B; Maurand, R; Barraud, S; Vinet, M; Jehl, X; Sanquer, M; Renard, J; De Franceschi, S

    2016-01-13

    Hole spins in silicon represent a promising yet barely explored direction for solid-state quantum computation, possibly combining long spin coherence, resulting from a reduced hyperfine interaction, and fast electrically driven qubit manipulation. Here we show that a silicon-nanowire field-effect transistor based on state-of-the-art silicon-on-insulator technology can be operated as a few-hole quantum dot. A detailed magnetotransport study of the first accessible hole reveals a g-factor with unexpectedly strong anisotropy and gate dependence. We infer that these two characteristics could enable an electrically driven g-tensor-modulation spin resonance with Rabi frequencies exceeding several hundred mega-Hertz.

  19. Zero-field optical magnetic resonance study of phosphorus donors in 28-silicon

    Science.gov (United States)

    Morse, Kevin J.; Dluhy, Phillip; Huber, Julian; Salvail, Jeff Z.; Saeedi, Kamyar; Riemann, Helge; Abrosimov, Nikolay V.; Becker, Peter; Pohl, Hans-Joachim; Simmons, S.; Thewalt, M. L. W.

    2018-03-01

    Donor spins in silicon are some of the most promising qubits for upcoming solid-state quantum technologies. The nuclear spins of phosphorus donors in enriched silicon have among the longest coherence times of any solid-state system as well as simultaneous high fidelity qubit initialization, manipulation, and readout. Here we characterize the phosphorus in silicon system in the regime of "zero" magnetic field, where a singlet-triplet spin clock transition can be accessed, using laser spectroscopy and magnetic resonance methods. We show the system can be optically hyperpolarized and has ˜10 s Hahn echo coherence times, even for applied static magnetic fields below Earth's field.

  20. HNT neurons patterned on a parylene-C/silicon dioxide interface

    International Nuclear Information System (INIS)

    Unsworth, C.P.; Graham, E.S.; Dragunow, M.; Delivopoulos, E.; Murray, A.F.

    2010-01-01

    Full text: In this article, we describe how we have successfully patterned lines of human teratocarcinoma cell line-derived (HNT) neurons on silicon chip. The silicon chips used in this study were created by depositing lines of the biomaterial Parylene-C onto a silicon dioxide substrate using photolithographic techniques. The chips were then immersed in a range of serums and the HNT neurons cultured for different periods of time. It was found that chips immersed in Foetal Bovine Serum (FBS) and then plated with 70 cells per square mm for 3 h on a Parylene-C thickness of 100 nm provided excellent patterning on the Parylene-C material with a very sharp contrast to the silicon dioxide substrate. The human HNT neuron was chosen as it provides the closest model to adult human neural tissue. The breakthrough in patterning such cells on silicon chip has widespread implication and value as a platform technology; to enable a detailed study of adult human brain circuits for a range of adult human brain pathologies. This could eventually lead to potential new treatments and lead to the development of new drug assays. (author)