WorldWideScience

Sample records for surface-plasma source sps

  1. Surface plasma source with saddle antenna radio frequency plasma generator.

    Science.gov (United States)

    Dudnikov, V; Johnson, R P; Murray, S; Pennisi, T; Piller, C; Santana, M; Stockli, M; Welton, R

    2012-02-01

    A prototype RF H(-) surface plasma source (SPS) with saddle (SA) RF antenna is developed which will provide better power efficiency for high pulsed and average current, higher brightness with longer lifetime and higher reliability. Several versions of new plasma generators with small AlN discharge chambers and different antennas and magnetic field configurations were tested in the plasma source test stand. A prototype SA SPS was installed in the Spallation Neutron Source (SNS) ion source test stand with a larger, normal-sized SNS AlN chamber that achieved unanalyzed peak currents of up to 67 mA with an apparent efficiency up to 1.6 mA∕kW. Control experiments with H(-) beam produced by SNS SPS with internal and external antennas were conducted. A new version of the RF triggering plasma gun has been designed. A saddle antenna SPS with water cooling is fabricated for high duty factor testing.

  2. Measurements of the cesium flow from a surface-plasma H- ion source

    International Nuclear Information System (INIS)

    Smith, H.V.; Allison, P.W.

    1979-01-01

    A surface ionization gauge (SIG) was constructed and used to measure the Cs 0 flow rate through the emission slit of a surface-plasma source (SPS) of H - ions with Penning geometry. The equivalent cesium density in the SPS discharge is deduced from these flow measurements. For dc operation the optimum H - current occurs at an equivalent cesium density of approx. 7 x 10 12 cm -3 (corresponding to an average cesium consumption rate of 0.5 mg/h). For pulsed operation the optimum H - current occurs at an equivalent cesium density of approx. 2 x 10 13 cm -3 (1-mg/h average cesium consumption rate). Cesium trapping by the SPS discharge was observed for both dc and pulsed operation. A cesium energy of approx. 0.1 eV is deduced from the observed time of flight to the SIG. In addition to providing information on the physics of the source, the SIG is a useful diagnostic tool for source startup and operation

  3. VUV absorption spectroscopy of a Penning surface-plasma H- source

    International Nuclear Information System (INIS)

    Pitcher, E.J.

    1992-01-01

    Because H - is efficiently neutralized at high energies, these beams are ideally suited to applications where energetic neutral beams of particles are required to propagate across magnetic fields. A class of sources that holds great promise for meeting the stringent requirements for these beams is the surface-plasma source (SPS), and in particular, the Penning type of SPS. It has been conjectured that atomic hydrogen plays an important role in both H - formation and transport in these sources. Understanding the interdependence of atomic hydrogen properties and those of H - , and how this relationship might be exploited to improve source performance is the motivation for this research. An overview of SPS's is presented. Previous measurements on the discharge are reviewed. Absorption spectroscopy is discussed. Techniques that may potentially be used to measure the properties of H - in the discharge are discussed. The two absorption spectrometers used in this experiment are described. Measurements of ground-state atomic hydrogen density and temperature in a Penning SPS are presented. These measurements are the first of this kind for this type of discharge. An upper limit on the H - density in the extraction region of the source is measured by the application of a novel diagnostic technique: the hydrogen atom density following H - photodetachment by a Nd:YAG beam is measured and compared to the equilibrium atomic density. A simple model is derived that describes the dependence of the atomic temperature on the externally-controlled parameters of discharge current and H 2 gas flow. The measured atomic density is considered in light of the widely-accepted hypothesis of the mechanism for H - formation. The measured upper limit of the H - density is used to infer the potential of the discharge plasma relative to the source anode

  4. Honeycomb surface-plasma negative-ion source

    International Nuclear Information System (INIS)

    Bel'chenko, Yu.I.

    1983-01-01

    A honeycomb surface-plasma source (SPS) of negative hydrogen ions the cathode of which consists of a great number of cells with spherical-concave surfaces, is described. Negative ions, knocked off the cathode by cesium-hydrogen discharge fast particles are accelerated in the near-cathode potential drop layer and focused geometrically on small emission apertures in the anode. Due to this, the gas and energy efficiency of the source is increased and the power density on the cathode is decreased. The H - yield is proportional to the number of celts. A pulse beam of negative ions with current up to 4 A is obtained and accelerated to 25 kV from the cathode effective area of 10.6 cm 2 through emission ports of 0.5 cm 2 total area. The honeycomb SPSs with a greater number of cells are promising as regards obtaining negative ion-beams with the current of scores of amperes

  5. Physical principles of the surface plasma method for producing beams of negative ions

    International Nuclear Information System (INIS)

    Bel'chenko, Yu.I.; Dimov, G.I.; Dudnikov, V.G.

    1977-01-01

    The processes which are important for the production of intense beams of negative ions from surface plasma sources (SPS) are examined. The formation of negative ions when atomic particles interact with a surface is analyzed on the basis of both experimental results obtained when a surface was bombarded with beams and recently developed theoretical considerations of reflection, scattering, and electron exchange. The characteristic features of these processes in SPS, when a surface is bombarded with intense fluxes of plasma particles, are revealed in special experiments. The characteristics of generation and acceleration of the bombarding particles in a gas discharge SPS plasma, the characteristics of transportation of negative ions through the plasma toward the beam forming system, the role of cesium in SPS, and the characteristics of formation of the intense negative ion beams as well as the removal of parasite electrons from the beam

  6. Suppression of the e- coextracted from a Penning surface-plasma H-source

    International Nuclear Information System (INIS)

    Smith, V.H.; Allison, P.

    1992-01-01

    The ratio of electrons to negative ions extracted from Penning surface-plasma sources (SPS) such as the 8X source is low even before any steps are taken to suppress the electrons. For the 8X source the e - /H - ratio is typically four or five to one for H - operation and nine to one for D - operation. Because the coextracted e - present a power-loading problem to the 8X-source extraction system, methods to dissipate and/or reduce the power in the e - beam must be developed before extracting a dc H - or D - beam. Thus, we conducted this study to determine whether a collar installed in the near-extraction region of the 8X source suppresses the electrons extracted from that source. (Author) 8 refs., 6 figs

  7. Physical principles of the surface-plasma method of producing beams of negative ions

    International Nuclear Information System (INIS)

    Bel'chenko, Yu.I.; Dimov, G.I.; Dudnikov, V.G.

    A study is made of the processes used to produce intensive beams of negative ions from surface-plasma sources (SPS). The concepts now being formulated concerning the formation of negative ions upon interaction of bombarding particles with the surface of a solid are analyzed. The peculiarities of the realization of optimal conditions for the production of beams of negative ions in SPS of various designs are discussed

  8. Negative ion surface plasma source development for plasma trap injectors in Novosibirsk

    International Nuclear Information System (INIS)

    Bel'chenko, Yu.I.; Dimov, G.I.; Dudnikov, V.G.; Kupriyanov, A.S.

    1989-01-01

    Work on high-current ion sources carried out at the Novosibirsk Institute of Nuclear Physics (INP) is presented. The INP investigations on ''pure plasma'' planotron and ''pure surface'' secondary emission systems of H - generation, which preceded the surface-plasma concept developed in Novosibirsk, are described. The physical basis of the surface-plasma method of negative-ion production is considered. The versions and operating characteristics of different surface-plasma sources including the multi-ampere (approx-gt 10A) source are discussed. Research on efficient large-area (∼10 2 cm 2 ) negative ion surface-plasma emitters is described. The INP long-pulse multiaperture surface- plasma generators, with a current of about 1A, are described. 38 refs., 17 figs

  9. Heat load and deuterium plasma effects on SPS and WSP tungsten

    Directory of Open Access Journals (Sweden)

    Vilémová Monika

    2015-06-01

    Full Text Available Tungsten is a prime choice for armor material in future nuclear fusion devices. For the realization of fusion, it is necessary to address issues related to the plasma–armor interactions. In this work, several types of tungsten material were studied, i.e. tungsten prepared by spark plasma sintering (SPS and by water stabilized plasma spraying (WSP technique. An intended surface porosity was created in the samples to model hydrogen/helium bubbles. The samples were subjected to a laser heat loading and a radiation loading of deuterium plasma to simulate edge plasma conditions of a nuclear fusion device (power density of 108 W/cm2 and 107 W/cm2, respectively, in the pulse intervals up to 200 ns. Thermally induced changes in the morphology and the damage to the studied surfaces are described. Possible consequences for the fusion device operation are pointed out.

  10. Sintering, consolidation, reaction and crystal growth by the spark plasma system (SPS)

    Energy Technology Data Exchange (ETDEWEB)

    Omori, M. [Tohoku Univ., Sendai (Japan). Inst. for Materials Research

    2000-08-15

    The graphite die set in spark plasma system (SPS) is heated by a pulse direct current. Weak plasma, discharge impact, electric field and electric current, which are based on this current, induce good effects on materials in the die. The surface films of aluminum and pure WC powders are ruptured by the spark plasma. Pure AlN powder is sintered without sintering additives in the electric field. The spark plasma leaves discharge patterns on insulators. Organic fibers are etched by the spark plasma. Thermosetting polyimide is consolidated by the spark plasma. Insoluble polymonomethylsilane is rearranged into the soluble one by the spark plasma. A single crystal of CoSb{sub 3} is grown from the compound powders in the electric field by slow heating. Coupled crystals of eutectic powder are connected with each other in the electric field. (orig.)

  11. Plasma-surface interaction in negative hydrogen ion sources

    Science.gov (United States)

    Wada, Motoi

    2018-05-01

    A negative hydrogen ion source delivers more beam current when Cs is introduced to the discharge, but a continuous operation of the source reduces the beam current until more Cs is added to the source. This behavior can be explained by adsorption and ion induced desorption of Cs atoms on the plasma grid surface of the ion source. The interaction between the ion source plasma and the plasma grid surface of a negative hydrogen ion source is discussed in correlation to the Cs consumption of the ion source. The results show that operation with deuterium instead of hydrogen should require more Cs consumption and the presence of medium mass impurities as well as ions of the source wall materials in the arc discharge enlarges the Cs removal rate during an ion source discharge.

  12. Search for the quark-gluon plasma (1989): The NA35 experiment at the CERN SPS

    International Nuclear Information System (INIS)

    Pugh, H.G.

    1989-09-01

    Results from the NA35 experiment at the CERN SPS are described in the context of possible formation and identification of a quark-gluon plasma (QGP). Evidence is presented that the initial energy density and temperature are sufficient for the QGP to be produced, and that hydrodynamic flow occurs in the expansion stage. Evidence for an unexpectedly large pion source size and for enhanced strangeness production is presented, and discussed in terms of QGP formation. Plans for experiments in 1990--91 with an expanded set up are presented, and prospects for a program with Pb beams at the SPS are discussed. 39 refs., 12 figs

  13. Study on surface modification of polymer films by using atmospheric plasma jet source

    International Nuclear Information System (INIS)

    Takemura, Yuichiro; Hara, Tamio; Yamaguchi, Naohiro

    2008-01-01

    Reactive gas plasma treatments of poly(ethylene terephthalate) (PET) and polyimide (Kapton) have been performed using an atmospheric plasmas jet source. Characteristics of surface modification have been examined by changing the distance between the plasma jet source and the treated sample, and by changing the working gas spaces. Simultaneously, each plasma jet source has been investigated by space-resolving spectroscopy in the UV/visible region. Polymer surfaces have been analyzed by X-ray photoelectron spectroscopy (XPS). A marked improvement in the hydrophilicity of the polymer surfaces has been made by using N 2 or O 2 plasma jet source with a very short exposure time of about 0.01 s, whereas the less improvement has been obtained using on air plasma jet source because of NO x compound production. Changes in the chemical states of C of the polymer surfaces have been observed in XPS spectra after N 2 plasma jet spraying. (author)

  14. Mechanical characterization of cemented carbide WC-6Co (%wt) manufactured by SPS (Spark Plasma Sintering; Caracterizacao mecanica de metal duro WC-6Co (%massa) sinterizado via SPS (Spark Plasma Sintering)

    Energy Technology Data Exchange (ETDEWEB)

    Boidi, G.; Tertuliano, A.J.; Machado, I.F., E-mail: guido.boidi@usp.br [Universidade de Sao Paulo (USP), SP (Brazil). Departamento de Engenharia Mecatronica e Sistemas Mecanicos; Rodrigues, D. [BRATS- Filtros Sinterizados e Pos Metalicos, Cajamar, SP (Brazil)

    2016-07-01

    This work aimed to manufacture cemented carbide (WC-6%wtCo) obtained by SPS (Spark Plasma Sintering) process and to carry out the mechanical characterization by hardness and fracture toughness. The material was consolidated at 1100 deg C for different holding times (1 min, 5 min, 10 min), in order to evaluate the densification. A reference sample was also used to be compared to SPS. Optical and scanning electron microscopy were carried out to characterize the microstructural features of the samples and mechanical properties were obtained by hardness measurements (micro and macro) and instrumented indentation. The fracture toughness was calculated with the method of Palmqvist. Best results were found in the material sintered by SPS for 10 minutes of holding time, in which 97% of relative density and about 1600 HV{sub 10} was reached. (author)

  15. Adaptation of metal arc plasma source to plasma source ion implantation

    International Nuclear Information System (INIS)

    Shamim, M.M.; Fetherston, R.P.; Conrad, J.R.

    1995-01-01

    In Plasma Source Ion Implantation (PSII) a target is immersed in a plasma and a train of high negative voltage pulses is applied to accelerate ions into the target and to modify the properties in the near surface region. In PSII, until now the authors have been using gaseous species to generate plasmas. However metal ion plasma may be used to modify the surface properties of material for industrial applications. Conventionally the ion implantation of metal ions is performed using beam line accelerators which have complex engineering and high cost. The employment of a metal arc source to PSII has tremendous potential due to its ability to process the conformal surfaces, simple engineering and cost effectiveness. They have installed metal arc source for generation of titanium plasma. Currently, they are investigating the properties of titanium plasma and material behavior of titanium implanted aluminum and 52100 steel. The recent results of this investigation are presented

  16. Mechanical characterization of cemented carbide WC-6Co (%wt) manufactured by SPS (Spark Plasma Sintering

    International Nuclear Information System (INIS)

    Boidi, G.; Tertuliano, A.J.; Machado, I.F.

    2016-01-01

    This work aimed to manufacture cemented carbide (WC-6%wtCo) obtained by SPS (Spark Plasma Sintering) process and to carry out the mechanical characterization by hardness and fracture toughness. The material was consolidated at 1100 deg C for different holding times (1 min, 5 min, 10 min), in order to evaluate the densification. A reference sample was also used to be compared to SPS. Optical and scanning electron microscopy were carried out to characterize the microstructural features of the samples and mechanical properties were obtained by hardness measurements (micro and macro) and instrumented indentation. The fracture toughness was calculated with the method of Palmqvist. Best results were found in the material sintered by SPS for 10 minutes of holding time, in which 97% of relative density and about 1600 HV_1_0 was reached. (author)

  17. Beam Transfer Line Design for a Plasma Wakefield Acceleration Experiment (AWAKE) at the CERN SPS

    CERN Document Server

    Bracco, C; Brethoux, D; Clerc, V; Goddard, B; Gschwendtner, E; Jensen, L K; Kosmicki, A; Le Godec, G; Meddahi, M; Muggli, P; Mutin, C; Osborne, O; Papastergiou, K; Pardons, A; Velotti, F M; Vincke, H

    2013-01-01

    The world’s first proton driven plasma wakefield acceleration experiment (AWAKE) is presently being studied at CERN. The experimentwill use a high energy proton beam extracted from the SPS as driver. Two possible locations for installing the AWAKE facility were considered: the West Area and the CNGS beam line. The previous transfer line from the SPS to the West Area was completely dismantled in 2005 and would need to be fully re-designed and re-built. For this option, geometric constraints for radiation protection reasons would limit the maximum proton beam energy to 300 GeV. The existing CNGS line could be used by applying only minor changes to the lattice for the final focusing and the interface between the proton beam and the laser, required for plasma ionisation and bunch-modulation seeding. The beam line design studies performed for the two options are presented.

  18. Master Sintering Surface: A practical approach to its construction and utilization for Spark Plasma Sintering prediction

    Directory of Open Access Journals (Sweden)

    Pouchly V.

    2012-01-01

    Full Text Available The sintering is a complex thermally activated process, thus any prediction of sintering behaviour is very welcome not only for industrial purposes. Presented paper shows the possibility of densification prediction based on concept of Master Sintering Surface (MSS for pressure assisted Spark Plasma Sintering (SPS. User friendly software for evaluation of the MSS is presented. The concept was used for densification prediction of alumina ceramics sintered by SPS.

  19. High-Pressure Spark Plasma Sintering (HP SPS): A Promising and Reliable Method for Preparing Ti-Al-Si Alloys.

    Science.gov (United States)

    Knaislová, Anna; Novák, Pavel; Cygan, Sławomir; Jaworska, Lucyna; Cabibbo, Marcello

    2017-04-27

    Ti-Al-Si alloys are prospective material for high-temperature applications. Due to low density, good mechanical properties, and oxidation resistance, these intermetallic alloys can be used in the aerospace and automobile industries. Ti-Al-Si alloys were prepared by powder metallurgy using reactive sintering, milling, and spark plasma sintering. One of the novel SPS techniques is high-pressure spark plasma sintering (HP SPS), which was tested in this work and applied to a Ti-10Al-20Si intermetallic alloy using a pressure of 6 GPa and temperatures ranging from 1318 K (1045 °C) to 1597 K (1324 °C). The low-porosity consolidated samples consist of Ti₅Si₃ silicides in an aluminide (TiAl) matrix. The hardness varied between 720 and 892 HV 5.

  20. H- Ion Sources for High Intensity Proton Drivers

    Energy Technology Data Exchange (ETDEWEB)

    Johnson, Rolland Paul [Oak Ridge National Lab. (ORNL), Oak Ridge, TN (United States); Dudnikov, Vadim [Muons, Inc., Batavia, IL (United States)

    2015-02-20

    Existing RF Surface Plasma Sources (SPS) for accelerators have specific efficiencies for H+ and H- ion generation around 3 to 5 mA/cm2 per kW, where about 50 kW of RF power is typically needed for 50 mA beam current production. The Saddle Antenna (SA) SPS described here was developed to improve H- ion production efficiency, reliability and availability for pulsed operation as used in the ORNL Spallation Neutron Source . At low RF power, the efficiency of positive ion generation in the plasma has been improved to 200 mA/cm2 per kW of RF power at 13.56 MHz. Initial cesiation of the SPS was performed by heating cesium chromate cartridges by discharge as was done in the very first versions of the SPS. A small oven to decompose cesium compounds and alloys was developed and tested. After cesiation, the current of negative ions to the collector was increased from 1 mA to 10 mA with RF power 1.5 kW in the plasma (6 mm diameter emission aperture) and up to 30 mA with 4 kW RF power in the plasma and 250 Gauss longitudinal magnetic field. The ratio of electron current to negative ion current was improved from 30 to 2. Stable generation of H- beam without intensity degradation was demonstrated in the aluminum nitride (AlN) discharge chamber for 32 days at high discharge power in an RF SPS with an external antenna. Some modifications were made to improve the cooling and cesiation stability. The extracted collector current can be increased significantly by optimizing the longitudinal magnetic field in the discharge chamber. While this project demonstrated the advantages of the pulsed version of the SA RF SPS as an upgrade to the ORNL Spallation Neutron Source, it led to a possibility for upgrades to CW machines like the many cyclotrons used for commercial applications. Four appendices contain important details of the work carried out under this grant.

  1. Characterization of an atmospheric pressure air plasma source for polymer surface modification

    Science.gov (United States)

    Yang, Shujun; Tang, Jiansheng

    2013-10-01

    An atmospheric pressure air plasma source was generated through dielectric barrier discharge (DBD). It was used to modify polyethyleneterephthalate (PET) surfaces with very high throughput. An equivalent circuit model was used to calculate the peak average electron density. The emission spectrum from the plasma was taken and the main peaks in the spectrum were identified. The ozone density in the down plasma region was estimated by Absorption Spectroscopy. NSF and ARC-ODU

  2. Interface evolution and shear strength of Al/Ti bi-metals processed by a spark plasma sintering (SPS) apparatus

    Energy Technology Data Exchange (ETDEWEB)

    Miriyev, Aslan, E-mail: aslan.miriyev@columbia.edu [Department of Mechanical Engineering, Columbia University in the City of New York, 500 W. 120th St., Mudd 220, New York, NY 10027 (United States); Levy, Asaf; Kalabukhov, Sergey; Frage, Nachum [Department of Materials Engineering, Ben-Gurion University of the Negev, P.O.B. 653, Beer Sheva 8410501 (Israel)

    2016-09-05

    Microstructural evolution of the Al/Ti bi-metal interface during heat treatment in a spark plasma sintering (SPS) apparatus was investigated under various conditions for the first time. A mechanism of interfacial layer growth was suggested based on the results of SEM, TEM and X-ray diffraction analysis. A continuous TiAl{sub 3} intermetallic layer was formed at the Al/Ti interface even after a processing time as short as about a minute. The TiAl{sub 3} layer grew mainly into the Ti part, while only a few individual grains grew into the Al part. Evolution of the interlayer was determined by Al diffusion through the (TiAl{sub 3}/TiAl{sub 3}) grain boundary. The activation energy of the process was 140 kJ/mol. The shear strength of the interface in the Al/Ti bi-metal was determined after various heat treatments. The shear strength of the bi-metal was limited by the properties of aluminum, with no effect of interlayer thickness or current mode and pulse pattern of the SPS treatment being detected. - Highlights: • Spark plasma sintering apparatus was used for heat treatment of Al/Ti bi-metals. • Microstructural evolution of Al/Ti interface during SPS treatment was investigated. • A continuous TiAl{sub 3} intermetallic layer was formed at the Al/Ti interface. • The bi-metal shear strength was limited by the properties of pure aluminum. • No effect of TiAl{sub 3} thickness or SPS current mode and pulse pattern was detected.

  3. Measuring the Plasma Density of a Ferroelectric Plasma Source in an Expanding Plasma

    International Nuclear Information System (INIS)

    Dunaevsky, A.; Fisch, N.J.

    2003-01-01

    The initial density and electron temperature at the surface of a ferroelectric plasma source were deduced from floating probe measurements in an expanding plasma. The method exploits negative charging of the floating probe capacitance by fast flows before the expanding plasma reaches the probe. The temporal profiles of the plasma density can be obtained from the voltage traces of the discharge of the charged probe capacitance by the ion current from the expanding plasma. The temporal profiles of the plasma density, at two different distances from the surface of the ferroelectric plasma source, could be further fitted by using the density profiles for the expanding plasma. This gives the initial values of the plasma density and electron temperature at the surface. The method could be useful for any pulsed discharge, which is accompanied by considerable electromagnetic noise, if the initial plasma parameters might be deduced from measurements in expanding plasma

  4. SPS accelerating cavity

    CERN Multimedia

    CERN PhotoLab

    1976-01-01

    The SPS started up with 2 accelerating cavities (each consisting of 5 tank sections) in LSS3. They have a 200 MHz travelling wave structure (see 7411032 and 7802190) and 750 kW of power is fed to each of the cavities from a 1 MW tetrode power amplifier, located in a surface building above, via a coaxial transmission line. Clemens Zettler, builder of the SPS RF system, is standing at the side of one of the cavities. In 1978 and 1979 another 2 cavities were added and entered service in 1980. These were part of the intensity improvement programme and served well for the new role of the SPS as proton-antiproton collider. See also 7411032, 8011289, 8104138, 8302397.

  5. Surface negative ion production in ion sources

    International Nuclear Information System (INIS)

    Belchenko, Y.

    1993-01-01

    Negative ion sources and the mechanisms for negative ion production are reviewed. Several classes of sources with surface origin of negative ions are examined in detail: surface-plasma sources where ion production occurs on the electrode in contact with the plasma, and ''pure surface'' sources where ion production occurs due to conversion or desorption processes. Negative ion production by backscattering, impact desorption, and electron- and photo-stimulated desorption are discussed. The experimental efficiencies of intense surface negative ion production realized on electrodes contacted with hydrogen-cesium or pure hydrogen gas-discharge plasma are compared. Recent modifications of surface-plasma sources developed for accelerator and fusion applications are reviewed in detail

  6. SPS 2000

    International Nuclear Information System (INIS)

    Collins, P.Q.; Tomkins, R.; Nagatomo, M.

    1991-01-01

    This paper reports that electric utilities have not yet begun to pay satellite solar power stations (SPS) serious attention as a possibly major new energy source. This is largely due to the fact that there is still very little firm information of the kind that utilities need in order to assess the SPS as a possible candidate for the investment. The SPS 2000 project is designed to provide such information at the lowest possible cost and at the earliest date. It comprises a space segment in low Earth equatorial orbit transmitting photovoltaic-generated microwave power to one or more rectifying antennas (rectennas) on the equator. These will receive 1 - 10MW of power during each satellite pass, enabling utilities to perform several experiments each day on many different technical and economic aspects of the system's operation. The results will help utilities to calculate the prices that they could profitably offer to satellite operators for commercial supplies of microwave power delivered from space to given specifications

  7. Initial operation of the CW 8X H- ion source discharge

    International Nuclear Information System (INIS)

    Smith, H.V. Jr.; Allison, P.; Geisik, C.; Schmitt, D.R.; Schneider, J.D.; Stelzer, J.E.

    1993-01-01

    A pulsed 8Χ source was built and the H - beam current, emittance, and power efficiency were measured. These results were promising, so a cooled, dc version designed for operation at arc power levels up to 30 kW was built. Testing of the CW 8Χ source discharge is underway. The design dc power loading on the cathode surface is 900 W/cm 2 , considerably higher than achieved in any pervious Penning surface-plasma source (SPS). Thus, the electrode surfaces are cooled with pressurized, hot water. We describe the source and present the initial operating experience and arc test results

  8. Initial operation of the CW 8X H- ion source discharge

    International Nuclear Information System (INIS)

    Smith, H.V. Jr.; Allison, P.; Geisik, C.; Schmitt, D.R.; Schneider, J.D.; Stelzer, J.E.

    1993-01-01

    A pulsed 8X source was built and the H - beam current, emittance, and power efficiency were measured. These results were promising, so a cooled, dc version designed for operation at arc power levels up to 30 kW was built. Testing of the CW 8X source discharge is underway. The design dc power loading on the cathode surface is 900 W/cm 2 , considerably higher than achieved in any previous Penning surface-plasma source (SPS). Thus, the electrode surfaces are cooled with pressurized, hot water. The authors describe the source and present the initial operating experience and arc test results

  9. Biodegradation test of SPS-LS blends as polymer electrolyte membrane fuel cells

    International Nuclear Information System (INIS)

    Putri, Zufira; Arcana, I Made

    2014-01-01

    Sulfonated polystyrene (SPS) can be applied as a proton exchange membrane fuel cell due to its fairly good chemical stability. In order to be applied as polymer electrolyte membrane fuel cells (PEMFCs), membrane polymer should have a good ionic conductivity, high proton conductivity, and high mechanical strength. Lignosulfonate (LS) is a complex biopolymer which has crosslinks and sulfonate groups. SPS-LS blends with addition of SiO 2 are used to increase the proton conductivity and to improve the mechanical properties and thermal stability. However, the biodegradation test of SPS-LS blends is required to determine whether the application of these membranes to be applied as an environmentally friendly membrane. In this study, had been done the synthesis of SPS, biodegradability test of SPS-LS blends with variations of LS and SiO 2 compositions. The biodegradation test was carried out in solid medium of Luria Bertani (LB) with an activated sludge used as a source of microorganism at incubation temperature of 37°C. Based on the results obtained indicated that SPS-LS-SiO 2 blends are more decomposed by microorganism than SPS-LS blends. This result is supported by analysis of weight reduction percentage, functional groups with Fourier Transform Infrared (FTIR) Spectroscopy, and morphological surface with Scanning Electron Microscopy (SEM)

  10. Diagnostics of microwave assisted electron cyclotron resonance plasma source for surface modification of nylon 6

    Science.gov (United States)

    More, Supriya E.; Das, Partha Sarathi; Bansode, Avinash; Dhamale, Gayatri; Ghorui, S.; Bhoraskar, S. V.; Sahasrabudhe, S. N.; Mathe, Vikas L.

    2018-01-01

    Looking at the increasing scope of plasma processing of materials surface, here we present the development and diagnostics of a microwave assisted Electron Cyclotron Resonance (ECR) plasma system suitable for surface modification of polymers. Prior to the surface-treatment, a detailed diagnostic mapping of the plasma parameters throughout the reactor chamber was carried out by using single and double Langmuir probe measurements in Ar plasma. Conventional analysis of I-V curves as well as the elucidation form of the Electron Energy Distribution Function (EEDF) has become the source of calibration of plasma parameters in the reaction chamber. The high energy tail in the EEDF of electron temperature is seen to extend beyond 60 eV, at much larger distances from the ECR zone. This proves the suitability of the rector for plasma processing, since the electron energy is much beyond the threshold energy of bond breaking in most of the polymers. Nylon 6 is used as a representative candidate for surface processing in the presence of Ar, H2 + N2, and O2 plasma, treated at different locations inside the plasma chamber. In a typical case, the work of adhesion is seen to almost get doubled when treated with oxygen plasma. Morphology of the plasma treated surface and its hydrophilicity are discussed in view of the variation in electron density and electron temperature at these locations. Nano-protrusions arising from plasma treatment are set to be responsible for the hydrophobicity. Chemical sputtering and physical sputtering are seen to influence the surface morphology on account of sufficient electron energies and increased plasma potential.

  11. Biodegradation test of SPS-LS blends as polymer electrolyte membrane fuel cells

    Energy Technology Data Exchange (ETDEWEB)

    Putri, Zufira, E-mail: zufira.putri@gmail.com, E-mail: arcana@chem.itb.ac.id; Arcana, I Made, E-mail: zufira.putri@gmail.com, E-mail: arcana@chem.itb.ac.id [Inorganic and Physical Chemistry Research Groups, Faculty of Mathematics and Natural Sciences, Institut Teknologi Bandung, Bandung (Indonesia)

    2014-03-24

    Sulfonated polystyrene (SPS) can be applied as a proton exchange membrane fuel cell due to its fairly good chemical stability. In order to be applied as polymer electrolyte membrane fuel cells (PEMFCs), membrane polymer should have a good ionic conductivity, high proton conductivity, and high mechanical strength. Lignosulfonate (LS) is a complex biopolymer which has crosslinks and sulfonate groups. SPS-LS blends with addition of SiO{sub 2} are used to increase the proton conductivity and to improve the mechanical properties and thermal stability. However, the biodegradation test of SPS-LS blends is required to determine whether the application of these membranes to be applied as an environmentally friendly membrane. In this study, had been done the synthesis of SPS, biodegradability test of SPS-LS blends with variations of LS and SiO{sub 2} compositions. The biodegradation test was carried out in solid medium of Luria Bertani (LB) with an activated sludge used as a source of microorganism at incubation temperature of 37°C. Based on the results obtained indicated that SPS-LS-SiO{sub 2} blends are more decomposed by microorganism than SPS-LS blends. This result is supported by analysis of weight reduction percentage, functional groups with Fourier Transform Infrared (FTIR) Spectroscopy, and morphological surface with Scanning Electron Microscopy (SEM)

  12. Ferroelectric Plasma Source for Heavy Ion Beam Charge Neutralization

    CERN Document Server

    Efthimion, Philip; Gilson, Erik P; Grisham, Larry; Logan, B G; Waldron, William; Yu, Simon

    2005-01-01

    Plasmas are employed as a medium for charge neutralizing heavy ion beams to allow them to focus to a small spot size. Calculations suggest that plasma at a density of 1-100 times the ion beam density and at a length ~ 0.1-1 m would be suitable. To produce 1 meter plasma, large-volume plasma sources based upon ferroelectric ceramics are being considered. These sources have the advantage of being able to increase the length of the plasma and operate at low neutral pressures. The source will utilize the ferroelectric ceramic BaTiO3 to form metal plasma. The drift tube inner surface of the Neutralized Drift Compression Experiment (NDCX) will be covered with ceramic. High voltage (~ 1-5 kV) is applied between the drift tube and the front surface of the ceramic by placing a wire grid on the front surface. A prototype ferroelectric source 20 cm long produced plasma densities ~ 5x1011 cm-3. The source was integrated into the experiment and successfully charge neutralized the K ion beam. Presently, the 1 meter source ...

  13. Resonances and surface waves in bounded plasmas

    International Nuclear Information System (INIS)

    Bowers, K.J.; Qui, D.W.; Smith, H.B.; Birdsall, C.K.

    1999-01-01

    Surface waves provide a promising means of creating large, area plasmas. These waves can uniformly distribute the excitation energy and while presenting a small resistance and zero reactance to the driving source. Experimentally and in the simulations, the electron temperature is low (like 1--3 eV) as is the plasma potential (like 10 Te). The use of surface waves experimentally, and now industrially, to sustain large area plasma sources with device size is comparable to free space wavelength have motivated the authors to refine the theories of [1] and [2] to be fully electromagnetic. The wave dispersion predicted by the electromagnetic theory differs from the predictions of the prior theories and the results illuminate limitations of the electrostatic model. The use of surface waves have also motivated them to explore the mechanisms by which surface waves heat the plasma. In the 1d electrostatic simulations high velocity electron bunches are formed in the sheaths and are alternatively accelerated from each sheath into the bulk plasma each RF cycle. They speculate similar mechanisms provide the ionization in surface wave discharges. They also see in these simulations the plasma makes an abrupt transition from capacitively coupled to resistively coupled and the series resonance locks onto the drive frequency; these abrupt transitions resemble mode-jumping seen experimentally in large area sources. Furthermore, the density profile of the plasma tracks the drive frequency while in the resonant mode giving a new mechanism by which the plasma parameters can be controlled. They are currently investigating the effect of the driving electrode shape has on these resonances and conducting 2d simulations of a large area surface wave source to explore the ignition of surface wave devices and how the plasma fills in the device

  14. Double plasma system with inductively coupled source plasma and quasi-quiescent target plasma

    International Nuclear Information System (INIS)

    Massi, M.; Maciel, H.S.

    1995-01-01

    Cold plasmas have successfully been used in the plasma-assisted material processing industry. An understanding of the physicochemical mechanisms involved in the plasma-surface interaction is needed for a proper description of deposition and etching processes at material surfaces. Since these mechanisms are dependent on the plasma properties, the development of diagnostic techniques is strongly desirable for determination of the plasma parameters as well as the characterization of the electromagnetic behaviour of the discharge. In this work a dual discharge chamber, was specially designed to study the deposition of thin films via plasma polymerization process. In the Pyrex chamber an inductively coupled plasma can be excited either in the diffuse low density E-mode or in the high density H-mode. This plasma diffuses into the cylindrical stainless steel chamber which is covered with permanent magnets to produce a multidipole magnetic field configuration at the surface. By that means a double plasma is established consisting of a RF source plasma coupled to a quasi-quiescent target plasma. The preliminary results presented here refer to measurements of the profiles of plasma parameters along the central axis of the double plasma apparatus. Additionally a spectrum analysis performed by means of a Rogowski coil probe immersed into the source plasma is also presented. The discharge is made in argon with pressure varying from 10 -2 to 1 torr, and the rf from 10 to 150 W

  15. Inner surface modification of a tube by magnetic glow-arc plasma source ion implantation

    International Nuclear Information System (INIS)

    Zhang Guling; Chinese Academy of Sciences, Beijing; Wang Jiuli; Feng Wenran; Chen Guangliang; Gu Weichao; Niu Erwu; Fan Songhua; Liu Chizi; Yang Size; Wu Xingfang

    2006-01-01

    A new method named the magnetic glow-arc plasma source ion implantation (MGA-PSII) is proposed for inner surface modification of tubes. In MGA-PSII, under the control of an axial magnetic field, which is generated by an electric coil around the tube sample, glow arc plasma moves spirally into the tube from its two ends. A negative voltage applied on the tube realized its inner surface implantation. Titanium nitride (TiN) films are prepared on the inner surface of a stainless steel tube in diameter 90 mm and length 600 mm. Hardness tests show that the hardness at the tube centre is up to 20 GPa. XRD, XPS and AES analyses demonstrate that good quality of TiN films can be achieved. (authors)

  16. Inner Surface Modification of a Tube by Magnetic Glow-Arc Plasma Source Ion Implantation

    Science.gov (United States)

    Zhang, Gu-Ling; Wang, Jiu-Li; Wu, Xing-Fang; Feng, Wen-Ran; Chen, Guang-Liang; Gu, Wei-Chao; Niu, Er-Wu; Fan, Song-Hua; Liu, Chi-Zi; Yang, Si-Ze

    2006-05-01

    A new method named the magnetic glow-arc plasma source ion implantation (MGA-PSII) is proposed for inner surface modification of tubes. In MGA-PSII, under the control of an axial magnetic field, which is generated by an electric coil around the tube sample, glow arc plasma moves spirally into the tube from its two ends. A negative voltage applied on the tube realized its inner surface implantation. Titanium nitride (TiN) films are prepared on the inner surface of a stainless steel tube in diameter 90 mm and length 600 mm. Hardness tests show that the hardness at the tube centre is up to 20 GPa. XRD, XPS and AES analyses demonstrate that good quality of TiN films can be achieved.

  17. SPS Beam Steering for LHC Extraction

    Energy Technology Data Exchange (ETDEWEB)

    Gianfelice-Wendt, Eliana [Fermilab; Bartosik, Hannes [CERN; Cornelis, Karel [CERN; Norderhaug Drøsdal, Lene [CERN; Goddard, Brennan [CERN; Kain, Verena [CERN; Meddahi, Malika [CERN; Papaphilippou, Yannis [CERN; Wenninger, Jorg [CERN

    2014-07-01

    The CERN Super Proton Synchrotron accelerates beams for the Large Hadron Collider to 450 GeV. In addition it produces beams for fixed target facilities which adds complexity to the SPS operation. During the run 2012-2013 drifts of the extracted beam trajectories have been observed and lengthy optimizations in the transfer lines were performed to reduce particle losses in the LHC. The observed trajectory drifts are consistent with the measured SPS orbit drifts at extraction. While extensive studies are going on to understand, and possibly suppress, the source of such SPS orbit drifts the feasibility of an automatic beam steering towards a “golden” orbit at the extraction septa, by means of the interlocked correctors, is also being investigated. The challenges and constraints related to the implementation of such a correction in the SPS are described. Simulation results are presented and a possible operational steering strategy is proposed.

  18. Helicon plasma generator-assisted surface conversion ion source for the production of H(-) ion beams at the Los Alamos Neutron Science Center.

    Science.gov (United States)

    Tarvainen, O; Rouleau, G; Keller, R; Geros, E; Stelzer, J; Ferris, J

    2008-02-01

    The converter-type negative ion source currently employed at the Los Alamos Neutron Science Center (LANSCE) is based on cesium enhanced surface production of H(-) ion beams in a filament-driven discharge. In this kind of an ion source the extracted H(-) beam current is limited by the achievable plasma density which depends primarily on the electron emission current from the filaments. The emission current can be increased by increasing the filament temperature but, unfortunately, this leads not only to shorter filament lifetime but also to an increase in metal evaporation from the filament, which deposits on the H(-) converter surface and degrades its performance. Therefore, we have started an ion source development project focused on replacing these thermionic cathodes (filaments) of the converter source by a helicon plasma generator capable of producing high-density hydrogen plasmas with low electron energy. In our studies which have so far shown that the plasma density of the surface conversion source can be increased significantly by exciting a helicon wave in the plasma, and we expect to improve the performance of the surface converter H(-) ion source in terms of beam brightness and time between services. The design of this new source and preliminary results are presented, along with a discussion of physical processes relevant for H(-) ion beam production with this novel design. Ultimately, we perceive this approach as an interim step towards our long-term goal, combining a helicon plasma generator with an SNS-type main discharge chamber, which will allow us to individually optimize the plasma properties of the plasma cathode (helicon) and H(-) production (main discharge) in order to further improve the brightness of extracted H(-) ion beams.

  19. Helicon plasma generator-assisted surface conversion ion source for the production of H- ion beams at the Los Alamos Neutron Science Centera)

    Science.gov (United States)

    Tarvainen, O.; Rouleau, G.; Keller, R.; Geros, E.; Stelzer, J.; Ferris, J.

    2008-02-01

    The converter-type negative ion source currently employed at the Los Alamos Neutron Science Center (LANSCE) is based on cesium enhanced surface production of H- ion beams in a filament-driven discharge. In this kind of an ion source the extracted H- beam current is limited by the achievable plasma density which depends primarily on the electron emission current from the filaments. The emission current can be increased by increasing the filament temperature but, unfortunately, this leads not only to shorter filament lifetime but also to an increase in metal evaporation from the filament, which deposits on the H- converter surface and degrades its performance. Therefore, we have started an ion source development project focused on replacing these thermionic cathodes (filaments) of the converter source by a helicon plasma generator capable of producing high-density hydrogen plasmas with low electron energy. In our studies which have so far shown that the plasma density of the surface conversion source can be increased significantly by exciting a helicon wave in the plasma, and we expect to improve the performance of the surface converter H- ion source in terms of beam brightness and time between services. The design of this new source and preliminary results are presented, along with a discussion of physical processes relevant for H- ion beam production with this novel design. Ultimately, we perceive this approach as an interim step towards our long-term goal, combining a helicon plasma generator with an SNS-type main discharge chamber, which will allow us to individually optimize the plasma properties of the plasma cathode (helicon) and H- production (main discharge) in order to further improve the brightness of extracted H- ion beams.

  20. Helicon plasma generator-assisted surface conversion ion source for the production of H- ion beams at the Los Alamos Neutron Science Center

    International Nuclear Information System (INIS)

    Tarvainen, O.; Rouleau, G.; Keller, R.; Geros, E.; Stelzer, J.; Ferris, J.

    2008-01-01

    The converter-type negative ion source currently employed at the Los Alamos Neutron Science Center (LANSCE) is based on cesium enhanced surface production of H - ion beams in a filament-driven discharge. In this kind of an ion source the extracted H - beam current is limited by the achievable plasma density which depends primarily on the electron emission current from the filaments. The emission current can be increased by increasing the filament temperature but, unfortunately, this leads not only to shorter filament lifetime but also to an increase in metal evaporation from the filament, which deposits on the H - converter surface and degrades its performance. Therefore, we have started an ion source development project focused on replacing these thermionic cathodes (filaments) of the converter source by a helicon plasma generator capable of producing high-density hydrogen plasmas with low electron energy. In our studies which have so far shown that the plasma density of the surface conversion source can be increased significantly by exciting a helicon wave in the plasma, and we expect to improve the performance of the surface converter H - ion source in terms of beam brightness and time between services. The design of this new source and preliminary results are presented, along with a discussion of physical processes relevant for H - ion beam production with this novel design. Ultimately, we perceive this approach as an interim step towards our long-term goal, combining a helicon plasma generator with an SNS-type main discharge chamber, which will allow us to individually optimize the plasma properties of the plasma cathode (helicon) and H - production (main discharge) in order to further improve the brightness of extracted H - ion beams

  1. Effects of low energy helium plasma irradiation on potassium doped tungsten

    Energy Technology Data Exchange (ETDEWEB)

    Shu, Xiaoyan [Key Laboratory of Radiation Physics and Technology of Ministry of Education, Institute of Nuclear Science and Technology, Sichuan University, Chengdu (China); Key Subject Laboratory of National Defense for Radioactive Waste and Environmental Security, Southwest University of Science and Technology, Mianyang (China); Huang, Bo [Key Laboratory of Radiation Physics and Technology of Ministry of Education, Institute of Nuclear Science and Technology, Sichuan University, Chengdu (China); Liu, Dongping; Fan, Hongyu [School of Physics and Materials Engineering, Dalian Nationalities University, Dalian (China); Liu, Ning [Key Laboratory of Radiation Physics and Technology of Ministry of Education, Institute of Nuclear Science and Technology, Sichuan University, Chengdu (China); Tang, Jun, E-mail: tangjun@scu.edu.cn [Key Laboratory of Radiation Physics and Technology of Ministry of Education, Institute of Nuclear Science and Technology, Sichuan University, Chengdu (China)

    2017-04-15

    Effects of helium plasma irradiation on spark plasma sintering (SPS) W-K, pure W and traditionally sintered commercial W-K have been studied, concerning the density, grain size and potassium content as the influence factors. Pinholes are formed under 120 eV He ions at 600 °C and 1 × 10{sup 23} m{sup −2} fluence on the surface of all samples. It is found that SPS-sintered W-K shows the best irradiation resistance among the present samples, and SPS-sintered pure W exhibits higher irradiation tolerance than commercial W-K. Different He-plasma tolerance was observed among the SPS-sintered W-K samples due to varied potassium content and grain size. In addition, the microstructure evolution under helium irradiation, the growth-migration of helium bubbles and their interactions of potassium bubbles have also been discussed.

  2. Analysis of the SPS Long Term Orbit Drifts

    Energy Technology Data Exchange (ETDEWEB)

    Velotti, Francesco [CERN; Bracco, Chiara [CERN; Cornelis, Karel [CERN; Drøsdal, Lene [CERN; Fraser, Matthew [CERN; Gianfelice-Wendt, Eliana [Fermilab; Goddard, Brennan [CERN; Kain, Verena [CERN; Meddahi, Malika [CERN

    2016-06-01

    The Super Proton Synchrotron (SPS) is the last accelerator in the Large Hadron Collider (LHC) injector chain, and has to deliver the two high-intensity 450 GeV proton beams to the LHC. The transport from SPS to LHC is done through the two Transfer Lines (TL), TI2 and TI8, for Beam 1 (B1) and Beam 2 (B2) respectively. During the first LHC operation period Run 1, a long term drift of the SPS orbit was observed, causing changes in the LHC injection due to the resulting changes in the TL trajectories. This translated into longer LHC turnaround because of the necessity to periodically correct the TL trajectories in order to preserve the beam quality at injection into the LHC. Different sources for the SPS orbit drifts have been investigated: each of them can account only partially for the total orbit drift observed. In this paper, the possible sources of such drift are described, together with the simulated and measured effect they cause. Possible solutions and countermeasures are also discussed.

  3. The extant shore platform stromatolite (SPS facies association: a glimpse into the Archean?

    Directory of Open Access Journals (Sweden)

    A. Smith

    2018-04-01

    Full Text Available Shore platform stromatolites (SPS were first noted at Cape Morgan on the south-east African seaboard. Since then they have been found growing discontinuously in rocky peritidal zones along the entire southern African seaboard. They have also been found on the southwest Australian coast, at Giant's Causeway in Northern Ireland, and more recently at Harris on the Scottish Hebridean Atlantic coast. In this paper SPS occurrence and SPS potential as analogues for Precambrian fossil stromatolites, as well as potential stromatolite occurrences in shore platform regions on Mars, are assessed. Sub-horizontal surfaces promote stromatolite development, while tufa develops on cliffs and steep rocky surfaces. Tufa and stromatolites are end members of a spectrum dictated by coastal topography. Extant SPS occur on well indurated shore platforms in high wave energy settings, often around or near headlands. They can be associated with boulder beaches, boulder ridges, storm swash terraces, coastal dunes, and peat bogs. In contrast to other extant stromatolites, SPS are produced primarily by mineral precipitation, although minor trapping and binding stromatolites do occur. From a geological perspective, SPS develop in mildly transgressive siliciclastic settings in various climatic and tidal regimes. We suggest that SPS could be preserved in the geological record as micritic lenses on palaeo-shore platform surfaces. SPS share many features with Precambrian stromatolites and are a valid modern analogue despite the widely different atmospheric and oceanic conditions of the Archean. We suggest that terraces associated with former oceanic or lacustrine flooding surfaces on Mars are potential targets in the search for palaeo-SPS on Mars.

  4. Characterization of the SPS 800MHz travelling wave cavities.

    CERN Document Server

    Bazyl, Dmitry

    2015-01-01

    It is well known that HOMs in RF cavities are a potentially dangerous source of beam impedance. Therefore, HOMs (both longitudinal and transverse) can drive the beam unstable . The 800MHz cavities of the SPS were studied in the past. However, very little documentation was left behind. Currently, the performance of the SPS is limited by a longitudinal beam instability. In order to study this instability, an accurate impedance model of the whole SPS is needed.

  5. Volumetric plasma source development and characterization

    International Nuclear Information System (INIS)

    Crain, Marlon D.; Maron, Yitzhak; Oliver, Bryan Velten; Starbird, Robert L.; Johnston, Mark D.; Hahn, Kelly Denise; Mehlhorn, Thomas Alan; Droemer, Darryl W.

    2008-01-01

    The development of plasma sources with densities and temperatures in the 10 15 -10 17 cm -3 and 1-10eV ranges which are slowly varying over several hundreds of nanoseconds within several cubic centimeter volumes is of interest for applications such as intense electron beam focusing as part of the x-ray radiography program. In particular, theoretical work (1,2) suggests that replacing neutral gas in electron beam focusing cells with highly conductive, pre-ionized plasma increases the time-averaged e-beam intensity on target, resulting in brighter x-ray sources. This LDRD project was an attempt to generate such a plasma source from fine metal wires. A high voltage (20-60kV), high current (12-45kA) capacitive discharge was sent through a 100 (micro)m diameter aluminum wire forming a plasma. The plasma's expansion was measured in time and space using spectroscopic techniques. Lineshapes and intensities from various plasma species were used to determine electron and ion densities and temperatures. Electron densities from the mid-10 15 to mid-10 16 cm -3 were generated with corresponding electron temperatures of between 1 and 10eV. These parameters were measured at distances of up to 1.85 cm from the wire surface at times in excess of 1 (micro)s from the initial wire breakdown event. In addition, a hydrocarbon plasma from surface contaminants on the wire was also measured. Control of these contaminants by judicious choice of wire material, size, and/or surface coating allows for the ability to generate plasmas with similar density and temperature to those given above, but with lower atomic masses

  6. Spectroscopic measurements of an H- ion source discharge

    International Nuclear Information System (INIS)

    Keller, R.; Smith, H.V. Jr.

    1985-01-01

    Spectral emission lines from an H - Penning surface-plasma source (SPS), the 4X source, are examined in the visible and near ultraviolet. Electron distribution temperatures are deduced from integral line-strength measurements. These temperatures are surprisingly low, about 0.5 eV. Electron density values of about 1.5 x 10 14 cm -3 and H-atom energies between 2 and 2.6 eV are determined from the measured Balmer-line profiles. Assuming the H - energy is identical to the H-atom energy, an emittance limit of 0.006 π x cm x mrad is deduced for this source with a 5.4-mm aperture

  7. Long plasma source for heavy ion beam charge neutralization

    International Nuclear Information System (INIS)

    Efthimion, Philip C.; Gilson, Erik P.; Grisham, Larry; Davidson, Ronald C.; Grant Logan, Larry B.; Seidl, Peter A.; Waldron, William

    2009-01-01

    Plasmas are a source of unbound electrons for charge neutralizing intense heavy ion beams to focus them to a small spot size and compress their axial length. The plasma source should operate at low neutral pressures and without strong externally applied fields. To produce long plasma columns, sources based upon ferroelectric ceramics with large dielectric coefficients have been developed. The source utilizes the ferroelectric ceramic BaTiO 3 to form metal plasma. The drift tube inner surface of the Neutralized Drift Compression Experiment (NDCX) is covered with ceramic material. High voltage (∼8 kV) is applied between the drift tube and the front surface of the ceramics. A BaTiO 3 source comprised of five 20-cm-long sources has been tested and characterized, producing relatively uniform plasma in the 5x10 10 cm -3 density range. The source was integrated into the NDCX device for charge neutralization and beam compression experiments, and yielded current compression ratios ∼120. Present research is developing multi-meter-long and higher density sources to support beam compression experiments for high-energy-density physics applications.

  8. Surface studies of plasma processed Nb samples

    International Nuclear Information System (INIS)

    Tyagi, Puneet V.; Doleans, Marc; Hannah, Brian S.; Afanador, Ralph; Stewart, Stephen; Mammosser, John; Howell, Matthew P; Saunders, Jeffrey W; Degraff, Brian D; Kim, Sang-Ho

    2015-01-01

    Contaminants present at top surface of superconducting radio frequency (SRF) cavities can act as field emitters and restrict the cavity accelerating gradient. A room temperature in-situ plasma processing technology for SRF cavities aiming to clean hydrocarbons from inner surface of cavities has been recently developed at the Spallation Neutron Source (SNS). Surface studies of the plasma-processed Nb samples by Secondary ion mass spectrometry (SIMS) and Scanning Kelvin Probe (SKP) showed that the NeO_2 plasma processing is very effective to remove carbonaceous contaminants from top surface and improves the surface work function by 0.5 to 1.0 eV.

  9. Plasma source ion implantation

    International Nuclear Information System (INIS)

    Conrad, J.R.; Forest, C.

    1986-01-01

    The authors' technique allows the ion implantation to be performed directly within the ion source at higher currents without ion beam extraction and transport. The potential benefits include greatly increased production rates (factors of 10-1000) and the ability to implant non-planar targets without rastering or shadowing. The technique eliminates the ion extractor grid set, beam raster equipment, drift space and target manipulator equipment. The target to be implanted is placed directly within the plasma source and is biased to a large negative potential so that plasma ions gain energy as they accelerate through the potential drop across the sheath that forms at the plasma boundary. Because the sheath surrounds the target on all sides, all surfaces of the target are implanted without the necessity to raster the beam or to rotate the target. The authors have succeeded in implanting nitrogen ions in a silicon target to the depths and concentrations required for surface treatment of materials like stainless steel and titanium alloys. They have performed ESCA measurements of the penetration depth profile of a silicon target that was biased to 30 kV in a nitrogen discharge plasma. Nitrogen ions were implanted to a depth of 700A at a peak concentration of 30% atomic. The measured profile is quite similar to a previously obtained profile in titanium targets with conventional techniques

  10. Hall Current Plasma Source Having a Center-Mounted or a Surface-Mounted Cathode

    Science.gov (United States)

    Martinez, Rafael A. (Inventor); Williams, John D. (Inventor); Moritz, Jr., Joel A. (Inventor); Farnell, Casey C. (Inventor)

    2018-01-01

    A miniature Hall current plasma source apparatus having magnetic shielding of the walls from ionized plasma, an integrated discharge channel and gas distributor, an instant-start hollow cathode mounted to the plasma source, and an externally mounted keeper, is described. The apparatus offers advantages over other Hall current plasma sources having similar power levels, including: lower mass, longer lifetime, lower part count including fewer power supplies, and the ability to be continuously adjustable to lower average power levels using pulsed operation and adjustment of the pulse duty cycle. The Hall current plasma source can provide propulsion for small spacecraft that either do not have sufficient power to accommodate a propulsion system or do not have available volume to incorporate the larger propulsion systems currently available. The present low-power Hall current plasma source can be used to provide energetic ions to assist the deposition of thin films in plasma processing applications.

  11. Operation of Ferroelectric Plasma Sources in a Gas Discharge Mode

    International Nuclear Information System (INIS)

    Dunaevsky, A.; Fisch, N.J.

    2004-01-01

    Ferroelectric plasma sources in vacuum are known as sources of ablative plasma, formed due to surface discharge. In this paper, observations of a gas discharge mode of operation of the ferroelectric plasma sources (FPS) are reported. The gas discharge appears at pressures between approximately 20 and approximately 80 Torr. At pressures of 1-20 Torr, there is a transition from vacuum surface discharge to the gas discharge, when both modes coexist and the surface discharges sustain the gas discharge. At pressures between 20 and 80 Torr, the surface discharges are suppressed, and FPS operate in pure gas discharge mode, with the formation of almost uniform plasma along the entire surface of the ceramics between strips. The density of the expanding plasma is estimated to be about 1013 cm-3 at a distance of 5.5 mm from the surface. The power consumption of the discharge is comparatively low, making it useful for various applications. This paper also presents direct measurements of the yield of secondary electron emission from ferroelectric ceramics, which, at low energies of primary electrons, is high and dependent on the polarization of the ferroelectric material

  12. Production of accelerated electrons near an electron source in the plasma resonance region

    International Nuclear Information System (INIS)

    Fedorov, V.A.

    1989-01-01

    Conditions of generation of plasma electrons accelerated and their characteristics in the vicinity of an electron source are determined. The electron source isolated electrically with infinitely conducting surface, being in unrestricted collisionless plasma ω 0 >>ν, where ω 0 - plasma frequency of nonperturbated plasma, ν - frequency of plasma electron collisions with other plasma particles, is considered. Spherically symmetric injection of electrons, which rates are simulated by ω frequency, occurs from the source surface. When describing phenomena in the vicinity of the electron source, one proceeds from the quasihydrodynamic equation set

  13. Plasma sources of solar system magnetospheres

    CERN Document Server

    Blanc, Michel; Chappell, Charles; Krupp, Norbert

    2016-01-01

    This volume reviews what we know of the corresponding plasma source for each intrinsically magnetized planet. Plasma sources fall essentially in three categories: the solar wind, the ionosphere (both prevalent on Earth), and the satellite-related sources. Throughout the text, the case of each planet is described, including the characteristics, chemical composition and intensity of each source. The authors also describe how the plasma generated at the source regions is transported to populate the magnetosphere, and how it is later lost. To summarize, the dominant sources are found to be the solar wind and sputtered surface ions at Mercury, the solar wind and ionosphere at Earth (the relative importance of the two being discussed in a specific introductory chapter), Io at Jupiter and – a big surprise of the Cassini findings – Enceladus at Saturn. The situation for Uranus and Neptune, which were investigated by only one fly-by each, is still open and requires further studies and exploration. In the final cha...

  14. Satellite Power Systems (SPS) concept definition study. Volume 6: SPS technology requirements and verification

    Science.gov (United States)

    Hanley, G.

    1978-01-01

    Volume 6 of the SPS Concept Definition Study is presented and also incorporates results of NASA/MSFC in-house effort. This volume includes a supporting research and technology summary. Other volumes of the final report that provide additional detail are as follows: (1) Executive Summary; (2) SPS System Requirements; (3) SPS Concept Evolution; (4) SPS Point Design Definition; (5) Transportation and Operations Analysis; and Volume 7, SPS Program Plan and Economic Analysis.

  15. Final report of the SPS space transportation workshop

    Energy Technology Data Exchange (ETDEWEB)

    1980-10-01

    After a brief description of space power system concepts and the current status of the SPS program, issues relevant to earth-surface-to-low-earth-orbit (ESLEO) and orbit-to-orbit transport are discussed. For ESLEO, vehicle concepts include shuttle transportation systems, heavy lift launch vehicles, and single-stage-to-orbit vehicles. Orbit transfer vehicle missions include transport of cargo and the SPS module from low earth orbit to geosynchronous earth orbit as well as personnel transport. Vehicles discussed for such missions include chemical rocket orbital transfer vehicles, and electric orbital transfer vehicles. Further discussions include SPS station-keeping and attitude control, intra-orbit transport, and advanced propulsion and vehicle concepts. (LEW)

  16. Plasma Processing of Metallic and Semiconductor Thin Films in the Fisk Plasma Source

    Science.gov (United States)

    Lampkin, Gregory; Thomas, Edward, Jr.; Watson, Michael; Wallace, Kent; Chen, Henry; Burger, Arnold

    1998-01-01

    The use of plasmas to process materials has become widespread throughout the semiconductor industry. Plasmas are used to modify the morphology and chemistry of surfaces. We report on initial plasma processing experiments using the Fisk Plasma Source. Metallic and semiconductor thin films deposited on a silicon substrate have been exposed to argon plasmas. Results of microscopy and chemical analyses of processed materials are presented.

  17. Physics at the SPS

    Science.gov (United States)

    Gatignon, L.

    2018-05-01

    The CERN Super Proton Synchrotron (SPS) has delivered a variety of beams to a vigorous fixed target physics program since 1978. In this paper, we restrict ourselves to the description of a few illustrative examples in the ongoing physics program at the SPS. We will outline the physics aims of the COmmon Muon Proton Apparatus for Structure and Spectroscopy (COMPASS), north area 64 (NA64), north area 62 (NA62), north area 61 (NA61), and advanced proton driven plasma wakefield acceleration experiment (AWAKE). COMPASS studies the structure of the proton and more specifically of its spin. NA64 searches for the dark photon A', which is the messenger for interactions between normal and dark matter. The NA62 experiment aims at a 10% precision measurement of the very rare decay K+ → π+νν. As this decay mode can be calculated very precisely in the Standard Model, it offers a very good opportunity to look for new physics beyond the Standard Model. The NA61/SHINE experiment studies the phase transition to Quark Gluon Plasma, a state in which the quarks and gluons that form the proton and the neutron are de-confined. Finally, AWAKE investigates proton-driven wake field acceleration: a promising technique to accelerate electrons with very high accelerating gradients. The Physics Beyond Colliders study at CERN is paving the way for a significant and diversified continuation of this already rich and compelling physics program that is complementary to the one at the big colliders like the Large Hadron Collider.

  18. Efficient cesiation in RF driven surface plasma negative ion source

    Energy Technology Data Exchange (ETDEWEB)

    Belchenko, Yu.; Ivanov, A.; Konstantinov, S.; Sanin, A., E-mail: sanin@inp.nsk.su; Sotnikov, O. [Budker Institute of Nuclear Physics, Siberian Branch of Russian Academy of Sciences, Novosibirsk (Russian Federation)

    2016-02-15

    Experiments on hydrogen negative ions production in the large radio-frequency negative ion source with cesium seed are described. The system of directed cesium deposition to the plasma grid periphery was used. The small cesium seed (∼0.5 G) provides an enhanced H{sup −} production during a 2 month long experimental cycle. The gradual increase of negative ion yield during the long-term source runs was observed after cesium addition to the source. The degraded H{sup −} production was recorded after air filling to the source or after the cesium washing away from the driver and plasma chamber walls. The following source conditioning by beam shots produces the gradual recovery of H{sup −} yield to the high value. The effect of H{sup −} yield recovery after cesium coverage passivation by air fill was studied. The concept of cesium coverage replenishment and of H{sup −} yield recovery due to sputtering of cesium from the deteriorated layers is discussed.

  19. Spark Plasma Sintering (SPS)-Assisted Synthesis and Thermoelectric Characterization of Magnéli Phase V6O11

    KAUST Repository

    Joos, Markus

    2018-01-11

    The Magnéli phase V6O11 was synthesized in gram amounts from a powder mixture of V6O11/V7O13 and vanadium metal, using the spark plasma sintering (SPS) technique. Its structure was determined with synchrotron X-ray powder diffraction data from a phase-pure sample synthesized by conventional solid-state synthesis. A special feature of Magnéli-type oxides is a combination of crystallographic shear and intrinsic disorder that leads to relatively low lattice thermal conductivities. SPS prepared V6O11 has a relatively low thermal conductivity of κ = 2.72 ± 0.06 W (m K)-1 while being a n-type conductor with an electrical conductivity of σ = 0.039 ± 0.005 (μΩ m)-1, a Seebeck coefficient of α = -(35 ± 2) μV K-1, which leads to a power factor of PF = 4.9 ± 0.8 × 10-5W (m K2)-1 at ∼600 K. Advances in the application of Magnéli phases are mostly hindered by synthetic and processing challenges, especially when metastable and nanostructured materials such as V6O11 are involved. This study gives insight into the complications of SPS-assisted synthesis of complex oxide materials, provides new information about the thermal and electrical properties of vanadium oxides at high temperatures, and supports the concept of reducing the thermal conductivity of materials with structural building blocks such as crystallographic shear (CS) planes.

  20. Spark Plasma Sintering (SPS)-Assisted Synthesis and Thermoelectric Characterization of Magnéli Phase V6O11

    KAUST Repository

    Joos, Markus; Cerretti, Giacomo; Veremchuk, Igor; Hofmann, Patrick; Frerichs, Hajo; Anjum, Dalaver H.; Reich, Tobias; Lieberwirth, Ingo; Panthö fer, Martin; Zeier, Wolfgang G.; Tremel, Wolfgang

    2018-01-01

    The Magnéli phase V6O11 was synthesized in gram amounts from a powder mixture of V6O11/V7O13 and vanadium metal, using the spark plasma sintering (SPS) technique. Its structure was determined with synchrotron X-ray powder diffraction data from a phase-pure sample synthesized by conventional solid-state synthesis. A special feature of Magnéli-type oxides is a combination of crystallographic shear and intrinsic disorder that leads to relatively low lattice thermal conductivities. SPS prepared V6O11 has a relatively low thermal conductivity of κ = 2.72 ± 0.06 W (m K)-1 while being a n-type conductor with an electrical conductivity of σ = 0.039 ± 0.005 (μΩ m)-1, a Seebeck coefficient of α = -(35 ± 2) μV K-1, which leads to a power factor of PF = 4.9 ± 0.8 × 10-5W (m K2)-1 at ∼600 K. Advances in the application of Magnéli phases are mostly hindered by synthetic and processing challenges, especially when metastable and nanostructured materials such as V6O11 are involved. This study gives insight into the complications of SPS-assisted synthesis of complex oxide materials, provides new information about the thermal and electrical properties of vanadium oxides at high temperatures, and supports the concept of reducing the thermal conductivity of materials with structural building blocks such as crystallographic shear (CS) planes.

  1. Potential interest in Europe in SPS development

    Energy Technology Data Exchange (ETDEWEB)

    Reinhartz, K K

    1980-06-01

    The Solar Satellite Power System is a concept whereby large solar-energy converters are placed in outer space and the electrical energy produced is transmitted back to earth as microwave radiation. A number of studies, performed mainly in the United States, are aimed at assessing the technical, economic, social and health aspects of this concept. This paper does not address the feasibility of the SPS as such, but discusses the potential contribution that an SPS could make to the European energy scenario, the economic impact of the SPS as an indigenous European energy source, and the potential importance of the SPS as a technology driver. A European network of forty solar power satellites could supply electrical energy equal to Europe's present electrical energy production and significantly reduce Europe's dependence on energy imports. Additionally the development of a power satellite technology, if started by the United States, is expected to lead to such an advancement in technology in key areas, e.g., space industrialisation, photovoltaic energy conversion and wireless transmission of energy, that Europe would rapidly lose technical competence in many important fields if it were not to participate.

  2. Ferroelectric plasma sources for NDCX-II and heavy ion drivers

    Energy Technology Data Exchange (ETDEWEB)

    Gilson, E.P., E-mail: egilson@pppl.gov [Princeton Plasma Physics Laboratory, Princeton University, P.O. Box 451, Princeton, New Jersey, 08543 (United States); Davidson, R.C.; Efthimion, P.C.; Kaganovich, I.D. [Princeton Plasma Physics Laboratory, Princeton University, P.O. Box 451, Princeton, New Jersey, 08543 (United States); Kwan, J.W.; Lidia, S.M.; Ni, P.A.; Roy, P.K.; Seidl, P.A.; Waldron, W.L. [Lawrence Berkeley National Laboratory, One Cyclotron Road, Berkeley, California, 94720 (United States); Barnard, J.J.; Friedman, A. [Lawrence Livermore National Laboratory, P.O. Box 808, Livermore, California, 94550 (United States)

    2014-01-01

    A barium titanate ferroelectric cylindrical plasma source has been developed, tested and delivered for the Neutralized Drift Compression Experiment NDCX-II at Lawrence Berkeley National Laboratory (LBNL). The plasma source design is based on the successful design of the NDCX-I plasma source. A 7 kV pulse applied across the 3.8 mm-thick ceramic cylinder wall produces a large polarization surface charge density that leads to breakdown and plasma formation. The plasma that fills the NDCX-II drift section upstream of the final-focusing solenoid has a plasma number density exceeding 10{sup 10} cm{sup −3} and an electron temperature of several eV. The operating principle of the ferroelectric plasma source are reviewed and a detailed description of the installation plans is presented. The criteria for plasma sources with larger number density will be given, and concepts will be presented for plasma sources for driver applications. Plasma sources for drivers will need to be highly reliable, and operate at several Hz for millions of shots.

  3. Ferroelectric plasma source for heavy ion beam space charge neutralization

    International Nuclear Information System (INIS)

    Efthimion, Philip C.; Gilson, Erik P.; Davidson, Ronald C.; Grisham, Larry; Grant Logan, B.; Seidl, Peter A.; Waldron, William; Yu, Simon S.

    2007-01-01

    Plasmas are a source of unbound electrons for charge neutralizing intense heavy ion beams to allow them to focus to a small spot size and compress their axial pulse length. The plasma source should be able to operate at low neutral pressures and without strong externally applied electric or magnetic fields. To produce 1 m-long plasma columns, sources based upon ferroelectric ceramics with large dielectric coefficients are being developed. The sources utilize the ferroelectric ceramic BaTiO 3 to form metal plasma. The drift tube inner surface of the Neutralized Drift Compression Experiment (NDCX) will be covered with ceramic material, and high voltage (∼7 kV) will be applied between the drift tube and the front surface of the ceramics. A prototype ferroelectric source, 20 cm in length, has produced plasma densities of 5x10 11 cm -3 . It was integrated into the Neutralized Transport Experiment (NTX), and successfully charge neutralized the K + ion beam. A 1 m-long source comprised of five 20-cm-long sources has been tested. Simply connecting the five sources in parallel to a single pulse forming network power supply yielded non-uniform performance due to the time-dependent nature of the load that each of the five plasma sources experiences. Other circuit combinations have been considered, including powering each source by its own supply. The 1-m-long source has now been successfully characterized, producing relatively uniform plasma over the 1 m length of the source in the mid-10 10 cm -3 density range. This source will be integrated into the NDCX device for charge neutralization and beam compression experiments

  4. Fine structure of the electromagnetic fields formed by backward surface waves in an azimuthally symmetric surface wave-excited plasma source

    International Nuclear Information System (INIS)

    Kousaka, Hiroyuki; Ono, Kouichi

    2003-01-01

    The electromagnetic fields and plasma parameters have been studied in an azimuthally symmetric surface wave-excited plasma (SWP) source, by using a two-dimensional numerical analysis based on the finite-difference time-domain (FDTD) approximation to Maxwell's equations self-consistently coupled with a fluid model for plasma evolution. The FDTD/fluid hybrid simulation was performed for different gas pressures in Ar and different microwave powers at 2.45 GHz, showing that the surface waves (SWs) occur along the plasma-dielectric interfaces to sustain overdense plasmas. The numerical results indicated that the electromagnetic SWs consist of two different waves, Wave-1 and Wave-2, having relatively shorter and longer wavelengths. The Wave-1 was seen to fade away with increasing pressure and increasing power, while the Wave-2 remained relatively unchanged over the range of pressure and power investigated. The numerical results revealed that the Wave-1 propagates as backward SWs whose phase velocity and group velocity point in the opposite directions. In contrast, the Wave-2 appeared to form standing waves, being ascribed to a superposition of forward SWs whose phase and group velocities point in the same direction. The fadeaway of the Wave-1 or backward SWs at increased pressures and increased powers was seen with the damping rate increasing in the axial direction, being related to the increased plasma electron densities. A comparison with the conventional FDTD simulation indicated that such fine structure of the electromagnetic fields of SWs is not observed in the FDTD simulation with spatially uniform and time-independent plasma distributions; thus, the FDTD/fluid hybrid model should be employed in simulating the electromagnetic fields and plasma parameters in SWPs with high accuracy

  5. Installation in the SPS tunnel

    CERN Multimedia

    1974-01-01

    The SPS tunnel is 6910 m in circumference and has a cross section of 4 m inner diameter. It is situated at an elevation of 400 m above sea level at a depth below the surface varying between 23 and 65 m. Its walls are lined with a concrete shell of about 30 cm thickness. See also 7410043X

  6. Moderate pressure plasma source of nonthermal electrons

    Science.gov (United States)

    Gershman, S.; Raitses, Y.

    2018-06-01

    Plasma sources of electrons offer control of gas and surface chemistry without the need for complex vacuum systems. The plasma electron source presented here is based on a cold cathode glow discharge (GD) operating in a dc steady state mode in a moderate pressure range of 2–10 torr. Ion-induced secondary electron emission is the source of electrons accelerated to high energies in the cathode sheath potential. The source geometry is a key to the availability and the extraction of the nonthermal portion of the electron population. The source consists of a flat and a cylindrical electrode, 1 mm apart. Our estimates show that the length of the cathode sheath in the plasma source is commensurate (~0.5–1 mm) with the inter-electrode distance so the GD operates in an obstructed regime without a positive column. Estimations of the electron energy relaxation confirm the non-local nature of this GD, hence the nonthermal portion of the electron population is available for extraction outside of the source. The use of a cylindrical anode presents a simple and promising method of extracting the high energy portion of the electron population. Langmuir probe measurements and optical emission spectroscopy confirm the presence of electrons with energies ~15 eV outside of the source. These electrons become available for surface modification and radical production outside of the source. The extraction of the electrons of specific energies by varying the anode geometry opens exciting opportunities for future exploration.

  7. Comparative studies on mechanical properties of WC-Co composites sintered by SPS and conventional techniques

    Directory of Open Access Journals (Sweden)

    Pristinskiy Yuri

    2017-01-01

    Full Text Available Spark plasma sintering (SPS is an extremely fast solidification technique for compounds that are difficult to sinter within the material group metals, ceramics, or composites thereof, SPS uses a uniaxial pressure and a very rapid heating cycle to consolidate these materials. With SPS the main benefit is the ability to control the WC grain size due to the short sintering times at high temperature. Additionally, its allows to avoid negative reactions between WC and cobalt and to minimize the formation of undesirable phases in sintered composites. The WC-6wt.% Co cermet prepared by SPS processing achieves the enhanced mechanical properties with the hardness of 18.3 GPa and the fracture toughness of 15.5 MPa·m1/2 in comparison to standard reference tungsten carbide/cobalt material.

  8. Correlation of H- production and the work function of a surface in a hydrogen plasma

    International Nuclear Information System (INIS)

    Wada, M.

    1983-03-01

    Surface-plasma negative hydrogen ion sources are being developed as possible parts for future neutral beam systems. In these ion sources, negative hydrogen ions (H - ) are produced at low work function metal surfaces immersed in hydrogen plasmas. To investigate the correlation between the work function and the H - production at the surface with a condition similar to the one in the actual plasma ion source, these two parameters were simultaneously measured in the hydrogen plasma environment

  9. Bunch rotation tests at SPS flat top for the AWAKE experiment

    CERN Document Server

    Argyropoulos, T; Bohl, T; Esteban Müller, J F; Petrenko, A; Shaposhnikova, E; Timko, H

    2013-01-01

    This note summarises the results of two MDs on bunch rotation at SPS flat top. The first MD was carried out on 11th July 2012 with the Q26 optics, while the second MD on the 30th October 2012 used the Q20 optics. To obtain a short bunch length, which is important for the plasma wake-field acceleration project AWAKE, the bunches have been rotated in longitudinal phase space on the SPS flat top. The aim of the MDs was to obtain first estimates of what bunch length, intensity, and transverse emittances - which are crucial for the project - can be achieved for high-intensity single bunches.

  10. Development of a 1-m plasma source for heavy ion beam charge neutralization

    Science.gov (United States)

    Efthimion, Philip C.; Gilson, Erik P.; Grisham, Larry; Davidson, Ronald C.; Yu, Simon; Waldron, William; Grant Logan, B.

    2005-05-01

    Highly ionized plasmas are being employed as a medium for charge neutralizing heavy ion beams in order to focus to a small spot size. Calculations suggest that plasma at a density of 1-100 times the ion beam density and at a length ˜0.1-1 m would be suitable for achieving a high level of charge neutralization. A radio frequency (RF) source was constructed at the Princeton Plasma Physics Laboratory (PPPL) in support of the joint Neutralized Transport Experiment (NTX) at the Lawrence Berkeley National Laboratory (LBNL) to study ion beam neutralization. Pulsing the source enabled operation at pressures ˜10 -6 Torr with plasma densities of 10 11 cm -3. Near 100% ionization was achieved. The plasma was 10 cm in length, but future experiments require a source 1 m long. The RF source does not easily scale to the length. Consequently, large-volume plasma sources based upon ferroelectric ceramics are being considered. These sources have the advantage of being able to increase the length of the plasma and operate at low neutral pressures. The source will utilize the ferroelectric ceramic BaTiO 3 to form metal plasma. A 1 m long section of the drift tube inner surface of NTX will be covered with ceramic. A high voltage (˜1-5 kV) is applied between the drift tube and the front surface of the ceramic by placing a wire grid on the front surface. Plasma densities of 10 12 cm -3 and neutral pressures ˜10 -6 Torr are expected. A test stand to produce 20 cm long plasma is being constructed and will be tested before a 1 m long source is developed.

  11. Development of a 1-m plasma source for heavy ion beam charge neutralization

    International Nuclear Information System (INIS)

    Efthimion, Philip C.; Gilson, Erik P.; Grisham, Larry; Davidson, Ronald C.; Yu, Simon; Waldron, William; Grant Logan, B.

    2005-01-01

    Highly ionized plasmas are being employed as a medium for charge neutralizing heavy ion beams in order to focus to a small spot size. Calculations suggest that plasma at a density of 1-100 times the ion beam density and at a length ∼0.1-1 m would be suitable for achieving a high level of charge neutralization. A radio frequency (RF) source was constructed at the Princeton Plasma Physics Laboratory (PPPL) in support of the joint Neutralized Transport Experiment (NTX) at the Lawrence Berkeley National Laboratory (LBNL) to study ion beam neutralization. Pulsing the source enabled operation at pressures ∼10 -6 Torr with plasma densities of 10 11 cm -3 . Near 100% ionization was achieved. The plasma was 10 cm in length, but future experiments require a source 1 m long. The RF source does not easily scale to the length. Consequently, large-volume plasma sources based upon ferroelectric ceramics are being considered. These sources have the advantage of being able to increase the length of the plasma and operate at low neutral pressures. The source will utilize the ferroelectric ceramic BaTiO 3 to form metal plasma. A 1 m long section of the drift tube inner surface of NTX will be covered with ceramic. A high voltage (∼1-5 kV) is applied between the drift tube and the front surface of the ceramic by placing a wire grid on the front surface. Plasma densities of 10 12 cm -3 and neutral pressures ∼10 -6 Torr are expected. A test stand to produce 20 cm long plasma is being constructed and will be tested before a 1 m long source is developed

  12. Microwave energy transmission test toward the SPS using the space station

    Energy Technology Data Exchange (ETDEWEB)

    Kaya, N.; Matsumoto, H.; Miyatake, S.; Kimura, I.; Nagatomo, M.

    1986-12-01

    An outline of a project METT (Microwave Energy Transmission Test) using the Space Station is described. The objectives of the METT are to develop and test the technology of microwave energy transmission for the future Solar Power Satellite (SPS), and to estimate the environmental effects of the high power microwaves on the ionosphere and the atmosphere. Energy generated with solar cells is transmitted from a transmitting antenna on the bus platform near the Space Station to a rectenna on the sub-satellite or the ground station in order to test the total efficiency and the functions of the developed system of the energy transmission. Plasma similar to that in the D and E layers in the ionosphere is produced in a large balloon opened on the sub-satellite in order to investigate possible interactions between the SPS microwave and the ionospheric plasma and to determine the maximum power density of the microwave beam which passes through the ionosphere.

  13. Electron backstream to the source plasma region in an ion source

    International Nuclear Information System (INIS)

    Ohara, Y.; Akiba, M.; Arakawa, Y.; Okumura, Y.; Sakuraba, J.

    1980-01-01

    The flux of backstream electrons to the source plasma region increases significantly with the acceleration voltage of an ion beam, so that the back plate in the arc chamber should be broken for quasi-dc operation. The flux of backstream electrons is estimated at the acceleration voltage of 50--100 kV for a proton beam with the aid of ion beam simulation code. The power flux of backstream electrons is up to about 7% of the total beam output at the acceleration voltage of 75 kV. It is pointed out that the conventional ion sources such as the duoPIGatron or the bucket source which use a magnetic field for source plasma production are not suitable for quasi-dc and high-energy ion sources, because the surface heat flux of the back plate is increased by the focusing of backstream electrons and the removal of it is quite difficult. A new ion source which has an electron beam dump in the arc chamber is proposed

  14. Dense Plasma Focus - From Alternative Fusion Source to Versatile High Energy Density Plasma Source for Plasma Nanotechnology

    Science.gov (United States)

    Rawat, R. S.

    2015-03-01

    The dense plasma focus (DPF), a coaxial plasma gun, utilizes pulsed high current electrical discharge to heat and compress the plasma to very high density and temperature with energy densities in the range of 1-10 × 1010 J/m3. The DPF device has always been in the company of several alternative magnetic fusion devices as it produces intense fusion neutrons. Several experiments conducted on many different DPF devices ranging over several order of storage energy have demonstrated that at higher storage energy the neutron production does not follow I4 scaling laws and deteriorate significantly raising concern about the device's capability and relevance for fusion energy. On the other hand, the high energy density pinch plasma in DPF device makes it a multiple radiation source of ions, electron, soft and hard x-rays, and neutrons, making it useful for several applications in many different fields such as lithography, radiography, imaging, activation analysis, radioisotopes production etc. Being a source of hot dense plasma, strong shockwave, intense energetic beams and radiation, etc, the DPF device, additionally, shows tremendous potential for applications in plasma nanoscience and plasma nanotechnology. In the present paper, the key features of plasma focus device are critically discussed to understand the novelties and opportunities that this device offers in processing and synthesis of nanophase materials using, both, the top-down and bottom-up approach. The results of recent key experimental investigations performed on (i) the processing and modification of bulk target substrates for phase change, surface reconstruction and nanostructurization, (ii) the nanostructurization of PLD grown magnetic thin films, and (iii) direct synthesis of nanostructured (nanowire, nanosheets and nanoflowers) materials using anode target material ablation, ablated plasma and background reactive gas based synthesis and purely gas phase synthesis of various different types of

  15. Dense Plasma Focus - From Alternative Fusion Source to Versatile High Energy Density Plasma Source for Plasma Nanotechnology

    International Nuclear Information System (INIS)

    Rawat, R S

    2015-01-01

    The dense plasma focus (DPF), a coaxial plasma gun, utilizes pulsed high current electrical discharge to heat and compress the plasma to very high density and temperature with energy densities in the range of 1-10 × 10 10 J/m 3 . The DPF device has always been in the company of several alternative magnetic fusion devices as it produces intense fusion neutrons. Several experiments conducted on many different DPF devices ranging over several order of storage energy have demonstrated that at higher storage energy the neutron production does not follow I 4 scaling laws and deteriorate significantly raising concern about the device's capability and relevance for fusion energy. On the other hand, the high energy density pinch plasma in DPF device makes it a multiple radiation source of ions, electron, soft and hard x-rays, and neutrons, making it useful for several applications in many different fields such as lithography, radiography, imaging, activation analysis, radioisotopes production etc. Being a source of hot dense plasma, strong shockwave, intense energetic beams and radiation, etc, the DPF device, additionally, shows tremendous potential for applications in plasma nanoscience and plasma nanotechnology. In the present paper, the key features of plasma focus device are critically discussed to understand the novelties and opportunities that this device offers in processing and synthesis of nanophase materials using, both, the top-down and bottom-up approach. The results of recent key experimental investigations performed on (i) the processing and modification of bulk target substrates for phase change, surface reconstruction and nanostructurization, (ii) the nanostructurization of PLD grown magnetic thin films, and (iii) direct synthesis of nanostructured (nanowire, nanosheets and nanoflowers) materials using anode target material ablation, ablated plasma and background reactive gas based synthesis and purely gas phase synthesis of various different types of

  16. Hypothesis for the mechanism of negative ion production in the surface-plasma negative hydrogen ion source

    International Nuclear Information System (INIS)

    Hiskes, J.R.

    1975-01-01

    An analysis of the surface-plasma negative hydrogen ion source has shown that the tungsten cathode supports approximately a monolayer of cesium. The backscattering of protons from the cathode as energetic neutrals and the subsequent backscattering of these neutrals from the anode provides for a flux of energetic atoms incident upon the cathode which is comparable to the ion flux. A hypothesis is proposed for the generation of negative ions during the collision of these energetic atoms with the cathode. Several mechanisms for negative ion production by proton collision with the surface are discussed. (U.S.)

  17. SPS Quadrupole Magnets

    CERN Multimedia

    1974-01-01

    A stack of SPS Quadrupole Magnets ready for installation in the tunnel. The SPS uses a total of 216 laminated normal conducting lattice quadrupoles with a length of 3.13 m for the core, 3.3 m overall. The F and D quads. have identical characteristics: inscribed circle radius 44 mm, core height and width 800 mm, maximum gradient 20 Tesla/m.

  18. Influence of Bondcoat Spray Process on Lifetime of Suspension Plasma-Sprayed Thermal Barrier Coatings

    Science.gov (United States)

    Gupta, M.; Markocsan, N.; Li, X.-H.; Östergren, L.

    2018-01-01

    Development of thermal barrier coatings (TBCs) manufactured by suspension plasma spraying (SPS) is of high commercial interest as SPS has been shown capable of producing highly porous columnar microstructures similar to the conventionally used electron beam-physical vapor deposition. However, lifetime of SPS coatings needs to be improved further to be used in commercial applications. The bondcoat microstructure as well as topcoat-bondcoat interface topography affects the TBC lifetime significantly. The objective of this work was to investigate the influence of different bondcoat deposition processes for SPS topcoats. In this work, a NiCoCrAlY bondcoat deposited by high velocity air fuel (HVAF) was compared to commercial vacuum plasma-sprayed NiCoCrAlY and PtAl diffusion bondcoats. All bondcoat variations were prepared with and without grit blasting the bondcoat surface. SPS was used to deposit the topcoats on all samples using the same spray parameters. Lifetime of these samples was examined by thermal cyclic fatigue testing. Isothermal heat treatment was performed to study bondcoat oxidation over time. The effect of bondcoat deposition process and interface topography on lifetime in each case has been discussed. The results show that HVAF could be a suitable process for bondcoat deposition in SPS TBCs.

  19. Characterization of DBD plasma source for biomedical applications

    Energy Technology Data Exchange (ETDEWEB)

    Kuchenbecker, M; Vioel, W [University of Applied Sciences and Arts, Faculty of Natural Sciences and Technology, Von-Ossietzky-Str. 99, 37085 Goettingen (Germany); Bibinov, N; Awakowicz, P [Institute for Electrical Engineering and Plasma Technology, Ruhr-Universitaet Bochum, Universitaetstr. 150, 44780 Bochum (Germany); Kaemlimg, A; Wandke, D, E-mail: m.kuchenbecker@web.d, E-mail: Nikita.Bibinov@rub.d, E-mail: awakowicz@aept-ruhr-uni-bochum.d, E-mail: vioel@hawk-hhg.d [CINOGY GmbH, Max-Naeder-Str. 15, 37114 Duderstadt (Germany)

    2009-02-21

    The dielectric barrier discharge (DBD) plasma source for biomedical application is characterized using optical emission spectroscopy, plasma-chemical simulation and voltage-current measurements. This plasma source possesses only one electrode covered by ceramic. Human body or some other object with enough high electric capacitance or connected to ground can serve as the opposite electrode. DBD consists of a number of microdischarge channels distributed in the gas gap between the electrodes and on the surface of the dielectric. To characterize the plasma conditions in the DBD source, an aluminium plate is used as an opposite electrode. Electric parameters, the diameter of microdischarge channel and plasma parameters (electron distribution function and electron density) are determined. The gas temperature is measured in the microdischarge channel and calculated in afterglow phase. The heating of the opposite electrode is studied using probe measurement. The gas and plasma parameters in the microdischarge channel are studied at varied distances between electrodes. According to an energy balance study, the input microdischarge electric energy dissipates mainly in heating of electrodes (about 90%) and partially (about 10%) in the production of chemical active species (atoms and metastable molecules).

  20. Plasma etching treatment for surface modification of boron-doped diamond electrodes

    Energy Technology Data Exchange (ETDEWEB)

    Kondo, Takeshi [Department of Industrial Chemistry, Faculty of Engineering, Tokyo University of Science, 1-3 Kagurazaka, Shinjuku-ku, Tokyo 162-8601 (Japan); Ito, Hiroyuki [Department of Industrial Chemistry, Faculty of Engineering, Tokyo University of Science, 1-3 Kagurazaka, Shinjuku-ku, Tokyo 162-8601 (Japan); Kusakabe, Kazuhide [Department of Applied Physics, Faculty of Science, Tokyo University of Science, 1-3 Kagurazaka, Shinjuku-ku, Tokyo 162-8601 (Japan); Ohkawa, Kazuhiro [Department of Applied Physics, Faculty of Science, Tokyo University of Science, 1-3 Kagurazaka, Shinjuku-ku, Tokyo 162-8601 (Japan); Einaga, Yasuaki [Department of Chemistry, Faculty of Science and Technology, Keio University, 3-14-1 Hiyoshi, Yokohama 223-8522 (Japan); Fujishima, Akira [Kanagawa Academy of Science and Technology (KAST), 3-2-1 Sakado, Takatsu-ku, Kawasaki, Kanagawa 213-0012 (Japan); Kawai, Takeshi [Department of Industrial Chemistry, Faculty of Engineering, Tokyo University of Science, 1-3 Kagurazaka, Shinjuku-ku, Tokyo 162-8601 (Japan)]. E-mail: kawai@ci.kagu.tus.ac.jp

    2007-03-01

    Boron-doped diamond (BDD) thin film surfaces were modified by brief plasma treatment using various source gases such as Cl{sub 2}, CF{sub 4}, Ar and CH{sub 4}, and the electrochemical properties of the surfaces were subsequently investigated. From X-ray photoelectron spectroscopy analysis, Cl and F atoms were detected on the BDD surfaces after 3 min of Cl{sub 2} and CF{sub 4} plasma treatments, respectively. From the results of cyclic voltammetry and electrochemical AC impedance measurements, the electron-transfer rate for Fe(CN){sub 6} {sup 3-/4-} and Fe{sup 2+/3+} at the BDD electrodes was found to decrease after Cl{sub 2} and CF{sub 4} plasma treatments. However, the electron-transfer rate for Ru(NH{sub 3}){sub 6} {sup 2+/3+} showed almost no change after these treatments. This may have been related to the specific interactions of surface halogen (C-Cl and C-F) moieties with the redox species because no electrical passivation was observed after the treatments. In addition, Raman spectroscopy showed that CH{sub 4} plasma treatment of diamond surfaces formed an insulating diamond-like carbon thin layer on the surfaces. Thus, by an appropriate choice of plasma source, short-duration plasma treatments can be an effective way to functionalize diamond surfaces in various ways while maintaining a wide potential window and a low background current.

  1. SPS completes LS1 activities

    CERN Multimedia

    Katarina Anthony

    2014-01-01

    On 27 June, the SPS closed its doors to the LS1 engineers, bringing to an end almost 17 months of activities. The machine now enters the hardware-testing phase in preparation for an October restart.   Photo 1: The SPS transfer tunnel, TT10, reinforced with steal beams. Having completed their LS1 activities right on schedule (to the day!), the SPS team is now preparing the machine for its restart. Over the next eight weeks, hardware tests of the SPS dipole and quadrupole power converters will be underway, led by the TE-EPC (Electrical Power Converters) team. "OP start-up test activities will also be running in parallel, utilising the off hours when EPC is not using the machine," says David McFarlane, the SPS technical coordinator from the Engineering Department. "The primary beam testing phase will start at the beginning of September, once hardware tests and DSO safety tests have been completed." It has been a long journey to this point, with several major...

  2. Development of negative heavy ion sources for plasma potential measurement

    International Nuclear Information System (INIS)

    Sasao, M.; Okabe, Y.; Fujisawa, A.; Iguchi, H.; Fujita, J.; Yamaoka, H.; Wada, M.

    1991-10-01

    A plasma sputter negative ion source was studied for its applicability to the potential measurement of a fusion plasma. Both the beam current density and the beam energy spread are key issues. Energy spectra of a self extracted Au - beam from the source were measured under the condition of a constant work function of the production surface. The full width of half maximum (FWHM) increases from 3 eV to 9 eV monotonically as the target voltage increases from 50 V to 300 V, independently from the target surface work function of 2.2 - 3 eV. (author)

  3. Zirconia-mullite obtained from co-precipitated zirconia-mullite composite powders by SPS

    Energy Technology Data Exchange (ETDEWEB)

    Li, H.Z.; Li, Z.J.; Luo, X.D. [Univ. of Science and Technology Liaoning, Anshan (China). School of High Temperature Materials and Magnesium Resource Engineering; Gui, J.Y.; Xie, Z.P. [Tsinghua Univ., Beijing (China). School of Materials Science and Engineering

    2016-07-01

    The co-precipitation method is used to fabricate precursor powder. This powder is densified by means of the spark plasma sintering (SPS) technique at 1500 C with a holding time of 7 min to prepare zirconia-mullite samples. Their density measures up to 97 % of the theoretical density, and the sintered mullite compacts exhibit better strength properties (289 ± 12 MPa) and H{sub v} (9.99 GPa). The mode of fracture is changed with the addition of ZrO{sub 2} and extensive fine cleavages are observed on the grain surface. These cleavages join together to form steps, which can absorb more energy. The flexural strength of the samples is almost double that of pure mullite, which is related to the formation of cleavages.

  4. Plasma-surface interactions

    International Nuclear Information System (INIS)

    Goeckner, M J; Nelson, C T; Sant, S P; Jindal, A K; Joseph, E A; Zhou, B S; Padron-Wells, G; Jarvis, B; Pierce, R; Overzet, L J

    2008-01-01

    Materials processing is at a crossroads. Currently a large fraction of industrially viable materials processing is via plasmas. Until recently it has been economical to just examine the influence the plasma properties on the desired surface processes and through this ultimately optimize manufacturing. For example, it is well known that the surface processes (etch or deposition), occur in the top few mono-layers of the surface. Thus, in film growth one requires that molecules from the gas-phase land and bond on the surface. However as processing has reached the nano-scale, development of viable processes has become more and more difficult. In part, this is because of all of the free parameters that exist in plasmas. To overcome this economic issue, tool vendors and semiconductor companies have turned to complex computational models of processing plasmas. For those models to work, one requires a through understanding of all of the gas-phase and surface-phase processes that are exhibited in plasmas. Unfortunately, these processes, particularly those at the surface, are not well understood. In this article we describe a viable model of the surface-phase based on cross sections for processes that occur. While originally developed of fluorocarbon systems, the model also appears to be applicable to hydrocarbon systems.

  5. Plasma-surface interactions

    Energy Technology Data Exchange (ETDEWEB)

    Goeckner, M J; Nelson, C T; Sant, S P; Jindal, A K; Joseph, E A; Zhou, B S; Padron-Wells, G; Jarvis, B; Pierce, R; Overzet, L J [Department of Electrical Engineering, University of Texas at Dallas (United States)], E-mail: goeckner@utdallas.edu

    2008-10-01

    Materials processing is at a crossroads. Currently a large fraction of industrially viable materials processing is via plasmas. Until recently it has been economical to just examine the influence the plasma properties on the desired surface processes and through this ultimately optimize manufacturing. For example, it is well known that the surface processes (etch or deposition), occur in the top few mono-layers of the surface. Thus, in film growth one requires that molecules from the gas-phase land and bond on the surface. However as processing has reached the nano-scale, development of viable processes has become more and more difficult. In part, this is because of all of the free parameters that exist in plasmas. To overcome this economic issue, tool vendors and semiconductor companies have turned to complex computational models of processing plasmas. For those models to work, one requires a through understanding of all of the gas-phase and surface-phase processes that are exhibited in plasmas. Unfortunately, these processes, particularly those at the surface, are not well understood. In this article we describe a viable model of the surface-phase based on cross sections for processes that occur. While originally developed of fluorocarbon systems, the model also appears to be applicable to hydrocarbon systems.

  6. Special radio call signal, HW6SPS, used on the SPS Inauguration Day

    CERN Multimedia

    Sagnell, Bengt

    1977-01-01

    CERN had been given a special French call sign for the occasion - HW6SPS - which was used over the weekend to contact a large number of amateur stations in Europe and world-wide to spread the happy message. The stations were manned by ~10 licensed amateur radio operators in SPS.

  7. First results from the Los Alamos plasma source ion implantation experiment

    International Nuclear Information System (INIS)

    Rej, D.J.; Faehl, R.J.; Gribble, R.J.; Henins, I.; Kodali, P.; Nastasi, M.; Reass, W.A.; Tesmer, J.; Walter, K.C.; Wood, B.P.; Conrad, J.R.; Horswill, N.; Shamim, M.; Sridharan, K.

    1993-01-01

    A new facility is operational at Los Alamos to examine plasma source ion implantation on a large scale. Large workpieces can be treated in a 1.5-m-diameter, 4.6-m-long plasma vacuum chamber. Primary emphasis is directed towards improving tribological properties of metal surfaces. First experiments have been performed at 40 kV with nitrogen plasmas. Both coupons and manufactured components, with surface areas up to 4 m 2 , have been processed. Composition and surface hardness of implanted materials are evaluated. Implant conformality and dose uniformity into practical geometries are estimated with multidimensional particle-in-cell computations of plasma electron and ion dynamics, and Monte Carlo simulations of ion transport in solids

  8. The convergent LEP and SPS control systems

    International Nuclear Information System (INIS)

    Altaber, J.

    1987-01-01

    The major design contraint of the control system for LEP is the compatibility with the existing SPS control system. The first reason for this compatibility is to allow a long term convergence of the SPS control system towards the LEP one. The second reason is to operate both LEP and SPS machines from a unique main control room. The distributed architecture of LEP and the existing SPS control systems are described. The design of the equipment interface for both machines is explained. Finally, the infrastructure of the common main control room for LEP and SPS is described

  9. Study of Au- production in a plasma-sputter type negative ion source

    International Nuclear Information System (INIS)

    Okabe, Yushirou.

    1991-10-01

    A negative ion source of plasma-sputter type has been constructed for the purpose of studying physical processes which take place in the ion source. Negative ions of gold are produced on the gold target which is immersed in an argon discharge plasma and biased negatively with respect to the plasma. The work function of the target surface was lowered by the deposition of Cs on the target. An in-situ method has been developed to determine the work function of the target surface in the ion source under discharge conditions. The observed minimum work function of a cesiated gold surface in an argon plasma was 1.3 eV, when the negative ion production rate took the maximum value. The production rate increased monotonically and saturated when the surface work function was reduced from 1.9 eV to 1.3 eV. The dependence of Au - production rate on the incident ion energy and on the number of the incident ion was studied. From the experimental results, it is shown that the sputtering process is an important physical process for the negative ion production in the plasma-sputter type negative ion source. The energy distribution function was also measured. When the bias voltage was smaller than 280 V, the high energy component in the distribution decreased as the target voltage was decreased. Therefore, the energy spread ΔE, of the observed negative ion energy distribution also decreased. This tendency is also seen in the energy spectrum of Cu atoms sputtered in normal direction by Ar + ions. (J.P.N.)

  10. The capabilities of monochromatic EC neutrino beams with the SPS upgrade

    International Nuclear Information System (INIS)

    Espinoza, C; Bernabeu, J

    2008-01-01

    The goal for future neutrino facilities is the determination of the U(e3) mixing and CP violation in neutrino oscillations. This will require precision experiments with a very intense neutrino source and energy control. With this objective in mind, the creation of monochromatic neutrino beams from the electron capture decay of boosted ions by the SPS of CERN has been proposed. We discuss the capabilities of such a facility as a function of the energy of the boost and the baseline for the detector. We conclude that the SPS upgrade to 1000 GeV is crucial to reach a better sensitivity to CP violation iff it is accompanied by a longer baseline. We compare the physics potential for two different configurations: I) γ = 90 and γ = 195 (maximum achievable at present SPS) to Frejus; II) γ = 195 and γ = 440 (maximum achievable at upgraded SPS) to Canfranc. The main conclusion is that, whereas the gain in the determination of U(e3) is rather modest, setup II provides much better sensitivity to CP violation

  11. The capabilities of monochromatic EC neutrino beams with the SPS upgrade

    Science.gov (United States)

    Espinoza, C.; Bernabéu, J.

    2008-05-01

    The goal for future neutrino facilities is the determination of the U(e3) mixing and CP violation in neutrino oscillations. This will require precision experiments with a very intense neutrino source and energy control. With this objective in mind, the creation of monochromatic neutrino beams from the electron capture decay of boosted ions by the SPS of CERN has been proposed. We discuss the capabilities of such a facility as a function of the energy of the boost and the baseline for the detector. We conclude that the SPS upgrade to 1000 GeV is crucial to reach a better sensitivity to CP violation iff it is accompanied by a longer baseline. We compare the physics potential for two different configurations: I) γ = 90 and γ = 195 (maximum achievable at present SPS) to Frejus; II) γ = 195 and γ = 440 (maximum achievable at upgraded SPS) to Canfranc. The main conclusion is that, whereas the gain in the determination of U(e3) is rather modest, setup II provides much better sensitivity to CP violation.

  12. A new large-scale plasma source with plasma cathode

    International Nuclear Information System (INIS)

    Yamauchi, K.; Hirokawa, K.; Suzuki, H.; Satake, T.

    1996-01-01

    A new large-scale plasma source (200 mm diameter) with a plasma cathode has been investigated. The plasma has a good spatial uniformity, operates at low electron temperature, and is highly ionized under relatively low gas pressure of about 10 -4 Torr. The plasma source consists of a plasma chamber and a plasma cathode generator. The plasma chamber has an anode which is 200 mm in diameter, 150 mm in length, is made of 304 stainless steel, and acts as a plasma expansion cup. A filament-cathode-like plasma ''plasma cathode'' is placed on the central axis of this source. To improve the plasma spatial uniformity in the plasma chamber, a disk-shaped, floating electrode is placed between the plasma chamber and the plasma cathode. The 200 mm diameter plasma is measure by using Langmuir probes. As a result, the discharge voltage is relatively low (30-120 V), the plasma space potential is almost equal to the discharge voltage and can be easily controlled, the electron temperature is several electron volts, the plasma density is about 10 10 cm -3 , and the plasma density is about 10% variance in over a 100 mm diameter. (Author)

  13. 21 CFR 640.60 - Source Plasma.

    Science.gov (United States)

    2010-04-01

    ... 21 Food and Drugs 7 2010-04-01 2010-04-01 false Source Plasma. 640.60 Section 640.60 Food and... ADDITIONAL STANDARDS FOR HUMAN BLOOD AND BLOOD PRODUCTS Source Plasma § 640.60 Source Plasma. The proper name of the product shall be Source Plasma. The product is defined as the fluid portion of human blood...

  14. Effect of Suspension Plasma-Sprayed YSZ Columnar Microstructure and Bond Coat Surface Preparation on Thermal Barrier Coating Properties

    Science.gov (United States)

    Bernard, Benjamin; Quet, Aurélie; Bianchi, Luc; Schick, Vincent; Joulia, Aurélien; Malié, André; Rémy, Benjamin

    2017-08-01

    Suspension plasma spraying (SPS) is identified as promising for the enhancement of thermal barrier coating (TBC) systems used in gas turbines. Particularly, the emerging columnar microstructure enabled by the SPS process is likely to bring about an interesting TBC lifetime. At the same time, the SPS process opens the way to a decrease in thermal conductivity, one of the main issues for the next generation of gas turbines, compared to the state-of-the-art deposition technique, so-called electron beam physical vapor deposition (EB-PVD). In this paper, yttria-stabilized zirconia (YSZ) coatings presenting columnar structures, performed using both SPS and EB-PVD processes, were studied. Depending on the columnar microstructure readily adaptable in the SPS process, low thermal conductivities can be obtained. At 1100 °C, a decrease from 1.3 W m-1 K-1 for EB-PVD YSZ coatings to about 0.7 W m-1 K-1 for SPS coatings was shown. The higher content of porosity in the case of SPS coatings increases the thermal resistance through the thickness and decreases thermal conductivity. The lifetime of SPS YSZ coatings was studied by isothermal cyclic tests, showing equivalent or even higher performances compared to EB-PVD ones. Tests were performed using classical bond coats used for EB-PVD TBC coatings. Thermal cyclic fatigue performance of the best SPS coating reached 1000 cycles to failure on AM1 substrates with a β-(Ni,Pt)Al bond coat. Tests were also performed on AM1 substrates with a Pt-diffused γ-Ni/γ'-Ni3Al bond coat for which more than 2000 cycles to failure were observed for columnar SPS YSZ coatings. The high thermal compliance offered by both the columnar structure and the porosity allowed the reaching of a high lifetime, promising for a TBC application.

  15. Satellite Power System (SPS) societal assessment

    Energy Technology Data Exchange (ETDEWEB)

    1980-12-01

    Construction and operation of a 60-unit (300 GW) domestic SPS over the period 2000 to 2030 would stress many segments of US society. A significant commitment of resources (land, energy, materials) would be required, and a substantial proportion of them would have to be committed prior to the production of any SPS electricity. Estimated resource demands, however, seem to be within US capabilities. Modifications will be required of institutions called upon to deal with SPS. These include financial, managerial and regulatory entities and, most particularly, the utility industry. Again, the required changes, while certainly profound, seem to be well within the realm of possibility. Enhanced cooperation in international affairs will be necessary to accommodate development and operation of the SPS. To remove its potential as a military threat and to reduce its vulnerability, either the SPS itself must become an international enterprise, or it must be subject to unrestricted international inspection. How either of these objectives could, in fact, be achieved, or which is preferable, remains unclear. Forty-four concerns about the SPS were identified via a public outreach experiment involving 9000 individuals from three special interest organizations. The concerns focused on environmental impacts (particularly the effects of microwave radiation) and the centralizing tendency of the SPS on society. The interim results of the public outreach experiment influenced the scope and direction of the CDEP; the final results will be instrumental in defining further societal assessment efforts.

  16. Satellite power system (SPS) public outreach experiment

    Energy Technology Data Exchange (ETDEWEB)

    McNeal, S.R.

    1980-12-01

    To improve the results of the Satellite Power System (SPS) Concept Development and Evaluation Program, an outreach experiment was conducted. Three public interest groups participated: the L-5 Society (L-5), Citizen's Energy Project (CEP), and the Forum for the Advancement of Students in Science and Technology (FASST). Each group disseminated summary information about SPS to approximately 3000 constituents with a request for feedback on the SPS concept. The objectives of the outreach were to (1) determine the areas of major concern relative to the SPS concept, and (2) gain experience with an outreach process for use in future public involvement. Due to the combined efforts of all three groups, 9200 individuals/organizations received information about the SPS concept. Over 1500 receipients of this information provided feedback. The response to the outreach effort was positive for all three groups, suggesting that the effort extended by the SPS Project Division to encourage an information exchange with the public was well received. The general response to the SPS differed with each group. The L-5 position is very much in favor of SPS; CEP is very much opposed and FASST is relatively neutral. The responses are analyzed, and from the responses some questions and answers about the satellite power system are presented in the appendix. (WHK)

  17. Propagation of high frequency electrostatic surface waves along the planar interface between plasma and dusty plasma

    Science.gov (United States)

    Mishra, Rinku; Dey, M.

    2018-04-01

    An analytical model is developed that explains the propagation of a high frequency electrostatic surface wave along the interface of a plasma system where semi-infinite electron-ion plasma is interfaced with semi-infinite dusty plasma. The model emphasizes that the source of such high frequency waves is inherent in the presence of ion acoustic and dust ion acoustic/dust acoustic volume waves in electron-ion plasma and dusty plasma region. Wave dispersion relation is obtained for two distinct cases and the role of plasma parameters on wave dispersion is analyzed in short and long wavelength limits. The normalized surface wave frequency is seen to grow linearly for lower wave number but becomes constant for higher wave numbers in both the cases. It is observed that the normalized frequency depends on ion plasma frequencies when dust oscillation frequency is neglected.

  18. Modification of glassy carbon surfaces by atmospheric pressure cold plasma torch

    DEFF Research Database (Denmark)

    Mortensen, Henrik Junge; Kusano, Yukihiro; Leipold, Frank

    2006-01-01

    The effect of plasma treatment on glassy carbon (GC) surfaces was studied with adhesion improvement in mind. A newly constructed remote plasma source was used to treat GC plates. Pure He and a dilute NH3/He mixture were used as feed gases. Optical emission spectroscopy was performed for plasma to...

  19. Ion beam extraction from a matrix ECR plasma source by discrete ion-focusing effect

    DEFF Research Database (Denmark)

    Stamate, Eugen; Draghici, Mihai

    2010-01-01

    -ECR plasma source [3] with transversal magnetic filter for electron temperature control. 12 ECR plasma cells are placed 7.5 cm apart on the top of a cubic chamber 40x40x40 cm3. Each cell can be controlled independently by tuning the injected microwave power. The discharge is operated at pressures below 1 m......Positive or negative ion beams extracted from plasma are used in a large variety of surface functionalization techniques such as implantation, etching, surface activation, passivation or oxidation. Of particular importance is the surface treatment of materials sensitive to direct plasma exposure...... due to high heath fluxes, the controllability of the ion incidence angle, and charge accumulation when treating insulating materials. Despite of a large variety of plasma sources available for ion beam extraction, there is a clear need for new extraction mechanisms that can make available ion beams...

  20. Broad beam ion sources and some surface processes

    International Nuclear Information System (INIS)

    Neumann, H.; Scholze, F.; Tarz, M.; Schindler, A.; Wiese, R.; Nestler, M.; Blum, T.

    2005-01-01

    Modern broad-beam multi-aperture ion sources are widely used in material and surface technology applications. Customizing the generated ion beam properties (i. e. the ion current density profile) for specific demands of the application is a main challenge in the improvement of the ion beam technologies. First we introduce ion sources based on different plasma excitation principles shortly. An overview of source plasma and ion beam measurement methods deliver input data for modelling methods. This beam profile modelling using numerical trajectory codes and the validation of the results by Faraday cup measurements as a basis for ion beam profile design are described. Furthermore possibilities for ex situ and in situ beam profile control are demonstrated, like a special method for in situ control of a linear ion source beam profile, a grid modification for circular beam profile design and a cluster principle for broad beam sources. By means of these methods, the beam shape may be adapted to specific technological demands. Examples of broad beam source application in ion beam figuring of optical surfaces, modification of stainless steel, photo voltaic processes and deposition of EUVL-multilayer stacks are finally presented. (Author)

  1. SPS Abundance - Salmon Population Summary Database

    Data.gov (United States)

    National Oceanic and Atmospheric Administration, Department of Commerce — The data contained in the SPS database are primarily summary data, compiled at the population level and available through the SPS website...

  2. Integration of SPS with utility system networks

    Science.gov (United States)

    Kaupang, B. M.

    1980-01-01

    The integration of Satellite Power System (SPS) power in electric utility power systems is discussed. Specifically, the nature of the power output variations from the spacecraft to the rectenna, the operational characteristics of the rectenna power, and the impacts on the electric utility system from utilizing SPS power to serve part of the system load are treated. It is concluded that if RF beam control is an acceptable method for power control, and that the site distribution of SPS rectennas do not cause a very high local penetration (40 to 50%), SPS may be integrated into electric utility system with a few negative impacts. Increased regulating duty on the conventional generation, and a potential impact on system reliability for SPS penetration in excess of about 25% appear to be two areas of concern.

  3. Improving the work function of the niobium surface of SRF cavities by plasma processing

    Science.gov (United States)

    Tyagi, P. V.; Doleans, M.; Hannah, B.; Afanador, R.; McMahan, C.; Stewart, S.; Mammosser, J.; Howell, M.; Saunders, J.; Degraff, B.; Kim, S.-H.

    2016-04-01

    An in situ plasma processing technique using chemically reactive oxygen plasma to remove hydrocarbons from superconducting radio frequency cavity surfaces at room temperature has been developed at the spallation neutron source, at Oak Ridge National Laboratory. To understand better the interaction between the plasma and niobium surface, surface studies on small samples were performed. In this article, we report the results from those surface studies. The results show that plasma processing removes hydrocarbons from top surface and improves the surface work function by 0.5-1.0 eV. Improving the work function of RF surface of cavities can help to improve their operational performance.

  4. Surface modification of nanoporous alumina membranes by plasma polymerization

    Energy Technology Data Exchange (ETDEWEB)

    Losic, Dusan; Cole, Martin A; Dollmann, Bjoern; Vasilev, Krasimir; Griesser, Hans J [Ian Wark Research Institute, University of South Australia, Mawson Lakes, Adelaide, SA 5095 (Australia)], E-mail: dusan.losic@unisa.edu.au

    2008-06-18

    The deposition of plasma polymer coatings onto porous alumina (PA) membranes was investigated with the aim of adjusting the surface chemistry and the pore size of the membranes. PA membranes from commercial sources with a range of pore diameters (20, 100 and 200 nm) were used and modified by plasma polymerization using n-heptylamine (HA) monomer, which resulted in a chemically reactive polymer surface with amino groups. Heptylamine plasma polymer (HAPP) layers with a thickness less than the pore diameter do not span the pores but reduce their diameter. Accordingly, by adjusting the deposition time and thus the thickness of the plasma polymer coating, it is feasible to produce any desired pore diameter. The structural and chemical properties of modified membranes were studied by scanning electron microscopy (SEM), atomic force microscopy (AFM) and x-ray electron spectroscopy (XPS). The resultant PA membranes with specific surface chemistry and controlled pore size are applicable for molecular separation, cell culture, bioreactors, biosensing, drug delivery, and engineering complex composite membranes.

  5. Surface modification of nanoporous alumina membranes by plasma polymerization

    International Nuclear Information System (INIS)

    Losic, Dusan; Cole, Martin A; Dollmann, Bjoern; Vasilev, Krasimir; Griesser, Hans J

    2008-01-01

    The deposition of plasma polymer coatings onto porous alumina (PA) membranes was investigated with the aim of adjusting the surface chemistry and the pore size of the membranes. PA membranes from commercial sources with a range of pore diameters (20, 100 and 200 nm) were used and modified by plasma polymerization using n-heptylamine (HA) monomer, which resulted in a chemically reactive polymer surface with amino groups. Heptylamine plasma polymer (HAPP) layers with a thickness less than the pore diameter do not span the pores but reduce their diameter. Accordingly, by adjusting the deposition time and thus the thickness of the plasma polymer coating, it is feasible to produce any desired pore diameter. The structural and chemical properties of modified membranes were studied by scanning electron microscopy (SEM), atomic force microscopy (AFM) and x-ray electron spectroscopy (XPS). The resultant PA membranes with specific surface chemistry and controlled pore size are applicable for molecular separation, cell culture, bioreactors, biosensing, drug delivery, and engineering complex composite membranes

  6. Performance of Carbon Coatings for Mitigation of Electron Cloud in the SPS

    CERN Document Server

    Yin Vallgren, C; Costa Pinto, P; Neupert, H; Rumolo, G; Shaposhnikova, E; Taborelli, M; Kato, S

    2011-01-01

    Amorphous carbon (a-C) coatings have been tested in electron cloud monitors (ECM) in the Super Proton Synchrotron (SPS) and have shown for LHC type beams a reduction of the electron cloud current by a factor 104 compared to stainless steel (StSt). This performance has been maintained for more than 3 years under SPS operation conditions. Secondary electron yield (SEY) laboratory data confirm that after more than 1 year of SPS operation, the coating maintains a SEY below 1.0. The compatibility of coexisting StSt and a-C surfaces has been studied in an ECM having coated and uncoated areas. The results show no degradation of the properties of the a-C areas. The performance of diamond like carbon (DLC) coating has also been studied. DLC shows a less effective reduction of the EC current than a-C, but conditioning is faster than for StSt. Three a-C coated dipoles were inserted in the SPS. However, even with no EC detected, the dynamic pressure rise is similar to the one observed in the StSt reference dipoles. Measu...

  7. Spark plasma sintering of TiNi nano-powders for biological application

    International Nuclear Information System (INIS)

    Fu, Y Q; Gu, Y W; Shearwood, C; Luo, J K; Flewitt, A J; Milne, W I

    2006-01-01

    Nano-sized TiNi powder with an average size of 50 nm was consolidated using spark plasma sintering (SPS) at 800 deg. C for 5 min. A layer of anatase TiO 2 coating was formed on the sintered TiNi by chemical reaction with a hydrogen peroxide (H 2 O 2 ) solution at 60 deg. C followed by heat treatment at 400 deg. C to enhance the bioactivity of the metal surface. Cell culture using osteoblast cells and a biomimetic test in simulated body fluid proved the biocompatibility of the chemically treated SPS TiNi

  8. Satellite Power System (SPS) Program Summary

    Energy Technology Data Exchange (ETDEWEB)

    1978-12-01

    The joint DOE/NASA SPS program has as its objective to achieve by the end of 1980 an initial understanding of the technical feasibility, economic practicability, and the social and environmental acceptability of the SPS concepts so that recommendations concerning program continuation can be made. The four major study areas include (1) systems definition; (2) environmental assessment; (3) societal assessment; and (4) comparative assessment of alternative energy systems. All the projects on the SPS program are listed and summarized for FY 1978. (WHK)

  9. Correlation of H- production and the work function of a surface in a hydrogen plasma

    International Nuclear Information System (INIS)

    Wada, M.

    1983-01-01

    Surface-plasma negative hydrogen ion sources are being developed as possible parts for future netural beam systems. In these ion sources, negative hydrogen ions (H - ) are produced at low work function metal surfaces immersed in hydrogen plasmas. To investigate the correlation between the work function and the H-production at the surface with a condition similar to the one in the actual plasma ion source, these two parameters were simultaneously measured in the hydrogen plasma environment. The photoelectron emission currents from Mo and Cu surfaces in a cesiated hydrogen discharge were measured in the photon energy range from 1.45 to 4.14 eV, to determine the work function based on Fowler's theory. A small magnetic line cusp plasma container was specially designed to minimize the plasma noise and to realize the efficient collection of incident light onto the target. The photelectron current was detected phase sensitively and could be measured with reasonable accuracy up to about 5 x 10 11 cm -3 of the plasma electron density. As Cs density was increased in the hydrogen discharge, the work function decreased until it reached a minimum value. This value of the lowest work function was approximately 1.4 eV for both Mo and Cu surfaces, and the detected total H - current was a maximum at this condition

  10. Experimental study of a RF plasma source with helicon configuration in the mix Ar/H_2. Application to the chemical etching of carbon materials surfaces in the framework of the plasma-wall interactions studies of ITER's divertor

    International Nuclear Information System (INIS)

    Bieber, T.

    2012-01-01

    The issue of the interaction wall-plasma is important in thermonuclear devices. The purpose of this work is to design a very low pressure atomic plasma source in order to study chemical etching of carbon surfaces in the same conditions as edge plasma in tokamaks. The experimental work has consisted in 2 stages: first, the characterisation of the new helicon configuration reactor developed for this research and secondly the atomic hydrogen source used for the chemical etching. The first chapter recalls what thermonuclear fusion is. The helicon configuration reactor as well as its diagnostics (optical emission spectroscopy, laser induced fluorescence - LIF, and Langmuir probe) are described in the second chapter. The third chapter deals with the different coupling modes (RF power and plasma) identified in pure argon plasmas and how they are obtained by setting experimental parameters such as injected RF power, magnetic fields or pressure. The fourth chapter is dedicated to the study of the difference in behavior between the electronic density and the relative density of metastable Ar"+ ions. The last chapter presents the results in terms of mass losses of the carbon material surfaces obtained with the atomic hydrogen source. (A.C.)

  11. Tailoring of materials by atomic oxygen from ECR plasma source

    International Nuclear Information System (INIS)

    Naddaf, Munzer; Bhoraskar, S.V.

    2002-01-01

    Full text: An intense source of oxygen finds important applications in many areas of science, technology and industry. It has been successfully used for surface activation and cleaning in the electronic, chemical and automotive industries. Atomic oxygen and interaction with materials have also a significant importance in space science and technology. This paper describes the detailed studies related to the surface modification and processing of different materials, which include metals and polymers by atomic oxygen produced in microwave assisted electron cyclotron resonance plasma. The energy distribution of ions was measured as a function of plasma parameters and density measurements were supplemented by catalytic probe using nickel and oxidation of silver surface

  12. Experimental studies on the surface confined quiescent plasma at INPE

    International Nuclear Information System (INIS)

    Ferreira, J.L.; Ferreira, J.G.; Sandonato, G.M.; Alves, M.V.; Ludwig, G.O.; Montes, A.

    1988-01-01

    The quiescent plasma machines used in several experiments at the Associated Plasma Laboratory in INPE are presented. The research activities comprise particle simulation studies on ion acoustic double layers, and studies on the plasma production and loss in surface confined magnetic multidipole thermionic discharges. Recent results from these studies have shown a non-maxwellian plasma formed in most of the discharge conditions. The plasma leakage through the multidipole fields shows an anomalous diffusion process driven by ion acoustic turbulence in the magnetic sheath. The information derived from these studies are being used in the construction and characterization of ion sources for shallow ion implantation in semiconductors, in ion thruster for space propulsion and in the development of powerful ion sources for future use in neutral beam injection systems. (author) [pt

  13. Experimental studies on the surface confined quiescent plasma at INPE

    International Nuclear Information System (INIS)

    Ferreira, J.L.; Ferreira, J.G.; Sandonato, G.M.; Alves, M.V.; Ludwig, G.O.; Montes, A.

    1988-06-01

    Quiescent plasma machines are being used in several experiments at the Associated Plasma Laboratory in INPE. The research activities comprises particle simulation studies on ion acoustic double Layers, and studies on the plasma production and loss in surface confined magnetic multidipole thermionic discharges. Recent results from these studies have shown a non-maxwellian plasma formed in most of the discharge conditions. The plasma leakage through the multidipole fields shows an anomalous diffusion process driven by ion acoustic turbulence in the magnetic sheath. The information derived from these studies are being used in the construction and characterization of ion sources for shallow ion implantation in semiconductors, in ion thruster for space propulsion and in the development of powerful ion sources for future use in neutral beam injection systems. (author) [pt

  14. Experimental studies of microwave interaction with a plasma-covered planar conducting surface

    International Nuclear Information System (INIS)

    Destler, W.W.; Rodgers, J.; DeGrange, J.E.; Segalov, Z.

    1990-01-01

    The authors present experimental studies of the reflection and absorption of microwave radiation from a plasma-covered planar conducting surface. In the experiments, microwave radiation from both highpower, short pulse (10 GHz, 100 MW, 30 ns) and low power (10 GHz, 10 mW, CW) sources is radiated at a 30 cm diameter conducting plate. A time-varying plasma is created on the surface of the conductor by 19 coaxial plasma guns embedded in the surface of the plate and discharged using a fast-rise capacitor bank. The plasma density distribution on the conducting surface is a function of time and the charging voltage on the capacitor bank. Incident and reflected microwave radiation has been measured for a wide variety of experimental conditions

  15. Improving the work function of the niobium surface of SRF cavities by plasma processing

    International Nuclear Information System (INIS)

    Tyagi, P.V.; Doleans, M.; Hannah, B.; Afanador, R.; McMahan, C.; Stewart, S.; Mammosser, J.; Howell, M.; Saunders, J.; Degraff, B.; Kim, S.-H.

    2016-01-01

    Highlights: • An in situ plasma processing for SNS SRF cavities has been developed to remove hydrocarbons from cavity surface. • Reactive oxygen plasma is very effective to remove hydrocarbons from niobium top surface. • Reactive oxygen plasma processing increases the work function of niobium surface in the range of 0.5–1.0 eV. • It was observed that hydrocarbons can migrate at plasma cleaned top surface from near surface regions when waiting in vacuum at room temperature. • Multiple cycles of plasma processing with waiting periods in between was found beneficial to mitigate such hydrocarbons migration at plasma cleaned surface. - Abstract: An in situ plasma processing technique using chemically reactive oxygen plasma to remove hydrocarbons from superconducting radio frequency cavity surfaces at room temperature has been developed at the spallation neutron source, at Oak Ridge National Laboratory. To understand better the interaction between the plasma and niobium surface, surface studies on small samples were performed. In this article, we report the results from those surface studies. The results show that plasma processing removes hydrocarbons from top surface and improves the surface work function by 0.5–1.0 eV. Improving the work function of RF surface of cavities can help to improve their operational performance.

  16. Non thermal plasma surface cleaner and method of use

    KAUST Repository

    Neophytou, Marios

    2017-09-14

    Described herein are plasma generation devices and methods of use of the devices. The devices can be used for the cleaning of various surfaces and/or for inhibiting or preventing the accumulation of particulates, such as dust, or moisture on various surfaces. The devices can be used to remove dust and other particulate contaminants from solar panels and windows, or to avoid or minimize condensation on various surfaces. In an embodiment a plasma generation device is provided. The plasma generation device can comprise: a pair of electrodes (1,2) positioned in association with a surface of a dielectric substrate (3). The pair of electrodes (1,2) can comprise a first electrode (1) and a second electrode (2). The first electrode and second electrode can be of different sizes, one of the electrodes being smaller than the other of the electrodes. The first electrode and second electrode can be separated by a distance and electrically connected to a voltage source (4,5).

  17. Non thermal plasma surface cleaner and method of use

    KAUST Repository

    Neophytou, Marios; Lacoste, Deanna A.; Kirkus, Mindaugas

    2017-01-01

    Described herein are plasma generation devices and methods of use of the devices. The devices can be used for the cleaning of various surfaces and/or for inhibiting or preventing the accumulation of particulates, such as dust, or moisture on various surfaces. The devices can be used to remove dust and other particulate contaminants from solar panels and windows, or to avoid or minimize condensation on various surfaces. In an embodiment a plasma generation device is provided. The plasma generation device can comprise: a pair of electrodes (1,2) positioned in association with a surface of a dielectric substrate (3). The pair of electrodes (1,2) can comprise a first electrode (1) and a second electrode (2). The first electrode and second electrode can be of different sizes, one of the electrodes being smaller than the other of the electrodes. The first electrode and second electrode can be separated by a distance and electrically connected to a voltage source (4,5).

  18. Satellite Power System (SPS) financial/management scenarios

    Science.gov (United States)

    Vajk, J. P.

    1978-01-01

    The possible benefits of a Satellite Power System (SPS) program, both domestically and internationally, justify detailed and imaginative investigation of the issues involved in financing and managing such a large-scale program. In this study, ten possible methods of financing a SPS program are identified ranging from pure government agency to private corporations. The following were analyzed and evaluated: (1) capital requirements for SPS; (2) ownership and control; (3) management principles; (4) organizational forms for SPS; (5) criteria for evaluation; (6) detailed description and preliminary evaluation of alternatives; (7) phased approaches; and (8) comparative evaluation. Key issues and observations and recommendations for further study are also presented.

  19. Microstructure and property evolution of isotropic and anisotropic NdFeB magnets fabricated from nanocrystalline ribbons by spark plasma sintering and hot deformation

    Energy Technology Data Exchange (ETDEWEB)

    Liu, Z W; Huang, H Y; Yu, H Y; Zhong, X C; Zeng, D C [School of Materials Science and Engineering, South China University of Technology, Guangzhou 510640 (China); Gao, X X; Zhu, J, E-mail: zwliu@scut.edu.cn [State Key Laboratory for Advanced Metals and Materials, University of Science and Technology Beijing, Beijing 100083 (China)

    2011-01-19

    Isotropic and anisotropic NdFeB magnets were synthesized by spark plasma sintering (SPS) and SPS+HD (hot deformation), respectively, using melt-spun ribbons as the starting materials. Spark plasma sintered magnets sintered at low temperatures (<700 {sup 0}C) almost maintained the uniform fine grain structure inherited from rapid quenching. At higher temperatures, due to the local high-temperature field caused by the spark plasma discharge, the grain growth occurred at the initial particle surfaces and the coarse grain zones formed in the vicinity of the particle boundaries. Since the interior of the particles maintained the fine grain structure, a distinct two-zone structure was formed in the spark plasma sintered magnets. The SPS temperature and pressure have important effects on the widths of coarse and fine grain zones, as well as the grain sizes in two zones. The changes in grain structure led to variations in the magnetic properties. By employing low SPS temperature and high pressure, high-density magnets with negligible coarse grain zone and an excellent combination of magnetic properties can be obtained. An anisotropic magnet with a maximum energy product of {approx}30 MG Oe was produced by the SPS+HD process. HD at 750 {sup 0}C did not lead to obvious grain growth and the two-zone structure still existed in the hot deformed magnets. Intergranular exchange coupling was demonstrated in the spark plasma sintered magnets and was enhanced by the HD process, which reduced the coercivity. Good temperature stability was manifested by low temperature coefficients of remanence and coercivity. The results indicated that nanocrystalline NdFeB magnets without significant grain growth and with excellent properties could be obtained by SPS and HD processes.

  20. Microwave Plasma Sources for Gas Processing

    International Nuclear Information System (INIS)

    Mizeraczyk, J.; Jasinski, M.; Dors, M.; Zakrzewski, Z.

    2008-01-01

    In this paper atmospheric pressure microwave discharge methods and devices used for producing the non-thermal plasmas for processing of gases are presented. The main part of the paper concerns the microwave plasma sources (MPSs) for environmental protection applications. A few types of the MPSs, i.e. waveguide-based surface wave sustained MPS, coaxial-line-based and waveguide-based nozzle-type MPSs, waveguide-based nozzleless cylinder-type MPS and MPS for microdischarges are presented. Also, results of the laboratory experiments on the plasma processing of several highly-concentrated (up to several tens percent) volatile organic compounds (VOCs), including Freon-type refrigerants, in the moderate (200-400 W) waveguide-based nozzle-type MPS (2.45 GHz) are presented. The results showed that the microwave discharge plasma fully decomposed the VOCs at relatively low energy cost. The energy efficiency of VOCs decomposition reached 1000 g/kWh. This suggests that the microwave discharge plasma can be a useful tool for environmental protection applications. In this paper also results of the use of the waveguide-based nozzleless cylinder-type MPS to methane reforming into hydrogen are presented

  1. Produced Water Treatment Using the Switchable Polarity Solvent Forward Osmosis (SPS FO) Desalination Process: Preliminary Engineering Design Basis

    Energy Technology Data Exchange (ETDEWEB)

    Wendt, Daniel; Adhikari, Birendra; Orme, Christopher; Wilson, Aaron

    2016-05-01

    Switchable Polarity Solvent Forward Osmosis (SPS FO) is a semi-permeable membrane-based water treatment technology. INL is currently advancing SPS FO technology such that a prototype unit can be designed and demonstrated for the purification of produced water from oil and gas production operations. The SPS FO prototype unit will used the thermal energy in the produced water as a source of process heat, thereby reducing the external process energy demands. Treatment of the produced water stream will reduce the volume of saline wastewater requiring disposal via injection, an activity that is correlated with undesirable seismic events, as well as generate a purified product water stream with potential beneficial uses. This paper summarizes experimental data that has been collected in support of the SPS FO scale-up effort, and describes how this data will be used in the sizing of SPS FO process equipment. An estimate of produced water treatment costs using the SPS FO process is also provided.

  2. SPS ionosphere/microwave beam interactions: Arecibo experimental studies

    International Nuclear Information System (INIS)

    Duncan, L.M.

    1980-10-01

    The purpose of this program is to determine the environmental impacts associated with the operation of the proposed SPS microwave power transmission system. It is expected that thermal effects will provide the dominant force driving the nonlinear ionosphere/microwave beam interactions. Collisional damping of radio waves, producing ohmic heating of the ionospheric plasma, depends inversely on the square of the radio wave frequency. Therefore, equivalent heating and equivalent thermal forces can be generated at lower radiated power densities by using lower radio wave frequencies. This principle is fundamental to a large part of the experimental program. An understanding of the physics of the specific interactions excited by the SPS microwave beam is also an important part of the assessment program. This program is designed to determine instability thresholds, the growth rates and spatial extent of the resultant ionospheric disturbances, and the frequency and power dependences of the interactions. How these interactions are affected by variations in the natural ionospheric conditions, how different instabilities occurring simultaneously may affect each other, and how distinct microwave beams might mutually interact are studied. Status of the program is described

  3. High-Current Plasma Electron Sources

    International Nuclear Information System (INIS)

    Gushenets, J.Z.; Krokhmal, V.A.; Krasik, Ya. E.; Felsteiner, J.; Gushenets, V.

    2002-01-01

    In this report we present the design, electrical schemes and preliminary results of a test of 4 different electron plasma cathodes operating under Kg h-voltage pulses in a vacuum diode. The first plasma cathode consists of 6 azimuthally symmetrically distributed arc guns and a hollow anode having an output window covered by a metal grid. Plasma formation is initiated by a surface discharge over a ceramic washer placed between a W-made cathode and an intermediate electrode. Further plasma expansion leads to a redistribution of the discharge between the W-cathode and the hollow anode. An accelerating pulse applied between the output anode grid and the collector extracts electrons from this plasma. The operation of another plasma cathode design is based on Penning discharge for preliminary plasma formation. The main glow discharge occurs between an intermediate electrode of the Penning gun and the hollow anode. To keep the background pressure in the accelerating gap at P S 2.5x10 4 Torr either differential pumping or a pulsed gas puff valve were used. The operation of the latter electron plasma source is based on a hollow cathode discharge. To achieve a sharp pressure gradient between the cathode cavity and the accelerating gap a pulsed gas puff valve was used. A specially designed ferroelectric plasma cathode initiated plasma formation inside the hollow cathode. This type of the hollow cathode discharge ignition allowed to achieve a discharge current of 1.2 kA at a background pressure of 2x10 4 Torr. All these cathodes were developed and initially tested inside a planar diode with a background pressure S 2x10 4 Torr under the same conditions: accelerating voltage 180 - 300 kV, pulse duration 200 - 400 ns, electron beam current - 1 - 1.5 kA, and cross-sectional area of the extracted electron beam 113 cm 2

  4. Plasma source ion implantation research at southwestern institute of physics

    International Nuclear Information System (INIS)

    Shang Zhenkui; Geng Man; Tong Honghui

    1997-10-01

    The PSII-EX device and PSII-IM device for research and development of plasma source ion implantation (PSII) technology are described briefly. The functions, main technical specifications and properties of the devices are also discussed. After ion implantation by PSII, the improvements of the surface-mechanical properties (such as microhardness, wear-resistance, friction factor, biological compatibility, etc) for some materials, microanalysis and numerical simulation of modified layers of materials, the technical developments for the practical workpiece treatments and the preliminary experiments for plasma source ion implantation-enhanced deposition are introduced too. As last, the future work about PSII have been proposed

  5. Development and application of helicon plasma sources. Evolution of extensive plasma science

    International Nuclear Information System (INIS)

    Shinohara, Shunjiro

    2009-01-01

    Recent advances in plasma science are remarkable, and are deeply indebted to the development of sophisticated plasma sources. While numerous methods have been proposed for producing the plasma, helicon plasma sources, capable of generating high density (>10 13 cm -3 ) plasma with high ionization degree (>several ten percent) over a wide range of external control parameters, have been utilized in such broad areas as fundamental and processing plasmas, nuclear fusion, gas laser, modeling of space plasma, plasma acceleration/propulsion, among others. On the other hand, a number of important issues are left unsolved, in particular, those relevant to the wave phenomena and efficient plasma production. Solution to these issues are expected to play key roles in taking full advantage of the helicon plasma sources in the next generation. In this article, we overview our current understanding of the helicon plasma production and recent development of characteristic helicon plasma sources, and discuss possible future advancement of extensive plasma science utilizing them. (author)

  6. Was a new phase of nuclear matter observed at CERN SPS...?

    International Nuclear Information System (INIS)

    Odyniec, G.

    2001-01-01

    The enhanced production of strange particles, that was predicted as a consequence of the formation of quark-gluon plasma, was observed in CERN SPS heavy ion experiments. As data matured, the emphasis on theory and interpretation of experimental results has increased. In this presentation the hadronic yields and their ratios, as well as the role of strangeness production in the search for a new phase of matter, in both experiment and theory, are discussed. (author)

  7. The experimental programme of the SPS

    CERN Document Server

    Kluyver, J C

    1977-01-01

    Early success with the CERN 28 GeV proton synchrotron (PS) prompted three questions about the future. Which areas of high energy particle physics would offer the best chance of progress, and with which accelerators? Which detectors would be needed? What experiments would be most likely to succeed? About 1963 it was suggested that the PS be extended with intersecting storage rings (ISR), and a 300 GeV SPS be built. By 1971 the ISR was ready for experiments, and the SPS proposal approved. At the present time the SPS programme comprises 28 experiments in the West Hall. (0 refs).

  8. Quantification of air plasma chemistry for surface disinfection

    International Nuclear Information System (INIS)

    Pavlovich, Matthew J; Clark, Douglas S; Graves, David B

    2014-01-01

    Atmospheric-pressure air plasmas, created by a variety of discharges, are promising sources of reactive species for the emerging field of plasma biotechnology because of their convenience and ability to operate at ambient conditions. One biological application of ambient-air plasma is microbial disinfection, and the ability of air plasmas to decontaminate both solid surfaces and liquid volumes has been thoroughly established in the literature. However, the mechanism of disinfection and which reactive species most strongly correlate with antimicrobial effects are still not well understood. We describe quantitative gas-phase measurements of plasma chemistry via infrared spectroscopy in confined volumes, focusing on air plasma generated via surface micro-discharge (SMD). Previously, it has been shown that gaseous chemistry is highly sensitive to operating conditions, and the measurements we describe here extend those findings. We quantify the gaseous concentrations of ozone (O 3 ) and nitrogen oxides (NO and NO 2 , or NO x ) throughout the established ‘regimes’ for SMD air plasma chemistry: the low-power, ozone-dominated mode; the high-power, nitrogen oxides-dominated mode; and the intermediate, unstable transition region. The results presented here are in good agreement with previously published experimental studies of aqueous chemistry and parameterized models of gaseous chemistry. The principal finding of the present study is the correlation of bacterial inactivation on dry surfaces with gaseous chemistry across these time and power regimes. Bacterial decontamination is most effective in ‘NO x mode’ and less effective in ‘ozone mode’, with the weakest antibacterial effects in the transition region. Our results underscore the dynamic nature of air plasma chemistry and the importance of careful chemical characterization of plasma devices intended for biological applications. (paper)

  9. Quantification of air plasma chemistry for surface disinfection

    Science.gov (United States)

    Pavlovich, Matthew J.; Clark, Douglas S.; Graves, David B.

    2014-12-01

    Atmospheric-pressure air plasmas, created by a variety of discharges, are promising sources of reactive species for the emerging field of plasma biotechnology because of their convenience and ability to operate at ambient conditions. One biological application of ambient-air plasma is microbial disinfection, and the ability of air plasmas to decontaminate both solid surfaces and liquid volumes has been thoroughly established in the literature. However, the mechanism of disinfection and which reactive species most strongly correlate with antimicrobial effects are still not well understood. We describe quantitative gas-phase measurements of plasma chemistry via infrared spectroscopy in confined volumes, focusing on air plasma generated via surface micro-discharge (SMD). Previously, it has been shown that gaseous chemistry is highly sensitive to operating conditions, and the measurements we describe here extend those findings. We quantify the gaseous concentrations of ozone (O3) and nitrogen oxides (NO and NO2, or NOx) throughout the established ‘regimes’ for SMD air plasma chemistry: the low-power, ozone-dominated mode; the high-power, nitrogen oxides-dominated mode; and the intermediate, unstable transition region. The results presented here are in good agreement with previously published experimental studies of aqueous chemistry and parameterized models of gaseous chemistry. The principal finding of the present study is the correlation of bacterial inactivation on dry surfaces with gaseous chemistry across these time and power regimes. Bacterial decontamination is most effective in ‘NOx mode’ and less effective in ‘ozone mode’, with the weakest antibacterial effects in the transition region. Our results underscore the dynamic nature of air plasma chemistry and the importance of careful chemical characterization of plasma devices intended for biological applications.

  10. A 14-MeV beam-plasma neutron source for materials testing

    International Nuclear Information System (INIS)

    Futch, A.H.; Coensgen, F.H.; Damm, C.C.; Molvik, A.W.

    1989-01-01

    The design and performance of 14-MeV beam-plasma neutron sources for accelerated testing of fusion reactor materials are described. Continuous production of 14-MeV neutron fluxes in the range of 5 to 10 MW/m 2 at the plasma surface are produced by D-T reactions in a two-component plasma. In the present designs, 14-MeV neutrons result from collisions of energetic deuterium ions created by transverse injection of 150-keV deuterium atoms on a fully ionized tritium target plasma. The beam energy, which deposited at the center of the tritium column, is transferred to the warm plasma by electron drag, which flows axially to the end regions. Neutral gas at high pressure absorbs the energy in the tritium plasma and transfers the heat to the walls of the vacuum vessel. The plasma parameters of the neutron source, in dimensionless units, have been achieved in the 2XIIB high-β plasma. The larger magnetic field of the present design permits scaling to the higher energy and density of the neutron source design. In the extrapolation, care has been taken to preserve the scaling and plasma attributes that contributed to equilibrium, magnetohydrodynamic (MHD) stability, and microstability in 2XIIB. The performance and scaling characteristics are described for several designs chosen to enhance the thermal isolation of the two-component plasmas. 11 refs., 3 figs., 3 tabs

  11. Ion source with plasma cathode

    International Nuclear Information System (INIS)

    Yabe, E.

    1987-01-01

    A long lifetime ion source with plasma cathode has been developed for use in ion implantation. In this ion source, a plasma of a nonreactive working gas serves as a cathode in place of a thermionic tungsten filament used in the Freeman ion source. In an applied magnetic field, the plasma is convergent, i.e., filamentlike; in zero magnetic field, it turns divergent and spraylike. In the latter case, the plasma exhibits a remarkable ability when the working gas has an ionization potential larger than the feed gas. By any combination of a working gas of either argon or neon and a feed gas of AsF 5 or PF 5 , the lifetime of this ion source was found to be more than 90 h with an extraction voltage of 40 kV and the corresponding ion current density 20 mA/cm 2 . Mass spectrometry results show that this ion source has an ability of generating a considerable amount of As + and P + ions from AsF 5 and PF 5 , and hence will be useful for realizing a fully cryopumped ion implanter system. This ion source is also eminently suitable for use in oxygen ion production

  12. Micro- and Nanoprocessing of Polymers Using a Laser Plasma Extreme Ultraviolet Source

    International Nuclear Information System (INIS)

    Bartnik, A.; Fiedorowicz, H.; Jarocki, R.; Kostecki, J.; Rakowski, R.; Szczurek, A.; Szczurek, M.

    2010-01-01

    Laser plasma with temperature of the order of tens eV can be an efficient source of extreme ultraviolet (EUV). The radiation can be focused using different kind of optics, giving sufficient fluence for some applications. In this work we present results of investigations concerning applications of a laser plasma EUV source based on a double stream gas puff target. The source was equipped with two different grazing incidence collectors. One of them was a multifoil collector, the second one was an axisymmetrical ellipsoidal collector. The multifoil mirror was used mainly in experiments concerning micromachining of organic polymers by direct photo-etching. The experiments were performed for different polymers that were irradiated through a fine metal grid as a contact mask. The smallest element of a pattern structure obtained in this way was 5 μm, while the structure height was 50 μm giving an aspect ratio about 10. The laser-plasma EUV source equipped with the axisymmetrical ellipsoidal collector was used for surface modification of organic polymers and inorganic solids. The surface morphology after irradiation was investigated. Different forms of micro- and nanostructures were obtained depending on material and irradiation conditions. (author)

  13. Plasma diagnostic tools for optimizing negative hydrogen ion sources

    International Nuclear Information System (INIS)

    Fantz, U.; Falter, H.D.; Franzen, P.; Speth, E.; Hemsworth, R.; Boilson, D.; Krylov, A.

    2006-01-01

    The powerful diagnostic tool of optical emission spectroscopy is used to measure the plasma parameters in negative hydrogen ion sources based on the surface mechanism. Results for electron temperature, electron density, atomic-to-molecular hydrogen density ratio, and gas temperature are presented for two types of sources, a rf source and an arc source, which are currently under development for a neutral beam heating system of ITER. The amount of cesium in the plasma volume is obtained from cesium radiation: the Cs neutral density is five to ten orders of magnitude lower than the hydrogen density and the Cs ion density is two to three orders of magnitude lower than the electron density in front of the grid. It is shown that monitoring of cesium lines is very useful for monitoring the cesium balance in the source. From a line-ratio method negative ion densities are determined. In a well-conditioned source the negative ion density is of the same order of magnitude as the electron density and correlates with extracted current densities

  14. SPS batch spacing optimisation

    CERN Document Server

    Velotti, F M; Carlier, E; Goddard, B; Kain, V; Kotzian, G

    2017-01-01

    Until 2015, the LHC filling schemes used the batch spac-ing as specified in the LHC design report. The maximumnumber of bunches injectable in the LHC directly dependson the batch spacing at injection in the SPS and hence onthe MKP rise time.As part of the LHC Injectors Upgrade project for LHCheavy ions, a reduction of the batch spacing is needed. In thisdirection, studies to approach the MKP design rise time of150ns(2-98%) have been carried out. These measurementsgave clear indications that such optimisation, and beyond,could be done also for higher injection momentum beams,where the additional slower MKP (MKP-L) is needed.After the successful results from 2015 SPS batch spacingoptimisation for the Pb-Pb run [1], the same concept wasthought to be used also for proton beams. In fact, thanksto the SPS transverse feed back, it was already observedthat lower batch spacing than the design one (225ns) couldbe achieved. For the 2016 p-Pb run, a batch spacing of200nsfor the proton beam with100nsbunch spacing wasreque...

  15. The SHiP experiment at CERN SPS

    CERN Document Server

    Di Crescenzoon, A

    2016-01-01

    SHiP is a new general purpose fixed target facility, whose Technical Proposal has been recently submitted to the CERN SPS Committee. In its initial phase, the 400 GeV proton beam extracted from the SPS will be dumped on a heavy target with the aim of integrating 2 × 1020 pot in 5 years. A dedicated detector located downstream of the target, based on a long vacuum tank followed by a spectrometer and particle identification detectors, will allow probing a variety of models with light long-lived exotic particles and masses below a few GeV/c2. The beam dump is also an ideal source of tau neutrinos, the less known particle in the Standard Model. Another dedicated detector, based on the Emulsion Cloud Chamber technology already used in the OPERA experiment, will allow to perform for the first time measurements of the tau neutrino deep inelastic scattering cross section. Tau neutrinos will be distinguished from tau anti-neutrinos, thus providing the first observation of the tau anti-neutrino.

  16. Surface treatment of a titanium implant using low temperature atmospheric pressure plasmas

    Science.gov (United States)

    Lee, Hyun-Young; Tang, Tianyu; Ok, Jung-Woo; Kim, Dong-Hyun; Lee, Ho-Jun; Lee, Hae June

    2015-09-01

    During the last two decades, atmospheric pressure plasmas(APP) are widely used in diverse fields of biomedical applications, reduction of pollutants, and surface treatment of materials. Applications of APP to titanium surface of dental implants is steadily increasing as it renders surfaces wettability and modifies the oxide layer of titanium that hinders the interaction with cells and proteins. In this study, we have treated the titanium surfaces of screw-shaped implant samples using a plasma jet which is composed of a ceramic coaxial tube of dielectrics, a stainless steel inner electrode, and a coper tube outer electrode. The plasma ignition occurred with Ar gas flow between two coaxial metal electrodes and a sinusoidal bias voltage of 3 kV with a frequency of 20 kHz. Titanium materials used in this study are screw-shaped implants of which diameter and length are 5 mm and 13 mm, respectively. Samples were mounted at a distance of 5 mm below the plasma source, and the plasma treatment time was set to 3 min. The wettability of titanium surface was measured by the moving speed of water on its surface, which is enhanced by plasma treatment. The surface roughness was also measured by atomic force microscopy. The optimal condition for wettability change is discussed.

  17. The potency of the SPS Agreement’s ‘excessivity test’

    NARCIS (Netherlands)

    Schebesta, H.; Sinopoli, D.A.

    2018-01-01

    The article investigates the current and potential relevance of Article 5.6 SPS in deciding SPS disputes, and its impact on trade liberalization and WTO Members’ power to take sanitary and phytosanitary measures.
    Article 5.6 of the SPS Agreement states that SPS measures may not be more trade

  18. Ground Simulations of Near-Surface Plasma Field and Charging at the Lunar Terminator

    Science.gov (United States)

    Polansky, J.; Ding, N.; Wang, J.; Craven, P.; Schneider, T.; Vaughn, J.

    2012-12-01

    Charging in the lunar terminator region is the most complex and is still not well understood. In this region, the surface potential is sensitively influenced by both solar illumination and plasma flow. The combined effects from localized shadow generated by low sun elevation angles and localized wake generated by plasma flow over the rugged terrain can generate strongly differentially charged surfaces. Few models currently exist that can accurately resolve the combined effects of plasma flow and solar illumination over realistic lunar terminator topographies. This paper presents an experimental investigation of lunar surface charging at the terminator region in simulated plasma environments in a vacuum chamber. The solar wind plasma flow is simulated using an electron bombardment gridded Argon ion source. An electrostatic Langmuir probe, nude Faraday probes, a floating emissive probe, and retarding potential analyzer are used to quantify the plasma flow field. Surface potentials of both conducting and dielectric materials immersed in the plasma flow are measured with a Trek surface potential probe. The conducting material surface potential will simultaneously be measured with a high impedance voltmeter to calibrate the Trek probe. Measurement results will be presented for flat surfaces and objects-on-surface for various angles of attack of the plasma flow. The implications on the generation of localized plasma wake and surface charging at the lunar terminator will be discussed. (This research is supported by the NASA Lunar Advanced Science and Exploration Research program.)

  19. Plan of SPS to LHC transfer tunnels

    CERN Multimedia

    Laurent Guiraud

    2001-01-01

    This diagram shows the LHC and the SPS pre-accelerator (in blue) and the transfer lines that will connect them (in red). Spanning the France-Swiss border (shown by green crosses), the 27-km LHC tunnel will receive a beam that has been pre-accelerated to 450 GeV in the smaller SPS storage ring. The transfer lines will remove each beam from the SPS and inject them into the LHC where they will be accelerated to the full energy of 7 TeV.

  20. Plasma source ion implantation of ammonia into electroplated chromium

    International Nuclear Information System (INIS)

    Scheuer, J.T.; Walter, K.C.; Rej, D.J.; Nastasi, M.; Blanchard, J.P.

    1995-01-01

    Ammonia gas (NH 3 ) has been used as a nitrogen source for plasma source ion implantation processing of electroplated chromium. No evidence was found of increased hydrogen concentrations in the bulk material, implying that ammonia can be used without risking hydrogen embrittlement. The retained nitrogen dose of 2.1 x 10 17 N-at/cm 2 is sufficient to increase the surface hardness of electroplated Cr by 24% and decrease the wear rate by a factor of 4

  1. Longitudinal Space Charge in the SPS

    CERN Document Server

    Lasheen, Alexandre

    2016-01-01

    Longitudinal instabilities due to the SPS beam coupling impedance are a major issue for future projects and it is essential to have an accurate SPS impedance model to study them. The longitudinal space charge effect can be modelled by a pure reactive impedance and should also be included in simulations as it may have an impact at low energy. In this Note, the effect of the longitudinal space charge in the SPS is evaluated by taking into account the variation of the transverse beam size and vacuum chamber geometry along the ring. Scaling laws are used to investigate what are the most important parameters for the evaluation of the longitudinal space charge impedance.

  2. Effect of air gap on uniformity of large-scale surface-wave plasma

    International Nuclear Information System (INIS)

    Lan Chaohui; Hu Xiwei; Jiang Zhonghe; Liu Minghai

    2009-01-01

    The effect of air gap on the uniformity of large-scale surface-wave plasma (SWP) in a rectangular chamber device is studied by using three-dimensional numerical analyses based on the finite difference time-domain (FDTD) approximation to Maxwell's equations and plasma fluid model. The spatial distributions of surface wave excited by slot-antenna array and the plasma parameters such as electron density and temperature are presented. For different air gap thicknesses, the results show that the existence of air gap would severely weaken the excitations of the surface wave and thereby the SWP. Thus the air gap should be eliminated completely in the design of the SWP source, which is opposite to the former research results. (authors)

  3. On plasma ion beam formation in the Advanced Plasma Source

    International Nuclear Information System (INIS)

    Harhausen, J; Foest, R; Hannemann, M; Ohl, A; Brinkmann, R P; Schröder, B

    2012-01-01

    The Advanced Plasma Source (APS) is employed for plasma ion-assisted deposition (PIAD) of optical coatings. The APS is a hot cathode dc glow discharge which emits a plasma ion beam to the deposition chamber at high vacuum (p ≲ 2 × 10 −4 mbar). It is established as an industrial tool but to date no detailed information is available on plasma parameters in the process chamber. As a consequence, the details of the generation of the plasma ion beam and the reasons for variations of the properties of the deposited films are barely understood. In this paper the results obtained from Langmuir probe and retarding field energy analyzer diagnostics operated in the plasma plume of the APS are presented, where the source was operated with argon. With increasing distance to the source exit the electron density (n e ) is found to drop by two orders of magnitude and the effective electron temperature (T e,eff ) drops by a factor of five. The parameters close to the source region read n e ≳ 10 11 cm −3 and T e,eff ≳ 10 eV. The electron distribution function exhibits a concave shape and can be described in the framework of the non-local approximation. It is revealed that an energetic ion population leaves the source region and a cold ion population in the plume is build up by charge exchange collisions with the background neutral gas. Based on the experimental data a scaling law for ion beam power is deduced, which links the control parameters of the source to the plasma parameters in the process chamber. (paper)

  4. Plasma stream transport method (2) Use of charge exchange plasma source

    International Nuclear Information System (INIS)

    Tsuchimoto, T.

    1978-01-01

    The plasma stream transport method using a single plasma source has limitations for practical film deposition. Using a charge exchange phenomenon, a new plasma source is devised and tested by the plasma stream transport machine. Metals, silicon dioxide, and nitride films are deposited by this system. The mechanism of deposition under relatively high vacuum surrounding a silicon wafer is discussed as is the effect of radical atoms

  5. Photon production in relativistic nuclear collisions at SPS and RHIC energies

    CERN Document Server

    Turbide, S; Rapp, R; 10.1142/S0217751X0402258X

    2004-01-01

    Chiral Lagrangians are used to compute the production rate of photons from the hadronic phase of relativistic nuclear collisions. Special attention is paid to the role of the a/sub 1/ pseudovector. Calculations that include strange meson reactions, form factors, the use of consistent vector spectral densities, the emission from a quark-gluon plasma, and primordial nucleon-nucleon collisions reproduce the photon spectra measured at the Super Proton Synchrotron (SPS). Some predictions for the Relativistic Heavy Ion Collider (RHIC) are made.

  6. Negative ion beam formation using thermal contact ionization type plasma source

    Energy Technology Data Exchange (ETDEWEB)

    Fukuura, Yoshiyuki; Murakami, Kazutugu; Masuoka, Toshio; Katsumata, Itsuo [Osaka City Univ. (Japan). Faculty of Engineering

    1997-02-01

    The small ion sources utilizing thermal ionization have been already developed, and at present, in order to increase ion yield, that being developed to the cylindrical plasma prototype having the inner surface of a Re foil cylinder as the ionization surface, and stably functioning at 3,000 K has been developed, and by using this plasma source, the research on the formation of various ions has been carried out. At present, the research on the formation of Li negative ion beam is carried out. The separation of negative ions from electrons is performed with the locally limited magnetic field using a small iron core electromagnet placed behind the electrostatic accelerating lens system. So for, the formation of about 2 {mu}A at maximum of negative ions was confirmed. It was decided to identify the kinds of ions by time of flight (TOF) process, and the various improvements for this purpose were carried out. The experimental setup, the structure of the plasma source, the circuits for TOF measurement and so on are explained. The experimental results are reported. The problems are the possibility of the formation of alkali metals, the resolution of the time axis of the TOF system and so on. (K.I.)

  7. Beam measurements of the SPS longitudinal impedance

    CERN Document Server

    Lasheen, A

    2017-01-01

    Longitudinal instabilities are one of the main limitationsin the CERN SPS to reach the beam parameters requiredfor the High Luminosity LHC project. In preparation tothe SPS upgrade, possible remedies are studied by perform-ing macroparticle simulations using the machine impedancemodel obtained from electromagnetic simulations and mea-surements. To benchmark the impedance model, the resultsof simulations are compared with various beam measure-ments. In this study, the reactive part of the impedance wasprobed by measuring the quadrupole frequency shift withintensity, obtained from bunch length oscillations at mis-matched injection into the SPS. This method was appliedover many last years to follow up the evolution of the SPSimpedance, injecting bunches with the same bunch length.A novel approach, giving significantly more information,consists in varying the injected bunch length. The compari-son of these measurements with macroparticle simulationsallowed to test the existing model and identify some missingSPS i...

  8. Large area ion and plasma beam sources

    Energy Technology Data Exchange (ETDEWEB)

    Waldorf, J. [IPT Ionen- und Plasmatech. GmbH, Kaiserslautern (Germany)

    1996-06-01

    In the past a number of ion beam sources utilizing different methods for plasma excitation have been developed. Nevertheless, a widespread use in industrial applications has not happened, since the sources were often not able to fulfill specific demands like: broad homogeneous ion beams, compatibility with reactive gases, low ion energies at high ion current densities or electrical neutrality of the beam. Our contribution wants to demonstrate technical capabilities of rf ion and plasma beam sources, which can overcome the above mentioned disadvantages. The physical principles and features of respective sources are presented. We report on effective low pressure plasma excitation by electron cyclotron wave resonance (ECWR) for the generation of dense homogeneous plasmas and the rf plasma beam extraction method for the generation of broad low energy plasma beams. Some applications like direct plasma beam deposition of a-C:H and ion beam assisted deposition of Al and Cu with tailored thin film properties are discussed. (orig.).

  9. Large area ion and plasma beam sources

    International Nuclear Information System (INIS)

    Waldorf, J.

    1996-01-01

    In the past a number of ion beam sources utilizing different methods for plasma excitation have been developed. Nevertheless, a widespread use in industrial applications has not happened, since the sources were often not able to fulfill specific demands like: broad homogeneous ion beams, compatibility with reactive gases, low ion energies at high ion current densities or electrical neutrality of the beam. Our contribution wants to demonstrate technical capabilities of rf ion and plasma beam sources, which can overcome the above mentioned disadvantages. The physical principles and features of respective sources are presented. We report on effective low pressure plasma excitation by electron cyclotron wave resonance (ECWR) for the generation of dense homogeneous plasmas and the rf plasma beam extraction method for the generation of broad low energy plasma beams. Some applications like direct plasma beam deposition of a-C:H and ion beam assisted deposition of Al and Cu with tailored thin film properties are discussed. (orig.)

  10. Coupling Impedance of the CERN SPS beam position monitors

    CERN Document Server

    Salvant, B; Boccard, C; Caspers, Friedhelm; Grudiev, A; Jones, R; Métral, E; Rumolo, G; Zannini, C; Spataro, B; Alesini, D; Migliorati, M; Roncarolo, F; Calaga, R

    2010-01-01

    A detailed knowledge of the beam coupling impedance of the CERN Super Proton Synchrotron (SPS) is required in order to operate this machine with a higher intensity for the foreseen Large Hadron Collider (LHC) luminosity upgrade. A large number of Beam Position Monitors (BPMs) is currently installed in the SPS, and this is why their contribution to the SPS impedance has to be assessed. This paper focuses on electromagnetic (EM) simulations and bench measurements of the longitudinal and transverse impedance generated by the horizontal and vertical BPMs installed in the SPS machine.

  11. Characterization of atomic oxygen from an ECR plasma source

    International Nuclear Information System (INIS)

    Naddaf, M; Bhoraskar, V N; Mandale, A B; Sainkar, S R; Bhoraskar, S V

    2002-01-01

    A low-power microwave-assisted electron cyclotron resonance (ECR) plasma system is shown to be a powerful and effective source of atomic oxygen (AO) useful in material processing. A 2.45 GHz microwave source with maximum power of 600 W was launched into the cavity to generate the ECR plasma. A catalytic nickel probe was used to determine the density of AO. The density of AO is studied as a function of pressure and axial position of the probe in the plasma chamber. It was found to vary from ∼1x10 20 to ∼10x10 20 atom m -3 as the plasma pressure was varied from 0.8 to 10 mTorr. The effect of AO in oxidation of silver is investigated by gravimetric analysis. The stoichiometric properties of the oxide are studied using the x-ray photoelectron spectroscopy as well as energy dispersive x-ray analysis. The degradation of the silver surface due to sputtering effect was viewed by scanning electron spectroscopy. The sputtering yield of oxygen ions in the plasma is calculated using the TRIM code. The effects of plasma pressure and the distance from the ECR zone on the AO density were also investigated. The density of AO measured by oxidation of silver is in good agreement with results obtained from the catalytic nickel probe

  12. Characterization of atomic oxygen from an ECR plasma source

    Science.gov (United States)

    Naddaf, M.; Bhoraskar, V. N.; Mandale, A. B.; Sainkar, S. R.; Bhoraskar, S. V.

    2002-11-01

    A low-power microwave-assisted electron cyclotron resonance (ECR) plasma system is shown to be a powerful and effective source of atomic oxygen (AO) useful in material processing. A 2.45 GHz microwave source with maximum power of 600 W was launched into the cavity to generate the ECR plasma. A catalytic nickel probe was used to determine the density of AO. The density of AO is studied as a function of pressure and axial position of the probe in the plasma chamber. It was found to vary from ~1×1020 to ~10×1020 atom m-3 as the plasma pressure was varied from 0.8 to 10 mTorr. The effect of AO in oxidation of silver is investigated by gravimetric analysis. The stoichiometric properties of the oxide are studied using the x-ray photoelectron spectroscopy as well as energy dispersive x-ray analysis. The degradation of the silver surface due to sputtering effect was viewed by scanning electron spectroscopy. The sputtering yield of oxygen ions in the plasma is calculated using the TRIM code. The effects of plasma pressure and the distance from the ECR zone on the AO density were also investigated. The density of AO measured by oxidation of silver is in good agreement with results obtained from the catalytic nickel probe.

  13. Characterization of atomic oxygen from an ECR plasma source

    Energy Technology Data Exchange (ETDEWEB)

    Naddaf, M [Center for Advanced Studies in Material Science and Solid State Physics, University of Pune, Pune 411 007 (India); Bhoraskar, V N [Center for Advanced Studies in Material Science and Solid State Physics, University of Pune, Pune 411 007 (India); Mandale, A B [National Chemical Laboratory, Pashan, Pune 411008 (India); Sainkar, S R [National Chemical Laboratory, Pashan, Pune 411008 (India); Bhoraskar, S V [Center for Advanced Studies in Material Science and Solid State Physics, University of Pune, Pune 411 007 (India)

    2002-11-01

    A low-power microwave-assisted electron cyclotron resonance (ECR) plasma system is shown to be a powerful and effective source of atomic oxygen (AO) useful in material processing. A 2.45 GHz microwave source with maximum power of 600 W was launched into the cavity to generate the ECR plasma. A catalytic nickel probe was used to determine the density of AO. The density of AO is studied as a function of pressure and axial position of the probe in the plasma chamber. It was found to vary from {approx}1x10{sup 20} to {approx}10x10{sup 20} atom m{sup -3} as the plasma pressure was varied from 0.8 to 10 mTorr. The effect of AO in oxidation of silver is investigated by gravimetric analysis. The stoichiometric properties of the oxide are studied using the x-ray photoelectron spectroscopy as well as energy dispersive x-ray analysis. The degradation of the silver surface due to sputtering effect was viewed by scanning electron spectroscopy. The sputtering yield of oxygen ions in the plasma is calculated using the TRIM code. The effects of plasma pressure and the distance from the ECR zone on the AO density were also investigated. The density of AO measured by oxidation of silver is in good agreement with results obtained from the catalytic nickel probe.

  14. Plasma particle sources due to interactions with neutrals in a turbulent scrape-off layer of a toroidally confined plasma

    DEFF Research Database (Denmark)

    Thrysøe, Alexander Simon; Løiten, M.; Madsen, J.

    2018-01-01

    The conditions in the edge and scrape-off layer (SOL) of magnetically confined plasmas determine the overall performance of the device, and it is of great importance to study and understand the mechanics that drive transport in those regions. If a significant amount of neutral molecules and atoms...... is present in the edge and SOL regions, those will influence the plasma parameters and thus the plasma confinement. In this paper, it is displayed how neutrals, described by a fluid model, introduce source terms in a plasma drift-fluid model due to inelastic collisions. The resulting source terms...... are included in a four-field drift fluid model, and it is shown how an increasing neutral particle density in the edge and SOL regions influences the plasma particle transport across the lastclosed-flux-surface. It is found that an appropriate gas puffing rate allows for the edge density in the simulation...

  15. Improvement of Polytetrafluoroethylene Surface Energy by Repetitive Pulse Non-Thermal Plasma Treatment in Atmospheric Air

    International Nuclear Information System (INIS)

    Yang Guoqing; Zhang Guanjun; Zhang Wenyuan

    2011-01-01

    Improvement of polytetrafluoroethylene surface energy by non-thermal plasma treatment is presented, using a nanosecond-positive-edge repetitive pulsed dielectric barrier discharge generator in atmospheric air. The electrical parameters including discharging power, peak and density of micro-discharge current were calculated, and the electron energy was estimated. Surface treatment experiments of polytetrafluoroethylene films were conducted for both different applied voltages and different treating durations. Results show that the surface energy of polytetrafluoroethylene film could be improved to 40 mJ/m 2 or more by plasma treatment. Surface roughness measurement and surface X-ray photoelectron spectroscopy analysis indicate that there are chemical etching and implantation of polar oxygen groups in the sample surface treating process, resulting in the improvement of the sample surface energy. Compared with an AC source of 50 Hz, the dielectric barrier discharges generated by a repetitive pulsed source could provide higher peak power, lower mean power, larger micro-discharge current density and higher electron energy. Therefore, with the same applied peak voltage and treating duration, the improvement of polytetrafluoroethylene surface energy using repetitive pulsed plasma is more effective, and the plasma treatment process based on repetitive pulsed dielectric barrier discharges in air is thus feasible and applicable.

  16. Plasma surface interaction processes and possible synergisms

    International Nuclear Information System (INIS)

    Behrisch, R.; Roberto, J.B.

    1984-08-01

    The process determining the plasma surface interaction in today's high temperature plasma experiments are investigated following several lines. First, in plasma devices, the particle and energy fluxes to the different first wall areas the fluxes from the walls back into the plasma are measured and the boundary plasma parameters are determined. The surface composition and structure of the walls, limiters and divertor plates are analyzed following exposure to many discharges. Secondly, the different surface processes which are expected to contribute to the plasma surface interaction (particularly to hydrogen particle balance and impurity introduction) are studied in simulation experiments using well defined particle beams

  17. SPS extraction systems

    CERN Multimedia

    CERN PhotoLab

    1973-01-01

    One of the 3-m long electrostatics septa. The septum itself consists of 0.15 mm thick molybdenum wires with a 1.5 mm pitch. Each of the two SPS extraction systems will contain four of these electrostatic septa.

  18. An assessment of surface emissivity variation effects on plasma uniformity analysis using IR cameras

    Science.gov (United States)

    Greenhalgh, Abigail; Showers, Melissa; Biewer, Theodore

    2017-10-01

    The Prototype-Material Plasma Exposure eXperiment (Proto-MPEX) is a linear plasma device operating at Oak Ridge National Laboratory (ORNL). Its purpose is to test plasma source and heating concepts for the planned Material Plasma Exposure eXperiment (MPEX), which has the mission to test the plasma-material interactions under fusion reactor conditions. In this device material targets will be exposed to high heat fluxes (>10 MW/m2). To characterize the heat fluxes to the target a IR thermography system is used taking up to 432 frames per second videos. The data is analyzed to determine the surface temperature on the target in specific regions of interest. The IR analysis has indicated a low level of plasma uniformity; the plasma often deposits more heat to the edge of the plate than the center. An essential parameter for IR temperature calculation is the surface emissivity of the plate (stainless steel). A study has been performed to characterize the variation in the surface emissivity of the plate as its temperature changes and its surface finish is modified by plasma exposure.

  19. Plasma x-ray radiation source.

    Science.gov (United States)

    Popkov, N F; Kargin, V I; Ryaslov, E A; Pikar', A S

    1995-01-01

    This paper gives the results of studies on a plasma x-ray source, which enables one to obtain a 2.5-krad radiation dose per pulse over an area of 100 cm2 in the quantum energy range from 20 to 500 keV. Pulse duration is 100 ns. Spectral radiation distributions from a diode under various operation conditions of a plasma are obtained. A Marx generator served as an initial energy source of 120 kJ with a discharge time of T/4 = 10-6 s. A short electromagnetic pulse (10-7 s) was shaped using plasma erosion opening switches.

  20. Multiplicity fluctuations of identified hadrons in p+p interactions at SPS energies

    CERN Document Server

    Maćkowiak-Pawłowska, Maja

    2014-01-01

    Study of energy and system size fluctuations of identified hadrons is one of the key goals of NA61/SHINE at the CERN SPS. Results may allow to discover the critical point (CP) of strongly interacting matter as well as to uncover properties of the onset of deconfinement (OD). But fluctuations exhibit numerous other sources starting from most basic ones like volume effects and conservation laws. NA49 seems to observe fluctuations related to CP in collisions of medium size nuclei at top SPS energy. However, this result will remain inconclusive until systematic data on energy and system size dependence will be available. Moreover, fluctuations in p+p as well as in Pb+Pb interactions should be better understood. In this contribution results on multiplicity fluctuations of identified hadrons in p+p interactions at the CERN SPS energies will be presented. The NA61 data will be compared with the corresponding results from central Pb+Pb collisions of NA49 in the common acceptance region of both experiments. Moreover, ...

  1. Plasma immersion surface modification with metal ion plasma

    International Nuclear Information System (INIS)

    Brown, I.G.; Yu, K.M.; Godechot, X.

    1991-04-01

    We describe here a novel technique for surface modification in which metal plasma is employed and by which various blends of plasma deposition and ion implantation can be obtained. The new technique is a variation of the plasma immersion technique described by Conrad and co-workers. When a substrate is immersed in a metal plasma, the plasma that condenses on the substrate remains there as a film, and when the substrate is then implanted, qualitatively different processes can follow, including' conventional' high energy ion implantation, recoil implantation, ion beam mixing, ion beam assisted deposition, and metallic thin film and multilayer fabrication with or without species mixing. Multiple metal plasma guns can be used with different metal ion species, films can be bonded to the substrate through ion beam mixing at the interface, and multilayer structures can be tailored with graded or abrupt interfaces. We have fabricated several different kinds of modified surface layers in this way. 22 refs., 4 figs

  2. Aerial view of the CERN-Meyrin site during building work for the SPS

    CERN Multimedia

    1974-01-01

    Visible on the right hand site are three building areas: in front the area around pit 6 of the SPS (where the ejection to the West Area branches off), in the middle the area around the 'civil engineering pit 7', and in the back the area around the SPS pit 1 where injection takes place. The SPS tunnel, 6910 m in length with an inner diameter of 4m in its cross section, is at an elevation of 400 m above sea level corresponding to a depth below the surface which varies between 23 and 65 m. It has 6 regularly spaced access pits. The further pit 7 is situated on the injection line about 200m from the injection point. It was used to extract material excavated from the ring and to bring in the precast elements and the concrete for lining the tunnel with a shell of about 30 cm thickness.

  3. New control architecture for the SPS accelerator at CERN

    International Nuclear Information System (INIS)

    Kissler, K.H.; Rausch, R.

    1992-01-01

    The Control System for the 450 Gev proton accelerator SPS at CERN was conceived and implemented some 18 years ago. The 16 Bit minicomputers with their proprietary operating system and interconnection with a dedicated network do not permit the use of modern workstations, international communication standards and industrial software packages. The upgrading of the system has therefore become necessary. After a short review of the history and the current state of the SPS control system, the paper describes how CERN's new control architecture, which will be common to all accelerators, will be realized at the SPS. The migration path ensuring a smooth transition to the final system is outlined. Once the SPS upgrade is complete and following some enhancements to the LEP control system, the operator in the SPS/LEP control center will be working in a single uniform control environment. (author)

  4. Implosive Thermal Plasma Source for Energy Conversion

    Czech Academy of Sciences Publication Activity Database

    Šonský, Jiří; Tesař, Václav; Gruber, Jan; Mašláni, Alan

    2017-01-01

    Roč. 4, č. 1 (2017), s. 87-90 ISSN 2336-2626 Institutional support: RVO:61388998 ; RVO:61389021 Keywords : implosion * thermal plasma * detonation wave Subject RIV: BL - Plasma and Gas Discharge Physics; BL - Plasma and Gas Discharge Physics (UFP-V) OBOR OECD: Fluids and plasma physics (including surface physics); Fluids and plasma physics (including surface physics) (UFP-V) https://ppt.fel.cvut.cz/ppt2017.html#number1

  5. Ion acceleration in the plasma source sheath

    International Nuclear Information System (INIS)

    Birdsall, C.K.

    1986-01-01

    This note is a calculation of the potential drop for a planar plasma source, across the source sheath, into a uniform plasma region defined by vector E = 0 and/or perhaps ∂ 2 PHI/∂ x 2 = 0. The calculation complements that of Bohm who obtained the potential drop at the other end of a plasma, at a planar collector sheath. The result is a relation between the source ion flux and the source sheath potential drop and the accompanying ion acceleration. This planar source sheath ion acceleration mechanism (or that from a distributed source) can provide the pre-collector-sheath ion acceleration as found necessary by Bohm. 3 refs

  6. The Lead Ion accelerating facility and the relative experimental program at CERN SPS fixed target

    International Nuclear Information System (INIS)

    Riccati, L.

    1995-01-01

    The status of the lead beam construction and commissioning is reviewed. A very wide experimental program with heavy nuclei was approved at the CERN SPS for a dedicated study of ultrarelativistic nucleus-nucleus collisions. An arbitrary and very short summary of the advantages and motivations for the use of heavier nuclei in the quark-gluon plasma search will be presented. ((orig.))

  7. Plasma particle sources due to interactions with neutrals in a turbulent scrape-off layer of a toroidally confined plasma

    Science.gov (United States)

    Thrysøe, A. S.; Løiten, M.; Madsen, J.; Naulin, V.; Nielsen, A. H.; Rasmussen, J. Juul

    2018-03-01

    The conditions in the edge and scrape-off layer (SOL) of magnetically confined plasmas determine the overall performance of the device, and it is of great importance to study and understand the mechanics that drive transport in those regions. If a significant amount of neutral molecules and atoms is present in the edge and SOL regions, those will influence the plasma parameters and thus the plasma confinement. In this paper, it is displayed how neutrals, described by a fluid model, introduce source terms in a plasma drift-fluid model due to inelastic collisions. The resulting source terms are included in a four-field drift-fluid model, and it is shown how an increasing neutral particle density in the edge and SOL regions influences the plasma particle transport across the last-closed-flux-surface. It is found that an appropriate gas puffing rate allows for the edge density in the simulation to be self-consistently maintained due to ionization of neutrals in the confined region.

  8. Stiff person syndrome (SPS: Literature review and case report

    Directory of Open Access Journals (Sweden)

    Erna Pretorius

    2013-11-01

    Full Text Available Stiff person syndrome (SPS is a rare, debilitating condition which presents with progressive and inconsistent neurological features. The main symptoms are stiffness and intermittent, painful muscle spasms, triggered and exacerbated by stressful and emotional stimuli. The fluctuating clinical nature of SPS, and otherwise normal neurological examination, often lead to a misdiagnosis of conversion disorder. Psychiatric symptoms frequently accompany this disorder and patients are often first seen by psychiatrists. SPS is autoimmune-based: antibodies are directed against glutamate decarboxylase, resulting in dysregulation of gamma-aminobutyric acid (GABA in the brain which is considered the cause of the neuropsychiatric symptomatology. SPS should be considered in the differential diagnosis of conversion disorder. Effective management requires early detection, a collaborative approach with GABA-ergic medication and intravenous immunoglobulins, and management of concomitant psychiatric disorders. We describe a patient with SPS. Only one other case has been reported in South Africa.

  9. Microstructure and mechanical behavior of ODS and non-ODS Fe–14Cr model alloys produced by spark plasma sintering

    International Nuclear Information System (INIS)

    Auger, M.A.; Castro, V. de; Leguey, T.; Muñoz, A.; Pareja, R.

    2013-01-01

    In this work the spark plasma sintering (SPS) technique has been explored as an alternative consolidation route for producing ultra-fine grained Fe–14Cr model alloys containing a dispersion of oxide nanoparticles. Elemental powders of Fe and Cr, and nanosized Y 2 O 3 powder have been mechanically alloyed in a planetary ball mill and rapidly sintered in a spark plasma furnace. Two alloys, with nominal compositions Fe–14%Cr and Fe–14%Cr–0.3%Y 2 O 3 (wt.%), have been fabricated and their microstructure and mechanical properties investigated. The results have been compared with those obtained for other powder metallurgy processed alloys of the same composition but consolidated by hot isostatic pressing. The SPS technique under the present conditions has produced Fe–14Cr materials that apparently exhibit different microstructures yielding inferior mechanical properties than the counterpart material consolidated by hot isostatic pressing. Although the presence of a dispersion of Y-rich particles is evident, the oxide dispersion strengthened (ODS) Fe–14Cr alloy consolidated by SPS exhibits poor tensile properties. The extensive decoration of the powder particle surfaces with Cr-rich precipitates and the residual porosity appear to be responsible for the impaired properties of this ODS alloy consolidated by SPS

  10. Microstructure and mechanical behavior of ODS and non-ODS Fe–14Cr model alloys produced by spark plasma sintering

    Energy Technology Data Exchange (ETDEWEB)

    Auger, M.A.; Castro, V. de [Departamento de Física, Universidad Carlos III de Madrid, 28911 Leganés (Spain); Leguey, T., E-mail: leguey@fis.uc3m.es [Departamento de Física, Universidad Carlos III de Madrid, 28911 Leganés (Spain); Muñoz, A.; Pareja, R. [Departamento de Física, Universidad Carlos III de Madrid, 28911 Leganés (Spain)

    2013-05-15

    In this work the spark plasma sintering (SPS) technique has been explored as an alternative consolidation route for producing ultra-fine grained Fe–14Cr model alloys containing a dispersion of oxide nanoparticles. Elemental powders of Fe and Cr, and nanosized Y{sub 2}O{sub 3} powder have been mechanically alloyed in a planetary ball mill and rapidly sintered in a spark plasma furnace. Two alloys, with nominal compositions Fe–14%Cr and Fe–14%Cr–0.3%Y{sub 2}O{sub 3} (wt.%), have been fabricated and their microstructure and mechanical properties investigated. The results have been compared with those obtained for other powder metallurgy processed alloys of the same composition but consolidated by hot isostatic pressing. The SPS technique under the present conditions has produced Fe–14Cr materials that apparently exhibit different microstructures yielding inferior mechanical properties than the counterpart material consolidated by hot isostatic pressing. Although the presence of a dispersion of Y-rich particles is evident, the oxide dispersion strengthened (ODS) Fe–14Cr alloy consolidated by SPS exhibits poor tensile properties. The extensive decoration of the powder particle surfaces with Cr-rich precipitates and the residual porosity appear to be responsible for the impaired properties of this ODS alloy consolidated by SPS.

  11. Understanding the spark plasma sintering from the view of materials joining

    International Nuclear Information System (INIS)

    Dong, Peng; Wang, Zhe; Wang, Wenxian; Chen, Shaoping; Zhou, Jun

    2016-01-01

    Spark plasma sintering (SPS) is an attractive consolidation process. However, the mechanism behind this process is still an open topic for debate. This paper presents the first attempt to understand the SPS mechanism from perspective of materials joining. For this, TiNi_f/Al composites were fabricated by SPS, and the interfacial microstructures were investigated using field emission scanning electron microscopy and transmission electron microscopy. According to the experimental results, several joining processes were reflected well during SPS, involving micro-arc welding, electric resistance welding and diffusion welding. The proposed understanding of SPS will be helpful to the control of sintering quality.

  12. Optimal pulse modulator design criteria for plasma source ion implanters

    International Nuclear Information System (INIS)

    Reass, W.

    1993-01-01

    This paper describes what are believed to be the required characteristics of a high-voltage modulator for efficient and optimal ion deposition from the ''Plasma Source Ion Implantation'' (PSII) process. The PSII process is a method to chemically or physically alter and enhance surface properties of objects by placing them in a weakly ionized plasma and pulsing the object with a high negative voltage. The attracted ions implant themselves and form chemical bonds or are interstitially mixed with the base material. Present industrial uses of implanted objects tends to be for limited-production, high-value-added items. Traditional implanting hardware uses the typical low-current (ma) semiconductor ''raster scan'' implanters. The targets must also be manipulated to maintain a surface normal to the ion beam. The PSII method can provide ''bulk'' equipment processing on a large industrial scale. For the first generation equipment, currents are scaled from milliamps to hundreds of amps, voltages to -175kV, at kilohertz rep-rates, and high plasma ion densities

  13. Development of the DC-RF Hybrid Plasma Source and the Application to the Etching and Texturing of the Silicon Surface

    International Nuclear Information System (INIS)

    Kim, Ji Hun

    2011-02-01

    Plasma used in dry etching, thin film deposition and surface treatment for display or semiconductor industries are operating at low pressures in general. However, low pressure processing is very costly due to the use of vacuum equipment and vacuum components. Subsequent wet processing is environmentally undesirable due to the use of a large amount of chemicals. Also, the usage of vacuum processing increases fabrication cost and decreases productivity. If stable atmospheric plasmas can be used, not only the decrease in processing costs but also the increase in productivity could be obtained. New DC arc plasmatron with a hot rod cathode and cold nozzle anode was developed and its V-A (Volt-Ampere) characteristics were investigated. Outlook of the measured V-A characteristics cleared the question of the stability of arc burning in the new DC arc plasmatron. The plasmatron that has a stable operations with distributed anode spot could possibly be applied to plasma chemical processing. And measured value of the erosion rate for copper anode is m Cu 3.6x10 -10 g/C which is better than corresponding data for thermo-ionic emission cathodes made of tungsten mw ∼10 -9 g/C. These facts mean that plasmatron durability reaches ∼10 3 h. The low anode erosion rate is related to the large surface of arc-anode contact due to distributed anode arc spot, which reduces the current density. Unique characteristics of the new plasma source concerning its durability and plasma purity at rather low temperatures make it an interesting tool for technical applications, such as etching/deposition and chemical reaction. To apply a plasma processing, the T-type plasmatron was modified to A-type. The A-type plasmatron was used to activate the CF 4 and SF 6 gases in etching experiments at atmospheric and low pressure. To reduce the recombination rate of the activated gas particles inside a plasmatron and let them preserve their activated state outside, the whole device was installed outside of

  14. Mission critical database for SPS accelerator measurements

    CERN Document Server

    Billen, R; Laugier, I; Reguero, I; Segura, N

    1995-01-01

    In order to maintain efficient control over the hadron and lepton beams in CERN¹s SPS accelerator, measurements are of vital importance. Beam parameters such as intensities, positions and losses need to be rapidly available in the SPS control room to allow the operators to monitor, judge and act on beam physics conditions. For the 1994 SPS startup, a completely new and redesigned measurement system based on client and server C-programs running on UNIX-workstations was introduced. The kernel of this new measurement system is an on-line ORACLE database.The NIAM method was used for the database design as well as a technique to tag synchronized data with timeslots instead of timestamps. A great attention was paid to proper storage allocation for tables and indices since this has a major impact on the efficiency of the database, due to its time-critical nature. Many new features of Oracle7 were exploited to reduce the surrounding software.During the 1994 SPS physics run, this new measurement system was commission...

  15. Summary of mirror experiments relevant to beam-plasma neutron source

    International Nuclear Information System (INIS)

    Molvik, A.W.

    1988-01-01

    A promising design for a deuterium-tritium (DT) neutron source is based on the injection of neutral beams into a dense, warm plasma column. Its purpose is to test materials for possible use in fusion reactors. A series of designs have evolved, from a 4-T version to an 8-T version. Intense fluxes of 5--10 MW/m 2 is achieved at the plasma surface, sufficient to complete end-of-life tests in one to two years. In this report, we review data from earlier mirror experiments that are relevant to such neutron sources. Most of these data are from 2XIIB, which was the only facility to ever inject 5 MW of neutral beams into a single mirror call. The major physics issues for a beam-plasma neutron source are magnetohydrodynamic (MHD) equilibrium and stability, microstability, startup, cold-ion fueling of the midplane to allow two-component reactions, and operation in the Spitzer conduction regime, where the power is removed to the ends by an axial gradient in the electron temperature T/sub e/. We show in this report that the conditions required for a neutron source have now been demonstrated in experiments. 20 refs., 15 figs., 3 tabs

  16. Searching for Quark Matter at the CERN SPS

    CERN Document Server

    Lourenço, C

    2002-01-01

    This article gives a brief overview of some recent advances in our understanding of the physics of dense strongly interacting matter, from measurements done at the CERN SPS. The presently available results are very interesting, and are likely to reflect the production of a new state of matter in central Pb-Pb collisions, at the highest SPS energies. However, important questions require further work. Particular emphasis is given to developments made since the Quark Matter 1999 conference, and to issues that justify the continuation of the SPS heavy ion physics program beyond year 2000.

  17. Development of a short form Social Interaction Anxiety (SIAS) and Social Phobia Scale (SPS) using nonparametric item response theory: the SIAS-6 and the SPS-6.

    Science.gov (United States)

    Peters, Lorna; Sunderland, Matthew; Andrews, Gavin; Rapee, Ronald M; Mattick, Richard P

    2012-03-01

    Shortened forms of the Social Interaction Anxiety Scale (SIAS) and the Social Phobia Scale (SPS) were developed using nonparametric item response theory methods. Using data from socially phobic participants enrolled in 5 treatment trials (N = 456), 2 six-item scales (the SIAS-6 and the SPS-6) were developed. The validity of the scores on the SIAS-6 and the SPS-6 was then tested using traditional methods for their convergent validity in an independent clinical sample and a student sample, as well as for their sensitivity to change and diagnostic sensitivity in the clinical sample. The scores on the SIAS-6 and the SPS-6 correlated as well as the scores on the original SIAS and SPS, with scores on measures of related constructs, discriminated well between those with and without a diagnosis of social phobia, providing cutoffs for diagnosis and were as sensitive to measuring change associated with treatment as were the SIAS and SPS. Together, the SIAS-6 and the SPS-6 appear to be an efficient method of measuring symptoms of social phobia and provide a brief screening tool.

  18. The CERN 400 GeV proton synchrotron (CERN SPS)

    International Nuclear Information System (INIS)

    Adams, J.B.

    1977-01-01

    The main characteristics of the CERN 400 GeV proton synchrotron (SPS) has described. Beam intensity averages about 5x10 12 protons per pulse. The CERN 28 GeV proton synchrotron serves as an injector for the SPS. There are 108 magnet periods in the machine with a phase shift per period of π/2. The magnet system consists of 800 dipoles with 1.8 T magnetic field and 216 quadrupoles with a field gradient of 20.7 T (per meter). The frequency chosen for the RF system of the SPS is 200 MHz. Two beam extraction systems are installed in the SPS, one to feed protons to the West Experimental Area, and the other to feed protons to the North Experimental Area. The planned development of the machine in the next few years has described. The cost per GeV of the SPS works out 3 to 4 times less than that of the CPS

  19. 21 CFR 640.74 - Modification of Source Plasma.

    Science.gov (United States)

    2010-04-01

    ... 21 Food and Drugs 7 2010-04-01 2010-04-01 false Modification of Source Plasma. 640.74 Section 640...) BIOLOGICS ADDITIONAL STANDARDS FOR HUMAN BLOOD AND BLOOD PRODUCTS Source Plasma § 640.74 Modification of Source Plasma. (a) Upon approval by the Director, Center for Biologics Evaluation and Research, Food and...

  20. SPS commemorative stamp

    CERN Multimedia

    1977-01-01

    The turn on of the SPS was commemorated in France by the issue of a CERN stamp. The date of issue, 22 Octber 1976, coincided with the first tests of the beam line taking particles to experiments in the West Hall. (CERN Courier 1976 p. 382)

  1. SPS rectifier stations

    CERN Multimedia

    CERN PhotoLab

    1974-01-01

    The first of the twelves SPS rectifier stations for the bending magnets arrived at CERN at the end of the year. The photograph shows a station with the rectifiers on the left and in the other three cubicles the chokes, capacitors and resistor of the passive filter.

  2. Integration of SPS with utility system networks

    Energy Technology Data Exchange (ETDEWEB)

    Kaupang, B.M.

    1980-06-01

    This paper will discuss the integration of SPS power in electric utility power systems. Specifically treated will be the nature of the power output variations from the spacecraft to the rectenna, the operational characteristics of the rectenna power and the impacts on the electric utility system from utilizing SPS power to serve part of the system load.

  3. Discharge physics and chemistry of a novel atmospheric pressure plasma source

    Energy Technology Data Exchange (ETDEWEB)

    Park, J.; Henins, I.; Hermann, J.W.; Selwyn, G.S.; Jeong, J.Y.; Hickis, R.

    1999-07-01

    The atmospheric pressure plasma jet (APPJ) is a unique plasma source operating at atmospheric pressure. The APPJ operates with RF power and produces a stable non-thermal discharge in capacitively-coupled configuration. The discharge is spatially and temporally homogeneous and provides a unique gas phase chemistry that is well suited for various applications including etching, film deposition, surface treatment and decontamination of chemical and biological warfare (CBW) agents. A theoretical model shows electron densities of 0.2--2 x 10{sup 11} cm{sup {minus}3} for a helium discharge at a power level of 3--30 W cm{sup {minus}3}. The APPJ also produces a large flux, equivalent of up to 10,000 monolayer s{sup {minus}1}, of chemically-active, atomic and metastable molecular species which can impinge surfaces several cm downstream of the confined source. In addition, the efforts are in progress to measure the electron density using microwave diagnostics and to benchmark the gas phase chemical model by using LIF and titration.

  4. A 25th Anniversary Rejuvenation for the SPS

    CERN Multimedia

    2001-01-01

    The SPS has just turned 25, and to mark the occasion it has been treated to a facelift like none before. After serving as injector for LEP, the SPS is being prepared to pre-accelerate proton beams for the LHC.

  5. A Penning-assisted subkilovolt coaxial plasma source

    International Nuclear Information System (INIS)

    Wang Zhehui; Beinke, Paul D.; Barnes, Cris W.; Martin, Michael W.; Mignardot, Edward; Wurden, Glen A.; Hsu, Scott C.; Intrator, Thomas P.; Munson, Carter P.

    2005-01-01

    A Penning-assisted 20 MW coaxial plasma source (plasma gun), which can achieve breakdown at sub-kV voltages, is described. The minimum breakdown voltage is about 400 V, significantly lower than previously reported values of 1-5 kV. The Penning region for electrons is created using a permanent magnet assembly, which is mounted to the inside of the cathode of the coaxial plasma source. A theoretical model for the breakdown is given. A 900 V 0.5 F capacitor bank supplies energy for gas breakdown and plasma sustainment from 4 to 6 ms duration. Typical peak gun current is about 100 kA and gun voltage between anode and cathode after breakdown is about 200 V. A circuit model is used to understand the current-voltage characteristics of the coaxial gun plasma. Energy deposited into the plasma accounts for about 60% of the total capacitor bank energy. This plasma source is uniquely suitable for studying multi-MW multi-ms plasmas with sub-MJ capacitor bank energy

  6. Optical emission spectra of a copper plasma produced by a metal vapour vacuum arc plasma source

    International Nuclear Information System (INIS)

    Yotsombat, B.; Poolcharuansin, P.; Vilaithong, T.; Davydov, S.; Brown, I.G.

    2001-01-01

    Optical emission spectroscopy in the range 200-800 nm was applied for investigation of the copper plasma produced by a metal vapour vacuum arc plasma source. The experiments were conducted for the cases when the plasma was guided by straight and Ω-shaped curved solenoids as well as without solenoids, and also for different vacuum conditions. It was found that, besides singly- and doubly-charged ions, a relatively high concentration of excited neutral copper atoms was present in the plasma. The relative fraction of excited atoms was much higher in the region close to the cathode surface than in the plasma column inside the solenoid. The concentration of excited neutral, singly- and doubly-ionized atoms increased proportionally when the arc current was increased to 400 A. Some weak lines were attributed to more highly ionized copper species and impurities in the cathode material. (author)

  7. Formation of plasma induced surface damage in silica glass etching for optical waveguides

    International Nuclear Information System (INIS)

    Choi, D.Y.; Lee, J.H.; Kim, D.S.; Jung, S.T.

    2004-01-01

    Ge, B, P-doped silica glass films are widely used as optical waveguides because of their low losses and inherent compatibility with silica optical fibers. These films were etched by ICP (inductively coupled plasma) with chrome etch masks, which were patterned by reactive ion etching (RIE) using chlorine-based gases. In some cases, the etched surfaces of silica glass were very rough (root-mean square roughness greater than 100 nm) and we call this phenomenon plasma induced surface damage (PISD). Rough surface cannot be used as a platform for hybrid integration because of difficulty in alignment and bonding of active devices. PISD reduces the etch rate of glass and it is very difficult to remove residues on a rough surface. The objective of this study is to elucidate the mechanism of PISD formation. To achieve this goal, PISD formation during different etching conditions of chrome etch mask and silica glass was investigated. In most cases, PISD sources are formed on a glass surface after chrome etching, and metal compounds are identified in theses sources. Water rinse after chrome etching reduces the PISD, due to the water solubility of metal chlorides. PISD is decreased or even disappeared at high power and/or low pressure in glass etching, even if PISD sources were present on the glass surface before etching. In conclusion, PISD sources come from the chrome etching process, and polymer deposition on these sources during the silica etching cause the PISD sources to grow. In the area close to the PISD source there is a higher ion flux, which causes an increase in the etch rate, and results in the formation of a pit

  8. Phase characterisation in spark plasma sintered TiPt alloy

    CSIR Research Space (South Africa)

    Chikosha, S

    2011-12-01

    Full Text Available stream_source_info chikosha_2011.pdf.txt stream_content_type text/plain stream_size 4354 Content-Encoding UTF-8 stream_name chikosha_2011.pdf.txt Content-Type text/plain; charset=UTF-8 PHASE CHARACTERISATION IN SPARK... to form “necks”  Radiant Joule heat and pressure drives “neck” growth and material transfer © CSIR 2006 www.csir.co.za Page 6 Objective  Produce TiPt alloy compacts by Spark plasma sintering (SPS) of equiatomic...

  9. Arrival of the Robbins machine in LSS1 after completing the boring of the SPS tunnel.

    CERN Multimedia

    CERN PhotoLab

    1974-01-01

    A few months after the signature of the agreement giving the go-ahead for the expansion of CERN into French territory (see Bulletin no.24/2004), work began on the Super Proton Synchrotron (SPS). Two years later, on 31 July 1974, the Robbins tunnel-boring machine excavating the SPS tunnel returned to its starting point (see photograph). It had excavated a tunnel with a circumference of 7 kilometres, at an average depth of 40 metres below the surface. The tunnel straddled the Franco-Swiss border, making the SPS the first cross-border accelerator. More than a thousand magnets were needed to equip the ring. The civil engineering and installation work was completed in record time after only four years. The SPS was equipped with a control system which was ahead of its time, consisting of 24 small control computers distributed in the tunnel and the control room and communicating by means of a high-rate data transmission system. The main control room housed only four consoles as opposed to the banks of electronic eq...

  10. Material impacts and heat flux characterization of an electrothermal plasma source with an applied magnetic field

    Science.gov (United States)

    Gebhart, T. E.; Martinez-Rodriguez, R. A.; Baylor, L. R.; Rapp, J.; Winfrey, A. L.

    2017-08-01

    To produce a realistic tokamak-like plasma environment in linear plasma device, a transient source is needed to deliver heat and particle fluxes similar to those seen in an edge localized mode (ELM). ELMs in future large tokamaks will deliver heat fluxes of ˜1 GW/m2 to the divertor plasma facing components at a few Hz. An electrothermal plasma source can deliver heat fluxes of this magnitude. These sources operate in an ablative arc regime which is driven by a DC capacitive discharge. An electrothermal source was configured with two pulse lengths and tested under a solenoidal magnetic field to determine the resulting impact on liner ablation, plasma parameters, and delivered heat flux. The arc travels through and ablates a boron nitride liner and strikes a tungsten plate. The tungsten target plate is analyzed for surface damage using a scanning electron microscope.

  11. The promoter activities of sucrose phosphate synthase genes in rice, OsSPS1 and OsSPS11, are controlled by light and circadian clock, but not by sucrose

    Directory of Open Access Journals (Sweden)

    Madoka eYonekura

    2013-03-01

    Full Text Available Although sucrose plays a role in sugar sensing and its signaling pathway, little is known about the regulatory mechanisms of the expressions of plant sucrose-related genes. Our previous study on the expression of the sucrose phosphate synthase gene family in rice (OsSPSs suggested the involvement of sucrose sensing and/or circadian rhythm in the transcriptional regulation of OsSPS. To examine whether the promoters of OsSPSs can be controlled by sugars and circadian clock, we produced transgenic rice plants harboring a promoter–luciferase construct for OsSPS1 or OsSPS11 and analyzed the changes in the promoter activities by monitoring bioluminescence from intact transgenic plants in real time. Transgenic plants fed sucrose, glucose, or mannitol under continuous light conditions showed no changes in bioluminescence intensity; meanwhile, the addition of sucrose increased the concentration of sucrose in the plants, and the mRNA levels of OsSPS remained constant. These results suggest that these OsSPS promoters may not be regulated by sucrose levels in the tissues. Next, we investigated the changes in the promoter activities under 12-h light/12-h dark cycles and continuous light conditions. Under the light–dark cycle, both OsSPS1 and OsSPS11 promoter activities were low in the dark and increased rapidly after the beginning of the light period. When the transgenic rice plants were moved to the continuous light condition, both POsSPS1::LUC and POsSPS11::LUC reporter plants exhibited circadian bioluminescence rhythms; bioluminescence peaked during the subjective day with a 27-h period: in the early morning as for OsSPS1 promoter and midday for OsSPS11 promoter. These results indicate that these OsSPS promoters are controlled by both light illumination and circadian clock and that the regulatory mechanism of promoter activity differs between the 2 OsSPS genes.

  12. Emittance growth in coast in the SPS

    CERN Document Server

    Alekou, A; Bartosik, H; Calaga, R

    2017-01-01

    The CERN SPS will be used as a test-bed for the LHCprototype crab-cavities, which will be installed and testedin the SPS in 2018. As the time available for experimen-tal beam dynamics studies with the crab cavities installedin the machine will be limited, a very good preparation isrequired in advance. One of the main concerns is the in-duced emittance growth, driven by phase jitter in the crabcavities. In this respect, several machine development (MD)studies were performed during the past years to quantifyand characterize the emittance evolution of proton beamsin coast in the SPS. In these proceedings, the experimentalobservations from past years are summarized and the MDstudies from 2016 are presented. Finally, a proposal for anexperimental program for 2017 is discussed.

  13. The effect of spark plasma sintering on lithium disilicate glass-ceramics.

    Science.gov (United States)

    Al Mansour, Fatima; Karpukhina, Natalia; Grasso, Salvatore; Wilson, Rory M; Reece, Mike J; Cattell, Michael J

    2015-10-01

    To evaluate the effects of spark plasma sintering (SPS) on the microstructure of lithium disilicate glass-ceramics. IPS e.max CAD glass-ceramic samples were processed using spark plasma sintering (SPS) and conventionally sintered (CS) as a comparison. Specimens were sintered at varying temperatures (T1: 840°C, T2: 820°C, T3: 800°C), heating rates (HR1: 150°C/min, HR2: 300°C/min, HR3: 500°C/min) and pressures (P1: 15MPa, P2: 50MPa, P3: 70MPa). IPS e.max Press glass powder samples were densified at 750 and 800°C (50 or 200MPa pressure). Samples were characterized using XRD, HTXRD, and SEM and quantitative image analysis. There was a significant increase in median crystal size (MCS) between the CS and the SPS T1 groups. A statistical difference (p>0.05) in MCS between SPS T1 and SPS T2 groups was observed. The SPS HR3 sample produced a smaller MCS than the CS, SPS HR1 and HR2 groups (pglass samples resulted in fine fibrils or graduated lithium disilicate crystals. The effects of SPS were used to refine the microstructure of IPS e.max CAD lithium disilicate glass-ceramics. Densification by SPS of IPS e.max Press glass resulted in textured and fine nano-crystalline microstructures. SPS generated glass-ceramic microstructures may have unique properties and could be useful in the production of CAD/CAM materials for dentistry. Copyright © 2015 Academy of Dental Materials. Published by Elsevier Ltd. All rights reserved.

  14. First plasma of the A-PHOENIX electron cyclotron resonance ion source

    International Nuclear Information System (INIS)

    Thuillier, T.; Lamy, T.; Latrasse, L.; Angot, J.

    2008-01-01

    A-PHOENIX is a new compact hybrid electron cyclotron resonance ion source using a large permanent magnet hexapole (1.92 T at the magnet surface) and high temperature superconducting Solenoids (3 T) to make min-vertical bar B vertical bar structure suitable for 28 GHz cw operation. The final assembly of the source was achieved at the end of June 2007. The first plasma of A-PHOENIX at 18 GHz was done on the 16th of August, 2007. The technological specificities of A-PHOENIX are presented. The large hexapole built is presented and experimental magnetic measurements show that it is nominal with respect to simulation. A fake plasma chamber prototype including thin iron inserts showed that the predicted radial magnetic confinement can be fulfilled up to 2.15 T at the plasma chamber wall. Scheduled planning of experiments until the end of 2008 is presented

  15. Electrolytic plasma processing of steel surfaces

    International Nuclear Information System (INIS)

    Bejar, M.A; Araya, R.N; Baeza, B

    2006-01-01

    The thermo-chemical treatments of steels with plasma is normally carried out in low-pressure ionized gaseous atmospheres. Among the treatments used most often are: nitruration, carburization and boronized. A plasma can also generate at atmospheric pressure. One way to produce it is with an electrochemical cell that works at a relatively high inter-electrode voltage and under conditions of heavy gas generation. This type of plasma is known as electrolytic plasma. This work studies the feasibility of using electrolytic plasma for the surface processing of steels. Two processes were selected: boronized and nitruration., for the hardening of two types of steel: one with low carbon (1020) and one with low alloy (4140). In the case of the nitruration, the 1020 steel was first aluminized. The electrolytes were aqueous solutions of borax for the boronizing and urea for the nitruration. The electrolytic plasmas were classified qualitatively, in relation with their luminosity by low, medium and high intensity. The boronizing was carried out with low intensity plasmas for a period of one hour. The nitruration was performed with plasmas of different intensities and for period of a few minutes to half an hour. The test pieces processed by electrolytic plasma were characterized by micro-hardness tests and X-ray diffraction. The maximum surface hardnesses obtained for the 1020 and 4140 steels were the following: 300 and 700 HV for the boronizing, and 1650 and 1200 HV for the nitruration, respectively. The utilization of an electrolytic plasma permits the surface processing of steels, noticeably increasing their hardness. With this type of plasma some thermo-chemical surface treatments can be done very rapidly as well (CW)

  16. Capillary plasma jet: A low volume plasma source for life science applications

    Energy Technology Data Exchange (ETDEWEB)

    Topala, I., E-mail: ionut.topala@uaic.ro, E-mail: tmnagat@ipc.shizuoka.ac.jp [Alexandru Ioan Cuza University of Iasi, Faculty of Physics, Iasi Plasma Advanced Research Center (IPARC), Bd. Carol I No. 11, Iasi 700506 (Romania); Nagatsu, M., E-mail: ionut.topala@uaic.ro, E-mail: tmnagat@ipc.shizuoka.ac.jp [Graduate School of Science and Technology, Shizuoka University, 3-5-1 Johoku, Naka-ku, Hamamatsu 432-8561 (Japan)

    2015-02-02

    In this letter, we present results from multispectroscopic analysis of protein films, after exposure to a peculiar plasma source, i.e., the capillary plasma jet. This plasma source is able to generate very small pulsed plasma volumes, in kilohertz range, with characteristic dimensions smaller than 1 mm. This leads to specific microscale generation and transport of all plasma species. Plasma diagnosis was realized using general electrical and optical methods. Depending on power level and exposure duration, this miniature plasma jet can induce controllable modifications to soft matter targets. Detailed discussions on protein film oxidation and chemical etching are supported by results from absorption, X-ray photoelectron spectroscopy, and microscopy techniques. Further exploitation of principles presented here may consolidate research interests involving plasmas in biotechnologies and plasma medicine, especially in patterning technologies, modified biomolecule arrays, and local chemical functionalization.

  17. Capillary plasma jet: A low volume plasma source for life science applications

    Science.gov (United States)

    Topala, I.; Nagatsu, M.

    2015-02-01

    In this letter, we present results from multispectroscopic analysis of protein films, after exposure to a peculiar plasma source, i.e., the capillary plasma jet. This plasma source is able to generate very small pulsed plasma volumes, in kilohertz range, with characteristic dimensions smaller than 1 mm. This leads to specific microscale generation and transport of all plasma species. Plasma diagnosis was realized using general electrical and optical methods. Depending on power level and exposure duration, this miniature plasma jet can induce controllable modifications to soft matter targets. Detailed discussions on protein film oxidation and chemical etching are supported by results from absorption, X-ray photoelectron spectroscopy, and microscopy techniques. Further exploitation of principles presented here may consolidate research interests involving plasmas in biotechnologies and plasma medicine, especially in patterning technologies, modified biomolecule arrays, and local chemical functionalization.

  18. Removing Known SPS Intensity Limitations for High Luminosity LHC Goals

    CERN Document Server

    Shaposhnikova, Elena; Bohl, Thomas; Cruikshank, Paul; Goddard, Brennan; Kaltenbacher, Thomas; Lasheen, Alexandre; Perez Espinos, Jaime; Repond, Joël; Salvant, Benoit; Vollinger, Christine

    2016-01-01

    In preparation of the SPS as an LHC injector its impedance was significantly reduced in 1999 - 2000. A new SPS impedance reduction campaign is planned now for the High Luminosity (HL)-LHC project, which requires bunch intensities twice as high as the nominal one. One of the known intensity limitations is a longitudinal multi-bunch instability with a threshold 3 times below this operational intensity. The instability is presently cured using the 4th harmonic RF system and controlled emittance blow-up, but reaching the HL-LHC parameters cannot be assured without improving the machine impedance. Recently the impedance sources responsible for this instability were identified and implementation of their shielding and damping is foreseen during the next long shutdown (2019 - 2020) in synergy with two other important upgrades: amorphous carbon coating of (part of) the vacuum chamber against the e-cloud effect and rearrangement of the 200 MHz RF system. In this paper the strategy of impedance reduction is presented t...

  19. Compact laser-produced plasma EUV sources for processing polymers and nanoimaging

    International Nuclear Information System (INIS)

    Fiedorowicz, H.; Bartnik, A.; Jarocki, R.; Kostecki, J.; Szczurek, M.; Wachulak, P.

    2010-01-01

    Complete text of publication follows. Extreme ultraviolet (EUV) can be produced form a high-temperature plasma generated by interaction of high power laser pulses with matter. Laser plasma EUV sources are considered to be used in various applications in physics, material science, biomedicine, and technology. In the paper new compact laser plasma EUV sources developed for processing polymers and imaging are presented. The sources are based on a gas puff target formed by pulsed injection of a small amount of gas under high-pressure into a laser focus region. The use of the gas puff target instead of a solid target allows for efficient generation of EUV radiation without debris production. The compact laser plasma EUV source based on a gas puff target was developed for metrology applications. The EUV source developed for processing polymers is equipped with a grazing incidence axisymmetrical ellipsoidal mirror to focus EUV radiation in the relatively broad spectral range with the strong maximum near 10 nm. The size of the focal spot is about 1.3 mm in diameter with the maximum fluence up to 70 mJ/cm 2 . EUV radiation in the wavelength range of about 5 to 50 nm is produced by irradiation of xenon or krypton gas puff target with a Nd:YAG laser operating at 10 Hz and delivering 4 ns pulses of energy up to 0.8 J per pulse. The experiments on EUV irradiation of various polymers have been performed. Modification of polymer surfaces was achieved, primarily due to direct photo-etching with EUV photons and formation of micro- and nanostructures onto the surface. The mechanism of the interaction is similar to the UV laser ablation where energetic photons cause chemical bonds of the polymer chain to be broken. However, because of very low penetration depth of EUV radiation, the interaction region is limited to a very thin surface layer (<100 nm). This makes it possible to avoid degradation of bulk material caused by deeply penetrating UV radiation. The results of the studies

  20. Dependence of Lunar Surface Charging on Solar Wind Plasma Conditions and Solar Irradiation

    Science.gov (United States)

    Stubbs, T. J.; Farrell, W. M.; Halekas, J. S.; Burchill, J. K.; Collier, M. R.; Zimmerman, M. I.; Vondrak, R. R.; Delory, G. T.; Pfaff, R. F.

    2014-01-01

    The surface of the Moon is electrically charged by exposure to solar radiation on its dayside, as well as by the continuous flux of charged particles from the various plasma environments that surround it. An electric potential develops between the lunar surface and ambient plasma, which manifests itself in a near-surface plasma sheath with a scale height of order the Debye length. This study investigates surface charging on the lunar dayside and near-terminator regions in the solar wind, for which the dominant current sources are usually from the pohotoemission of electrons, J(sub p), and the collection of plasma electrons J(sub e) and ions J(sub i). These currents are dependent on the following six parameters: plasma concentration n(sub 0), electron temperature T(sub e), ion temperature T(sub i), bulk flow velocity V, photoemission current at normal incidence J(sub P0), and photo electron temperature T(sub p). Using a numerical model, derived from a set of eleven basic assumptions, the influence of these six parameters on surface charging - characterized by the equilibrium surface potential, Debye length, and surface electric field - is investigated as a function of solar zenith angle. Overall, T(sub e) is the most important parameter, especially near the terminator, while J(sub P0) and T(sub p) dominate over most of the dayside.

  1. Visiting the SPS installation

    CERN Multimedia

    1975-01-01

    ...., Guenther Loehr, Hans Horisberger walk along the SPS tunnel: here, on the right, an absorber block of 22 tons for beam dumping in the external beam lines. The chariot serves for the installation of the block.

  2. An evaluation of UO2-CNT composites made by SPS as an accident tolerant nuclear fuel pellet and the feasibility of SPS as an economical fabrication process for the nuclear fuel cycle

    Science.gov (United States)

    Cartas, Andrew R.

    The innovative and advanced purpose of this study is to understand and establish proper sintering procedures for Spark Plasma Sintering process in order to fabricate high density, high thermal conductivity UO2 -CNT pellets. Mixing quality and chemical reactions have been investigated by field emission scanning electron microscopy (FESEM), wavelength dispersive spectroscopy (WDS), and X-ray diffraction (XRD). The effect of various types of CNTs on the mixing and sintering quality of UO2-CNT pellets with SPS processing have been examined. The Archimedes Immersion Method, laser flash method, and FE-SEM will be used to investigate the density, thermal conductivity, grain size, pinning effects, and CNT dispersion of fabricated UO2-CNT pellets. Pre-fabricated CNT's were added to UO 2 powder and dispersed via sonication and/or ball milling and then made into composite nuclear pellets. An investigation of the economic impact of SPS on the nuclear fuel cycle for producing pure and composite UO2 fuels was conducted.

  3. Plasma-surface interactions under high heat and particle fluxes

    NARCIS (Netherlands)

    De Temmerman, G.; Bystrov, K.; Liu, F.; Liu, W.; Morgan, T.; Tanyeli, I.; van den Berg, M.; Xu, H.; Zielinski, J.

    2013-01-01

    The plasma-surface interactions expected in the divertor of a future fusion reactor are characterized by extreme heat and particle fluxes interacting with the plasma-facing surfaces. Powerful linear plasma generators are used to reproduce the expected plasma conditions and allow plasma-surface

  4. Thermoelectric property of fine-grained CoSb3 skutterudite compound fabricated by mechanical alloying and spark plasma sintering

    International Nuclear Information System (INIS)

    Liu Weishu; Zhang Boping; Li Jingfeng; Zhao Lidong

    2007-01-01

    Skutterudite CoSb 3 polycrystalline materials were prepared using a combined process of mechanical alloying (MA) and spark plasma sintering (SPS). The influence of SPS temperature on the thermoelectric properties was focused in this work with a special emphasis on the analysis of the size effects of grains. The average grain sizes decreased from 300 to 50 nm with decreasing SPS temperatures from 600 to 300 deg. C. The electrical resistivities of samples spark plasma sintered at 300-600 deg. C all decreased with increasing temperature, indicating a classic intrinsic conduction behaviour of semiconductors. The samples spark plasma sintered at 300-500 deg. C showed a positive Seebeck coefficient while the sample spark plasma sintered at 600 deg. C showed a negative Seebeck coefficient. The room-temperature thermal conductivities were reduced from 4.30 to 2.92 W m -1 K -1 as the grain sizes were decreased from 300 to 100 nm corresponding to SPS at 600 and 400 deg. C, respectively. The present work indicates that MA and SPS is a good combination for fabricating fine-grained CoSb 3 thermoelectric materials

  5. Characterization of the pulse plasma source

    International Nuclear Information System (INIS)

    Milosavljevic, V; Karkari, S K; Ellingboe, A R

    2007-01-01

    Characterization of the pulse plasma source through the determination of the local thermodynamic equilibrium (LTE) threshold is described. The maximum electron density measured at the peak in discharge current is determined by the width of the He II Paschen alpha spectral line, and the electron temperature is determined from the ratios of the relative intensities of spectral lines emitted from successive ionized stages of atoms. The electron density and temperature maximum values are measured to be 1.3 x 10 17 cm -3 and 19 000 K, respectively. These are typical characteristics for low-pressure, pulsed plasma sources for input energy of 15.8 J at 130 Pa pressure in helium-argon mixture. The use of LTE-based analysis of the emission spectra is justified by measurement of the local plasma electron density at four positions in the discharge tube using a floating hairpin resonance probe. The hairpin resonance probe data are collected during the creation and decay phases of the pulse. From the spatio-temporal profile of the plasma density a 60 μs time-window during which LTE exists throughout the entire plasma source is determined

  6. Life after discovery: a look at the SPS of 2012

    CERN Multimedia

    Katarina Anthony

    2012-01-01

    Though no longer the newest kid on the block, the SPS remains as essential to CERN’s research programme as it was when it discovered the W and Z bosons back in 1983. The CERN Bulletin takes a look at how the SPS has kept up with younger CERN accelerators...   Teams changing an SPS magnet during a technical stop. Image courtesy of Franck Bais. It’s the 1970s and the Super Proton Synchrotron (SPS) is at the top of the charts. It’s accelerating protons at 400 GeV - an unprecedented energy for CERN - and its discoveries will make it the first (and, so far, the only) CERN accelerator to generate a Nobel Prize. Fast-forward to 2012 and the SPS is running at 450 GeV – well beyond its original design parameters – and is an essential part of the LHC accelerator complex. Not bad for a machine heading into its 40s. While much of the SPS dates back to the original set-up, it has been in constant evolution over the years. Except for a long shutdo...

  7. Relación entre los mecanismos de difusión atómica y las propiedades ópticas en la sinterización por Spark Plasma Sintering (SPS de la alúmina.

    Directory of Open Access Journals (Sweden)

    Torrecillas, R.

    2009-12-01

    Full Text Available The control of the sintering parameters during spark plasma sintering (SPS of polycrystalline alumina allows modifying the contribution due to the different atomic diffusion mechanisms. Thus, changing the heating rate as a function of the sintering stage allows tailoring sintering cycles that optimize the final density of the material with a minimal grain growth. It is shown in this work how by using two heating rates, 100 and 4ºC/min, allows obtaining a polycrystalline transparent alumina with a higher real in-line transmittance than that obtained with constant heating rates sintering cycles.

    El control de los parámetros de sinterización de la alúmina policristalina por spark plasma sintering (SPS permite modificar la contribución de los diferentes mecanismos de difusión atómica. Así, variar la rampa de calentamiento en función de la etapa de sinterización permite diseñar ciclos de sinterización que optimizan la densidad final del material con un mínimo crecimiento de grano. En este trabajo se demuestra cómo empleando dos velocidades, 100 y 4ºC/min, es posible obtener una alúmina policristalina con una transmitancia real en línea superior a la obtenida en ciclos con rampas constantes.

  8. 21 CFR 640.64 - Collection of blood for Source Plasma.

    Science.gov (United States)

    2010-04-01

    ... 21 Food and Drugs 7 2010-04-01 2010-04-01 false Collection of blood for Source Plasma. 640.64... (CONTINUED) BIOLOGICS ADDITIONAL STANDARDS FOR HUMAN BLOOD AND BLOOD PRODUCTS Source Plasma § 640.64 Collection of blood for Source Plasma. (a) Supervision. All blood for the collection of Source Plasma shall...

  9. Role of positive ions on the surface production of negative ions in a fusion plasma reactor type negative ion source--Insights from a three dimensional particle-in-cell Monte Carlo collisions model

    Science.gov (United States)

    Fubiani, G.; Boeuf, J. P.

    2013-11-01

    Results from a 3D self-consistent Particle-In-Cell Monte Carlo Collisions (PIC MCC) model of a high power fusion-type negative ion source are presented for the first time. The model is used to calculate the plasma characteristics of the ITER prototype BATMAN ion source developed in Garching. Special emphasis is put on the production of negative ions on the plasma grid surface. The question of the relative roles of the impact of neutral hydrogen atoms and positive ions on the cesiated grid surface has attracted much attention recently and the 3D PIC MCC model is used to address this question. The results show that the production of negative ions by positive ion impact on the plasma grid is small with respect to the production by atomic hydrogen or deuterium bombardment (less than 10%).

  10. Diamondlike carbon deposition on plastic films by plasma source ion implantation

    CERN Document Server

    Tanaka, T; Shinohara, M; Takagi, T

    2002-01-01

    Application of pulsed high negative voltage (approx 10 mu s pulse width, 300-900 pulses per second) to a substrate is found to induce discharge, thereby increasing ion current with an inductively coupled plasma source. This plasma source ion beam implantation (PSII) technique is investigated for the pretreatment and deposition of diamond-like carbon (DLC) thin layer on polyethylene terepthalate (PET) film. Pretreatment of PET with N sub 2 and Ar plasma is expected to provide added barrier effects when coupled with DLC deposition, with possible application to fabrication of PET beverage bottles. PSII treatment using N sub 2 and Ar in separate stages is found to change the color of the PET film, effectively increasing near-ultraviolet absorption. The effects of this pretreatment on the chemical bonding of C, H, and O are examined by x-ray photoelectron spectroscopy (XPS). DLC thin film was successfully deposited on the PET film. The surface of the DLC thin layer is observed to be smooth by scanning electron mic...

  11. Counter-facing plasma guns for efficient extreme ultra-violet plasma light source

    Science.gov (United States)

    Kuroda, Yusuke; Yamamoto, Akiko; Kuwabara, Hajime; Nakajima, Mitsuo; Kawamura, Tohru; Horioka, Kazuhiko

    2013-11-01

    A plasma focus system composed of a pair of counter-facing coaxial guns was proposed as a long-pulse and/or repetitive high energy density plasma source. We applied Li as the source of plasma for improvement of the conversion efficiency, the spectral purity, and the repetition capability. For operation of the system with ideal counter-facing plasma focus mode, we changed the system from simple coaxial geometry to a multi-channel configuration. We applied a laser trigger to make synchronous multi-channel discharges with low jitter. The results indicated that the configuration is promising to make a high energy density plasma with high spectral efficiency.

  12. Counter-facing plasma guns for efficient extreme ultra-violet plasma light source

    Directory of Open Access Journals (Sweden)

    Kuroda Yusuke

    2013-11-01

    Full Text Available A plasma focus system composed of a pair of counter-facing coaxial guns was proposed as a long-pulse and/or repetitive high energy density plasma source. We applied Li as the source of plasma for improvement of the conversion efficiency, the spectral purity, and the repetition capability. For operation of the system with ideal counter-facing plasma focus mode, we changed the system from simple coaxial geometry to a multi-channel configuration. We applied a laser trigger to make synchronous multi-channel discharges with low jitter. The results indicated that the configuration is promising to make a high energy density plasma with high spectral efficiency.

  13. Laser surface wakefield in a plasma column

    International Nuclear Information System (INIS)

    Gorbunov, L.M.; Mora, P.; Ramazashvili, R.R.

    2003-01-01

    The structure of the wakefield in a plasma column, produced by a short intense laser pulse, propagating through a gas affected by tunneling ionization is investigated. It is shown that besides the usual plasma waves in the bulk part of the plasma column [see Andreev et al., Phys. Plasmas 9, 3999 (2002)], the laser pulse also generates electromagnetic surface waves propagating along the column boundary. The length of the surface wake wave substantially exceeds the length of the plasma wake wave and its electromagnetic field extends far outside the plasma column

  14. Plasma assisted surface treatments of biomaterials.

    Science.gov (United States)

    Minati, L; Migliaresi, C; Lunelli, L; Viero, G; Dalla Serra, M; Speranza, G

    2017-10-01

    The biocompatibility of an implant depends upon the material it is composed of, in addition to the prosthetic device's morphology, mechanical and surface properties. Properties as porosity and pore size should allow, when required, cells penetration and proliferation. Stiffness and strength, that depend on the bulk characteristics of the material, should match the mechanical requirements of the prosthetic applications. Surface properties should allow integration in the surrounding tissues by activating proper communication pathways with the surrounding cells. Bulk and surface properties are not interconnected, and for instance a bone prosthesis could possess the necessary stiffness and strength for the application omitting out prerequisite surface properties essential for the osteointegration. In this case, surface treatment is mandatory and can be accomplished using various techniques such as applying coatings to the prosthesis, ion beams, chemical grafting or modification, low temperature plasma, or a combination of the aforementioned. Low temperature plasma-based techniques have gained increasing consensus for the surface modification of biomaterials for being effective and competitive compared to other ways to introduce surface functionalities. In this paper we review plasma processing techniques and describe potentialities and applications of plasma to tailor the interface of biomaterials. Copyright © 2017 Elsevier B.V. All rights reserved.

  15. Pulsed Electron Source with Grid Plasma Cathode and Longitudinal Magnetic Field for Modification of Material and Product Surfaces

    Science.gov (United States)

    Devyatkov, V. N.; Koval, N. N.

    2018-01-01

    The description and the main characteristics of the pulsed electron source "SOLO" developed on the basis of the plasma cathode with grid stabilization of the emission plasma boundary are presented. The emission plasma is generated by a low-pressure arc discharge, and that allows to form the dense low-energy electron beam with a wide range of independently adjustable parameters of beam current pulses (pulse duration of 20-250 μs, pulse repetition rate of 1-10 s-1, amplitude of beam current pulses of 20-300 A, and energy of beam electrons of 5-25 keV). The special features of generation of emission plasma by constricted low-pressure arc discharge in the grid plasma cathode partially dipped into a non-uniform magnetic field and of formation and transportation of the electron beam in a longitudinal magnetic field are considered. The application area of the electron source and technologies realized with its help are specified.

  16. Beam-plasma discharge in a Kyoto beam-plasma-ion source

    International Nuclear Information System (INIS)

    Ishikawa, J.; Takagi, T.

    1983-01-01

    A beam-plasma type ion source employing an original operating principle has been developed by the present authors. The ion source consists of an ion extraction region with an electron gun, a thin long drift tube as the plasma production chamber, and a primary electron beam collector. An electron beam is effectively utilized for the dual purpose of high density plasma production as a result of beam-plasma discharge, and high current ion beam extraction with ion space-charge compensation. A high density plasma of the order of 10 11 --10 13 cm -3 was produced by virtue of the beam-plasma discharge which was caused by the interaction between a space-charge wave on the electron beam and a high frequency plasma wave. The plasma density then produced was 10 2 --10 3 times the density produced only by collisional ionization by the electron beam. In order to obtain a stable beam-plasma discharge, a secondary electron beam emitted from the electron collector should be utilized. The mechanism of the beam-plasma discharge was analyzed by use of a linear theory in the case of the small thermal energy of the electron beam, and by use of a quasilinear theory in the case of the large thermal energy. High current ion beams of more than 0.1 A were extracted even at a low extraction voltage of 1--5 kV

  17. Deposition of dielectric films on silicon using a fore-vacuum plasma electron source

    Energy Technology Data Exchange (ETDEWEB)

    Zolotukhin, D. B.; Tyunkov, A. V.; Yushkov, Yu. G., E-mail: yuyushkov@gmail.com [Tomsk State University of Control Systems and Radioelectronics, 40 Lenin Ave., Tomsk 634050 (Russian Federation); Oks, E. M. [Tomsk State University of Control Systems and Radioelectronics, 40 Lenin Ave., Tomsk 634050 (Russian Federation); Institute of High Current Electronics SB RAS, 2/3, Akademichesky Ave., Tomsk 634055 (Russian Federation)

    2016-06-15

    We describe an experiment on the use of a fore-vacuum-pressure, plasma-cathode, electron beam source with current up to 100 mA and beam energy up to 15 keV for deposition of Mg and Al oxide films on Si substrates in an oxygen atmosphere at a pressure of 10 Pa. The metals (Al and Mg) were evaporated and ionized using the electron beam with the formation of a gas-metal beam-plasma. The plasma was deposited on the surface of Si substrates. The elemental composition of the deposited films was analyzed.

  18. Simple microwave plasma source at atmospheric pressure

    International Nuclear Information System (INIS)

    Kim, Jeong H.; Hong, Yong C.; Kim, Hyoung S.; Uhm, Han S.

    2003-01-01

    We have developed a thermal plasma source operating without electrodes. One electrodeless torch is the microwave plasma-torch, which can produce plasmas in large quantities. We can generate plasma at an atmospheric pressure by marking use of the same magnetrons used as commercial microwave ovens. Most of the magnetrons are operated at the frequency of 2.45 GHz; the magnetron power microwave is about 1kW. Electromagnetic waves from the magnetrons propagate through a shorted waveguide. Plasma was generated under a resonant condition, by an auxiliary ignition system. The plasma is stabilized by vortex stabilization. Also, a high-power and high-efficiency microwave plasma-torch has been operated in air by combining two microwave plasma sources with 1kW, 2.45 GHz. They are arranged in series to generate a high-power plasma flame. The second torch adds all its power to the plasma flame of the first torch. Basically, electromagnetic waves in the waveguide were studied by a High Frequency Structure Simulator (HFSS) code and preliminary experiments were conducted

  19. Dynamics of ion beam charge neutralization by ferroelectric plasma sources

    Energy Technology Data Exchange (ETDEWEB)

    Stepanov, Anton D.; Gilson, Erik P.; Grisham, Larry R.; Kaganovich, Igor D.; Davidson, Ronald C. [Princeton Plasma Physics Laboratory, Princeton University, P.O. Box 451, Princeton, New Jersey 08543 (United States)

    2016-04-15

    Ferroelectric Plasma Sources (FEPSs) can generate plasma that provides effective space-charge neutralization of intense high-perveance ion beams, as has been demonstrated on the Neutralized Drift Compression Experiment NDCX-I and NDCX-II. This article presents experimental results on charge neutralization of a high-perveance 38 keV Ar{sup +} beam by a plasma produced in a FEPS discharge. By comparing the measured beam radius with the envelope model for space-charge expansion, it is shown that a charge neutralization fraction of 98% is attainable with sufficiently dense FEPS plasma. The transverse electrostatic potential of the ion beam is reduced from 15 V before neutralization to 0.3 V, implying that the energy of the neutralizing electrons is below 0.3 eV. Measurements of the time-evolution of beam radius show that near-complete charge neutralization is established ∼5 μs after the driving pulse is applied to the FEPS and can last for 35 μs. It is argued that the duration of neutralization is much longer than a reasonable lifetime of the plasma produced in the sub-μs surface discharge. Measurements of current flow in the driving circuit of the FEPS show the existence of electron emission into vacuum, which lasts for tens of μs after the high voltage pulse is applied. It is argued that the beam is neutralized by the plasma produced by this process and not by a surface discharge plasma that is produced at the instant the high-voltage pulse is applied.

  20. Microwave power coupling in a surface wave excited plasma

    Directory of Open Access Journals (Sweden)

    Satyananda Kar

    2015-01-01

    Full Text Available In recent decades, different types of plasma sources have been used for various types of plasma processing, such as, etching and thin film deposition. The critical parameter for effective plasma processing is high plasma density. One type of high density plasma source is Microwave sheath-Voltage combination Plasma (MVP. In the present investigation, a better design of MVP source is reported, in which over-dense plasma is generated for low input microwave powers. The results indicate that the length of plasma column increases significantly with increase in input microwave power.

  1. Atmospheric pressure plasma cleaning of contamination surfaces. 1997 mid-year progress report

    International Nuclear Information System (INIS)

    Hicks, R.; Selwyn, G.S.

    1997-01-01

    'Goals of the project are to (1) identify the key physics and chemistry underlying the use of high pressure plasmas for etching removal of actinides and actinide surrogates; and (2) identify key surface reactions and plasma physics necessary for optimization of the atmospheric pressure plasma jet. Technical description of the work decommissioning of transuranic waste (TRU) into low-level radioactive waste (LLW) represents the largest cleanup cost associated with the nuclear weapons complex. This work is directed towards developing a low-cost plasma technology capable of converting TRU into LLW, based upon highly selective plasma etching of plutonium and other actinides from contaminated surfaces. In this way, only the actinide material is removed, leaving the surface less contaminated. The plasma etches actinide material by producing a volatile halide compound, which may be efficiently trapped using filters. To achieve practical, low-cost operation of a plasma capable of etching actinide materials, the authors have developed a y-mode, resonant-cavity, atmospheric pressure plasma jet (APPJ). In contrast to conventional, low pressure plasmas, the APPJ produces a purely-chemical effluent free of ions, and so achieves very high selectivity and produces negligible damage to the surface. Since the jet operates outside a chamber, many nuclear wastes may be treated including machinery, duct-work, concrete and other building materials. In some cases, it may be necessary to first remove paint from contaminated surfaces using a plasma selective for that surface, then to switch to the actinide etching chemistry for removal of actinide contamination. The goal of this work is to develop the underlying science required for maturation of this technology and to establish early version engineering prototypes. Accomplishments to Date The authors have made significant progress in this program. The work conducted jointly at Los Alamos and at UCLA. This has been facilitated by exchange

  2. Atmospheric pressure plasma cleaning of contamination surfaces. 1997 mid-year progress report

    Energy Technology Data Exchange (ETDEWEB)

    Selwyn, G.S. [Los Alamos National Lab., NM (US); Hicks, R. [Univ. of California, Los Angeles, CA (US)

    1997-06-01

    'Goals of the project are to (1) identify the key physics and chemistry underlying the use of high pressure plasmas for etching removal of actinides and actinide surrogates; and (2) identify key surface reactions and plasma physics necessary for optimization of the atmospheric pressure plasma jet. Technical description of the work decommissioning of transuranic waste (TRU) into low-level radioactive waste (LLW) represents the largest cleanup cost associated with the nuclear weapons complex. This work is directed towards developing a low-cost plasma technology capable of converting TRU into LLW, based upon highly selective plasma etching of plutonium and other actinides from contaminated surfaces. In this way, only the actinide material is removed, leaving the surface less contaminated. The plasma etches actinide material by producing a volatile halide compound, which may be efficiently trapped using filters. To achieve practical, low-cost operation of a plasma capable of etching actinide materials, the authors have developed a y-mode, resonant-cavity, atmospheric pressure plasma jet (APPJ). In contrast to conventional, low pressure plasmas, the APPJ produces a purely-chemical effluent free of ions, and so achieves very high selectivity and produces negligible damage to the surface. Since the jet operates outside a chamber, many nuclear wastes may be treated including machinery, duct-work, concrete and other building materials. In some cases, it may be necessary to first remove paint from contaminated surfaces using a plasma selective for that surface, then to switch to the actinide etching chemistry for removal of actinide contamination. The goal of this work is to develop the underlying science required for maturation of this technology and to establish early version engineering prototypes. Accomplishments to Date The authors have made significant progress in this program. The work conducted jointly at Los Alamos and at UCLA. This has been facilitated by

  3. Production and transport chemistry of atomic fluorine in remote plasma source and cylindrical reaction chamber

    International Nuclear Information System (INIS)

    Gangoli, S P; Johnson, A D; Fridman, A A; Pearce, R V; Gutsol, A F; Dolgopolsky, A

    2007-01-01

    Increasingly, NF 3 -based plasmas are being used in semiconductor manufacturing to clean chemical vapour deposition (CVD) chambers. With advantages such as faster clean times, substantially lower emissions of gases having high global warming potentials, and reduced chamber damage, NF 3 plasmas are now favoured over fluorocarbon-based processes. Typically, a remote plasma source (RPS) is used to dissociate the NF 3 gas and produce atomic fluorine that etches the CVD residues from the chamber surfaces. However, it is important to efficiently transport F atoms from the plasma source into the process chamber. The current work is aimed at understanding and improving the key processes involved in the production and transport of atomic fluorine atoms. A zero-dimensional model of NF 3 dissociation and F production chemistry in the RPS is developed based on various known and derived plasma parameters. Additionally, a model describing the transport of atomic fluorine is proposed that includes both physical (diffusion, adsorption and desorption) and chemical processes (surface and three-body volume recombination). The kinetic model provides an understanding of the impact of chamber geometry, gas flow rates, pressure and temperature on fluorine recombination. The plasma-kinetic model is validated by comparing model predictions (percentage F atom density) with experimental results (etch rates)

  4. Pulsed, atmospheric pressure plasma source for emission spectrometry

    Science.gov (United States)

    Duan, Yixiang; Jin, Zhe; Su, Yongxuan

    2004-05-11

    A low-power, plasma source-based, portable molecular light emission generator/detector employing an atmospheric pressure pulsed-plasma for molecular fragmentation and excitation is described. The average power required for the operation of the plasma is between 0.02 W and 5 W. The features of the optical emission spectra obtained with the pulsed plasma source are significantly different from those obtained with direct current (dc) discharge higher power; for example, strong CH emission at 431.2 nm which is only weakly observed with dc plasma sources was observed, and the intense CN emission observed at 383-388 nm using dc plasma sources was weak in most cases. Strong CN emission was only observed using the present apparatus when compounds containing nitrogen, such as aniline were employed as samples. The present apparatus detects dimethylsulfoxide at 200 ppb using helium as the plasma gas by observing the emission band of the CH radical. When coupled with a gas chromatograph for separating components present in a sample to be analyzed, the present invention provides an apparatus for detecting the arrival of a particular component in the sample at the end of the chromatographic column and the identity thereof.

  5. Consideration of beam plasma ion-source

    International Nuclear Information System (INIS)

    Sano, Fumimichi; Kusano, Norimasa; Ishida, Yoshihiro; Ishikawa, Junzo; Takagi, Toshinori

    1976-01-01

    Theoretical and experimental analyses and their comparison were made on the plasma generation and on the beam extraction for the beam plasma ion-source. The operational principle and the structure of the ion-source are explained in the first part. Considerations are given on the electron beam-plasma interaction and the resulting generation of high frequency or microwaves which in turn increases the plasma density. The flow of energy in this system is also explained in the second part. The relation between plasma density and the imaginary part of frequency is given by taking the magnetic flux density, the electron beam energy, and the electron beam current as parameters. The relations between the potential difference between collector and drift tube and the plasma density or the ion-current are also given. Considerations are also given to the change of the plasma density due to the change of the magnetic flux density at drift tube, the change of the electron beam energy, and the change of the electron beam current. The third part deals with the extraction characteristics of the ion beam. The structure of the multiple-aperture electrode and the relation between plasma density and the extracted ion current are explained. (Aoki, K.)

  6. Plasma properties of a modified beam-plasma type ion source

    International Nuclear Information System (INIS)

    Ishikawa, Junzo; Sano, Fumimichi; Tsuji, Hiroshi; Ektessabi, A.M.; Takagi, Toshinori

    1978-01-01

    The properties of the plasma produced by beam-plasma discharge were experimentally investigated. The ion source used for this work consists of three parts, that is, the ion-extracting region with an electron gun, the drift space and the collector region. Primary and secondary electron beams are injected in to the drift tube. The interaction between plasma and these electron beams causes production of high density plasma by virtue of the beam-plasma discharge. The gas inlet is located in the middle of the drift tube, so that the gas conductance is high. The energy of the primary and secondary electron beams is transferred to that microwaves through beam-plasma interaction. The microwaves heat the plasma electrons by the cyclotron resonance or other mechanism. The amount of the energetic plasma electrons is much larger than that of the beam electrons, so that neutral gas is ionized. The density of the produced plasma is 10 2 or 10 3 times as large as the plasma produced by impact ionization. With a probe located in the middle of the drift tube, the plasma density and the electron temperature can be measured, and the power and spectra of the microwaves can be detected. The microwave oscillation, the primary electron beam characteristics, and the gas pressure characteristics were studied. Larger current of the high energy primary of secondary electron beam is required for the effective discharge. The ion source has to be operated at the minimum gas pressure. The length of beam-plasma interaction and the magnetic field intensity in the drift tube are also important parameters. (Kato, T.)

  7. Sheath physics and materials science results from recent plasma source ion implantation experiments

    International Nuclear Information System (INIS)

    Conrad, J.R.; Radtke, J.L.; Dodd, R.A.; Worzala, F.J.

    1987-01-01

    Plasma Source Ion Implantation (PSII) is a surface modification technique which has been optimized for ion-beam processing of materials. PSII departs radically from conventional implantation by circumventing the line of sight restriction inherent in conventional ion implantation. The authors used PSII to implant cutting tools and dies and have demonstrated substantial improvements in lifetime. Recent results on plasma physics scaling laws, microstructural, mechanical, and tribological properties of PSII-implanted materials are presented

  8. Measurement of performance parameters of plasma source for plasma opening switch on Qiangguang-Ⅰ generator

    International Nuclear Information System (INIS)

    Luo Weixi; Zeng Zhengzhong; Lei Tianshi; Wang Liangping; Hu Yixiang; Sun Tieping; Huang Tao

    2012-01-01

    The plasma source (cable guns) of the plasma opening switch (POS) on Qiangguang Ⅰ generator was chosen as the study object. The plasma source performance was investigated by using charge collectors. Experimental results show that the plasma ejection density is positively correlated with the structural parameter, the distance between gun core tip and muzzle plane, and the plasma ejection velocity is negatively correlated with the parameter. The increasing rate of plasma ejection density is less than that of drive current. As far as a plasma source with tens of cable plasma guns is concerned, the influence of single cable gun's discharge dispersancy on plasma uniformity is little. Analysis of uncertainty shows that the uncertainty of measurement can be reduced by increasing the number of experiments and averaging the results. The combined standard uncertainty of plasma ejection density is less than 10%. (authors)

  9. Effect of the Various Impedances on Longitudinal Beam Stability in the CERN SPS

    CERN Document Server

    Lasheen, Alexandre; Repond, Joël; Shaposhnikova, Elena

    2016-01-01

    The High Luminosity (HL)-LHC project at CERN aims at a luminosity increase by a factor ten and one of the necessary ingredients is doubling the bunch intensity to 2.4x10¹¹ ppb for beams with 25 ns bunch spacing. Many improvements are already foreseen in the frame of the LHC Injector Upgrade (LIU) project, but probably this intensity would still not be reachable in the SPS due to longitudinal instabilities. Recently a lot of effort went into finding the impedance sources of the instabilities. Particle simulations based on the latest SPS impedance model are now able to reproduce the measured instability thresholds and were used to determine the most critical impedance sources by removing them one by one from the model. It was found that impedance of vacuum flanges and of the already damped 630 MHz HOM of the main RF system gave for 72 bunches the comparable intensity thresholds. Possible intensity gains are defined for realistic impedance modifications and for various beam configurations (number of bunches, l...

  10. Ultrasound enhanced plasma surface modification at atmospheric pressure

    DEFF Research Database (Denmark)

    Kusano, Yukihiro; Singh, Shailendra Vikram; Norrman, Kion

    and the material surface, and thus many reactive species generated in the plasma can reach the surface before inactivated, and be efficiently utilized for surface modification. In the present work polyester plates are treated using a dielectric barrier discharge (DBD) and a gliding arc at atmospheric pressure......Atmospheric pressure plasma treatment can be highly enhanced by simultaneous high-power ultrasonic irradiation onto the treating surface. It is because ultrasonic waves with a sound pressure level (SPL) above approximately 140 dB can reduce the thickness of a boundary gas layer between the plasma...... irradiation, the water contact angle dropped markedly, and tended to decrease furthermore at higher power. The ultrasonic irradiation during the plasma treatment consistently improved the wettability. Oxygen containing polar functional groups were introduced at the surface by the plasma treatment...

  11. Design of a UHV-compatible rf plasma source and its application to self-assembled layers of CoPt3 nanoparticles

    International Nuclear Information System (INIS)

    Gehl, B.; Leist, U.; Aleksandrovic, V.; Nickut, P.; Zielasek, V.; Weller, H.; Al-Shamery, K.; Baeumer, M.

    2006-01-01

    A compact, versatile, and simple rf plasma source with capacitive coupling compatible to ultrahigh vacuum (UHV) requirements was designed and built to allow sequences of sample surface modification in plasma and surface preparation and analysis in vacuum without breaking the vacuum. The plasma source was operated at working pressures of less than 1 to a few millibars. Sample transfer to UHV was performed at pressures around 10 -9 mbar. For easy integration into an existing UHV setup, the sample recipient and transfer system were made to accept standard commercial sample holders. Preliminary experiments were performed by exposing monolayers of colloidal CoPt 3 nanoparticles to oxygen and hydrogen plasmas. The structural and chemical effects of the plasma treatments were analyzed with scanning electron microscopy and x-ray photoelectron spectroscopy

  12. Experimental demonstration of Martian soil simulant removal from a surface using a pulsed plasma jet

    Science.gov (United States)

    Ticoş, C. M.; Scurtu, A.; Toader, D.; Banu, N.

    2015-03-01

    A plasma jet produced in a small coaxial plasma gun operated at voltages up to 2 kV and working in pure carbon dioxide (CO2) at a few Torr is used to remove Martian soil simulant from a surface. A capacitor with 0.5 mF is charged up from a high voltage source and supplies the power to the coaxial electrodes. The muzzle of the coaxial plasma gun is placed at a few millimeters near the dusty surface and the jet is fired parallel with the surface. Removal of dust is imaged in real time with a high speed camera. Mars regolith simulant JSC-Mars-1A with particle sizes up to 5 mm is used on different types of surfaces made of aluminium, cotton fabric, polyethylene, cardboard, and phenolic.

  13. Experimental demonstration of Martian soil simulant removal from a surface using a pulsed plasma jet.

    Science.gov (United States)

    Ticoş, C M; Scurtu, A; Toader, D; Banu, N

    2015-03-01

    A plasma jet produced in a small coaxial plasma gun operated at voltages up to 2 kV and working in pure carbon dioxide (CO2) at a few Torr is used to remove Martian soil simulant from a surface. A capacitor with 0.5 mF is charged up from a high voltage source and supplies the power to the coaxial electrodes. The muzzle of the coaxial plasma gun is placed at a few millimeters near the dusty surface and the jet is fired parallel with the surface. Removal of dust is imaged in real time with a high speed camera. Mars regolith simulant JSC-Mars-1A with particle sizes up to 5 mm is used on different types of surfaces made of aluminium, cotton fabric, polyethylene, cardboard, and phenolic.

  14. Dependence of Au- production upon the target work function in a plasma-sputter-type negative ion source

    International Nuclear Information System (INIS)

    Okabe, Yushirou; Sasao, Mamiko; Fujita, Junji; Yamaoka, Hitoshi; Wada, Motoi.

    1991-01-01

    A method to measure the work function of the target surface in a plasma-sputter-type negative ion source has been developed. The method can determine the work function by measuring the photoelectric current induced by two lasers (He-Ne, Ar + laser). The dependence of Au - production upon the work function of the target surface in the ion source was studied using this method. The time variation of the target work function and Au - production rate were measured during the cesium coverage decrease due to the plasma ion sputtering. The observed minimum work function of a cesiated gold surface in an Ar plasma was 1.3 eV. At the same time, the negative ion production rate (Au - current/target current) took the maximum value. The negative ion production rate indicated the same dependence on the incident ion energy as that of the sputtering rate when the work function was constant. (author)

  15. Microwave interferometry of PEOS plasma sources

    International Nuclear Information System (INIS)

    Weber, B.V.; Commisso, R.J.; Goodrich, P.J.; Hinshelwood, D.D.; Neri, J.M.

    1988-01-01

    A 70 GHz microwave interferometer is used to measure the electron density for various configurations of sources used in plasma erosion opening switch (PEOS) experiments. The interferometer is a phase quadrature system, so the density can be measured as a function of time without ambiguity. Measurements have been made for carbon guns and flashboards driven by a .6 μF. 25 kV capacitor. The plasma density from a gun rises to its peak value in about 10 μs. Then decays in the next 40 μs. A metal screen placed between the gun and the microwave beam attenuates the plasma density by a factor greater than the geometrical transparency of the screen. Density measurements as a function of distance from the gun are analyzed to give the plasma spatial dependence, and the particle flux density and flow velocity are calculated from the continuity equation. Density values used to model previous PEOS experiments are comparable to the values measured here. The flashboard sources produce a denser, faster plasma that is more difficult to diagnose with the interferometer than the gun plasma because of refractive bending of the microwave beam. Reducing the plasma length reduces the refractive bending enough that some measurements are possible. Direct comparison with Gamble II PEOS experiments that used these flashboard sources may not be possible at this frequency because of refraction, but estimates based on measurements at larger distances give reasonable agreement with values used to model these experiments. Other measurements that will be presented include the effects of plasma flow against metal walls, effects of changing the driving current waveform, measurements made in actual experimental configurations and comparisons with Faraday cup and electric probe measurements

  16. Beam Losses and Lifetime of the LHC Beam in the SPS

    CERN Document Server

    Bohl, T; Shaposhnikova, Elena; Tückmantel, Joachim

    2006-01-01

    Studies of the LHC beam loss in the SPS started in 2003 [1], [2] and continued in 2004. The flat bottom losses strongly depend on the batch intensity and the RF voltage. For beam with the 75 ns spacing at the same bunch intensity they are smaller than for the 25 ns spaced bunches. Large voltage on the flat bottom together with some optimum voltage at injection helps to reduce losses. Analysis of data from 2003 has shown that observations are compatible with a diffusion like process on the flat bottom. Therefore significant time during 2004 was devoted to studies of possible RF noise sources. However the main improvement in beam lifetime on the flat bottom was observed after a change in the working point in the transverse plane (MD on 1.09.2004). In this Note we present measurements of beam loss and lifetime done during several dedicated SPS MDs for different conditions in the ring. Analysis of beam coasts will be presented separately.

  17. Physics of the quark - gluon plasma

    International Nuclear Information System (INIS)

    2001-09-01

    This document gathers 31 contributions to the workshop on the physics of quark-gluon plasma that took place in Palaiseau in september 2001: 1) gamma production in heavy collisions, 2) BRAHMS, 3) experimental conference summary, 4) modelling relativistic nuclear collisions, 5) microscopic reaction dynamics at SPS and RHIC, 6) direct gamma and hard scattering at SPS, 7) soft physics at RHIC, 8) results from the STAR experiment, 9) quarkonia: experimental possibilities, 10) elliptic flow measurements with PHENIX, 11) charmonium production in p-A collisions, 12) anisotropic flow at the SPS and RHIC, 13) deciphering the space-time evolution of heavy ion collisions with correlation measurements, 14) 2-particle correlation at RHIC, 15) particle spectra at AGS, SPS and RHIC, 16) strangeness production in STAR, 17) strangeness production in Pb-Pb collisions at SPS, 18) heavy ion physics at CERN after 2000 and before LHC, 19) NEXUS guideline and theoretical consistency, 20) introduction to high p T physics at RHIC, 21) a novel quasiparticle description of the quark-gluon plasma, 22) dissociation of excited quarkonia states, 23) high-mass dimuon and B → J/Ψ production in ultrarelativistic heavy ion collisions, 24) strange hyperon production in p + p and p + Pb interactions from NA49, 25) heavy quarkonium hadron cross-section, 26) a new method of flow analysis, 27) low mass dilepton production and chiral symmetry restoration, 28) classical initial conditions for nucleus-nucleus collisions, 29) numerical calculation of quenching weights, 30) strangeness enhancement energy dependence, and 31) heavy quarkonium dissociation

  18. Physics of the quark - gluon plasma

    Energy Technology Data Exchange (ETDEWEB)

    NONE

    2001-09-01

    This document gathers 31 contributions to the workshop on the physics of quark-gluon plasma that took place in Palaiseau in september 2001: 1) gamma production in heavy collisions, 2) BRAHMS, 3) experimental conference summary, 4) modelling relativistic nuclear collisions, 5) microscopic reaction dynamics at SPS and RHIC, 6) direct gamma and hard scattering at SPS, 7) soft physics at RHIC, 8) results from the STAR experiment, 9) quarkonia: experimental possibilities, 10) elliptic flow measurements with PHENIX, 11) charmonium production in p-A collisions, 12) anisotropic flow at the SPS and RHIC, 13) deciphering the space-time evolution of heavy ion collisions with correlation measurements, 14) 2-particle correlation at RHIC, 15) particle spectra at AGS, SPS and RHIC, 16) strangeness production in STAR, 17) strangeness production in Pb-Pb collisions at SPS, 18) heavy ion physics at CERN after 2000 and before LHC, 19) NEXUS guideline and theoretical consistency, 20) introduction to high p{sub T} physics at RHIC, 21) a novel quasiparticle description of the quark-gluon plasma, 22) dissociation of excited quarkonia states, 23) high-mass dimuon and B {yields} J/{psi} production in ultrarelativistic heavy ion collisions, 24) strange hyperon production in p + p and p + Pb interactions from NA49, 25) heavy quarkonium hadron cross-section, 26) a new method of flow analysis, 27) low mass dilepton production and chiral symmetry restoration, 28) classical initial conditions for nucleus-nucleus collisions, 29) numerical calculation of quenching weights, 30) strangeness enhancement energy dependence, and 31) heavy quarkonium dissociation.

  19. Performance evaluation of a permanent ring magnet based helicon plasma source for negative ion source research

    Science.gov (United States)

    Pandey, Arun; Bandyopadhyay, M.; Sudhir, Dass; Chakraborty, A.

    2017-10-01

    Helicon wave heated plasmas are much more efficient in terms of ionization per unit power consumed. A permanent magnet based compact helicon wave heated plasma source is developed in the Institute for Plasma Research, after carefully optimizing the geometry, the frequency of the RF power, and the magnetic field conditions. The HELicon Experiment for Negative ion-I source is the single driver helicon plasma source that is being studied for the development of a large sized, multi-driver negative hydrogen ion source. In this paper, the details about the single driver machine and the results from the characterization of the device are presented. A parametric study at different pressures and magnetic field values using a 13.56 MHz RF source has been carried out in argon plasma, as an initial step towards source characterization. A theoretical model is also presented for the particle and power balance in the plasma. The ambipolar diffusion process taking place in a magnetized helicon plasma is also discussed.

  20. MAGNUM-PSI, a plasma generator for plasma-surface interaction research in ITER-like conditions

    International Nuclear Information System (INIS)

    Goedheer, W.J.; Rooij, G.J. van; Veremiyenko, V.; Ahmad, Z.; Barth, C.J.; Eck, H.J.N. van; Groot, B. de; Hellermann, M.G. von; Kruijtzer, G.L.; Wolff, J.C.; Brezinsek, S.; Philipps, V.; Pospieszczyk, A.; Samm, U.; Schweer, B.; Dahiya, R.P.; Engeln, R.A.H.; Schram, D.C.; Fantz, U.; Kleyn, A.W.; Lopes Cardozo, N.J.

    2005-01-01

    The FOM-Institute for Plasma Physics - together with its TEC partners - is preparing the construction of Magnum-psi, a magnetized (3 T), steady-state, large area (100 cm 2 ), high-flux (up to 10 24 H + ions m -2 s -1 ) plasma generator. The research programme of Magnum-psi will address the questions for the ITER divertor: erosion, redeposition and hydrogen retention with carbon substrates, melting of metal surfaces, erosion and redeposition with mixed materials. In order to explore and develop the techniques to be applied in Magnum-psi, a pilot experiment (Pilot-psi), operating at a magnetic field up to 1.6 Tesla, has been constructed. Pilot-psi produces a hydrogen plasma beam with the required parameters (T e ≤ 1eV and flux ≥ 10 23 m -2 s -1 ) over an area of 1 cm 2 . In this paper the results of extensive diagnostic measurements on Pilot-psi (a.o., Thomson Scattering and high-resolution spectroscopy), combined with numerical studies of the source and the expansion of the plasma will be presented to demonstrate the feasibility of the large Magnum-psi plasma generator. (author)

  1. Magnum-psi, a plasma generator for plasma-surface interaction research in ITER-like conditions

    International Nuclear Information System (INIS)

    Groot, B. de; Rooij, G.J. van; Veremiyenko, V.; Hellermann, M.G. von; Eck, H.J.N. van; Barth, C.J.; Kruijtzer, G.L.; Wolff, J.C.; Goedheer, W.J.; Lopes Cardozo, N.J.; Kleyn, A.W.; Smeets, P.H.M.; Brezinsek, S.; Pospieszczyk, A.; Engeln, R.A.H.; Dahiya, R.P.

    2005-01-01

    The FOM Institute for Plasma Physics is preparing the construction of the linear plasma generator, Magnum-psi. A pilot experiment (Pilot-psi) has been constructed, which we have used to optimize the cascaded arc plasma source and to explore the effect of high magnetic fields on the source operation as well as the expanding plasma beam and the effectiveness of Ohmic heating for manipulating the electron temperature and plasma density after the plasma expansion. Results are presented that demonstrate increasing source efficiency for increasing magnetic fields (up to 1.6 T). Thomson scattering measurements demonstrate that ITER relevant plasma fluxes are presently achieved in Pilot-psi: ∼10 24 m -2 s -1 and that additional heating could elevate the plasma temperature from 1.0 to 1.7 eV

  2. Surface type conversion of CuInSe2 with H2S plasma exposure: A photoemission investigation

    International Nuclear Information System (INIS)

    Nelson, A.J.; Frigo, S.P.; Rosenberg, R.

    1995-01-01

    Surface type conversion of CuInSe 2 by H 2 S plasma exposure was studied by synchrotron radiation soft x-ray photoemission spectroscopy. The low power H 2 S plasma was generated with a commercial electron cyclotron resonance plasma source using pure H 2 S with the plasma exposure being performed at 400 degree C. In situ photoemission measurements were acquired after each plasma exposure in order to observe changes in the valence band electronic structure as well as changes in the In 4d and Se 3d core lines. The results were correlated in order to relate changes in surface chemistry to the electronic structure. These measurements indicate that the H 2 S plasma exposure type converts the n-type CuInSe 2 surface to a p-type surface at this elevated temperature and that the magnitude of the band bending is 0.5 eV, resulting in a homojunction interface. copyright 1995 American Vacuum Society

  3. SPS injection kicker magnet

    CERN Document Server

    1975-01-01

    One of the first-generation SPS injection kicker magnets. Lifting the tank-lid reveals the inner structure. For a more detailed description see 7502072X. See also 7502074X and Annual Report 1975, p.162. To the left: Roland Tröhler; to the right: Giacomo Busetta.

  4. Pure & crystallized 2D Boron Nitride sheets synthesized via a novel process coupling both PDCs and SPS methods

    Science.gov (United States)

    Yuan, Sheng; Linas, Sébastien; Journet, Catherine; Steyer, Philippe; Garnier, Vincent; Bonnefont, Guillaume; Brioude, Arnaud; Toury, Bérangère

    2016-02-01

    Within the context of emergent researches linked to graphene, it is well known that h-BN nanosheets (BNNSs), also referred as 2D BN, are considered as the best candidate for replacing SiO2 as dielectric support or capping layers for graphene. As a consequence, the development of a novel alternative source for highly crystallized h-BN crystals, suitable for a further exfoliation, is a prime scientific issue. This paper proposes a promising approach to synthesize pure and well-crystallized h-BN flakes, which can be easily exfoliated into BNNSs. This new accessible production process represents a relevant alternative source of supply in response to the increasing need of high quality BNNSs. The synthesis strategy to prepare pure h-BN is based on a unique combination of the Polymer Derived Ceramics (PDCs) route with the Spark Plasma Sintering (SPS) process. Through a multi-scale chemical and structural investigation, it is clearly shown that obtained flakes are large (up to 30 μm), defect-free and well crystallized, which are key-characteristics for a subsequent exfoliation into relevant BNNSs.

  5. Plasma Surface Interactions Common to Advanced Fusion Wall Materials and EUV Lithography - Lithium and Tin

    Science.gov (United States)

    Ruzic, D. N.; Alman, D. A.; Jurczyk, B. E.; Stubbers, R.; Coventry, M. D.; Neumann, M. J.; Olczak, W.; Qiu, H.

    2004-09-01

    Advanced plasma facing components (PFCs) are needed to protect walls in future high power fusion devices. In the semiconductor industry, extreme ultraviolet (EUV) sources are needed for next generation lithography. Lithium and tin are candidate materials in both areas, with liquid Li and Sn plasma material interactions being critical. The Plasma Material Interaction Group at the University of Illinois is leveraging liquid metal experimental and computational facilities to benefit both fields. The Ion surface InterAction eXperiment (IIAX) has measured liquid Li and Sn sputtering, showing an enhancement in erosion with temperature for light ion bombardment. Surface Cleaning of Optics by Plasma Exposure (SCOPE) measures erosion and damage of EUV mirror samples, and tests cleaning recipes with a helicon plasma. The Flowing LIquid surface Retention Experiment (FLIRE) measures the He and H retention in flowing liquid metals, with retention coefficients varying between 0.001 at 500 eV to 0.01 at 4000 eV.

  6. Design of a helicon plasma source for ion–ion plasma production

    Energy Technology Data Exchange (ETDEWEB)

    Sharma, N., E-mail: narayan.sharma@cppipr.res.in; Chakraborty, M.; Neog, N.K.; Bandyopadhyay, M.

    2017-04-15

    Highlights: • Development of a helicon plasma system to carry out ion–ion plasma studies in electronegative gases such as Hydrogen, Oxygen and Chlorine. • Determination of initial parameters of helicon plasma source for ion–ion plasma by using dispersion relation of bounded helicon waves. • Design and development of solenoid with magnetic field strength production capability of ∼ 600 G along the axis of the chamber. • Optimization of the chamber parameters using Helic codes and estimation of optimum attainable density. • Estimation of RF power requirements for various gases. - Abstract: A helicon plasma system is being designed and developed at CPP-IPR. The design parameters of the system are deduced from the dispersion relation of bounded helicon waves and the required magnetic fields are simulated by using Poisson Superfish code. The Helic code is used to simulate the power deposition profile for various conditions and to investigate the optimum values of chamber parameters for effective coupling of radio frequency (RF) power to plasma. The helicon source system is aimed at carrying out ion–ion plasma studies in electronegative gases such as Hydrogen, Oxygen and Chlorine. The system mainly consists of a source chamber in which helicon plasma will be produced by injecting RF power at a frequency of 13.56 MHz through a right helical antenna in presence of a DC magnetic field followed by an expansion chamber in which it is expected to produce negative ions along with the positive ions. Installation of the various parts of the system is in progress. The details of the design and development of the system is presented in this article.

  7. The role of surface currents in plasma confinement

    International Nuclear Information System (INIS)

    Webster, Anthony J.

    2011-01-01

    During plasma instabilities, ''surface currents'' can flow at the interface between the plasma and the surrounding vacuum, and in most cases, they are a harmless symptom of the instability that is causing them. Large instabilities can lead to ''disruptions,'' an abrupt termination of the plasma with the potential to damage the machine in which it is contained. For disruptions, the correct calculation of surface currents is thought to be essential for modelling disruptions properly. Recently, however, there has been debate and disagreement about the correct way to calculate surface currents. The purpose of this paper is to clarify as simply as possible the role of surface currents for plasma confinement and to show that a commonly used representation for surface currents σ-vector with σ-vector=∇I and n-vector, I a scalar function, and n-vector the unit normal to the plasma surface, is only appropriate for the calculation of surface currents that are in magnetohydrodynamic equilibrium. Fortunately, this is the situation thought to be of most relevance for disruption calculations.

  8. Impedance Characterisation of the SPS Wire Scanner

    CERN Document Server

    AUTHOR|(CDS)2091911; Prof. Sillanpää, Mika

    As a beam diagnostic tool, the SPS wire scanner interacts with the proton bunches traversing the vacuum pipes of the Super Proton Synchrotron particle accelerator. Following the interaction, the bunches decelerate or experience momentum kicks off-axis and couple energy to the cavity walls, resonances and to the diagnostic tool, the scanning wire. The beam coupling impedance and, in particular, the beam induced heating of the wire motivate the characterisation and redesign of the SPS wire scanner. In this thesis, we characterise RF-wise the low frequency modes of the SPS wire scanner. These have the highest contribution to the impedance. We measure the cavity modes in terms of resonance frequency and quality factor by traditional measurement techniques and data analysis. We carry out a 4-port measurement to evaluate the beam coupling to the scanning wire, that yields the spectral heating power. If combined with the simulations, one is able to extract the beam coupling impedance and deduce the spectral dissipa...

  9. Modifications to the SPS LSS6 Septa for LHC and the SPS Septa Diluters

    CERN Document Server

    Borburgh, Y; Goddard, B; Kadi, Y

    2006-01-01

    The Large Hadron Collider required the modification of the existing extraction channel in the long straight section (LSS) 6 of the CERN Super Proton Synchrotron (SPS), including the suppression of the electrostatic wire septa. The newly set up fast extraction will be used to transfer protons at 450 GeV/c as well as ions via the 2.9 km long transfer line TI 2 to Ring 1 of the LHC. The girder of the existing SPS DC septa was modified to accommodate a new septum protection element. Changes were also applied to the septum diluter in the fast extraction channel in LSS4, leading to the other LHC ring and the CNGS facility. The requirements and the layout of the new LSS6 extraction channel will be described including a discussion of the design and performance of the installed septum diluters.

  10. Electroreflectance and the problem of studying plasma-surface interactions

    International Nuclear Information System (INIS)

    Preppernau, B.L.

    1995-01-01

    A long standing problem in low-temperature plasma discharge physics is to understand in detail the mutual interaction of real exposed surfaces (electrodes) with the reactive plasma environment. In particular, one wishes to discern the influence of these surfaces on the plasma parameters given their contributions from secondary electrons and ions. This paper briefly reviews the known surface interaction processes as well as currently available diagnostics to study the interface between plasmas and surfaces. Next comes a discussion describing the application of plasma-modulated electroreflectance to this research and some potential experimental techniques

  11. Construction of a high beta plasma source

    International Nuclear Information System (INIS)

    Naraghi, M.; Torabi-Fard, A.

    1976-02-01

    A high beta plasma source has been designed and constructed. This source will serve as a means of developing and exercising different diagnostic techniques as required for ALVAND I, linear theta pinch experiment. Also, it will serve to acquaint the technicians with some of the techniques and safety rules of high voltage and capacitor discharge experiments. The operating parameters of the theta pinch and Z-pinch preionization is presented and the program of diagnostic measurements on the high beta plasma source is discussed

  12. SPS slow extraction septa

    CERN Multimedia

    CERN PhotoLab

    1979-01-01

    SPS long straight section (LSS) with a series of 5 septum tanks for slow extraction (view in the direction of the proton beam). There are 2 of these: in LSS2, towards the N-Area; in LSS6 towards the W-Area. See also Annual Report 1975, p.175.

  13. A high-current pulsed cathodic vacuum arc plasma source

    International Nuclear Information System (INIS)

    Oates, T.W.H.; Pigott, J.; Mckenzie, D.R.; Bilek, M.M.M.

    2003-01-01

    Cathodic vacuum arcs (CVAs) are well established as a method for producing metal plasmas for thin film deposition and as a source of metal ions. Fundamental differences exist between direct current (dc) and pulsed CVAs. We present here results of our investigations into the design and construction of a high-current center-triggered pulsed CVA. Power supply design based on electrolytic capacitors is discussed and optimized based on obtaining the most effective utilization of the cathode material. Anode configuration is also discussed with respect to the optimization of the electron collection capability. Type I and II cathode spots are observed and discussed with respect to cathode surface contamination. An unfiltered deposition rate of 1.7 nm per pulse, at a distance of 100 mm from the source, has been demonstrated. Instantaneous plasma densities in excess of 1x10 19 m -3 are observed after magnetic filtering. Time averaged densities an order of magnitude greater than common dc arc densities have been demonstrated, limited by pulse repetition rate and filter efficiency

  14. Stacks of SPS Dipole Magnets

    CERN Multimedia

    1974-01-01

    Stacks of SPS Dipole Magnets ready for installation in the tunnel. The SPS uses a separated function lattice with dipoles for bending and quadrupoles for focusing. The 6.2 m long normal conducting dipoles are of H-type with coils that are bent-up at the ends. There are two types, B1 (total of 360) and B2 (384). Both are for a maximum field of 1.8 Tesla and have the same outer dimensions (450x800 mm2 vxh) but with different gaps (B1: 39x129 mm2, B2: 52x92 mm2) tailored to the beam size. The yoke, made of 1.5 mm thick laminations, consists of an upper and a lower half joined together in the median plane once the coils have been inserted.

  15. Development of the front end test stand and vessel for extraction and source plasma analyses negative hydrogen ion sources at the Rutherford Appleton Laboratory

    Energy Technology Data Exchange (ETDEWEB)

    Lawrie, S. R., E-mail: scott.lawrie@stfc.ac.uk [STFC ISIS Pulsed Spallation Neutron and Muon Facility, Rutherford Appleton Laboratory, Harwell Oxford, Harwell (United Kingdom); John Adams Institute of Accelerator Science, University of Oxford, Oxford (United Kingdom); Faircloth, D. C.; Letchford, A. P.; Perkins, M.; Whitehead, M. O.; Wood, T. [STFC ISIS Pulsed Spallation Neutron and Muon Facility, Rutherford Appleton Laboratory, Harwell Oxford, Harwell (United Kingdom); Gabor, C. [ASTeC Intense Beams Group, Rutherford Appleton Laboratory, Harwell Oxford, Harwell (United Kingdom); Back, J. [High Energy Physics Department, University of Warwick, Coventry (United Kingdom)

    2014-02-15

    The ISIS pulsed spallation neutron and muon facility at the Rutherford Appleton Laboratory (RAL) in the UK uses a Penning surface plasma negative hydrogen ion source. Upgrade options for the ISIS accelerator system demand a higher current, lower emittance beam with longer pulse lengths from the injector. The Front End Test Stand is being constructed at RAL to meet the upgrade requirements using a modified ISIS ion source. A new 10% duty cycle 25 kV pulsed extraction power supply has been commissioned and the first meter of 3 MeV radio frequency quadrupole has been delivered. Simultaneously, a Vessel for Extraction and Source Plasma Analyses is under construction in a new laboratory at RAL. The detailed measurements of the plasma and extracted beam characteristics will allow a radical overhaul of the transport optics, potentially yielding a simpler source configuration with greater output and lifetime.

  16. Surface modification of gutta-percha cones by non-thermal plasma

    Energy Technology Data Exchange (ETDEWEB)

    Prado, Maíra, E-mail: maira@metalmat.ufrj.br [Department of Metallurgic and Materials Engineering, Federal University of Rio de Janeiro – UFRJ, Rio de Janeiro, RJ (Brazil); Menezes, Marilia Santana de Oliveira [Department of Metallurgic and Materials Engineering, Federal University of Rio de Janeiro – UFRJ, Rio de Janeiro, RJ (Brazil); Gomes, Brenda Paula Figueiredo de Almeida [Department of Restorative Dentistry, Endodontics Division, Piracicaba Dental School, State University of Campinas - UNICAMP, Piracicaba, SP (Brazil); Barbosa, Carlos Augusto de Melo [Department of Clinical Dentistry, Endodontic Division, Federal University of Rio de Janeiro – UFRJ, Rio de Janeiro, RJ (Brazil); Athias, Leonardo [Social Indicators Division, Brazilian Institute of Geography and Statistics, Rio de Janeiro, RJ (Brazil); Simão, Renata Antoun [Department of Metallurgic and Materials Engineering, Federal University of Rio de Janeiro – UFRJ, Rio de Janeiro, RJ (Brazil)

    2016-11-01

    This study was designed to evaluate the effects of Oxygen and Argon plasma on gutta-percha surfaces. A total of 185 flat smooth gutta-percha surfaces were used. Samples were divided into groups: control: no plasma treatment; Oxygen: treatment with Oxygen plasma for 1 min; Argon: treatment with Argon plasma for 1 min. Samples were evaluated topographically by scanning electron microscopy and atomic force microscopy; and chemically by Fourier Transform-infrared Spectroscopy. A goniometer was used to determine the surface free energy and the wettability of the endodontic sealers. Additionally 60 bovine teeth were filled using pellets of gutta-percha (control, oxygen and argon plasma) and the sealers. Teeth were evaluated by push-out and microleakage tests. Data were statistically analyzed using specific tests. Argon plasma did not change the surface topography, while Oxygen plasma led to changes. Both treatments chemically modified the gutta-percha surface. Argon and Oxygen plasma increased the surface free energy and favored the wettability of AH Plus and Pulp Canal Sealer EWT. Regarding bond strength analysis, for AH Plus sealer, both plasma treatments on gutta-percha favored the bond strength to dentin. However, for Pulp Canal Sealer, there is no statistically significant influence. For leakage test, dye penetration occurred between sealer and dentin in all groups. In conclusion, Oxygen plasma led to both topographic and chemical changes in the gutta-percha surface, while Argon plasma caused only chemical changes. Both treatments increased the surface free energy, favoring the wettability of AH Plus and Pulp Canal Sealer EWT sealers and influenced positively in the adhesion and leakage. - Highlights: • Argon plasma did not change the gutta-percha surface. • Oxygen plasma led to topographic changes. • Both treatments chemically modified the gutta-percha surface. • Treatments increased the surface free energy and favored the wettability of sealers. • Plasma

  17. Surface modification of gutta-percha cones by non-thermal plasma

    International Nuclear Information System (INIS)

    Prado, Maíra; Menezes, Marilia Santana de Oliveira; Gomes, Brenda Paula Figueiredo de Almeida; Barbosa, Carlos Augusto de Melo; Athias, Leonardo; Simão, Renata Antoun

    2016-01-01

    This study was designed to evaluate the effects of Oxygen and Argon plasma on gutta-percha surfaces. A total of 185 flat smooth gutta-percha surfaces were used. Samples were divided into groups: control: no plasma treatment; Oxygen: treatment with Oxygen plasma for 1 min; Argon: treatment with Argon plasma for 1 min. Samples were evaluated topographically by scanning electron microscopy and atomic force microscopy; and chemically by Fourier Transform-infrared Spectroscopy. A goniometer was used to determine the surface free energy and the wettability of the endodontic sealers. Additionally 60 bovine teeth were filled using pellets of gutta-percha (control, oxygen and argon plasma) and the sealers. Teeth were evaluated by push-out and microleakage tests. Data were statistically analyzed using specific tests. Argon plasma did not change the surface topography, while Oxygen plasma led to changes. Both treatments chemically modified the gutta-percha surface. Argon and Oxygen plasma increased the surface free energy and favored the wettability of AH Plus and Pulp Canal Sealer EWT. Regarding bond strength analysis, for AH Plus sealer, both plasma treatments on gutta-percha favored the bond strength to dentin. However, for Pulp Canal Sealer, there is no statistically significant influence. For leakage test, dye penetration occurred between sealer and dentin in all groups. In conclusion, Oxygen plasma led to both topographic and chemical changes in the gutta-percha surface, while Argon plasma caused only chemical changes. Both treatments increased the surface free energy, favoring the wettability of AH Plus and Pulp Canal Sealer EWT sealers and influenced positively in the adhesion and leakage. - Highlights: • Argon plasma did not change the gutta-percha surface. • Oxygen plasma led to topographic changes. • Both treatments chemically modified the gutta-percha surface. • Treatments increased the surface free energy and favored the wettability of sealers. • Plasma

  18. A users view of the SPS and LEP control systems

    International Nuclear Information System (INIS)

    Bailey, R.

    1992-01-01

    Every accelerator has a control system; at present the SPS has two, both of which are needed to run the machine. Consequently a user of the SPS/LEP complex has to be concurrently familiar with three control systems. While this situation brings problems it allows, even forces, comparison between the different systems, which in turn enriches the user viewpoint. This paper assesses the SPS and LEP control systems from the point of view of the user, who may be an equipment specialist, operator, accelerator physicist or combinations thereof. (author)

  19. Plasma-based EUV light source

    Science.gov (United States)

    Shumlak, Uri; Golingo, Raymond; Nelson, Brian A.

    2010-11-02

    Various mechanisms are provided relating to plasma-based light source that may be used for lithography as well as other applications. For example, a device is disclosed for producing extreme ultraviolet (EUV) light based on a sheared plasma flow. The device can produce a plasma pinch that can last several orders of magnitude longer than what is typically sustained in a Z-pinch, thus enabling the device to provide more power output than what has been hitherto predicted in theory or attained in practice. Such power output may be used in a lithography system for manufacturing integrated circuits, enabling the use of EUV wavelengths on the order of about 13.5 nm. Lastly, the process of manufacturing such a plasma pinch is discussed, where the process includes providing a sheared flow of plasma in order to stabilize it for long periods of time.

  20. Surface interaction of polyimide with oxygen ECR plasma

    International Nuclear Information System (INIS)

    Naddaf, M.; Balasubramanian, C.; Alegaonkar, P.S.; Bhoraskar, V.N.; Mandle, A.B.; Ganeshan, V.; Bhoraskar, S.V.

    2004-01-01

    Polyimide (Kapton-H), was subjected to atomic oxygen from an electron cyclotron resonance plasma. An optical emission spectrometer was used to characterize the atomic oxygen produced in the reactor chamber. The energy of the ions was measured using a retarding field analyzer, placed near the substrate. The density of atomic oxygen in the plasma was estimated using a nickel catalytic probe. The surface wettability of the polyimide samples monitored by contact angle measurements showed considerable improvement when treated with plasma. X-ray photoelectron spectroscopy and Fourier transform infrared spectroscopic studies showed that the atomic oxygen in the plasma is the main specie affecting the surface chemistry and adhesion properties of polyimide. The improvement in the surface wettability is attributed to the high degree of cross-linking and large concentration of polar groups generated in the surface region of polyimide, after plasma treatment. The changes in the surface region of polyimide were observed by atomic force microscopic analysis

  1. Surface interaction of polyimide with oxygen ECR plasma

    Science.gov (United States)

    Naddaf, M.; Balasubramanian, C.; Alegaonkar, P. S.; Bhoraskar, V. N.; Mandle, A. B.; Ganeshan, V.; Bhoraskar, S. V.

    2004-07-01

    Polyimide (Kapton-H), was subjected to atomic oxygen from an electron cyclotron resonance plasma. An optical emission spectrometer was used to characterize the atomic oxygen produced in the reactor chamber. The energy of the ions was measured using a retarding field analyzer, placed near the substrate. The density of atomic oxygen in the plasma was estimated using a nickel catalytic probe. The surface wettability of the polyimide samples monitored by contact angle measurements showed considerable improvement when treated with plasma. X-ray photoelectron spectroscopy and Fourier transform infrared spectroscopic studies showed that the atomic oxygen in the plasma is the main specie affecting the surface chemistry and adhesion properties of polyimide. The improvement in the surface wettability is attributed to the high degree of cross-linking and large concentration of polar groups generated in the surface region of polyimide, after plasma treatment. The changes in the surface region of polyimide were observed by atomic force microscopic analysis.

  2. Modification of polycarbonate surface in oxidizing plasma

    Science.gov (United States)

    Ovtsyn, A. A.; Smirnov, S. A.; Shikova, T. G.; Kholodkov, I. V.

    2017-11-01

    The properties of the surface of the film polycarbonate Lexan 8010 were experimentally studied after treatment in a DC discharge plasma in oxygen and air at pressures of 50-300 Pa and a discharge current of 80 mA. The contact angles of wetting and surface energies are measured. The topography of the surface was investigated by atomic force microscopy. The chemical composition of the surface was determined from the FT-IR spectroscopy data in the variant of total internal reflection, as well as X-ray photoelectron spectroscopy. Treatment in the oxidizing plasma leads to a change in morphology (average roughness increases), an increase in the surface energy, and the concentration of oxygen-containing groups (hydroxyl groups, carbonyl groups in ketones or aldehydes and in oxyketones) on the surface of the polymer. Possible reasons for the difference in surface properties of polymer under the action of oxygen and air plasma on it are discussed.

  3. Upgrade of the CERN SPS Extraction Protection Elements TPS

    CERN Document Server

    Borburgh, Jan; Barnes, Michael; Baud, Cedric; Fraser, Matthew; Kain, Verena; Maciariello, Fausto; Steele, Genevieve; Velotti, Francesco

    2017-01-01

    In 2006 the protection devices upstream of the septa in both extraction channels of the CERN SPS to the LHC were installed. Since then, new beam parameters have been proposed for the SPS beam towards the LHC in the framework of the LIU project. The mechanical parameters and assumptions on which these protection devices presently have been based, need validation before the new upgraded versions can be designed and constructed. The paper describes the design assumptions for the present protection device and the testing program for the TPSG4 at HiRadMat to validate them. Finally the requirements and the options to upgrade both extraction protection elements in the SPS are described.

  4. Preparation of Ag-containing diamond-like carbon films on the interior surface of tubes by a combined method of plasma source ion implantation and DC sputtering

    Science.gov (United States)

    Hatada, R.; Flege, S.; Bobrich, A.; Ensinger, W.; Dietz, C.; Baba, K.; Sawase, T.; Watamoto, T.; Matsutani, T.

    2014-08-01

    Adhesive diamond-like carbon (DLC) films can be prepared by plasma source ion implantation (PSII), which is also suitable for the treatment of the inner surface of a tube. Incorporation of a metal into the DLC film provides a possibility to change the characteristics of the DLC film. One source for the metal is DC sputtering. In this study PSII and DC sputtering were combined to prepare DLC films containing low concentrations of Ag on the interior surfaces of stainless steel tubes. A DLC film was deposited using a C2H4 plasma with the help of an auxiliary electrode inside of the tube. This electrode was then used as a target for the DC sputtering. A mixture of the gases Ar and C2H4 was used to sputter the silver. By changing the gas flow ratios and process time, the resulting Ag content of the films could be varied. Sample characterizations were performed by X-ray photoelectron spectroscopy, secondary ion mass spectrometry, atomic force microscopy and Raman spectroscopy. Additionally, a ball-on-disk test was performed to investigate the tribological properties of the films. The antibacterial activity was determined using Staphylococcus aureus bacteria.

  5. Thermal Conductivity Analysis and Lifetime Testing of Suspension Plasma-Sprayed Thermal Barrier Coatings

    Directory of Open Access Journals (Sweden)

    Nicholas Curry

    2014-08-01

    Full Text Available Suspension plasma spraying (SPS has become an interesting method for the production of thermal barrier coatings for gas turbine components. The development of the SPS process has led to structures with segmented vertical cracks or column-like structures that can imitate strain-tolerant air plasma spraying (APS or electron beam physical vapor deposition (EB-PVD coatings. Additionally, SPS coatings can have lower thermal conductivity than EB-PVD coatings, while also being easier to produce. The combination of similar or improved properties with a potential for lower production costs makes SPS of great interest to the gas turbine industry. This study compares a number of SPS thermal barrier coatings (TBCs with vertical cracks or column-like structures with the reference of segmented APS coatings. The primary focus has been on lifetime testing of these new coating systems. Samples were tested in thermo-cyclic fatigue at temperatures of 1100 °C for 1 h cycles. Additional testing was performed to assess thermal shock performance and erosion resistance. Thermal conductivity was also assessed for samples in their as-sprayed state, and the microstructures were investigated using SEM.

  6. The SPS was the second cross-border accelerator

    CERN Multimedia

    2004-01-01

    In the Golden Jubilee article in the issue 25/2004 (14 June) we should have written that the SPS was the first big cross-border accelerator. In fact, the SPS was not the first cross-border accelerator: that title goes to the PS Booster which became operational in 1972. The PS Booster passes beams to the PS and to the ISOLDE facility. We are sorry for the mistake and thank our vigilant readers.

  7. Physics at the AD/PS/SPS (1/4)

    CERN Multimedia

    CERN. Geneva

    2012-01-01

    Lecture 1: The CERN injector complex and beams for non-LHC physics. The various machines and beam lines in the CERN injector complex are presented, from the linacs to the SPS. Special emphasis is given to the beam lines at the PS and SPS machines: AD, North and East Areas, nTOF and CNGS and HiRadMad as well as the ion beams. A short outlook is given to possible future upgrades and projects.

  8. Magnetohydrodynamic simulation study of plasma jets and plasma-surface contact in coaxial plasma accelerators

    Science.gov (United States)

    Subramaniam, Vivek; Raja, Laxminarayan L.

    2017-06-01

    Recent experiments by Loebner et al. [IEEE Trans. Plasma Sci. 44, 1534 (2016)] studied the effect of a hypervelocity jet emanating from a coaxial plasma accelerator incident on target surfaces in an effort to mimic the transient loading created during edge localized mode disruption events in fusion plasmas. In this paper, we present a magnetohydrodynamic (MHD) numerical model to simulate plasma jet formation and plasma-surface contact in this coaxial plasma accelerator experiment. The MHD system of equations is spatially discretized using a cell-centered finite volume formulation. The temporal discretization is performed using a fully implicit backward Euler scheme and the resultant stiff system of nonlinear equations is solved using the Newton method. The numerical model is employed to obtain some key insights into the physical processes responsible for the generation of extreme stagnation conditions on the target surfaces. Simulations of the plume (without the target plate) are performed to isolate and study phenomena such as the magnetic pinch effect that is responsible for launching pressure pulses into the jet free stream. The simulations also yield insights into the incipient conditions responsible for producing the pinch, such as the formation of conductive channels. The jet-target impact studies indicate the existence of two distinct stages involved in the plasma-surface interaction. A fast transient stage characterized by a thin normal shock transitions into a pseudo-steady stage that exhibits an extended oblique shock structure. A quadratic scaling of the pinch and stagnation conditions with the total current discharged between the electrodes is in qualitative agreement with the results obtained in the experiments. This also illustrates the dominant contribution of the magnetic pressure term in determining the magnitude of the quantities of interest.

  9. Electron acceleration by surface plasma waves in double metal surface structure

    Science.gov (United States)

    Liu, C. S.; Kumar, Gagan; Singh, D. B.; Tripathi, V. K.

    2007-12-01

    Two parallel metal sheets, separated by a vacuum region, support a surface plasma wave whose amplitude is maximum on the two parallel interfaces and minimum in the middle. This mode can be excited by a laser using a glass prism. An electron beam launched into the middle region experiences a longitudinal ponderomotive force due to the surface plasma wave and gets accelerated to velocities of the order of phase velocity of the surface wave. The scheme is viable to achieve beams of tens of keV energy. In the case of a surface plasma wave excited on a single metal-vacuum interface, the field gradient normal to the interface pushes the electrons away from the high field region, limiting the acceleration process. The acceleration energy thus achieved is in agreement with the experimental observations.

  10. Tune Resonance Phenomena in the SPS and related Machine Protection

    CERN Document Server

    Baer, Tobias; Elsen, E

    2010-01-01

    The Super Proton Synchrotron (SPS) at CERN, with a peak energy of 450 GeV, is at the top of the LHC preaccelerator complex. SPS is at present the accelerator with the largest stored beam energy worldwide of up to 2.5 MJ. In 2008, a fast equipment failure led to an uncontrolled loss of a high intensity beam at an integer tune resonance, which resulted in major damage of a main dipole. Experimental studies and simulations provide clear understanding of the beam dynamics at different SPS tune resonances, that can lead to a complete beam loss in as little as 3 turns. Dedicated experiments of fast failures of the main power converters reveal that the current interlock systems are much too slow for an adequate machine protection. A new position interlock system, which is currently in the commissioning phase, will counteract the vulnerability of the SPS.

  11. Pulsed Plasma Electron Sources

    Science.gov (United States)

    Krasik, Yakov

    2008-11-01

    Pulsed (˜10-7 s) electron beams with high current density (>10^2 A/cm^2) are generated in diodes with electric field of E > 10^6 V/cm. The source of electrons in these diodes is explosive emission plasma, which limits pulse duration; in the case E Saveliev, J. Appl. Phys. 98, 093308 (2005). Ya. E. Krasik, A. Dunaevsky, and J. Felsteiner, Phys. Plasmas 8, 2466 (2001). D. Yarmolich, V. Vekselman, V. Tz. Gurovich, and Ya. E. Krasik, Phys. Rev. Lett. 100, 075004 (2008). J. Z. Gleizer, Y. Hadas and Ya. E. Krasik, Europhysics Lett. 82, 55001 (2008).

  12. SPS RF Accelerating Cavity

    CERN Multimedia

    1979-01-01

    This picture shows one of the 2 new cavities installed in 1978-1979. The main RF-system of the SPS comprises four cavities: two of 20 m length and two of 16.5 m length. They are all installed in one long straight section (LSS 3). These cavities are of the travelling-wave type operating at a centre frequency of 200.2 MHz. They are wideband, filling time about 700 ns and untuned. The power amplifiers, using tetrodes are installed in a surface building 200 m from the cavities. Initially only two cavities were installed, a third cavity was installed in 1978 and a forth one in 1979. The number of power amplifiers was also increased: to the first 2 MW plant a second 2 MW plant was added and by end 1979 there were 8 500 kW units combined in pairs to feed each of the 4 cavities with up to about 1 MW RF power, resulting in a total accelerating voltage of about 8 MV. See also 7412016X, 7412017X, 7411048X

  13. Microstructure and thermoelectric properties of β-FeSi2 ceramics fabricated by hot-pressing and spark plasma sintering

    International Nuclear Information System (INIS)

    Qu Xiurong; Lue Shuchen; Hu Jianmin; Meng Qingyu

    2011-01-01

    Highlights: → With increasing hot-pressing (HP) temperature, the thermoelectric figure of merit of β-FeSi 2 ceramics is improved slightly. → The grain size of the sample sintered by the spark plasma sintering (SPS) process is smaller than that by the HP process. → The SPS sample shows excellent thermoelectric performance attributed to low thermal conductivity. - Abstract: The microstructure and thermoelectric properties of β-FeSi 2 ceramics by hot pressing (HP) and spark plasma sintering (SPS) are investigated. With increasing hot-pressing temperature, the density, electronic conductivity and thermal conductivity of the samples increase significantly, the thermoelectric figure of merit is improved slightly. The microstructure study indicates that the sizes of the β-FeSi 2 and ε-FeSi phases in the sample sintered by the SPS process are smaller than that by the HP process. The SPS sample shows excellent thermoelectric performance due to the low thermal conductivity.

  14. Osteoblast response to oxygen functionalised plasma polymer surfaces

    International Nuclear Information System (INIS)

    Kelly, Jonathan M.

    2001-01-01

    Thin organic films with oxygen-carbon functionalities were deposited from plasmas containing vapour of the small organic compounds: allyI alcohol, methyl vinyl ketone and acrylic acid with octadiene. Characterisation of the deposits was carried out using X-ray photoelectron spectroscopy, in conjunction with chemical derivatisation, and this showed that plasma polymers retained high levels of original monomer functionality when the plasmas were sustained at low power for a given monomer vapour flow rate. High levels of attachment of rat osteosarcoma (ROS 17/2.8) cells were observed on surfaces that had high concentrations of hydroxyl and carbonyl functionalities and intermediate concentrations of carboxyl functionality. Cells did not attach to the octadiene plasma polymer. Cell attachment to carboxyl and methyl functionalised self-assembled monolayers increased with increasing concentration of surface carboxyl groups. Adsorption of the extracellular matrix protein fibronectin to acrylic acid/octadiene plasma copolymers was studied by enzyme linked immunosorbent assays and by I 125 radiolabelling. Fibronectin adsorbed in largest amounts to surfaces with intermediate concentrations of carboxyl functionality. Spreading of ROS cells and rat bone marrow stromal cells (BMSC) was characterised by computer image analysis. Cell spreading in media containing 10% serum, on a surface deposited from a plasma of 5 O/o acrylic acid was much greater than on the octadiene plasma polymer while most extensive cell spreading was observed on these surfaces when preadsorbed with fibronectin. Growth (proliferation) of BMSC was assessed over nine days and was found to be faster on an 50% acrylic acid plasma polymer than on tissue culture polystyrene or a hydrocarbon plasma polymer, though cell growth was fastest on fibronectin precoated substrates. Expression of cellular alkaline phosphatase, collagen and calcium reached similar levels on the 50% acrylic acid plasma polymer, tissue culture

  15. Osteoblast response to oxygen functionalised plasma polymer surfaces

    Energy Technology Data Exchange (ETDEWEB)

    Kelly, Jonathan M

    2001-07-01

    Thin organic films with oxygen-carbon functionalities were deposited from plasmas containing vapour of the small organic compounds: allyI alcohol, methyl vinyl ketone and acrylic acid with octadiene. Characterisation of the deposits was carried out using X-ray photoelectron spectroscopy, in conjunction with chemical derivatisation, and this showed that plasma polymers retained high levels of original monomer functionality when the plasmas were sustained at low power for a given monomer vapour flow rate. High levels of attachment of rat osteosarcoma (ROS 17/2.8) cells were observed on surfaces that had high concentrations of hydroxyl and carbonyl functionalities and intermediate concentrations of carboxyl functionality. Cells did not attach to the octadiene plasma polymer. Cell attachment to carboxyl and methyl functionalised self-assembled monolayers increased with increasing concentration of surface carboxyl groups. Adsorption of the extracellular matrix protein fibronectin to acrylic acid/octadiene plasma copolymers was studied by enzyme linked immunosorbent assays and by I{sup 125} radiolabelling. Fibronectin adsorbed in largest amounts to surfaces with intermediate concentrations of carboxyl functionality. Spreading of ROS cells and rat bone marrow stromal cells (BMSC) was characterised by computer image analysis. Cell spreading in media containing 10% serum, on a surface deposited from a plasma of 5 O/o acrylic acid was much greater than on the octadiene plasma polymer while most extensive cell spreading was observed on these surfaces when preadsorbed with fibronectin. Growth (proliferation) of BMSC was assessed over nine days and was found to be faster on an 50% acrylic acid plasma polymer than on tissue culture polystyrene or a hydrocarbon plasma polymer, though cell growth was fastest on fibronectin precoated substrates. Expression of cellular alkaline phosphatase, collagen and calcium reached similar levels on the 50% acrylic acid plasma polymer, tissue

  16. Analysis of the tuning characteristics of microwave plasma source

    International Nuclear Information System (INIS)

    Miotk, Robert; Jasiński, Mariusz; Mizeraczyk, Jerzy

    2016-01-01

    In this paper, we present an analysis of the tuning characteristics of waveguide-supplied metal-cylinder-based nozzleless microwave plasma source. This analysis has enabled to estimate the electron concentration n_e and electron frequency collisions ν in the plasma generated in nitrogen and in a mixture of nitrogen and ethanol vapour. The parameters n_e and ν are the basic quantities that characterize the plasma. The presented new plasma diagnostic method is particularly useful, when spectroscopic methods are useless. The presented plasma source is currently used in research of a hydrogen production from liquids.

  17. Traveling-wave laser-produced-plasma energy source for photoionization laser pumping and lasers incorporating said

    Science.gov (United States)

    Sher, Mark H.; Macklin, John J.; Harris, Stephen E.

    1989-09-26

    A traveling-wave, laser-produced-plasma, energy source used to obtain single-pass gain saturation of a photoionization pumped laser. A cylindrical lens is used to focus a pump laser beam to a long line on a target. Grooves are cut in the target to present a surface near normal to the incident beam and to reduce the area, and hence increase the intensity and efficiency, of plasma formation.

  18. Plasma diagnostics surface analysis and interactions

    CERN Document Server

    Auciello, Orlando

    2013-01-01

    Plasmas and their interaction with materials have become subjects of major interest because of their importance in modern forefront technologies such as microelectronics, fusion energy, and space. Plasmas are used in microelectronics to process semiconductors (etching of patterns for microcircuits, plasma-induced deposition of thin films, etc.); plasmas produce deleterious erosion effects on surfaces of materials used for fusion devices and spaceships exposed to the low earth environment.Diagnostics of plasmas and materials exposed to them are fundamental to the understanding of the physical a

  19. Evaluation of surface fractal dimension of carbon for plasma-facing material damaged by hydrogen plasma

    International Nuclear Information System (INIS)

    Nishino, Nobuhiro

    1997-01-01

    The surface structure of the plasma facing materials (PFM) changes due to plasma-surface interaction in a nuclear fusion reactor. Usually B 4 C coated graphite block are used as PFM. In this report, the surface fractal was applied to study the surface structure of plasma-damaged PFM carbon. A convenient flow-type adsorption apparatus was developed to evaluate the surface fractal dimension of materials. Four branched alkanol molecules with different apparent areas were used as the probe adsorbates. The samples used here were B 4 C coated isotopic graphite which were subjected to hydrogen plasma for various periods of exposure. The monolayer capacities of these samples for alkanols were determined by applying BET theory. The surface fractal dimension was calculated using the monolayer capacities and molecular areas for probe molecules and was found to increase from 2 to 3 with the plasma exposure time. (author)

  20. Tungsten-microdiamond composites for plasma facing components

    International Nuclear Information System (INIS)

    Livramento, V.; Nunes, D.; Correia, J.B.; Carvalho, P.A.; Mardolcar, U.; Mateus, R.; Hanada, K.; Shohoji, N.; Fernandes, H.; Silva, C.; Alves, E.

    2011-01-01

    Tungsten is considered as one of promising candidate materials for plasma facing component in nuclear fusion reactors due to its resistance to sputtering and high melting point. High thermal conductivity is also a prerequisite for plasma facing components under the unique service environment of fusion reactor characterised by the massive heat load, especially in the divertor area. The feasibility of mechanical alloying of nanodiamond and tungsten, and the consolidation of the composite powders with Spark Plasma Sintering (SPS) was previously demonstrated. In the present research we report on the use of microdiamond instead of nanodiamond in such composites. Microdiamond is more favourable than nanodiamond in view of phonon transport performance leading to better thermal conductivity. However, there is a trade off between densification and thermal conductivity as the SPS temperature increases tungsten carbide formation from microdiamond is accelerated inevitably while the consolidation density would rise.

  1. Removal of Microbial Contamination from Surface by Plasma

    Science.gov (United States)

    Feng, Xinxin; Liu, Hongxia; Shen, Zhenxing; Wang, Taobo

    2018-01-01

    Microbial contamination is closely associated with human and environmental health, they can be tested on food surfaces, medical devices, packing material and so on. In this paper the removal of the microbial contamination from surface using plasma treatment is investigated. The Escherichia coli (E. coli) has been chosen as a bio-indicator enabling to evaluate the effect of plasma assisted microbial inactivation. Oxygen gas was as the working gas. The plasma RF power, plasma exposition time, gas flow and the concentration of organic pollutant were varied in order to see the effect of the plasma treatment on the Gram-negative germ removal. After the treatment, the microbial abatement was evaluated by the standard plate count method. This proved a positive effect of the plasma treatment on Gram-negative germ removal. The kinetics and mathematical model of removal were studied after plasma treatment, and then the removing course of E. coli was analyzed. This work is meaningful for deepening our understanding of the fundamental scientific principles regarding microbial contamination from surface by plasma.

  2. Ultraviolet out-of-band radiation studies in laser tin plasma sources

    Science.gov (United States)

    Parchamy, Homaira; Szilagyi, John; Masnavi, Majid; Richardson, Martin

    2017-11-01

    Out-of-band long wavelength emission measurements from high power, high-repetition-rate extreme-ultra-violet lithography (EUVL) laser plasma sources are imperative to estimating heat deposition in EUV mirrors, and the impact of short wavelength light transported through the imaging system to the wafer surface. This paper reports a series of experiments conducted to measure the absolute spectral irradiances of laser-plasmas produced from planar tin targets over the wavelength region of 124 to 164 nm by 1.06 μm wavelength, 10 ns full-width-at-half-maximum Gaussian laser pulses. The use of spherical targets is relevant to the EUVL source scenario. Although plasmas produced from planar surfaces evolve differently, there is a close similarity to the evolution of current from 10.6 μm CO2 laser EUVL sources, which use a pre-pulse from a lower energy solid-state laser to melt and reform an initial spherical droplet into a thin planar disc target. The maximum of radiation conversion efficiency in the 124-164 nm wavelength band (1%/2πsr) occurs at the laser intensity of 1010 W cm-2. A developed collisional-radiative model reveals the strong experimental spectra that originate mainly from the 4d105p2-4d105s5p, 4d105p-4d105s resonance lines, and 4d95p-4d95s unresolved transition arrays from Sn III, Sn IV, and Sn V ions, respectively. The calculated conversion efficiencies using a 2D radiation-hydrodynamics model are in agreement with the measurements. The model predicts the out-of-band (100-400 nm) radiation conversion efficiencies generated by both 1.06 and 10.6 μm pulses. The 10.6 μm laser pulse produces a higher conversion efficiency (12%/2πsr) at the lower laser intensity of 109 W cm-2.

  3. Surface morphology changes to tungsten under exposure to He ions from an electron cyclotron resonance plasma source

    Science.gov (United States)

    Donovan, David; Maan, Anurag; Duran, Jonah; Buchenauer, Dean; Whaley, Josh

    2015-11-01

    Exposure of tungsten to low energy (ALMT ITER grade tungsten samples. A similar He plasma exposure stage has now been developed at the University of Tennessee-Knoxville with an improved compact ECR plasma source. Status of the new UTK exposure stage will be discussed as well as planned experiments and new material characterization techniques (EBSD, GIXRD). Work supported by US DOE Contract DE-AC04-94AL85000 and the PSI Science Center.

  4. Plasma transferred arc surface modification of atmospheric plasma sprayed ceramic coatings

    Energy Technology Data Exchange (ETDEWEB)

    Ulutan, Mustafa; Kilicay, Koray; Kaya, Esad; Bayar, Ismail [Dept. of Mechanical Engineering, Eskisehir Osmangazi University, Eskisehir (Turkmenistan)

    2016-08-15

    In this study, a 90MnCrV8 steel surface was coated with aluminum oxide and chromium oxide powders through the Atmospheric plasma spray (APS) and Plasma transferred arc (PTA) methods. The effects of PTA surface melting on the microstructure, hardness, and wear behavior were investigated. The microstructures of plasma-sprayed and modified layers were characterized by Optical microscopy (OM), Scanning electron microscopy (SEM) and Energy dispersive X-ray spectroscopy (EDS). The dry-sliding wear properties of the samples were determined through the ball-on-disk wear test method. Voids, cracks, and nonhomogeneous regions were observed in the microstructure of the APS ceramic-coated surface. These microstructure defects were eliminated by the PTA welding process. The microhardness of the samples was increased. Significant reductions in wear rate were observed after the PTA surface modification. The wear resistance of ceramic coatings increased 7 to 12 times compared to that of the substrate material.

  5. SPS in training for LHC

    CERN Document Server

    2003-01-01

    On 8 and 9 September the new beam extraction system of the SPS and the downstream transfer line were successfully commissioned and tested. Using this extraction, a beam will be sent towards LHC in 2004 and to the CNGS facility in 2006.

  6. Selective propagation and beam splitting of surface plasmons on metallic nanodisk chains.

    Science.gov (United States)

    Hu, Yuhui; Zhao, Di; Wang, Zhenghan; Chen, Fei; Xiong, Xiang; Peng, Ruwen; Wang, Mu

    2017-05-01

    Manipulating the propagation of surface plasmons (SPs) on a nanoscale is a fundamental issue of nanophotonics. By using focused electron beam, SPs can be excited with high spatial accuracy. Here we report on the propagation of SPs on a chain of gold nanodisks with cathodoluminescence (CL) spectroscopy. Experimental evidence for the propagation of SPs excited by the focused electron beam is demonstrated. The wavelength of the transmitted SPs depends on the geometrical parameters of the nanodisk chain. Furthermore, we design and fabricate a beam splitter, which selectively transmits SPs of certain wavelengths to a specific direction. By scanning the sample surface point by point and collecting the CL spectra, we obtain the spectral mapping and identify that the chain of the smaller nanodisks can efficiently transport SPs at shorter wavelengths. This Letter provides a unique approach to manipulate in-plane propagation of SPs.

  7. RF plasma source for heavy ion beam charge neutralization

    International Nuclear Information System (INIS)

    Efthimion, Philip C.; Gilson, Erik; Grisham, Larry; Davidson, Ronald C.; Yu, Simon S.; Logan, B. Grant

    2003-01-01

    Highly ionized plasmas are being used as a medium for charge neutralizing heavy ion beams in order to focus the ion beam to a small spot size. A radio frequency (RF) plasma source has been built at the Princeton Plasma Physics Laboratory (PPPL) in support of the joint Neutralized Transport Experiment (NTX) at the Lawrence Berkeley National Laboratory (LBNL) to study ion beam neutralization with plasma. The goal is to operate the source at pressures ∼ 10 -5 Torr at full ionization. The initial operation of the source has been at pressures of 10 -4 -10 -1 Torr and electron densities in the range of 10 8 -10 11 cm -3 . Recently, pulsed operation of the source has enabled operation at pressures in the 10 -6 Torr range with densities of 10 11 cm -3 . Near 100% ionization has been achieved. The source has been integrated with the NTX facility and experiments have begun

  8. The SPS acceleration system: travelling wave drift-tube structure for the CERN SPS

    International Nuclear Information System (INIS)

    Dome, G.

    1976-01-01

    The SPS accelerating structure is essentially a high energy proton linac, except for a small frequency swing during the acceleration cycle. It is operated almost CW with a travelling wave giving an energy gain around 0.1 MeV/m. The guide-lines for the design of such a structure are explained, and practical solutions are described. (author)

  9. Characterization of an electrothermal plasma source for fusion transient simulations

    Science.gov (United States)

    Gebhart, T. E.; Baylor, L. R.; Rapp, J.; Winfrey, A. L.

    2018-01-01

    The realization of fusion energy requires materials that can withstand high heat and particle fluxes at the plasma material interface. In this work, an electrothermal (ET) plasma source has been designed as a transient heat flux source for a linear plasma material interaction device. An ET plasma source operates in the ablative arc regime driven by a DC capacitive discharge. The current channel width is defined by the 4 mm bore of a boron nitride liner. At large plasma currents, the arc impacts the liner wall, leading to high particle and heat fluxes to the liner material, which subsequently ablates and ionizes. This results in a high density plasma with a large unidirectional bulk flow out of the source exit. The pulse length for the ET source has been optimized using a pulse forming network to have durations of 1 and 2 ms. The peak currents and maximum source energies seen in this system are 1.9 kA and 1.2 kJ for the 2 ms pulse and 3.2 kA and 2.1 kJ for the 1 ms pulse, respectively. This work is a proof of the principal project to show that an ET source produces electron densities and heat fluxes comparable to those anticipated in transient events in large future magnetic confinement fusion devices. Heat flux, plasma temperature, and plasma density were determined for each shot using infrared imaging and optical spectroscopy techniques. This paper will discuss the assumptions, methods, and results of the experiments.

  10. A high-intensity plasma-sputter heavy negative ion source

    International Nuclear Information System (INIS)

    Alton, G.D.; Mori, Y.; Takagi, A.; Ueno, A.; Fukumoto, S.

    1989-01-01

    A multicusp magnetic field plasma surface ion source, normally used for H/sup /minus//ion beam formation, has been modified for the generation of high-intensity, pulsed, heavy negative ion beams suitable for a variety of uses. To date, the source has been utilized to produce mA intensity pulsed beams of more than 24 species. A brief description of the source, and basic pulsed-mode operational data, (e.g., intensity versus cesium oven temperature, sputter probe voltage, and discharge pressure), are given. In addition, illustrative examples of intensity versus time and the mass distributions of ion beams extracted from a number of samples along with emittance data, are also presented. Preliminary results obtained during dc operation of the source under low discharge power conditions suggest that sources of this type may also be used to produce high-intensity (mA) dc beams. The results of these investigations are given, as well, and the technical issues that must be addressed for this mode of operation are discussed. 15 refs., 10 figs., 2 tabs

  11. Analysis of the tuning characteristics of microwave plasma source

    Energy Technology Data Exchange (ETDEWEB)

    Miotk, Robert, E-mail: rmiotk@imp.gda.pl; Jasiński, Mariusz [Centre for Plasma and Laser Engineering, The Szewalski Institute of Fluid-Flow Machinery, Polish Academy of Sciences, Fiszera 14, 80-231 Gdańsk (Poland); Mizeraczyk, Jerzy [Department of Marine Electronics, Gdynia Maritime University, Morska 81-87, 81-225 Gdynia (Poland)

    2016-04-15

    In this paper, we present an analysis of the tuning characteristics of waveguide-supplied metal-cylinder-based nozzleless microwave plasma source. This analysis has enabled to estimate the electron concentration n{sub e} and electron frequency collisions ν in the plasma generated in nitrogen and in a mixture of nitrogen and ethanol vapour. The parameters n{sub e} and ν are the basic quantities that characterize the plasma. The presented new plasma diagnostic method is particularly useful, when spectroscopic methods are useless. The presented plasma source is currently used in research of a hydrogen production from liquids.

  12. The environmental impact of SPS - A social view

    International Nuclear Information System (INIS)

    Canough, G.E.; Lehman, L.P.

    1991-01-01

    Prospective solar power satellite (SPS) systems entail extensive evaluation of real and perceived environmental impact, in virtue of their extremely high publicity profile. Attention is presently given to current understanding of SPS microwave power beaming system operations' effects on terrestrial communications and biological systems, as well as the associated consequences of a lengthy, heavy-payload launch program on space debris scenarios and stratospheric pollution by the launch vehicles' propellant combustion products. Education of the public in these issues is stressed. 7 refs

  13. Studies of electron cyclotron resonance ion source plasma physics

    International Nuclear Information System (INIS)

    Tarvainen, O.

    2005-01-01

    This thesis consists of an introduction to the plasma physics of electron cyclotron resonance ion sources (ECRIS) and a review of the results obtained by the author and co-workers including discussion of related work by others. The thesis begins with a theoretical discussion dealing with plasma physics relevant for the production of highly charged ions in ECR ion source plasmas. This is followed by an overview of different techniques, such as gas mixing and double frequency heating, that can be used to improve the performance of this type of ion source. The experimental part of the work consists of studies related to ECRIS plasma physics. The effect of the gas mixing technique on the production efficiency of different ion beams was studied with both gaseous and solid materials. It was observed that gas mixing improves the confinement of the heavier element while the confinement of the lighter element is reduced. When the effect of gas mixing on MIVOC-plasmas was studied with several mixing gases it was observed that applying this technique can reduce the inevitable carbon contamination by a significant factor. In order to understand the different plasma processes taking place in ECRIS plasmas, a series of plasma potential and emittance measurements was carried out. An instrument, which can be used to measure the plasma potential in a single measurement without disturbing the plasma, was developed for this work. Studying the plasma potential of ECR ion sources is important not only because it helps to understand different plasma processes, but also because the information can be used as an input parameter for beam transport simulations and ion source extraction design. The experiments performed have revealed clear dependencies of the plasma potential on certain source parameters such as the amount of carbon contamination accumulated on the walls of the plasma chamber during a MIVOC-run. It was also observed that gas mixing affects not only the production efficiency

  14. Development of a long-slot microwave plasma source

    Energy Technology Data Exchange (ETDEWEB)

    Kuwata, Y., E-mail: euo1304@mail4.doshisha.ac.jp; Kasuya, T.; Miyamoto, N.; Wada, M. [Graduate School of Science and Engineering, Doshisha University, Kyotanabe, Kyoto 610-0321 (Japan)

    2016-02-15

    A 20 cm long 10 cm wide microwave plasma source was realized by inserting two 20 cm long 1.5 mm diameter rod antennas into the plasma. Plasma luminous distributions around the antennas were changed by magnetic field arrangement created by permanent magnets attached to the source. The distributions appeared homogeneous in one direction along the antenna when the spacing between the antenna and the source wall was 7.5 mm for the input microwave frequency of 2.45 GHz. Plasma density and temperature at a plane 20 cm downstream from the microwave shield were measured by a Langmuir probe array at 150 W microwave power input. The measured electron density and temperature varied over space from 3.0 × 10{sup 9} cm{sup −3} to 5.8 × 10{sup 9} cm{sup −3}, and from 1.1 eV to 2.1 eV, respectively.

  15. Structural and magnetic studies on spark plasma sintered SmCo{sub 5}/Fe bulk nanocomposite magnets

    Energy Technology Data Exchange (ETDEWEB)

    Rama Rao, N.V. [Defence Metallurgical Research Laboratory, Hyderabad 500 058 (India); Gopalan, R. [Defence Metallurgical Research Laboratory, Hyderabad 500 058 (India)]. E-mail: rg_gopy@yahoo.com; Manivel Raja, M. [Defence Metallurgical Research Laboratory, Hyderabad 500 058 (India); Chandrasekaran, V. [Defence Metallurgical Research Laboratory, Hyderabad 500 058 (India); Chakravarty, D. [International Advanced Research Centre for Powder Metallurgy and New Materials, Hyderabad 500 005 (India); Sundaresan, R. [International Advanced Research Centre for Powder Metallurgy and New Materials, Hyderabad 500 005 (India); Ranganathan, R. [Saha Institute of Nuclear Physics, Kolkata 700 064 (India); Hono, K. [National Institute for Materials Science, 1-2-1 Sengen, Tsukuba 305 0047 (Japan)

    2007-05-15

    SmCo{sub 5}+xwt% Fe (x=0, 5 and 10) nanocomposite powders were synthesized by mechanical milling and were consolidated into bulk shape by spark plasma sintering (SPS) technique. The evolution of structure and magnetic properties were systematically investigated in milled powders as well as in SPS samples. A maximum coercivity of 8.9kOe was achieved in spark plasma sintered SmCo{sub 5}+5wt% Fe sample. The exchange spring interaction between the hard and soft magnetic phases was evaluated using {delta}M-H measurements and the analysis revealed that the SPS sample containing 5wt% Fe had a stronger exchange coupling between the magnetic phases than that of the sample with10wt% Fe.

  16. Search for the QCD critical point at SPS energies

    CERN Document Server

    Anticic, T.; Barna, D.; Bartke, J.; Betev, L.; Bialkowska, H.; Blume, C.; Boimska, B.; Botje, M.; Bracinik, J.; Buncic, P.; Cerny, V.; Christakoglou, P.; Chung, P.; Chvala, O.; Cramer, J.G.; Csato, P.; Dinkelaker, P.; Eckardt, V.; Fodor, Z.; Foka, P.; Friese, V.; Gal, J.; Gazdzicki, M.; Genchev, V.; Gladysz, E.; Grebieszkow, K.; Hegyi, S.; Hohne, C.; Kadija, K.; Karev, A.; Kikola, D.; Kolesnikov, V.I.; Kornas, E.; Korus, R.; Kowalski, M.; Kreps, M.; Laszlo, A.; Lacey, R.; van Leeuwen, M.; Levai, P.; Litov, L.; Lungwitz, B.; Makariev, M.; Malakhov, A.I.; Mateev, M.; Melkumov, G.L.; Mischke, A.; Mitrovski, M.; Mrowczynski, St.; Palla, G.; Panagiotou, A.D.; Petridis, A.; Peryt, W.; Pikna, M.; Pluta, J.; Prindle, D.; Puhlhofer, F.; Renfordt, R.; Roland, C.; Roland, G.; Rybczynski, M.; Rybicki, A.; Sandoval, A.; Schmitz, N.; Schuster, T.; Seyboth, P.; Sikler, F.; Sitar, B.; Skrzypczak, E.; Slodkowski, M.; Stefanek, G.; Stock, R.; Strabel, C.; Strobele, H.; Susa, T.; Szentpetery, I.; Sziklai, J.; Szuba, M.; Szymanski, P.; Trubnikov, V.; Utvic, M.; Varga, D.; Vassiliou, M.; Veres, G.I.; Vesztergombi, G.; Vranic, D.; Wlodarczyk, Z.; Wojtaszek-Szwarc, A.; Yoo, I.K.; Abgrall, N.; Aduszkiewicz, A.; Andrieu, B.; Anticic, T.; Antoniou, N.; Argyriades, J.; Asryan, A.G.; Blondel, A.; Blumer, J.; Boldizsar, L.; Bravar, A.; Brzychczyk, J.; Bubak, A.; Bunyatov, S.A.; Choi, K.-U.; Chung, P.; Cleymans, J.; Derkach, D.A.; Diakonos, F.; Dominik, W.; Dumarchez, J.; Engel, R.; Ereditato, A.; Feofilov, G.A.; Ferrero, A.; Gazdzicki, M.; Golubeva, M.; Grzeszczuk, A.; Guber, F.; Hasegawa, T.; Haungs, A.; Igolkin, S.; Ivanov, A.S.; Ivashkin, A.; Katrynska, N.; Kielczewska, D.; Kisiel, J.; Kobayashi, T.; Kolev, D.; Kolevatov, R.S.; Kondratiev, V.P.; Kowalski, S.; Kurepin, A.; Lacey, R.; Lyubushkin, V.V.; Majka, Z.; Marchionni, A.; Marcinek, A.; Maris, I.; Matveev, V.; Meregaglia, A.; Messina, M.; Mijakowski, P.; Montaruli, T.; Murphy, S.; Nakadaira, T.; Naumenko, P.A.; Nikolic, V.; Nishikawa, K.; Palczewski, T.; Planeta, R.; Popov, B.A.; Posiadala, M.; Przewlocki, P.; Rauch, W.; Ravonel, M.; Rohrich, D.; Rondio, E.; Rossi, B.; Roth, M.; Rubbia, A.; Sadovsky, A.; Sakashita, K.; Sekiguchi, T.; Seyboth, P.; Shibata, M.; Sissakian, A.N.; Sorin, A.S.; Staszel, P.; Stepaniak, J.; Strabel, C.; Stroebele, H.; Tada, M.; Taranenko, A.; Tsenov, R.; Ulrich, R.; Unger, M.; Vechernin, V.V.; Zipper, W.

    2009-01-01

    Lattice QCD calculations locate the QCD critical point at energies accessible at the CERN Super Proton Synchrotron (SPS). We present average transverse momentum and multiplicity fluctuations, as well as baryon and anti-baryon transverse mass spectra which are expected to be sensitive to effects of the critical point. The future CP search strategy of the NA61/SHINE experiment at the SPS is also discussed.

  17. Oxygen Plasma Treatment of Rubber Surface by the Atmospheric Pressure Cold Plasma Torch

    DEFF Research Database (Denmark)

    Lee, Bong-ju; Kusano, Yukihiro; Kato, Nobuko

    1997-01-01

    adhesive. The adhesion property was improved by treatment of the rubber compound with plasma containing oxygen radicals. Physical and chemical changes of the rubber surface as a result of the plasma treatment were analyzed by field emission scanning electron microscopy (FE-SEM) and fourier transform......A new application of the atmospheric cold plasma torch has been investigated. Namely, the surface treatment of an air-exposed vulcanized rubber compound. The effect of plasma treatment was evaluated by the bondability of the treated rubber compound with another rubber compound using a polyurethane...

  18. Plasma drift towards a plane equipotential surface

    International Nuclear Information System (INIS)

    Carlqvist, P.

    1984-03-01

    Recently Alfven has qualitatively described how a collisionless plasma drifts in crossed electric and magnetic fields towards an infinite conducting plate of constant potential. In the present note we quantitatively study three models which are closely related to Alfven's model. It is found that when the plasma comes sufficiently close to a plane equipotential surface (conducting plate) it is deflected approximately along the surface. The deflection is not caused by pressure effects but rather by the electric and magnetic fields. Small fluxes of ions and electrons also cross the plane equipotential surface. These fluxes account for an electric current in the plasma which induces a magnetic field in the same direction as the total magnetic field assumed to be homogeneous. It is shown that if the Alfven number, M(sub)A, is much smaller than unity in the volume considered the magnetic field induced by plasma currents is small compared to the total magnetic field. However, if M(sub)A is of the order of unity or larger the total magnetic field is to a substantial degree generated by plasma currents. (Author)

  19. Experimental benchmark of the NINJA code for application to the Linac4 H- ion source plasma

    Science.gov (United States)

    Briefi, S.; Mattei, S.; Rauner, D.; Lettry, J.; Tran, M. Q.; Fantz, U.

    2017-10-01

    For a dedicated performance optimization of negative hydrogen ion sources applied at particle accelerators, a detailed assessment of the plasma processes is required. Due to the compact design of these sources, diagnostic access is typically limited to optical emission spectroscopy yielding only line-of-sight integrated results. In order to allow for a spatially resolved investigation, the electromagnetic particle-in-cell Monte Carlo collision code NINJA has been developed for the Linac4 ion source at CERN. This code considers the RF field generated by the ICP coil as well as the external static magnetic fields and calculates self-consistently the resulting discharge properties. NINJA is benchmarked at the diagnostically well accessible lab experiment CHARLIE (Concept studies for Helicon Assisted RF Low pressure Ion sourcEs) at varying RF power and gas pressure. A good general agreement is observed between experiment and simulation although the simulated electron density trends for varying pressure and power as well as the absolute electron temperature values deviate slightly from the measured ones. This can be explained by the assumption of strong inductive coupling in NINJA, whereas the CHARLIE discharges show the characteristics of loosely coupled plasmas. For the Linac4 plasma, this assumption is valid. Accordingly, both the absolute values of the accessible plasma parameters and their trends for varying RF power agree well in measurement and simulation. At varying RF power, the H- current extracted from the Linac4 source peaks at 40 kW. For volume operation, this is perfectly reflected by assessing the processes in front of the extraction aperture based on the simulation results where the highest H- density is obtained for the same power level. In surface operation, the production of negative hydrogen ions at the converter surface can only be considered by specialized beam formation codes, which require plasma parameters as input. It has been demonstrated that

  20. The SPS as accelerator of Pb$^{82+}$ ions

    CERN Document Server

    Faugier, A; Bailey, R; Blanchard, R R; Bohl, T; Brouzet, E; Burkhardt, H; Collier, Paul; Cornelis, Karel; de Rijk, G; Ferioli, F; Hilaire, A; Lamont, M; Linnecar, Trevor Paul R; Jonker, M; Niquille, C; Roy, G; Schmickler, Hermann

    1996-01-01

    In 1994 the CERN SPS was used for the first time to accelerate fully stripped ions of the Pb208 isotope from the equivalent proton momentum of 13 GeV/c to 400 GeV/c. In the CERN PS, which was used as injector, the lead was accelerated as Pb53+ ions and then fully stripped in the transfer line from PS to SPS. The radio frequency swing which is needed in order to keep the synchronism during acceleration is too big to have the SPS cavities deliver enough voltage for all frequencies. For that reason a new technique of fixed frequency acceleration was used. With this technique up to 70% of the injected beam could be captured and accelerated up to the extraction energy, the equivalent of 2.2 1010 charges. The beam was extracted over a 5 sec. long spill and was then delivered to different experiments at the same time.

  1. Humidity Effects on Fragmentation in Plasma-Based Ambient Ionization Sources.

    Science.gov (United States)

    Newsome, G Asher; Ackerman, Luke K; Johnson, Kevin J

    2016-01-01

    Post-plasma ambient desorption/ionization (ADI) sources are fundamentally dependent on surrounding water vapor to produce protonated analyte ions. There are two reports of humidity effects on ADI spectra. However, it is unclear whether humidity will affect all ADI sources and analytes, and by what mechanism humidity affects spectra. Flowing atmospheric pressure afterglow (FAPA) ionization and direct analysis in real time (DART) mass spectra of various surface-deposited and gas-phase analytes were acquired at ambient temperature and pressure across a range of observed humidity values. A controlled humidity enclosure around the ion source and mass spectrometer inlet was used to create programmed humidity and temperatures. The relative abundance and fragmentation of molecular adduct ions for several compounds consistently varied with changing ambient humidity and also were controlled with the humidity enclosure. For several compounds, increasing humidity decreased protonated molecule and other molecular adduct ion fragmentation in both FAPA and DART spectra. For others, humidity increased fragment ion ratios. The effects of humidity on molecular adduct ion fragmentation were caused by changes in the relative abundances of different reagent protonated water clusters and, thus, a change in the average difference in proton affinity between an analyte and the population of water clusters. Control of humidity in ambient post-plasma ion sources is needed to create spectral stability and reproducibility.

  2. Preparation and surface characterization of plasma-treated and biomolecular-micropatterned polymer substrates

    Science.gov (United States)

    Langowski, Bryan Alfred

    A micropatterning process creates distinct microscale domains on substrate surfaces that differ from the surfaces' original chemical/physical properties. Numerous micropatterning methods exist, each having relative advantages and disadvantages in terms of cost, ease, reproducibility, and versatility. Polymeric surfaces micropatterned with biomolecules have many applications, but are specifically utilized in tissue engineering as cell scaffolds that attempt to controlled tissue generation in vivo and ex vivo. As the physical and chemical cues presented by micropatterned substrates control resulting cellular behavior, characterization of these cues via surface-sensitive analytical techniques is essential in developing cell scaffolds that mimic complex in vivo physicochemical environments. The initial focus of this thesis is the chemical and physical characterization of plasma-treated, microcontact-printed (muCP) polymeric substrates used to direct nerve cell behavior. Unmodified and oxygen plasma-treated poly(methyl methacrylate) (PMMA) substrates were analyzed by surface sensitive techniques to monitor plasma-induced chemical and physical modifications. Additionally, protein-micropattern homogeneity and size were microscopically evaluated. Lastly, poly(dimethylsiloxane) (PDMS) stamps and contaminated PMMA substrates were characterized by spectroscopic and microscopic methods to identify a contamination source during microcontact printing. The final focus of this thesis is the development of microscale plasma-initiated patterning (muPIP) as a versatile, reproducible micropatterning method. Using muPIP, polymeric substrates were micropatterned with several biologically relevant inks. Polymeric substrates were characterized following muPIP by surface-sensitive techniques to identify the technique's underlying physical and chemical bases. In addition, neural stem cell response to muPIP-generated laminin micropatterns was microscopically and biologically evaluated

  3. Ion accumulation in an electron plasma confined on magnetic surfaces

    International Nuclear Information System (INIS)

    Berkery, John W.; Marksteiner, Quinn R.; Pedersen, Thomas Sunn; Kremer, Jason P.

    2007-01-01

    Accumulation of ions can alter and may destabilize the equilibrium of an electron plasma confined on magnetic surfaces. An analysis of ion sources and ion content in the Columbia Non-neutral Torus (CNT) [T.S. Pedersen, J.P. Kremer, R.G. Lefrancois, Q. Marksteiner, N. Pomphrey, W. Reiersen, F. Dahlgreen, and X. Sarasola, Fusion Sci. Technol. 50, 372 (2006)] is presented. In CNT ions are created preferentially at locations of high electron temperature, near the outer magnetic surfaces. A volumetric integral of n e ν iz gives an ion creation rate of 2.8x10 11 ions/s. This rate of accumulation would cause neutralization of a plasma with 10 11 electrons in about half a second. This is not observed experimentally, however, because currently in CNT ions are lost through recombination on insulated rods. From a steady-state balance between the calculated ion creation and loss rates, the equilibrium ion density in a 2x10 -8 Torr neutral pressure, 7.5x10 11 m -3 electron density plasma in CNT is calculated to be n i =6.2x10 9 m -3 , or 0.8%. The ion density is experimentally measured through the measurement of the ion saturation current on a large area probe to be about 6.0x10 9 m -3 for these plasmas, which is in good agreement with the predicted value

  4. Application of surface plasmons to biological and chemical sensors

    International Nuclear Information System (INIS)

    Kajikawa, Kotaro

    2015-01-01

    Surface plasmons (SPs) are a collective normal mode of electrons localized at a metallic surface. It has been used for biological sensors since 1990s. This is because it has the following specific characters: (a) The resonance condition is sensitive to the surrounding dielectric constants (refractive indexes) and (b) Highly enhanced optical-electric-fields are produced adjacent to SPs. A brief introduction is given on the principle of the biological and chemical sensors based on SPs for the readers working in the fields other than SPs, followed by a review on the recent developments of the biological and chemical sensors. (author)

  5. Sterilization of Surfaces with a Handheld Atmospheric Pressure Plasma

    Science.gov (United States)

    Hicks, Robert; Habib, Sara; Chan, Wai; Gonzalez, Eleazar; Tijerina, A.; Sloan, Mark

    2009-10-01

    Low temperature, atmospheric pressure plasmas have shown great promise for decontaminating the surfaces of materials and equipment. In this study, an atmospheric pressure, oxygen and argon plasma was investigated for the destruction of viruses, bacteria, and spores. The plasma was operated at an argon flow rate of 30 L/min, an oxygen flow rate of 20 mL/min, a power density of 101.0 W/cm^3 (beam area = 5.1 cm^2), and at a distance from the surface of 7.1 mm. An average 6log10 reduction of viable spores was obtained after only 45 seconds of exposure to the reactive gas. By contrast, it takes more than 35 minutes at 121^oC to sterilize anthrax in an autoclave. The plasma properties were investigated by numerical modeling and chemical titration with nitric oxide. The numerical model included a detailed reaction mechanism for the discharge as well as for the afterglow. It was predicted that at a delivered power density of 29.3 W/cm^3, 30 L/min argon, and 0.01 volume% O2, the plasma generated 1.9 x 10^14 cm-3 O atoms, 1.6 x 10^12 cm-3 ozone, 9.3 x 10^13 cm-3 O2(^1δg), and 2.9 x 10^12 cm-3 O2(^1σ^+g) at 1 cm downstream of the source. The O atom density measured by chemical titration with NO was 6.0 x 10^14 cm-3 at the same conditions. It is believe that the oxygen atoms and the O2(^1δg) metastables were responsible for killing the anthrax and other microorganisms.

  6. Air plasma processing of poly(methyl methacrylate) micro-beads: Surface characterisations

    International Nuclear Information System (INIS)

    Liu Chaozong; Cui Naiyi; Osbeck, Susan; Liang He

    2012-01-01

    Highlights: ► PMMA micro-beads were processed using a rotary air plasma reactor. ► Surface chemistry and surface texture of PMMA micro-beads were characterised. ► Surface wettability was evaluated using “floating” water contact angle method. ► Surface oxidation and texture changes induced by air plasma attributed to the improvement of surface wettability. - Abstract: This paper reports the surface processing of poly(methyl methacrylate) (PMMA) micro-beads by using a rotary air plasma reactor, and its effects on surface properties. The surface properties, including surface wettability, surface chemistry and textures of the PMMA beads, were characterised. It was observed that the air plasma processing can improve the surface wettability of the PMMA microbeads significantly. A 15 min plasma processing can reduce the surface water contact angle of PMMA beads to about 50° from its original value of 80.3°. This was accompanied by about 8% increase in surface oxygen concentration as confirmed by XPS analysis. The optical profilometry examination revealed the air plasma processing resulted in a rougher surface that has a “delicate” surface texture. It is concluded that the surface chemistry and texture, induced by air plasma processing, co-contributed to the surface wettability improvement of PMMA micro-beads.

  7. Lage-area planar RF plasma productions by surface waves

    International Nuclear Information System (INIS)

    Nonaka, S.

    1994-01-01

    Large-area rf plasmas are confirmed to be produced by means of RF discharges inside a large-area dielectric tube. The plasma space is 73 cm x 176 cm and 2.5 cm. The plasma is thought to be produced by an odd plasma-surface wave (PSW ο ) in case of using large-area electrodes and by an even plasma-surface wave (PSW ο ) in case of without the electrodes. (author). 7 refs, 4 figs

  8. XIX Conference on Plasma Surface Interactions

    International Nuclear Information System (INIS)

    Kurnaev, V A; Gasparyan, Yu M

    2016-01-01

    The 19 th Conference on Plasma Surface Interactions (PSI-2016) was held at National Research Nuclear University MEPhI (Moscow Engineering Physics Institute) on 28-29 January 2016. This is a traditional annual meeting organized by MEPhI for many years and devoted to the recent achievements of Russian scientists and foreign colleagues in experimental and computer simulations of plasma and its components' interactions with plasma faced materials (PFM) for fusion devices and plasma technologies. The conference agenda covered a broad list of topics including: • plasma induced erosion and modification of materials; • radiation damage in materials; • lithium and liquid metals as PFM; • modeling of plasma surface interaction and processes in SOL of tokamak plasma; • diagnostics of plasma-surface interaction. The aim of the conference was to present and discuss new results in PSI in a wide audience with different areas of expertise. The important feature of the conference is to give PhD and undergraduate students the opportunity for approbation of results of their scientific activity and improve their knowledge in the novel directions of R and D in the field of fusion and plasma technologies. In total, more than 80 experienced and young researchers participated in the conference. These Proceedings contain 21 papers selected for publication, which were reviewed by the invited international team of editors (T. Tanabe, S. Petrovich, Ch. Grisolia, Yu. Martynenko, S. Krasheninnikov, L. Begrambekov, A. Pisarev). The Conference as well as editing of this issue were supported by National Research Nuclear University MEPhI in the framework of the Russian Academic Excellence Project (contract No. 02.a03.21.0005, 27.08.2013). Finally, we would like to thank all of the speakers, participants and organizing committee members for their contribution to the conference. Acknowledgements The organizers of the conference would like to thank for the support from National Research

  9. Extraction systems of the SPS

    CERN Multimedia

    CERN PhotoLab

    1973-01-01

    A pair of prototype septum magnets for the extraction systems of the SPS. Each of the two extraction systems will contain eighteen of these septum magnets (eight with a 4 mm septum and ten with a 16 mm septum) mounted in pairs in nine vacuum tanks.

  10. New electron-ion-plasma equipment for modification of materials and products surface

    International Nuclear Information System (INIS)

    Koval', N.N.

    2013-01-01

    Electron-ion-plasma treatment of materials and products, including surface clearing and activation, formation surface layers with changed chemical and phase structure, increased hardness and corrosion resistance; deposition of various functional coatings, has received a wide distribution in a science and industry. Widespread methods of ion-plasma modification of material and product surfaces are ion etching and activation, ion-plasma nitriding, arc or magnetron deposition of functional coatings, including nanostructured. The combination of above methods of surface modification allows essentially to improve exploitation properties of treated products and to optimize the characteristics of modified surfaces for concrete final requirements. For the purpose of a combination of various methods of ion-plasma treatment in a single work cycle at Institute of High Current Electronics of SB RAS (IHCE SB RAS) specialized technological equipment 'DUET', 'TRIO' and 'QUADRO' and 'KVINTA' have been developed. This equipment allow generating uniform low-temperature gas plasma at pressures of (0.1-1) Pa with concentration of (10 9 -10 11 ) cm -3 in volume of (0.1-1) m 3 . In the installations consistent realization of several various operations of materials and products treatment in a single work cycle is possible. The operations are preliminary heating and degassing, ion clearing, etching and activation of materials and products surface by plasma of arc discharges; chemicothermal treatment (nitriding) for formation of diffusion layer on a surface of treated sample using plasma of nonself-sustained low-pressure arc discharge; deposition of single- or multilayered superhard (≥40 GPa) nanocrystalline coatings on the basis of pure metals or their compounds (nitrides, carbides, carbonitrides) by the arc plasma-assisted method. For realization of the modes all installations are equipped by original sources of gas and metal plasma. Besides, in

  11. Frequency Upconversion and Parametric Surface Instabilities in Microwave Plasma Interactions.

    Science.gov (United States)

    Rappaport, Harold Lee

    In this thesis the interaction of radiation with plasmas whose density profiles are nearly step functions of space and/or time are studied. The wavelengths of radiation discussed are large compared with plasma density gradient scale lengths. The frequency spectra are evaluated and the energy balance investigated for the transmitted and reflected transient electromagnetic waves that are generated when a monochromatic source drives a finite width plasma in which a temporal step increase in density occurs. Transmission resonances associated with the abrupt boundaries manifest themselves as previously unreported multiple frequency peaks in the transmitted electromagnetic spectrum. A tunneling effect is described in which a burst of energy is transmitted from the plasma immediately following a temporal density transition. Stability of an abruptly bounded plasma, one for which the incident radiation wavelength is large compared with the plasma density gradient scale length, is investigated for both s and p polarized radiation types. For s-polarized radiation a new formalism is introduced in which pump induced perturbations are expressed as an explicit superposition of linear and non-linear plasma half-space modes. Results for a particular regime and a summary of relevant literature is presented. We conclude that when s-polarized radiation acts alone on an abrupt diffusely bounded underdense plasma stimulated excitation of electron surface modes is suppressed. For p-polarized radiation the recently proposed Lagrangian Frame Two-Plasmon Decay mode (LFTPD) ^dag is investigated in the regime in which the instability is not resonantly coupled to surface waves propagating along the boundary region. In this case, spatially dependent growth rate profiles and spatially dependent transit layer magnetic fields are reported. The regime is of interest because we have found that when the perturbation wavenumber parallel to the boundary is less than the pump frequency divided by twice

  12. Prototype of cavity for lepton acceleration in the SPS

    CERN Multimedia

    CERN PhotoLab

    1982-01-01

    The SPS was to be the injector for LEP and had to accelerate the electrons and positrons delivered by the PS. This is a prototype of a 200 MHz, single-cell, standing-wave, cavity for lepton acceleration in the SPS. On top of the cavity, at the back, is the tetrode amplifier, the tuning mechanism is leaning towards the viewer. See also 8103523 and Annual Report 1981, p.114.

  13. RF Plasma Source for Heavy Ion Beam Charge Neutralization

    Science.gov (United States)

    Efthimion, P. C.; Gilson, E.; Grisham, L.; Davidson, R. C.

    2003-10-01

    Highly ionized plasmas are being employed as a medium for charge neutralizing heavy ion beams in order to focus to a small spot size. Calculations suggest that plasma at a density of 1 - 100 times the ion beam density and at a length 0.1-0.5 m would be suitable for achieving a high level of charge neutralization. An ECR source has been built at the Princeton Plasma Physics Laboratory (PPPL) in support of the joint Neutralized Transport Experiment (NTX) at the Lawrence Berkeley National Laboratory (LBNL) to study ion beam neutralization with plasma. The ECR source operates at 13.6 MHz and with solenoid magnetic fields of 0-10 gauss. The goal is to operate the source at pressures 10-5 Torr at full ionization. The initial operation of the source has been at pressures of 10-4 - 10-1 Torr. Electron densities in the range of 10^8 - 10^11 cm-3 have been achieved. Recently, pulsed operation of the source has enabled operation at pressures in the 10-6 Torr range with densities of 10^11 cm-3. Near 100% ionization has been achieved. The source has been integrated with NTX and is being used in the experiments. The plasma is approximately 10 cm in length in the direction of the beam propagation. Modifications to the source will be presented that increase its length in the direction of beam propagation.

  14. Prinsip Transparansi dalam Perjanjian TBT dan SPS

    Directory of Open Access Journals (Sweden)

    Dina Widyaputri Kariodimedjo

    2012-02-01

    Full Text Available Transparency principle in the TBT and SPS Agreement is implemented by notification. Its application is prepared by related agencies through the making of regulations, establishment of enquiry points, building capacity and infrastructure. It guarantees certainty, predictability and credibility of Indonesia in international trade, and its application should not undermine national trade policy. Prinsip transparansi dalam Perjanjian TBT dan SPS diterapkan melalui notifikasi. Penerapan prinsip ini dilakukan oleh instansi-instansi terkait melalui pembuatan peraturan, upaya terkait kelembagaan, SDM dan infrastruktur. Prinsip yang bertujuan menciptakan kepastian, konsistensi bagi perdagangan dan kredibilitas Indonesia dalam perdagangan internasional, harus dilakukan tanpa mengorbankan kepentingan untuk mengamankan kebijakan impor nasional.

  15. Surface-plasmon-enhanced lasing emission based on polymer distributed feedback laser

    Energy Technology Data Exchange (ETDEWEB)

    Zhang, Dingke, E-mail: dingke.zhang@gmail.com, E-mail: shijianchen@gmail.com [School of Physics and Electronic Engineering, Chongqing Normal University, Chongqing 401331 (China); Chen, Shijian, E-mail: dingke.zhang@gmail.com, E-mail: shijianchen@gmail.com; Huang, Yingzhou; Zhang, Zhen [School of Physics, Chongqing University, Chongqing 401331 (China); Wang, Yanping; Ma, Dongge [State Key Laboratory of Polymer Physics and Chemistry, Changchun Institute of Applied Chemistry, Chinese Academy of Sciences, Changchun 130022 (China)

    2015-01-14

    Optical losses associated with the metallic contacts necessary for charge injection are an obstacle to the development of electrically pumped organic lasers. In this work, we show that it is possible to overcome these losses by introducing surface plasmons (SPs) in a distributed feedback laser to enhance the lasing emission. We perform a detailed study of the SPs influence on the lasing emission. We experimentally show that enhanced lasing emission has been successfully achieved in the presence of a metal electrode. The laser emission is strongly dependent on the thickness of Ag layer. By optimizing the thickness of Ag layer, surface-plasmon-enhanced lasing emission has been achieved with much reduced thresholds and higher intensity. When the thickness of the Ag layer increases to 50 nm, the device exhibits ten-fold emission intensity and a fifth of excitation threshold comparing with Ag-free one. The finite-difference time-domain (FDTD) results show that large field intensity is built at the 4-(dicyanomethylene)-2-i-propyl-6-(1,1,7,7-tetramethyljulolidyl-9-enyl) -4H-pyran:/poly(9-vinylcarbazole)Ag interface, which could lead to a strong coupling between lasing and SPs, and consequently a much enhanced laser emission at the photon energy of around 2.02 eV (615 nm). Our FDTD simulations gave an explanation of the effects of the SPs on lasing operation in the periodic structures. The use of SPs would lead to a new class of highly efficient solid-state laser sources and provide a new path to achieve electrically pumped organic lasers.

  16. Design of a novel high efficiency antenna for helicon plasma sources

    Science.gov (United States)

    Fazelpour, S.; Chakhmachi, A.; Iraji, D.

    2018-06-01

    A new configuration for an antenna, which increases the absorption power and plasma density, is proposed for helicon plasma sources. The influence of the electromagnetic wave pattern symmetry on the plasma density and absorption power in a helicon plasma source with a common antenna (Nagoya) is analysed by using the standard COMSOL Multiphysics 5.3 software. In contrast to the theoretical model prediction, the electromagnetic wave does not represent a symmetric pattern for the common Nagoya antenna. In this work, a new configuration for an antenna is proposed which refines the asymmetries of the wave pattern in helicon plasma sources. The plasma parameters such as plasma density and absorption rate for a common Nagoya antenna and our proposed antenna under the same conditions are studied using simulations. In addition, the plasma density of seven operational helicon plasma source devices, having a common Nagoya antenna, is compared with the simulation results of our proposed antenna and the common Nagoya antenna. The simulation results show that the density of the plasma, which is produced by using our proposed antenna, is approximately twice in comparison to the plasma density produced by using the common Nagoya antenna. In fact, the simulation results indicate that the electric and magnetic fields symmetry of the helicon wave plays a vital role in increasing wave-particle coupling. As a result, wave-particle energy exchange and the plasma density of helicon plasma sources will be increased.

  17. Surface modification of polymer nanofibres by plasma treatment

    International Nuclear Information System (INIS)

    Wei, Q.F.; Gao, W.D.; Hou, D.Y.; Wang, X.Q.

    2005-01-01

    Polymer nanofibres have great potential for technical applications in biomaterials, filtration, composites and electronics. The surface properties of nanofibres are of importance in these applications. In this study, cold gas plasma treatment was used to modify the surface of polyamide 6 nanofibres prepared by electrospinning. The chemical nature of the nanofibre surfaces was examined by X-ray photoelectron spectroscopy (XPS). Atomic force microscopy (AFM) was employed to study the surface characteristics of the fibres. The AFM results indicate a significant change in the morphology of the fibre surface before and after plasma treatment. A Philips Environmental Scanning Electron Microscopy (ESEM) was also used to study the wetting behaviour of the fibres. In the ESEM, relative humidity was raised to 100% to facilitate the water condensation onto fibre surfaces for wetting observation. The ESEM observation revealed that the plasma treatment significantly altered the surface wettability of the polyamide 6 nanofibres

  18. SPS, preassembly of short straight sections

    CERN Multimedia

    1975-01-01

    Short straight sections were preassembled with their vacuum chambers, ready for installation in the SPS. Here we see two, containing sextupoles for chromaticity adjustment. See also 7501017, 7501018, and Annual Report 1976, p.174.

  19. SPS, short straight section with sextupole

    CERN Multimedia

    CERN PhotoLab

    1975-01-01

    Short straight sections were preassembled with their vacuum chambers, ready for installation in the SPS. This one contains a sextupole for chromaticity adjustment. See also 7501017, 7501009X, and Annual Report 1974, p.174.

  20. Surface improvement of EPDM rubber by plasma treatment

    Energy Technology Data Exchange (ETDEWEB)

    Moraes, J H [LPP, Physics Department, ITA, CTA, Pca Mal Eduardo Gomes 50, 12228-900 Sao Jose dos Campos, S.P. (Brazil); Silva Sobrinho, A S da [LPP, Physics Department, ITA, CTA, Pca Mal Eduardo Gomes 50, 12228-900 Sao Jose dos Campos, S.P. (Brazil); Maciel, H S [LPP, Physics Department, ITA, CTA, Pca Mal Eduardo Gomes 50, 12228-900 Sao Jose dos Campos, S.P. (Brazil); Dutra, J C N [EBO, Chemistry Division, IAE, CTA, Pca Mal Eduardo Gomes 50, 12228-904 Sao Jose dos Campos, S.P. (Brazil); Massi, M [LPP, Physics Department, ITA, CTA, Pca Mal Eduardo Gomes 50, 12228-900 Sao Jose dos Campos, S.P. (Brazil); Mello, S A C [EBO, Chemistry Division, IAE, CTA, Pca Mal Eduardo Gomes 50, 12228-904 Sao Jose dos Campos, S.P. (Brazil); Schreiner, W H [Physics Department, UFPR, Centro Politecnico, 80060-000 Curitiba, P.R. (Brazil)

    2007-12-21

    The surface of ethylene-propylene-diene monomer (EPDM) rubber was treated in N{sub 2}/Ar and N{sub 2}/H{sub 2}/Ar RF plasmas in order to achieve similar or better adhesion properties than NBR (acrylonitrile-butadiene) rubber, nowadays used as thermal protection of rocket chambers. The surface properties were studied by contact angle measurements and by x-ray photoelectron spectroscopy (XPS). The treated surfaces of the EPDM samples show a significant reduction in the contact angle measurement, indicating an increase in the surface energy. XPS analyses show the incorporation of polar nitrogen- and oxygen-containing groups on the rubber surface. After plasma treatment the presence of oxygen is observed due to surface oxidation which occurs when the samples are exposed to the air. Atomic force microscopy and scanning electron microscopy analyses indicate a decrease in the EPDM rubber surface roughness, promoted by surface etching during the plasma treatment. Strength tests indicate improvement of about 30% and 110% in the adhesion strength for the plasma treated EPDM/polyurethane liner interface and for the EPDM/epoxy adhesive interface, respectively. The adhesion strength of the EPDM/liner is similar to that obtained for the NBR/liner, which indicates that EPDM rubber can safely be used as thermal protection of the solid propellant rocket chamber.

  1. Surface improvement of EPDM rubber by plasma treatment

    International Nuclear Information System (INIS)

    Moraes, J H; Silva Sobrinho, A S da; Maciel, H S; Dutra, J C N; Massi, M; Mello, S A C; Schreiner, W H

    2007-01-01

    The surface of ethylene-propylene-diene monomer (EPDM) rubber was treated in N 2 /Ar and N 2 /H 2 /Ar RF plasmas in order to achieve similar or better adhesion properties than NBR (acrylonitrile-butadiene) rubber, nowadays used as thermal protection of rocket chambers. The surface properties were studied by contact angle measurements and by x-ray photoelectron spectroscopy (XPS). The treated surfaces of the EPDM samples show a significant reduction in the contact angle measurement, indicating an increase in the surface energy. XPS analyses show the incorporation of polar nitrogen- and oxygen-containing groups on the rubber surface. After plasma treatment the presence of oxygen is observed due to surface oxidation which occurs when the samples are exposed to the air. Atomic force microscopy and scanning electron microscopy analyses indicate a decrease in the EPDM rubber surface roughness, promoted by surface etching during the plasma treatment. Strength tests indicate improvement of about 30% and 110% in the adhesion strength for the plasma treated EPDM/polyurethane liner interface and for the EPDM/epoxy adhesive interface, respectively. The adhesion strength of the EPDM/liner is similar to that obtained for the NBR/liner, which indicates that EPDM rubber can safely be used as thermal protection of the solid propellant rocket chamber

  2. Surface improvement of EPDM rubber by plasma treatment

    Science.gov (United States)

    Moraes, J. H.; da Silva Sobrinho, A. S.; Maciel, H. S.; Dutra, J. C. N.; Massi, M.; Mello, S. A. C.; Schreiner, W. H.

    2007-12-01

    The surface of ethylene-propylene-diene monomer (EPDM) rubber was treated in N2/Ar and N2/H2/Ar RF plasmas in order to achieve similar or better adhesion properties than NBR (acrylonitrile-butadiene) rubber, nowadays used as thermal protection of rocket chambers. The surface properties were studied by contact angle measurements and by x-ray photoelectron spectroscopy (XPS). The treated surfaces of the EPDM samples show a significant reduction in the contact angle measurement, indicating an increase in the surface energy. XPS analyses show the incorporation of polar nitrogen- and oxygen-containing groups on the rubber surface. After plasma treatment the presence of oxygen is observed due to surface oxidation which occurs when the samples are exposed to the air. Atomic force microscopy and scanning electron microscopy analyses indicate a decrease in the EPDM rubber surface roughness, promoted by surface etching during the plasma treatment. Strength tests indicate improvement of about 30% and 110% in the adhesion strength for the plasma treated EPDM/polyurethane liner interface and for the EPDM/epoxy adhesive interface, respectively. The adhesion strength of the EPDM/liner is similar to that obtained for the NBR/liner, which indicates that EPDM rubber can safely be used as thermal protection of the solid propellant rocket chamber.

  3. Surface plasma functionalization influences macrophage behavior on carbon nanowalls

    Energy Technology Data Exchange (ETDEWEB)

    Ion, Raluca [University of Bucharest, Department of Biochemistry and Molecular Biology, 91-95 Spl. Independentei, 050095 Bucharest (Romania); Vizireanu, Sorin [National Institute for Laser, Plasma and Radiation Physics, 409 Atomistilor, PO Box MG-36, 077125, Magurele, Bucharest (Romania); Stancu, Claudia Elena [National Institute for Laser, Plasma and Radiation Physics, 409 Atomistilor, PO Box MG-36, 077125, Magurele, Bucharest (Romania); Leibniz Institute for Plasma Science and Technology (INP Greifswald), Felix-Hausdorff-Str. 2, 17489 Greifswald (Germany); Luculescu, Catalin [National Institute for Laser, Plasma and Radiation Physics, 409 Atomistilor, PO Box MG-36, 077125, Magurele, Bucharest (Romania); Cimpean, Anisoara, E-mail: anisoara.cimpean@bio.unibuc.ro [University of Bucharest, Department of Biochemistry and Molecular Biology, 91-95 Spl. Independentei, 050095 Bucharest (Romania); Dinescu, Gheorghe [National Institute for Laser, Plasma and Radiation Physics, 409 Atomistilor, PO Box MG-36, 077125, Magurele, Bucharest (Romania)

    2015-03-01

    The surfaces of carbon nanowall samples as scaffolds for tissue engineering applications were treated with oxygen or nitrogen plasma to improve their wettability and to functionalize their surfaces with different functional groups. X-ray photoelectron spectroscopy and water contact angle results illustrated the effective conversion of the carbon nanowall surfaces from hydrophobic to hydrophilic and the incorporation of various amounts of carbon, oxygen and nitrogen functional groups during the treatments. The early inflammatory responses elicited by un-treated and modified carbon nanowall surfaces were investigated by quantifying tumor necrosis factor-alpha and macrophage inflammatory protein-1 alpha released by attached RAW 264.7 macrophage cells. Scanning electron microscopy and fluorescence studies were employed to investigate the changes in macrophage morphology and adhesive properties, while MTT assay was used to quantify cell proliferation. All samples sustained macrophage adhesion and growth. In addition, nitrogen plasma treatment was more beneficial for cell adhesion in comparison with un-modified carbon nanowall surfaces. Instead, oxygen plasma functionalization led to increased macrophage adhesion and spreading suggesting a more activated phenotype, confirmed by elevated cytokine release. Thus, our findings showed that the chemical surface alterations which occur as a result of plasma treatment, independent of surface wettability, affect macrophage response in vitro. - Highlights: • N{sub 2} and O{sub 2} plasma treatments alter the CNW surface chemistry and wettability. • Cells seeded on CNW scaffolds are viable and metabolically active. • Surface functional groups, independent of surface wettability, affect cell response. • O{sub 2} plasma treatment of CNW leads to a more activated macrophage phenotype.

  4. The CERN SPS proton–antiproton collider

    CERN Document Server

    Schmidt, Rudiger

    2016-01-01

    One of CERN's most ambitious and successful projects was the search for the intermediate bosons, W and Z [1]. The accelerator part of the project relied on a number of innovations in accelerator physics and technology. The invention of the method of stochastic cooling and the extension by many orders of magnitude beyond the initial proof of principle demonstration allowed the construction of the Antiproton Accumulator. Major modifications to the 26 GeV PS complex and the conversion of the 300 GeV SPS, which had just started up as an accelerator, to a collider were required. The SPS collider had to master the beam–beam effect far beyond limits reached before and had to function in a tight symbiosis with the UA1 and UA2 experiments.

  5. Beam Quality Preservation in the CERN PS-SPS Complex

    CERN Multimedia

    Arduini, Gianluigi

    2004-01-01

    The LHC will require beams of unprecedented transverse and longitudinal brightness. Their production imposes tight constraints on the emittance growth in each element of the LHC injector chain, namely the PS-SPS Accelerator Complex. The problems encountered at the different stages of the acceleration in the complex span a wide range of topics, such as injection matching, RF gymnastics, space charge, transverse and longitudinal single- and coupled-bunch instabilities, and electron cloud effects. The measurement techniques developed and applied to identify and study the various sources of emittance dilution to the high precision required for the LHC beams and the solutions found to control such phenomena are illustrated.

  6. Plasma focus sources: Supplement to the neutron resonance radiography workshop proceedings

    International Nuclear Information System (INIS)

    Nardi, V.; Brzosko, J.

    1989-01-01

    Since their discovery, plasma focus discharges have been recognized as very intense pulsed sources of deuterium-deuterium (D-D) or deuterium-tritium (D-T) fusion-reaction neutrons, with outstanding capabilities. Specifically, the total neutron emission/shot, YN, and the rate of neutron emission, Y/sub n/, of an optimized plasma focus (PF) are higher than the corresponding quantities observed in any other type of pinched discharge at the same level of powering energy W 0 . Recent developments have led to the concept and experimental demonstration of an Advanced Plasma Focus System (APF) that consists of a Mather-geometry plasma focus in which field distortion elements (FDEs) are inserted in the inter-electrode gap for increasing the neutron yield/shot, Y/sub n/. The FDE-induced redistribution of the plasma current increases Y/sub n/ by a factor ≅5-10 above the value obtained without FDEs under otherwise identical conditions of operation of the plasma focus. For example, an APF that is fed by a fast capacitor bank with an energy, W 0 = 6kJ, and voltage, V 0 = 16.5 kV provides Y/sub n/ /congruent/ 4 /times/ 10 9 D-D neutrons/shot (pure D 2 filling) and Y/sub n/ = 4 /times/ 10 11 D-T neutrons/shot (filling is 50% deuterium and 50% tritium). The FDE-induced increase of Y/sub n/ for fixed values of (W 0 , V 0 ), the observed scaling law Y/sub n/ /proportional to/ W 0 2 for optimized plasma focus systems, and our experience with neutron scattering in bulk objects lead us to the conclusion that we can use an APF as a source of high-intensity neutron pulses (10 14 n/pulse) in the field off neutron radiography (surface and bulk) with a nanosecond or millisecond time resolution

  7. Measurement of effective renal plasma flow in congestive heart failure

    International Nuclear Information System (INIS)

    Tauxe, W.N.; Dubovsky, E.V.; Mantle, J.A.; Dustan, H.P.; Logic, J.R.

    1981-01-01

    In the management of patients with congestive heart failure (CHF), it is often desirable to have precise knowledge of overall renal function, including the effective renal plasma flow (ERPF). It has long been recognized that ERPF is diminished in CHF. Since glomerular filtration rate is often decreased to a much lesser extent, other noninvasive procedures such as the measurement of creatinine clearances may not be entirely suitable. ERPF determination by the single plasma sampling (SPS) method affords a rapid, simple, noninvasive, and economical technique that is quite accurate and reproducible. A SPS method has been well-tested in patients following renal transplantation plus a wide variety of nephrological disorders. We have been concerned whether the SPS method would be valid in volume expanded patients. In 28 determinations of ERPF in patients with CHF, and in five patients who did not have CHF, we have found the SPS estimation of ERPF to yield results that are not clinically significantly different from those obtained by the detailed compartmental analysis method. The volumes of 131 I-orthoiodohippurate (OIH) distribution were found to be somewhat higher in CHF than in controls, but fractional rate constants were proportionately lower so that intercompartmental flow rates and OIH concentrations were not different from controls. Therefore, the SPS estimation of ERPF is valid in patients with CHF and may be useful in monitoring the renal effects of various hemodynamic and pharmacological interventions. (orig.)

  8. A Nanosecond Pulsed Plasma Brush for Surface Decontamination

    Science.gov (United States)

    Neuber, Johanna; Malik, Muhammad; Song, Shutong; Jiang, Chunqi

    2015-11-01

    This work optimizes a non-thermal, atmospheric pressure plasma brush for surface decontamination. The generated plasma plumes with a maximum length of 2 cm are arranged in a 5 cm long, brush-like array. The plasma was generated in ambient air with Acinetobacter baumannii. Laminate surfaces inoculated with over-night cultured bacteria were subject to the plasma treatment for varying water concentrations in He, flow rates and discharge voltages. It was found that increasing the water content of the feed gas greatly enhanced the bactericidal effect. Emission spectroscopy was performed to identify the reactive plasma species that contribute to this variation. Additional affiliation: Frank Reidy Research Center for Bioelectrics

  9. Color Developing Capacity of Plasma-treated Water as a Source of Nitrite for Meat Curing.

    Science.gov (United States)

    Jung, Samooel; Kim, Hyun Joo; Park, Sanghoo; Yong, Hae In; Choe, Jun Ho; Jeon, Hee-Joon; Choe, Wonho; Jo, Cheorun

    2015-01-01

    The interaction of plasma with liquid generates nitrogen species including nitrite (NO(-) 2). Therefore, the color developing capacity of plasma-treated water (PTW) as a nitrite source for meat curing was investigated in this study. PTW, which is generated by surface dielectric barrier discharge in air, and the increase of plasma treatment time resulted in increase of nitrite concentration in PTW. The PTW used in this study contains 46 ppm nitrite after plasma treatment for 30 min. To evaluate the effect of PTW on the cured meat color, meat batters were prepared under three different conditions (control, non-cured meat batter; PTW, meat batter cured with PTW; Sodium nitrite, meat batter cured with sodium nitrite). The meat batters were vacuum-packaged and cooked in a water-bath at 80℃ for 30 min. The typical color of cured meat developed in cooked meat batter treated with sodium nitrite or PTW. The lightness (L*) and yellowness (b*) values were similar in all conditions, whereas, the redness (a*) values of cooked meat batter with PTW and sodium nitrite (pnitrite source in the curing process of meat without addition of other nitrite sources.

  10. Dielectric barrier discharge plasma treatment of cellulose nanofibre surfaces

    DEFF Research Database (Denmark)

    Kusano, Yukihiro; Madsen, Bo; Berglund, Linn

    2017-01-01

    on the nanofibre surface. Ultrasonic irradiation further enhanced the wetting and oxidation of the nanofibre coating. Scanning electron microscopic observations showed skeleton-like features on the plasma-treated surface, indicating preferential etching of weaker domains, such as low-molecular weight domains......Dielectric barrier discharge plasma treatment was applied to modify cellulose nanofibre (CNF) surfaces with and without ultrasonic irradiation. The plasma treatment improved the wetting by deionised water and glycerol, and increased the contents of oxygen, carbonyl group, and carboxyl group...... and amorphous phases. Ultrasonic irradiation also improved the uniformity of the treatment. Altogether, it is demonstrated that atmospheric pressure plasma treatment is a promising technique to modify the CNF surface before composite processing....

  11. HARDENING OF CRANE RAILS BY PLASMA DISCRETE-TIME SURFACE TREATMENT

    Directory of Open Access Journals (Sweden)

    S. S. Samotugin

    2017-01-01

    Full Text Available Crane wheels and rails are subjected to intensive wear in the process of operation. Therefore, improvement of these components’ performance can be considered a task of high importance. A promising direction in this regard is surface treatment by highly concentrated energy flows such as laser beams or plasma jets. This thesis suggests that the use of gradient plasma surface treatment can improve the performance of crane rails. A research was conducted, according to which hardened zones were deposited on crane rails under different treatment modes. Microhardness was measured both at the surface and in depth using custom-made microsections. The article includes the results of study of plasma surface hardening effects on wear resistance of crane rails. Change of plasma surface treatment parameters (current, plasma torch movement speed, argon gas flow rate allows for desired steel hardness and structure, while the choice of optimal location for hardened zones makes it possible to significantly improve wear resistance and crack resistance. As a result of plasma surface hardening, the fine-grained martensite structure is obtained with mainly lamellar morphology and higher hardness rate compared toinduction hardening or overlaying. Wear test of carbon steels revealed that plasma surfacing reduces abrasive wear rate compared to the irinitial state by 2 to 3 times. Enough sharp boundary between hardened and non-hardened portions has a positive effect on the performance of parts under dynamic loads, contributing to the inhibition of cracks during the transition from solid to a soft metal. For carbon and low alloy rail steels, the properties achieved by plasma surface hardening can effectively replace induction hardening or overlaying.The mode range for plasma surface treatment that allow sobtaining a surface layer with certain operating properties has been determined.

  12. Introduction to quantum chromo transport theory for quark-gluon plasmas

    International Nuclear Information System (INIS)

    Gyulassy, M.; Elze, H.Th.; Iwazaki, A.; Vasak, D.

    1986-08-01

    Upcoming heavy ion experiments at the AGS and SPS are aimed at producing and diagnosing a primordial form of matter, the quark-gluon plasma. In these lectures some recent developments on formulating a quantum transport theory for quark-gluon plasmas are introduced. 46 refs

  13. Solar charge regulator and operation management for PV island systems with modern memory-programmable control (SPS); Solarladeregler und Betriebsmanagement fuer PV-Inselsysteme mit moderner Speicherprogrammierbarer Steuerung (SPS)

    Energy Technology Data Exchange (ETDEWEB)

    Dohlen, K. v.; Nussberger, B. [ENNOS Gesellschaft fuer Innovative Energiesysteme mbH, Freiburg (Germany)

    1998-12-01

    Modern memory programmable controls (SPS) are good value for money, powerful and have such a low power consumption that they are suitable for control and regulation purposes in PV island systems. The development of the solar charge regulators and operation management on the basis of an SPS shown in the article was carried out with the super-imposed target of increasing the use of proven standard industrial products in their construction and simplifying their operation and raising their reliability. [Deutsch] Moderne Speicherprogrammierbare Steuerungen (SPS) sind preiswert, leistungsfaehig und besitzen inzwischen eine so niedrige Leistungsaufnahme, dass sie zu Steuer- und Regelzwecken in PV-Inselsystemen geeignet sind. Die Entwicklung des im Beitrag vorgestellten Solarladereglers und Betriebsmanagement auf der Basis einer SPS stand unter der uebergeordneten Zielsetzung, PV-Anlagen durch den Einsatz von bewaehrten Standard-Industrieprodukten in ihrem Aufbau und der Bedienung zu vereinfachen und in ihrer Zuverlaessigkeit zu steigern. (orig.)

  14. Development of an Organosilicon-Based Superhydrophobic/Icephobic Surface Using an Atmospheric Pressure Plasma Jet =

    Science.gov (United States)

    Asadollahi, Siavash

    During the past few decades, plasma-based surface treatment methods have gained a lot of interest in various applications such as thin film deposition, surface etching, surface activation and/or cleaning, etc. Generally, in plasma-based surface treatment methods, high-energy plasma-generated species are utilized to modify the surface structure or the chemical composition of a substrate. Unique physical and chemical characteristics of the plasma along with the high controllability of the process makes plasma treatment approaches very attractive in several industries. Plasma-based treatment methods are currently being used or investigated for a number of practical applications, such as adhesion promotion in auto industry, wound management and cancer treatment in biomedical industry, and coating development in aerospace industry. In this study, a two-step procedure is proposed for the development of superhydrophobic/icephobic coatings based on atmospheric-pressure plasma treatment of aluminum substrates using air and nitrogen plasma. The effects of plasma parameters on various surface properties are studied in order to identify the optimum conditions for maximum coating efficiency against icing and wetting. In the first step, the interactions between air or nitrogen plasma and the aluminum surface are studied. It is shown that by reducing jet-to-substrate distance, air plasma treatment, unlike nitrogen plasma treatment, is capable of creating micro-porous micro-roughened structures on the surface, some of which bear a significant resemblance to the features observed in laser ablation of metals with short and ultra-short laser pulses. The formation of such structures in plasma treatment is attributed to a transportation of energy from the jet to the surface over a very short period of time, in the range of picoseconds to microseconds. This energy transfer is shown to occur through a streamer discharge from the rotating arc source in the jet body to a close proximity of

  15. Enhanced surface functionality via plasma modification and plasma deposition techniques to create more biologically relevant materials

    Science.gov (United States)

    Shearer, Jeffrey C.

    Functionalizing nanoparticles and other unusually shaped substrates to create more biologically relevant materials has become central to a wide range of research programs. One of the primary challenges in this field is creating highly functionalized surfaces without modifying the underlying bulk material. Traditional wet chemistry techniques utilize thin film depositions to functionalize nanomaterials with oxygen and nitrogen containing functional groups, such as --OH and --NHx. These functional groups can serve to create surfaces that are amenable to cell adhesion or can act as reactive groups for further attachment of larger structures, such as macromolecules or antiviral agents. Additional layers, such as SiO2, are often added between the nanomaterial and the functionalized coating to act as a barrier films, adhesion layers, and to increase overall hydrophilicity. However, some wet chemistry techniques can damage the bulk material during processing. This dissertation examines the use of plasma processing as an alternative method for producing these highly functionalized surfaces on nanoparticles and polymeric scaffolds through the use of plasma modification and plasma enhanced chemical vapor deposition techniques. Specifically, this dissertation will focus on (1) plasma deposition of SiO2 barrier films on nanoparticle substrates; (2) surface functionalization of amine and alcohol groups through (a) plasma co-polymerization and (b) plasma modification; and (3) the design and construction of plasma hardware to facilitate plasma processing of nanoparticles and polymeric scaffolds. The body of work presented herein first examines the fabrication of composite nanoparticles by plasma processing. SiOxC y and hexylamine films were coated onto TiO2 nanoparticles to demonstrate enhanced water dispersion properties. Continuous wave and pulsed allyl alcohol plasmas were used to produce highly functionalized Fe2 O3 supported nanoparticles. Specifically, film composition was

  16. Modification of surface properties of LLDPE by water plasma discharge

    International Nuclear Information System (INIS)

    Chantara Thevy Ratnam; Hill, D.J.T.; Firas Rasoul; Whittaker, A.K.; Imelda Keen

    2007-01-01

    Linear low density polyethylene (LLDPE) surface was modified by water plasma treatment. The LLDPE surface was treated at 10 and 20 W discharge power at various exposure times. A laboratory scale Megatherm radio frequency (RF) plasma apparatus that operates at 27 MHz was used to generate the water plasmas. The changes in chemical structure of the LLDPE polymeric chain upon plasma treatment were characterized by FTIR and XPS techniques. The selectivity of trifluoroacetic anhydride (TFAA) toward hydroxyl groups is used to quantify the hydroxyl groups formed on the polymer surface upon plasma treatment. After exposition to the plasma discharge a decline in water contact angle were observed. FTIR and XPS measurements indicate an oxidation of degraded polymeric chains and creation of hydroxyl, carbonyl, ether, ester and carboxyl groups. Chemical derivatization with TFAA of water plasma treated polymer surfaces has shown that under the conditions employed, a very small (less than 5%) of the oxygen introduced by the water plasma treatment was present as hydroxyl group. (Author)

  17. Honeycomblike large area LaB6 plasma source for Multi-Purpose Plasma facility

    International Nuclear Information System (INIS)

    Woo, Hyun-Jong; Chung, Kyu-Sun; You, Hyun-Jong; Lee, Myoung-Jae; Lho, Taihyeop; Choh, Kwon Kook; Yoon, Jung-Sik; Jung, Yong Ho; Lee, Bongju; Yoo, Suk Jae; Kwon, Myeon

    2007-01-01

    A Multi-Purpose Plasma (MP 2 ) facility has been renovated from Hanbit mirror device [Kwon et al., Nucl. Fusion 43, 686 (2003)] by adopting the same philosophy of diversified plasma simulator (DiPS) [Chung et al., Contrib. Plasma Phys. 46, 354 (2006)] by installing two plasma sources: LaB 6 (dc) and helicon (rf) plasma sources; and making three distinct simulators: divertor plasma simulator, space propulsion simulator, and astrophysics simulator. During the first renovation stage, a honeycomblike large area LaB 6 (HLA-LaB 6 ) cathode was developed for the divertor plasma simulator to improve the resistance against the thermal shock fragility for large and high density plasma generation. A HLA-LaB 6 cathode is composed of the one inner cathode with 4 in. diameter and the six outer cathodes with 2 in. diameter along with separate graphite heaters. The first plasma is generated with Ar gas and its properties are measured by the electric probes with various discharge currents and magnetic field configurations. Plasma density at the middle of central cell reaches up to 2.6x10 12 cm -3 , while the electron temperature remains around 3-3.5 eV at the low discharge current of less than 45 A, and the magnetic field intensity of 870 G. Unique features of electric property of heaters, plasma density profiles, is explained comparing with those of single LaB 6 cathode with 4 in. diameter in DiPS

  18. Atmospheric-pressure plasma activation and surface characterization on polyethylene membrane separator

    Science.gov (United States)

    Tseng, Yu-Chien; Li, Hsiao-Ling; Huang, Chun

    2017-01-01

    The surface hydrophilic activation of a polyethylene membrane separator was achieved using an atmospheric-pressure plasma jet. The surface of the atmospheric-pressure-plasma-treated membrane separator was found to be highly hydrophilic realized by adjusting the plasma power input. The variations in membrane separator chemical structure were confirmed by Fourier transform infrared spectroscopy and X-ray photoelectron spectroscopy. Chemical analysis showed newly formed carbonyl-containing groups and high surface concentrations of oxygen-containing species on the atmospheric-pressure-plasma-treated polymeric separator surface. It also showed that surface hydrophilicity primarily increased from the polar component after atmospheric-pressure plasma treatment. The surface and pore structures of the polyethylene membrane separator were examined by scanning electron microscopy, revealing a slight alteration in the pore structure. As a result of the incorporation of polar functionalities by atmospheric-pressure plasma activation, the electrolyte uptake and electrochemical impedance of the atmospheric-pressure-plasma-treated membrane separator improved. The investigational results show that the separator surface can be controlled by atmospheric-pressure plasma surface treatment to tailor the hydrophilicity and enhance the electrochemical performance of lithium ion batteries.

  19. Tungsten and carbon surface change under high dose plasma exposure

    International Nuclear Information System (INIS)

    Martynenko, Y.V.; Khripunov, B.I.; Petrov, V.B.

    2009-01-01

    Study of surface composition dynamics has been made on the LENTA linear plasma simulator. Experiments have been made on tungsten and carbon materials subjected to steady-state plasma exposure. The achieved ion doses on the surface were 10 21 ion cm -2 . WL 10 tungsten containing 1% of La2O3 oxide and titanium-doped graphite RG-T were studied. The following experimental conditions were varied in these experiments: energy of ions, surface temperature, working gas. Irradiations of tungsten WL 10 were executed in deuterium plasma at low ion energies (about 20 eV) and at 200 eV for temperatures below 340 K. Graphite RG-T was exposed at 1300 K. Elevated surface temperature (about 1050K) was also characteristic of experiments on tungsten sample under nitrogen plasma impact (simulated inter-ELMs condition). Surface microstructure modification has been observed and surface composition changes were found on the materials showing influence of high dose plasma irradiations on element redistribution in the near surface layers. (author)

  20. Radiation phenomena of plasma waves, 1

    International Nuclear Information System (INIS)

    Ohnuma, Toshiro.

    1978-06-01

    The fundamental radiation theories on radiation phenomena of plasma waves are presented. As the fundamental concepts of propagating waves, phase, group and ray velocities are explained, and phase velocity surface, group velocity surface, ray velocity surface and refractive index surface are considered. These concepts are important in anisotropic plasma. Fundamental equations for electron plasma waves in a fluid model and fundamental equations for ion plasma waves can be expressed with the above mentioned concepts. Kuehl derived the formulas for general radiation fields of electromagnetic and electrostatic waves which are radiated from an arbitrary current source. Fundamental equations for kinetic model are the Vlasov equation and Maxwell equations. By investigating electromagnetic radiation in cold anisotropic plasma, Kuehl found the important behavior that the fields radiated from a source become very large in certain directions for some ranges of plasma parameters. The fact is the so-called high frequency resonance cone. A fundamental formula for quasi-static radiation from an oscillating point source in warm anisotropic plasma includes the near field of electromagnetic mode and the field of electrostatic mode, which are radiated from the source. This paper presents the formula in a generalized form. (Kato, T.)

  1. Physics-electrical hybrid model for real time impedance matching and remote plasma characterization in RF plasma sources.

    Science.gov (United States)

    Sudhir, Dass; Bandyopadhyay, M; Chakraborty, A

    2016-02-01

    Plasma characterization and impedance matching are an integral part of any radio frequency (RF) based plasma source. In long pulse operation, particularly in high power operation where plasma load may vary due to different reasons (e.g. pressure and power), online tuning of impedance matching circuit and remote plasma density estimation are very useful. In some cases, due to remote interfaces, radio activation and, due to maintenance issues, power probes are not allowed to be incorporated in the ion source design for plasma characterization. Therefore, for characterization and impedance matching, more remote schemes are envisaged. Two such schemes by the same authors are suggested in these regards, which are based on air core transformer model of inductive coupled plasma (ICP) [M. Bandyopadhyay et al., Nucl. Fusion 55, 033017 (2015); D. Sudhir et al., Rev. Sci. Instrum. 85, 013510 (2014)]. However, the influence of the RF field interaction with the plasma to determine its impedance, a physics code HELIC [D. Arnush, Phys. Plasmas 7, 3042 (2000)] is coupled with the transformer model. This model can be useful for both types of RF sources, i.e., ICP and helicon sources.

  2. Slow extraction at the SPS: extraction efficiency and loss reduction studies

    CERN Document Server

    Fraser, M A; Cavoto, G; Galluccio, F; Gilardoni, S; Goddard, B; Iacoangeli, F; Kain, V; Mirarchi, D; Montesano, S; Murtas, F; Petrucci, S; Redaelli, S; Roncarolo, F; Rossi, R; Scandale, W; Stoel, L S; Velott, F M

    2017-01-01

    Elevated activation levels in LSS2 were first reported dur-ing an intervention on the SPS extraction septum (ZS) inSeptember 2015. The increase was attributed to higher in-tensity Fixed Target (FT) operation and poorer extractionefficiency, and reported to the IEFC [1]. Since this eventthe awareness of the impact of slow extraction losses on theoperation and maintenance of the SPS has been heightened.This is particularly pertinent in light of tightening limits ondose to personnel and recent requests for increased intensi-ties, as well as ambitious future experimental proposals inthe North Area (NA), such as the SPS Beam Dump Facility(BDF) [2]. To follow up these issues the SPS Losses andActivation Working Group (SLAWG) was formed.The MD programme for 2016 was originally foreseen totest the faster spill on a 1.2 second flat-top for the BDF andbenchmarksimulationsoftheextractionprocess, butthiswasnot possible due to the restrictions imposed by the TIDVG.Nevertheless, during operational set-up and re-alignmentof...

  3. Surface Modification of Polymeric Materials by Plasma Treatment

    Directory of Open Access Journals (Sweden)

    E.F. Castro Vidaurre

    2002-03-01

    Full Text Available Low-temperature plasma treatment has been used in the last years as a useful tool to modify the surface properties of different materials, in special of polymers. In the present work low temperature plasma was used to treat the surface of asymmetric porous substrates of polysulfone (PSf membranes. The main purpose of this work was to study the influence of the exposure time and the power supplied to argon plasma on the permeability properties of the membranes. Three rf power levels, respectively 5, 10 and 15 W were used. Treatment time ranged from 1 to 50 min. Reduction of single gas permeability was observed with Ar plasma treatments at low energy bombardment (5 W and short exposure time (20 min. Higher power and/or higher plasma exposition time causes a degradation process begins. The chemical and structural characterization of the membranes before and after the surface modification was done by AFM, SEM and XPS.

  4. High Current, High Density Arc Plasma as a New Source for WiPAL

    Science.gov (United States)

    Waleffe, Roger; Endrizzi, Doug; Myers, Rachel; Wallace, John; Clark, Mike; Forest, Cary; WiPAL Team

    2016-10-01

    The Wisconsin Plasma Astrophysics Lab (WiPAL) has installed a new array of nineteen plasma sources (plasma guns) on its 3 m diameter, spherical vacuum vessel. Each gun is a cylindrical, molybdenum, washer-stabilized, arc plasma source. During discharge, the guns are maintained at 1.2 kA across 100 V for 10 ms by the gun power supply establishing a high density plasma. Each plasma source is fired independently allowing for adjustable plasma parameters, with densities varying between 1018 -1019 m-3 and electron temperatures of 5-15 eV. Measurements were characterized using a 16 tip Langmuir probe. The plasma source will be used as a background plasma for the magnetized coaxial plasma gun (MCPG), the Terrestrial Reconnection Experiment (TREX), and as the plasma source for a magnetic mirror experiment. Temperature, density, and confinement results will be presented. This work is supported by the DoE and the NSF.

  5. Surface cleaning of metal wire by atmospheric pressure plasma

    International Nuclear Information System (INIS)

    Nakamura, T.; Buttapeng, C.; Furuya, S.; Harada, N.

    2009-01-01

    In this study, the possible application of atmospheric pressure dielectric barrier discharge plasma for the annealing of metallic wire is examined and presented. The main purpose of the current study is to examine the surface cleaning effect for a cylindrical object by atmospheric pressure plasma. The experimental setup consists of a gas tank, plasma reactor, and power supply with control panel. The gas assists in the generation of plasma. Copper wire was used as an experimental cylindrical object. This copper wire was irradiated with the plasma, and the cleaning effect was confirmed. The result showed that it is possible to remove the tarnish which exists on the copper wire surface. The experiment reveals that atmospheric pressure plasma is usable for the surface cleaning of metal wire. However, it is necessary to examine the method for preventing oxidization of the copper wire.

  6. Source formulation for electron-impact ionization for fluid plasma simulations

    DEFF Research Database (Denmark)

    Müller, S.H.; Holland, C.; Tynan, G.R.

    2009-01-01

    The derivation of the correct functional form of source terms in plasma fluid theory is revisited. The relation between the fluid source terms and atomic physics differential cross sections is established for particle-impact ionization. It is shown that the interface between atomic and plasma phy...... electron temperature regimes in a wide variety of basic plasma physics experiments, including the trends across different gases.......The derivation of the correct functional form of source terms in plasma fluid theory is revisited. The relation between the fluid source terms and atomic physics differential cross sections is established for particle-impact ionization. It is shown that the interface between atomic and plasma...... physics is completely described by three scalar functions of the incident particle energy. These are the total cross section and the newly introduced forward momentum and energy functions, which are properties of the differential cross sections only. For electron-impact ionization, the binary...

  7. Materials science issues of plasma source ion implantation

    International Nuclear Information System (INIS)

    Nastasi, M.; Faehl, R.J.; Elmoursi, A.A.

    1996-01-01

    Ion beam processing, including ion implantation and ion beam assisted deposition (IBAD), are established surface modification techniques which have been used successfully to synthesize materials for a wide variety of tribological applications. In spite of the flexibility and promise of the technique, ion beam processing has been considered too expensive for mass production applications. However, an emerging technology, Plasma Source Ion Implantation (PSII), has the potential of overcoming these limitations to become an economically viable tool for mass industrial applications. In PSII, targets are placed directly in a plasma and then pulsed-biased to produce a non-line-of-sight process for intricate target geometries without complicated fixturing. If the bias is a relatively high negative potential (20--100 kV) ion implantation will result. At lower voltages (50--1,200 V), deposition occurs. Potential applications for PSII are in low-value-added products such as tools used in manufacturing, orthopedic devices, and the production of wear coatings for hard disk media. This paper will focus on the technology and materials science associated with PSII

  8. Surface damage of W exposed to combined stationary D plasma and ELMs-like pulsed plasma

    Energy Technology Data Exchange (ETDEWEB)

    Jia, Y.Z., E-mail: jaja880816@aliyun.com [Science and Technology on Reactor Fuel and Materials Laboratory, Nuclear Power Institute of China, Chengdu, Sichuan 610213 (China); Laboratory of Advanced Materials, School of Materials Science and Engineering, Tsinghua University, Beijing 100084 (China); Liu, W., E-mail: liuw@mail.tsinghua.edu.cn [Laboratory of Advanced Materials, School of Materials Science and Engineering, Tsinghua University, Beijing 100084 (China); Xu, B.; Qu, S.L. [Laboratory of Advanced Materials, School of Materials Science and Engineering, Tsinghua University, Beijing 100084 (China); Morgan, T.W. [FOM Institute DIFFER-Dutch Institute for Fundamental Energy Research, 5612AJ Eindhoven (Netherlands)

    2017-04-15

    The surface damage of W under D plasma and ELMs-like transient heat loads was studied by combined stationary and pulsed D plasma. Low-flux transient heat loads will promote blister formation due to the gas expansion inside the blisters. On the contrary, high-flux transient heat loads will mitigate blistering due to the high surface temperature. Therefore, blistering on W surface first increased and then decreased with the increasing transient heat loads. The promotion effect of pulsed plasma on blistering is more obvious on [001] and [110] surfaces than on [111] surface, and the orientation dependence of blisters was mitigated by the transient heat loads. Surface modification induced by transient heat loads only formed on [001] and [110] surfaces, but did not form on [111] surface. The orientation dependence of surface modification was mainly due to the slipping system of dislocations.

  9. Study of plasma-material surface interaction using langmuir probe technique during plasma treatment

    International Nuclear Information System (INIS)

    Saloum, S.; Akel, M.

    2012-01-01

    In this study, we tried to understand the plasma-surface interactions by using Langmuir probes. Two different types of plasmas were studied, the first is the electropositive plasma in Argon and the second is the electronegative plasma in Sulfur Hexafluoride. In the first type, the effects of Argon gas pressure, the injection of Helium in the remote zone and the substrate bias on the measurements of the Electron Energy Probability Function (EEPF) and on the plasma parameters (electron density (n e ), effective electron temperature (T e ff), plasma potential (V p ) and floating potential (V f )) have been investigated. The obtained EEPFs and plasma parameters have been used to control two remote plasma processes. The first is the remote Plasma Enhanced Chemical Vapor Deposition (PE-CVD) of thin films, on silicon wafers, from Hexamethyldisiloxane (HMDSO) precursor diluted in the remote Ar-He plasma. The second is the pure Argon remote plasma treatment of polymethylmethacrylate (PMMA) polymer surface. In the second type, the plasma diagnostics were performed in the remote zone as a function of SF 6 flow rate, where relative concentrations of fluorine atoms were measured using actinometry optical emission spectroscopy; electron density, electron temperature and plasma potential were determined using single cylindrical Langmuir probe, positive ion flux and negative ion fraction were determined using an planar probe. The silicon etching process in SF 6 plasma was studied. (author)

  10. Study of plasma-material surface interaction using Langmuir probe technique during plasma treatment

    International Nuclear Information System (INIS)

    Saloum, S.; Akel, M.

    2009-06-01

    In this study, we tried to understand the plasma-surface interactions by using Langmuir probes. Two different types of plasmas were studied, the first is the electropositive plasma in Argon and the second is the electronegative plasma in Sulfur Hexafluoride. In the first type, the effects of Argon gas pressure, the injection of Helium in the remote zone and the substrate bias on the measurements of the Electron Energy Probability Function (EEPF) and on the plasma parameters (electron density (n e ), effective electron temperature (T e ff), plasma potential (V p ) and floating potential (V f )) have been investigated. The obtained EEPFs and plasma parameters have been used to control two remote plasma processes. The first is the remote Plasma Enhanced Chemical Vapor Deposition (PE-CVD) of thin films, on silicon wafers, from Hexamethyldisoloxane (HMDSO) precursor diluted in the remote Ar-He plasma. The second is the pure Argon remote plasma treatment of polymethylmethacrylate (PMMA) polymer surface. In the second type, the plasma diagnostics were performed in the remote zone as a function of SF 6 flow rate, where relative concentrations of fluorine atoms were measured using actinometry optical emission spectroscopy; electron density, electron temperature and plasma potential were determined using single cylindrical Langmuir probe, positive ion flux and negative ion fraction were determined using an planar probe. The silicon etching process in SF 6 plasma was studied. (author)

  11. The effects of size and surface modification of amorphous silica particles on biodistribution and liver metabolism in mice

    Science.gov (United States)

    Lu, Xiaoyan; Ji, Cai; Jin, Tingting; Fan, Xiaohui

    2015-05-01

    Engineered nanoparticles, with unconventional properties, are promising platforms for biomedical applications. Since they may interact with a wide variety of biomolecules, it is critical to understand the impact of the physicochemical properties of engineered nanoparticles on biological systems. In this study, the effects of particle size and surface modification alone or in combination of amorphous silica particles (SPs) on biological responses were determined using a suite of general toxicological assessments and metabonomics analysis in mice model. Our results suggested that amino or carboxyl surface modification mitigated the liver toxicity of plain-surface SPs. 30 nm SPs with amino surface modification were found to be the most toxic SPs among all the surface-modified SP treatments at the same dosage. When treatment dose was increased, submicro-sized SPs with amino or carboxyl surface modification also induced liver toxicity. Biodistribution studies suggested that 70 nm SPs were mainly accumulated in liver and spleen regardless of surface modifications. Interestingly, these two organs exhibited different uptake trends. Furthermore, metabonomics studies indicated that surface modification plays a more dominant role to affect the liver metabolism than particle size.

  12. Conditions and requirements for a potential application of solar power satellites /SPS/ for Europe

    Energy Technology Data Exchange (ETDEWEB)

    Westphal, W. (Berlin, Technische Universitaet, Berlin, West Germany); Ruth, J. (ESA, European Space Research and Technology Centre, Noordwijk, Netherlands)

    1980-12-01

    The potential problems of a future introduction of Solar Power Satellites (SPS) as baseload power plants for Western European countries are considered, emphasizing the differences of SPS utilization in Europe compared with that in the USA as a result of geographical, orbital organizational, and industrial conditions. If estimated SPS safety zone areas are required, then the SPS system incorporating the 2.45 GHz microwave power transmission appears crucial for utilization in Western Europe in order to eliminate the large rectenna area requirements of an SPS 5 GW power system. A frequency variation of up to 5 or 10 GHz, and the application of either laser power transmission or solid state devices which could alleviate rectenna siting problems and restrictions on the use of the geosynchronous orbit are discussed.

  13. Investigation of radiofrequency plasma sources for space travel

    International Nuclear Information System (INIS)

    Charles, C; Boswell, R W; Takahashi, K

    2012-01-01

    Optimization of radiofrequency (RF) plasma sources for the development of space thrusters differs from other applications such as plasma processing of materials since power efficiency, propellant usage, particle acceleration or heating become driving parameters. The development of two RF (13.56 MHz) plasma sources, the high-pressure (∼1 Torr) capacitively coupled ‘pocket rocket’ plasma micro-thruster and the low-pressure (∼1 mTorr) inductively coupled helicon double layer thruster (HDLT), is discussed within the context of mature and emerging electric propulsion devices. The density gradient in low-pressure expanding RF plasmas creates an electric field that accelerates positive ions out of the plasma. Generally, the total potential drop is similar to that of a wall sheath allowing the plasma electrons to neutralize the ion beam. A high-pressure expansion with no applied magnetic field can result in large dissociation rates and/or a collimated beam of ions of small area and a flowing heated neutral beam (‘pocket rocket’). A low-pressure expansion dominated by a magnetic field can result in the formation of electric double layers which produce a very directed neutralized beam of ions of large area (HDLT). (paper)

  14. Investigation of radiofrequency plasma sources for space travel

    Science.gov (United States)

    Charles, C.; Boswell, R. W.; Takahashi, K.

    2012-12-01

    Optimization of radiofrequency (RF) plasma sources for the development of space thrusters differs from other applications such as plasma processing of materials since power efficiency, propellant usage, particle acceleration or heating become driving parameters. The development of two RF (13.56 MHz) plasma sources, the high-pressure (˜1 Torr) capacitively coupled ‘pocket rocket’ plasma micro-thruster and the low-pressure (˜1 mTorr) inductively coupled helicon double layer thruster (HDLT), is discussed within the context of mature and emerging electric propulsion devices. The density gradient in low-pressure expanding RF plasmas creates an electric field that accelerates positive ions out of the plasma. Generally, the total potential drop is similar to that of a wall sheath allowing the plasma electrons to neutralize the ion beam. A high-pressure expansion with no applied magnetic field can result in large dissociation rates and/or a collimated beam of ions of small area and a flowing heated neutral beam (‘pocket rocket’). A low-pressure expansion dominated by a magnetic field can result in the formation of electric double layers which produce a very directed neutralized beam of ions of large area (HDLT).

  15. Beam measurement of the high frequency impedance sources with long bunches in the CERN Super Proton Synchrotron

    Directory of Open Access Journals (Sweden)

    A. Lasheen

    2018-03-01

    Full Text Available Microwave instability in the Super Proton Synchrotron (SPS at CERN is one of the main limitations to reach the requirements for the High Luminosity-LHC project (increased beam intensity by a factor 2. To identify the impedance source responsible of the instability, beam measurements were carried out to probe the SPS impedance. The method presented in this paper relies on measurements of the unstable spectra of single bunches, injected in the SPS with the rf voltage switched off. The modulation of the bunch profile gives information about the main impedance sources driving microwave instability, and is compared to particle simulations using the SPS impedance model to identify the most important contributions. This allowed us to identify the vacuum flanges as the main impedance source for microwave instability in the SPS, and to evaluate possible missing impedance sources.

  16. Beam measurement of the high frequency impedance sources with long bunches in the CERN Super Proton Synchrotron

    Science.gov (United States)

    Lasheen, A.; Argyropoulos, T.; Bohl, T.; Esteban Müller, J. F.; Timko, H.; Shaposhnikova, E.

    2018-03-01

    Microwave instability in the Super Proton Synchrotron (SPS) at CERN is one of the main limitations to reach the requirements for the High Luminosity-LHC project (increased beam intensity by a factor 2). To identify the impedance source responsible of the instability, beam measurements were carried out to probe the SPS impedance. The method presented in this paper relies on measurements of the unstable spectra of single bunches, injected in the SPS with the rf voltage switched off. The modulation of the bunch profile gives information about the main impedance sources driving microwave instability, and is compared to particle simulations using the SPS impedance model to identify the most important contributions. This allowed us to identify the vacuum flanges as the main impedance source for microwave instability in the SPS, and to evaluate possible missing impedance sources.

  17. Water surface coverage effects on reactivity of plasma oxidized Ti films

    International Nuclear Information System (INIS)

    Pranevicius, L.; Pranevicius, L.L.; Vilkinis, P.; Baltaragis, S.; Gedvilas, K.

    2014-01-01

    Highlights: • The reactivity of Ti films immersed in water vapor plasma depends on the surface water coverage. • The adsorbed water monolayers are disintegrated into atomic constituents on the hydrophilic TiO 2 under plasma radiation. • The TiO 2 surface covered by water multilayer loses its ability to split adsorbed water molecules under plasma radiation. - Abstract: The behavior of the adsorbed water on the surface of thin sputter deposited Ti films maintained at room temperature was investigated in dependence on the thickness of the resulting adsorbed water layer, controllably injecting water vapor into plasma. The surface morphology and microstructure were used to characterize the surfaces of plasma treated titanium films. Presented experimental results showed that titanium films immersed in water vapor plasma at pressure of 10–100 Pa promoted the photocatalytic activity of overall water splitting. The surfaces of plasma oxidized titanium covered by an adsorbed hydroxyl-rich island structure water layer and activated by plasma radiation became highly chemically reactive. As water vapor pressure increased up to 300–500 Pa, the formed water multilayer diminished the water oxidation and, consequently, water splitting efficiency decreased. Analysis of the experimental results gave important insights into the role an adsorbed water layer on surface of titanium exposed to water vapor plasma on its chemical activity and plasma activated electrochemical processes, and elucidated the surface reactions that could lead to the split of water molecules

  18. Nine years of carbon coating development for the SPS upgrade: achievements and heritage.

    CERN Document Server

    Taborelli, Mauro; Costa Pinto, Pedro; Cruikshank, Paul

    2015-01-01

    CERN has succeeded in producing carbon coatings that eradicate electron cloud in accelerators without any beam conditioning or in situ heating. Investing about 1 MCHF and dedicating 31 FTE (28 man-years, staff and associate members) in 9 years in the framework of LIU-SPS, CERN has increased: technological competences in thin-film coatings, worldwide visibility in surface characterisation, and capability in electron cloud measurement. Future projects are still benefiting from such an investment.

  19. Surface plasmons in metallic nanoparticles: fundamentals and applications

    International Nuclear Information System (INIS)

    Garcia, M A

    2011-01-01

    The excitation of surface plasmons (SPs) in metallic nanoparticles (NPs) induces optical properties hardly achievable in other optical materials, yielding a wide range of applications in many fields. This review presents an overview of SPs in metallic NPs. The concept of SPs in NPs is qualitatively described using a comparison with simple linear oscillators. The mathematical models to carry on calculations on SPs are presented as well as the most common approximations. The different parameters governing the features of SPs and their effect on the optical properties of the materials are reviewed. Finally, applications of SPs in different fields such as biomedicine, energy, environment protection and information technology are revised. (topical review)

  20. Surface plasmons in metallic nanoparticles: fundamentals and applications

    Energy Technology Data Exchange (ETDEWEB)

    Garcia, M A, E-mail: magarcia@icv.csic.es [Department of Electroceramics, Institute for Ceramic and Glass, CSIC, C/Kelsen 5, 28049 Madrid (Spain) and IMDEA Nanociencia, Madrid 28049 (Spain)

    2011-07-20

    The excitation of surface plasmons (SPs) in metallic nanoparticles (NPs) induces optical properties hardly achievable in other optical materials, yielding a wide range of applications in many fields. This review presents an overview of SPs in metallic NPs. The concept of SPs in NPs is qualitatively described using a comparison with simple linear oscillators. The mathematical models to carry on calculations on SPs are presented as well as the most common approximations. The different parameters governing the features of SPs and their effect on the optical properties of the materials are reviewed. Finally, applications of SPs in different fields such as biomedicine, energy, environment protection and information technology are revised. (topical review)

  1. The ionization length in plasmas with finite temperature ion sources

    Science.gov (United States)

    Jelić, N.; Kos, L.; Tskhakaya, D. D.; Duhovnik, J.

    2009-12-01

    The ionization length is an important quantity which up to now has been precisely determined only in plasmas which assume that the ions are born at rest, i.e., in discharges known as "cold ion-source" plasmas. Presented here are the results of our calculations of the ionization lengths in plasmas with an arbitrary ion source temperature. Harrison and Thompson (H&T) [Proc. Phys. Soc. 74, 145 (1959)] found the values of this quantity for the cases of several ion strength potential profiles in the well-known Tonks-Langmuir [Phys. Rev. 34, 876 (1929)] discharge, which is characterized by "cold" ion temperature. This scenario is also known as the "singular" ion-source discharge. The H&T analytic result covers cases of ion sources proportional to exp(βΦ) with Φ the normalized plasma potential and β =0,1,2 values, which correspond to particular physical scenarios. Many years following H&T's work, Bissell and Johnson (B&J) [Phys. Fluids 30, 779 (1987)] developed a model with the so-called "warm" ion-source temperature, i.e., "regular" ion source, under B&J's particular assumption that the ionization strength is proportional to the local electron density. However, it appears that B&J were not interested in determining the ionization length at all. The importance of this quantity to theoretical modeling was recognized by Riemann, who recently answered all the questions of the most advanced up-to-date plasma-sheath boundary theory with cold ions [K.-U. Riemann, Phys. Plasmas 13, 063508 (2006)] but still without the stiff warm ion-source case solution, which is highly resistant to solution via any available analytic method. The present article is an extension of H&T's results obtained for a single point only with ion source temperature Tn=0 to arbitrary finite ion source temperatures. The approach applied in this work is based on the method recently developed by Kos et al. [Phys. Plasmas 16, 093503 (2009)].

  2. Coupling and Vertical Dispersion Correction in the SPS

    CERN Document Server

    Aiba, M; Franchi, A; Tomas, R; Vanbavinckhove, G

    2010-01-01

    Consolidation of the coupling correction scheme in the LHC is challenged by a missing skew quadrupole family in Sector 3-4 at the start-up in 2009-2010. Simultaneous coupling and vertical dispersion correction using vertical orbit bumps at the sextupoles, was studied by analyzing turn-byturn data. This scheme was tested in the CERN SPS where the optical structure of arc cells is quite similar to the LHC. In the SPS, horizontal and vertical beam positions are measured separately with single plane BPMs, thus a technique to construct ”pseudo double plane BPM” is also discussed.

  3. Surface multipole guide field for plasma injection

    International Nuclear Information System (INIS)

    Breun, R.A.; Rael, B.H.; Wong, A.Y.

    1977-01-01

    Described here is a surface guide field system which is useful for injection of plasmas into confinement devices. Experimental results are given for 5--25-eV hydrogen plasmas produced by a coaxial discharge (Marshall) gun. It is found that better than 90% of the plasma produced by the gun is delivered to the end of the guide 180 cm away, while the neutral component falls by more than an order of magnitude. For these results the rod current providing the magnetic field had to be large enough to provide at least 1.5-ion gyroradii from the center of the guide to the surface of the inner rod

  4. SPS RF System Amplifier plant

    CERN Multimedia

    1977-01-01

    The picture shows a 2 MW, 200 MHz amplifier plant with feeder lines. The main RF-system of the SPS comprises four cavities: two of 20 m length and two of 16.5 m length. They are all installed in one long straight section (LSS 3). These cavities are of the travelling-wave type operating at a centre frequency of 200.2 MHz. They are wideband, filling time about 700 ns and untuned. The power amplifiers, using tetrodes are installed in a surface building 200 m from the cavities. Initially only two cavities were installed, a third cavity was installed in 1978 and a forth one in 1979. The number of power amplifiers was also increased: to the first 2 MW plant a second 2 MW plant was added and by end 1979 there were 8 500 kW units combined in pairs to feed each of the 4 cavities with up to about 1 MW RF power, resulting in a total accelerating voltage of about 8 MV. See also 7412016X, 7412017X, 7411048X.

  5. Tritium saturation in plasma-facing materials surfaces

    International Nuclear Information System (INIS)

    Longhurst, G.R.; Anderl, R.A.; Pawelko, R.J.; Causey, R.A.; Federici, G.; Haasz, A.A.

    1998-01-01

    Plasma-facing components in the international thermonuclear experimental reactor (ITER) will experience high heat loads and intense plasma fluxes of order 10 20 -10 23 particles/m 2 s. Experiments on Be and W, two of the materials considered for use in ITER, have revealed that a tritium saturation phenomenon can take place under these conditions in which damage to the surface results that enhances the return of implanted tritium to the plasma and inhibits uptake of tritium. This phenomenon is important because it implies that tritium inventories due to implantation in these plasma-facing materials will probably be lower than was previously estimated using classical recombination-limited release at the plasma surface. Similarly, permeation through these components to the coolant streams should be reduced. In this paper we discuss evidences for the existence of this phenomenon, describe techniques for modeling it, and present results of the application of such modeling to prior experiments. (orig.)

  6. Tritium saturation in plasma-facing materials surfaces

    Energy Technology Data Exchange (ETDEWEB)

    Longhurst, G.R.; Anderl, R.A.; Pawelko, R.J. [Idaho Nat. Eng. and Environ. Lab., Idaho Falls, ID (United States); Causey, R.A. [Sandia National Labs., Livermore, CA (United States); Federici, G. [ITER Garching Joint Work Site, Garching (Germany); Haasz, A.A. [Toronto Univ., ON (Canada). Inst. for Aerospace Studies

    1998-10-01

    Plasma-facing components in the international thermonuclear experimental reactor (ITER) will experience high heat loads and intense plasma fluxes of order 10{sup 20}-10{sup 23} particles/m{sup 2}s. Experiments on Be and W, two of the materials considered for use in ITER, have revealed that a tritium saturation phenomenon can take place under these conditions in which damage to the surface results that enhances the return of implanted tritium to the plasma and inhibits uptake of tritium. This phenomenon is important because it implies that tritium inventories due to implantation in these plasma-facing materials will probably be lower than was previously estimated using classical recombination-limited release at the plasma surface. Similarly, permeation through these components to the coolant streams should be reduced. In this paper we discuss evidences for the existence of this phenomenon, describe techniques for modeling it, and present results of the application of such modeling to prior experiments. (orig.) 39 refs.

  7. Innovative ion sources for accelerators: the benefits of the plasma technology

    Czech Academy of Sciences Publication Activity Database

    Gammino, S.; Ciavola, G.; Celona, L.; Torrisi, L.; Ando, L.; Presti, M.; Láska, Leoš; Krása, Josef; Wolowski, J.

    2004-01-01

    Roč. 54, Suppl. C (2004), s. C883-C888 ISSN 0011-4626. [Symposium on Plasma Physics and Technology /21./. Praha, 14.06.2004-17.06.2004] R&D Projects: GA AV ČR IAA1010405 Institutional research plan: CEZ:AV0Z1010921 Keywords : plasma sources * ion sources * proton sources * ECR Subject RIV: BL - Plasma and Gas Discharge Physics Impact factor: 0.292, year: 2004

  8. Studies on the QCD Phase Diagram at SPS and FAIR

    International Nuclear Information System (INIS)

    Blume, Christoph

    2013-01-01

    A review of results of the energy scan program at the CERN-SPS by the NA49 experiment is given. Presented are observables related to the search for a critical point in the QCD phase diagram and for the onset of deconfinement. Furthermore, the ongoing experimental program of NA61 at the CRRN-SPS and the plans of the CBM experiment at FAIR are discussed.

  9. Optical switches based on surface plasmons

    International Nuclear Information System (INIS)

    Chen Cong; Wang Pei; Yuan Guanghui; Wang Xiaolei; Min Changjun; Deng Yan; Lu Yonghua; Ming Hai

    2008-01-01

    Great attention is being paid to surface plasmons (SPs) because of their potential applications in sensors, data storage and bio-photonics. Recently, more and more optical switches based on surface plasmon effects have been demonstrated either by simulation or experimentally. This article describes the principles, advantages and disadvantages of various types of optical switches based on SPs, in particular the all-optical switches. (authors)

  10. On the possibility of the multiple inductively coupled plasma and helicon plasma sources for large-area processes

    Energy Technology Data Exchange (ETDEWEB)

    Lee, Jin-Won; Lee, Yun-Seong, E-mail: leeeeys@kaist.ac.kr; Chang, Hong-Young [Low-temperature Plasma Laboratory, Department of Physics, Korea Advanced Institute of Science and Technology, Daejeon 305-701 (Korea, Republic of); An, Sang-Hyuk [Agency of Defense Development, Yuseong-gu, Daejeon 305-151 (Korea, Republic of)

    2014-08-15

    In this study, we attempted to determine the possibility of multiple inductively coupled plasma (ICP) and helicon plasma sources for large-area processes. Experiments were performed with the one and two coils to measure plasma and electrical parameters, and a circuit simulation was performed to measure the current at each coil in the 2-coil experiment. Based on the result, we could determine the possibility of multiple ICP sources due to a direct change of impedance due to current and saturation of impedance due to the skin-depth effect. However, a helicon plasma source is difficult to adapt to the multiple sources due to the consistent change of real impedance due to mode transition and the low uniformity of the B-field confinement. As a result, it is expected that ICP can be adapted to multiple sources for large-area processes.

  11. Perspective: The physics, diagnostics, and applications of atmospheric pressure low temperature plasma sources used in plasma medicine

    Science.gov (United States)

    Laroussi, M.; Lu, X.; Keidar, M.

    2017-07-01

    Low temperature plasmas have been used in various plasma processing applications for several decades. But it is only in the last thirty years or so that sources generating such plasmas at atmospheric pressure in reliable and stable ways have become more prevalent. First, in the late 1980s, the dielectric barrier discharge was used to generate relatively large volume diffuse plasmas at atmospheric pressure. Then, in the early 2000s, plasma jets that can launch cold plasma plumes in ambient air were developed. Extensive experimental and modeling work was carried out on both methods and much of the physics governing such sources was elucidated. Starting in the mid-1990s, low temperature plasma discharges have been used as sources of chemically reactive species that can be transported to interact with biological media, cells, and tissues and induce impactful biological effects. However, many of the biochemical pathways whereby plasma affects cells remain not well understood. This situation is changing rather quickly because the field, known today as "plasma medicine," has experienced exponential growth in the last few years thanks to a global research community that engaged in fundamental and applied research involving the use of cold plasma for the inactivation of bacteria, dental applications, wound healing, and the destruction of cancer cells/tumors. In this perspective, the authors first review the physics as well as the diagnostics of the principal plasma sources used in plasma medicine. Then, brief descriptions of their biomedical applications are presented. To conclude, the authors' personal assessment of the present status and future outlook of the field is given.

  12. Characterizing Suspension Plasma Spray Coating Formation Dynamics through Curvature Measurements

    Science.gov (United States)

    Chidambaram Seshadri, Ramachandran; Dwivedi, Gopal; Viswanathan, Vaishak; Sampath, Sanjay

    2016-12-01

    Suspension plasma spraying (SPS) enables the production of variety of microstructures with unique mechanical and thermal properties. In SPS, a liquid carrier (ethanol/water) is used to transport the sub-micrometric feedstock into the plasma jet. Considering complex deposition dynamics of SPS technique, there is a need to better understand the relationships among spray conditions, ensuing particle behavior, deposition stress evolution and resultant properties. In this study, submicron yttria-stabilized zirconia particles suspended in ethanol were sprayed using a cascaded arc plasma torch. The stresses generated during the deposition of the layers (termed evolving stress) were monitored via the change in curvature of the substrate measured using an in situ measurement apparatus. Depending on the deposition conditions, coating microstructures ranged from feathery porous to dense/cracked deposits. The evolving stresses and modulus were correlated with the observed microstructures and visualized via process maps. Post-deposition bi-layer curvature measurement via low temperature thermal cycling was carried out to quantify the thermo-elastic response of different coatings. Lastly, preliminary data on furnace cycle durability of different coating microstructures were evaluated. This integrated study involving in situ diagnostics and ex situ characterization along with process maps provides a framework to describe coating formation mechanisms, process parametrics and microstructure description.

  13. Advanced plasma flow simulations of cathodic-arc and ferroelectric plasma sources for neutralized drift compression experiments

    Directory of Open Access Journals (Sweden)

    Adam B. Sefkow

    2008-07-01

    Full Text Available Large-space-scale and long-time-scale plasma flow simulations are executed in order to study the spatial and temporal evolution of plasma parameters for two types of plasma sources used in the neutralized drift compression experiment (NDCX. The results help assess the charge neutralization conditions for ion beam compression experiments and can be employed in more sophisticated simulations, which previously neglected the dynamical evolution of the plasma. Three-dimensional simulations of a filtered cathodic-arc plasma source show the coupling efficiency of the plasma flow from the source to the drift region depends on geometrical factors. The nonuniform magnetic topology complicates the well-known general analytical considerations for evaluating guiding-center drifts, and particle-in-cell simulations provide a self-consistent evaluation of the physics in an otherwise challenging scenario. Plasma flow profiles of a ferroelectric plasma source demonstrate that the densities required for longitudinal compression experiments involving ion beams are provided over the drift length, and are in good agreement with measurements. Simulations involving azimuthally asymmetric plasma creation conditions show that symmetric profiles are nevertheless achieved at the time of peak on-axis plasma density. Also, the ferroelectric plasma expands upstream on the thermal expansion time scale, and therefore avoids the possibility of penetration into the acceleration gap and transport sections, where partial neutralization would increase the beam emittance. Future experiments on NDCX will investigate the transverse focusing of an axially compressing intense charge bunch to a sub-mm spot size with coincident focal planes using a strong final-focus solenoid. In order to fill a multi-tesla solenoid with the necessary high-density plasma for beam charge neutralization, the simulations predict that supersonically injected plasma from the low-field region will penetrate and

  14. Combustion flame-plasma hybrid reactor systems, and chemical reactant sources

    Science.gov (United States)

    Kong, Peter C

    2013-11-26

    Combustion flame-plasma hybrid reactor systems, chemical reactant sources, and related methods are disclosed. In one embodiment, a combustion flame-plasma hybrid reactor system comprising a reaction chamber, a combustion torch positioned to direct a flame into the reaction chamber, and one or more reactant feed assemblies configured to electrically energize at least one electrically conductive solid reactant structure to form a plasma and feed each electrically conductive solid reactant structure into the plasma to form at least one product is disclosed. In an additional embodiment, a chemical reactant source for a combustion flame-plasma hybrid reactor comprising an elongated electrically conductive reactant structure consisting essentially of at least one chemical reactant is disclosed. In further embodiments, methods of forming a chemical reactant source and methods of chemically converting at least one reactant into at least one product are disclosed.

  15. The new control system of the SPS target sector

    CERN Document Server

    Carlier, E; Mecredy, N; O'Leary, J

    1999-01-01

    The SPS, the Super Proton Synchrotron at CERN, is an accelerator originally designed and commissioned in 1976 for protons. The control system of the SPS target stations, beam absorbers and other aperture limiting devices was developed in the seventies. It was mainly based on home made electronics and equipment dependent software. With time, this electronics has become obsolete, difficult to maintain in operation and not suitable for integration into a modern control system. In 1997, a project was set up to modernise the electronics and the related software. The new control system is largely based on standard industrial hardware and software components. SIEMENS Simatic S7-300 programmable logic controllers have been used as equipment controllers and connected through PROFIBUS to a Windows-NT front-end PC running the SIEMENS WinCC SCADA package which acts as local controller and remote access gateway. This fully industrial solution has been successfully integrated into the actual SPS accelerator control infrast...

  16. Transience of plasma surface modification as an adhesion promoter for polychlorotrifluorethylene

    International Nuclear Information System (INIS)

    Subrahmanyan, S.; Dillard, J.G.; Love, B.J.; Romand, M.; Charbonnier, M.

    2002-01-01

    Poly(chlorotrifluoroethylene) (PCTFE) and other fluoropolymers are increasingly used as inner layer dielectrics. However, these polymers have low surface energies and correspondingly poor adhesive properties. Results are presented on the use of a low-pressure ammonia plasma to enhance the surface bondability of PCTFE. The plasma modified PCTFE film surfaces were characterized by x-ray photoelectron spectroscopy and contact angle measurements. Surface modified films exhibited improved adhesion to electroless copper deposits (180 deg. peel test) compared to coated PCTFE controls and that underwent no plasma exposure. Annealing studies were conducted between 30 and 100 deg. C to examine the stability of the plasma-modified surfaces. For samples annealed below T g , contact angle measurements indicated that the plasma-introduced groups remained bound on the surface for four weeks. For specimens annealed above T g , the surface functionalities were absorbed within the bulk and surface rearrangement occurred within 10 h of annealing time. As a result of rearrangement, the benefit of adhesion enhancement by plasma is lost and the adhesion to copper is reduced

  17. Progress in the Development of a High Power Helicon Plasma Source for the Materials Plasma Exposure Experiment

    Energy Technology Data Exchange (ETDEWEB)

    Goulding, Richard Howell [ORNL; Caughman, John B. [ORNL; Rapp, Juergen [ORNL; Biewer, Theodore M. [ORNL; Bigelow, Tim S. [ORNL; Campbell, Ian H. [ORNL; Caneses Marin, Juan F. [ORNL; Donovan, David C. [ORNL; Kafle, Nischal [ORNL; Martin, Elijah H. [ORNL; Ray, Holly B. [ORNL; Shaw, Guinevere C. [ORNL; Showers, Melissa A. [ORNL

    2017-09-01

    Proto-MPEX is a linear plasma device being used to study a novel RF source concept for the planned Material Plasma Exposure eXperiment (MPEX), which will address plasma-materials interaction (PMI) for nuclear fusion reactors. Plasmas are produced using a large diameter helicon source operating at a frequency of 13.56 MHz at power levels up to 120 kW. In recent experiments the helicon source has produced deuterium plasmas with densities up to ~6 × 1019 m–3 measured at a location 2 m downstream from the antenna and 0.4 m from the target. Previous plasma production experiments on Proto-MPEX have generated lower density plasmas with hollow electron temperature profiles and target power deposition peaked far off axis. The latest experiments have produced flat Te profiles with a large portion of the power deposited on the target near the axis. This and other evidence points to the excitation of a helicon mode in this case.

  18. Event-by-event fluctuations at SPS

    CERN Document Server

    Appelshauser, Harald; Adamova, D.; Agakichiev, G.; Belaga, V.; Braun-Munzinger, P.; Castillo, A.; Cherlin, A.; Damjanovic, S.; Dietel, T.; Dietrich, L.; Drees, A.; Esumi, S.I.; Filimonov, K.; Fomenko, K.; Fraenkel, Z.; Garabatos, C.; Glassel, P.; Hering, G.; Holeczek, J.; Kushpil, V.; Lenkeit, B.; Ludolphs, W.; Maas, A.; Marn, A.; Milosevic, J.; Milov, A.; Miskowiec, D.; Panebrattsev, Yu.; Petchenova, O.; Petracek, V.; Pfeiffer, A.; Rak, J.; Ravinovich, I.; Rehak, P.; Schmitz, W.; Schukraft, J.; Sedykh, S.; Shimansky, S.; Slvova, J.; Stachel, J.; Sumbera, M.; Tilsner, H.; Tserruya, Itzhak; Wessels, J.P.; Wienold, T.; Windelband, B.; Wurm, J.P.; Xie, W.; Yurevich, S.; Yurevich, V.; Appelshauser, Harald; Sako, Hiro

    2005-01-01

    Results on event-by-event fluctuations of the mean transverse momentum and net charge in Pb-Au collisions, measured by the CERES Collaboration at CERN-SPS, are presented. We discuss the centrality and beam energy dependence and compare our data to cascade calculations.

  19. Creep of Polycrystalline Magnesium Aluminate Spinel Studied by an SPS Apparatus.

    Science.gov (United States)

    Ratzker, Barak; Sokol, Maxim; Kalabukhov, Sergey; Frage, Nachum

    2016-06-20

    A spark plasma sintering (SPS) apparatus was used for the first time as an analytical testing tool for studying creep in ceramics at elevated temperatures. Compression creep experiments on a fine-grained (250 nm) polycrystalline magnesium aluminate spinel were successfully performed in the 1100-1200 °C temperature range, under an applied stress of 120-200 MPa. It was found that the stress exponent and activation energy depended on temperature and applied stress, respectively. The deformed samples were characterized by high resolution scanning electron microscope (HRSEM) and high resolution transmission electron microscope (HRTEM). The results indicate that the creep mechanism was related to grain boundary sliding, accommodated by dislocation slip and climb. The experimental results, extrapolated to higher temperatures and lower stresses, were in good agreement with data reported in the literature.

  20. Plasma control for efficient extreme ultra-violet source

    International Nuclear Information System (INIS)

    Takahashi, Kensaku; Nakajima, Mitsuo; Kawamura, Tohru; Shiho, Makoto; Hotta, Eiki; Horioka, Kazuhiko

    2008-01-01

    To generate a high efficiency extreme-ultraviolet (EUV) source, effects of plasma shape for controlling radiative plasmas based on xenon capillary discharge are experimentally investigated. The radiation characteristics observed via tapered capillary discharge are compared with those of straight one. From the comparison, the long emission period and different plasma behaviors of tapered capillary discharge are confirmed. This means that control of the plasma geometry is effective for prolonging the EUV emission period. This result also indicates that the plasma shape control seems to have a potential for enhancing the conversion efficiency. (author)

  1. Improvement of silicon direct bonding using surfaces activated by hydrogen plasma treatment

    CERN Document Server

    Choi, W B; Lee Jae Sik; Sung, M Y

    2000-01-01

    The plasma surface treatment, using hydrogen gas, of silicon wafers was studied as a pretreatment for silicon direct bonding. Chemical reactions of the hydrogen plasma with the surfaces were used for both surface activation and removal of surface contaminants. Exposure of the silicon wafers to the plasma formed an active oxide layer on the surface. This layer was hydrophilic. The surface roughness and morphology were examined as functions of the plasma exposure time and power. The surface became smoother with shorter plasma exposure time and lower power. In addition, the plasma surface treatment was very efficient in removing the carbon contaminants on the silicon surface. The value of the initial surface energy, as estimated by using the crack propagation method, was 506 mJ/M sup 2 , which was up to about three times higher than the value for the conventional direct bonding method using wet chemical treatments.

  2. Surface modification by preparation of buffer zone in glow-discharge plasma

    International Nuclear Information System (INIS)

    Cho, D.L.

    1986-01-01

    Reactive species, energetic particles, and uv radiation in the plasma created by a glow discharge strongly interact with solid surfaces under the influence of the plasma. As a result of the strong interaction, various physical and chemical reactions, unique and advantageous for the surface modification of solid materials, occur on the solid surfaces. The surface modification is carried out through formation of a thin buffering layer on the solid surface. The preparation of a buffer zone on solid surfaces for surface modification is described. Two kinds of a buffer zone are prepared by plasma polymerization, or simultaneous sputter deposition of electrode material with plasma polymerization: a transitional buffer zone and a graded buffer zone. Important factors for preparation of the buffer zone (pre-conditioning of a substrate surface, thin-film deposition, post-treatment of the film, magnetron discharge, energy input, geometry of a substrate and a plasma) are discussed

  3. Transience of plasma surface modification as an adhesion promoter for polychlorotrifluorethylene

    CERN Document Server

    Subramanian, S; Love, B J; Romand, M; Charbonnier, M

    2002-01-01

    Poly(chlorotrifluoroethylene) (PCTFE) and other fluoropolymers are increasingly used as inner layer dielectrics. However, these polymers have low surface energies and correspondingly poor adhesive properties. Results are presented on the use of a low-pressure ammonia plasma to enhance the surface bondability of PCTFE. The plasma modified PCTFE film surfaces were characterized by x-ray photoelectron spectroscopy and contact angle measurements. Surface modified films exhibited improved adhesion to electroless copper deposits (180 deg. peel test) compared to coated PCTFE controls and that underwent no plasma exposure. Annealing studies were conducted between 30 and 100 deg. C to examine the stability of the plasma-modified surfaces. For samples annealed below T sub g , contact angle measurements indicated that the plasma-introduced groups remained bound on the surface for four weeks. For specimens annealed above T sub g , the surface functionalities were absorbed within the bulk and surface rearrangement occurre...

  4. Plasma technology of the surface polymer activation

    International Nuclear Information System (INIS)

    Dutra, Jorge C.N.; Mello, Sandra C.; Massi, Marcos; Otani, Choyu; Maciel, Homero S.; Bittencourt, Edison

    2005-01-01

    A number of polymers, especially rubbers, require surface treatment to achieve a satisfactory level of adhesion. The surface of EPDM rubber vulcanized is high hydrophobicity and is not suited for a number of potential applications, in particular, for adhering to the polyurethane liner of solid rocket propellants. In this case, plasma treatment can be a very attractive process because it can efficiently increase the surface energy attributed to surface oxidation with the introduction of polar groups 1, 2. In order to investigate the influence of the parameters on the modifications of the treated surface samples of EPDM rubber by plasma generated by gas oxygen and argon, the water and methylene iodide contact angles were measured at room temperature with an image analyzing using the sessile drop technique 3 - 6 . (author)

  5. Plasma based Ar+ beam assisted poly(dimethylsiloxane) surface modification

    International Nuclear Information System (INIS)

    Vladkova, T.G.; Keranov, I.L.; Dineff, P.D.; Youroukov, S.Y.; Avramova, I.A.; Krasteva, N.; Altankov, G.P.

    2005-01-01

    Plasma based Ar + beam performed in RF (13.56 MHz) low-pressure (200 mTorr) glow discharge (at 100 W, 1200 W and 2500 W) with a serial capacitance was employed for surface modification of poly(dimethylsiloxane) (PDMS) aimed at improvement of its interactions with living cells. The presence of a serial capacitance ensures arise of an ion-flow inside the plasma volume directed toward the treated sample and the vary of the discharge power ensures varied density of the ion-flow. XPS analysis was performed to study the changes in the surface chemical composition of the modified samples and the corresponding changes in the surface energy were monitored by contact angle measurements. We found that plasma based Ar + beam transforms the initially hydrophobic PDMS surface into a hydrophilic one mainly due to a raising of the polar component of the surface tension, this effect being most probably due to an enrichment of the modified surface layer with permanent dipoles of a [SiO x ]-based network and elimination of the original methyl groups. The initial adhesion of human fibroblast cells was studied on the described above plasma based Ar + beam modified and acrylic acid (AA) grafted or not fibronectin (FN) pre-coated or bare surfaces. The cell response seems to be related with the peculiar structure and wettability of the modified PDMS surface layer after plasma based Ar + beam treatment followed or not by AA grafting

  6. Theory for beam-plasma millimeter-wave radiation source experiments

    International Nuclear Information System (INIS)

    Rosenberg, M.; Krall, N.A.

    1989-01-01

    This paper reports on theoretical studies for millimeter-wave plasma source experiments. In the device, millimeter-wave radiation is generated in a plasma-filled waveguide driven by counter-streaming electron beams. The beams excite electron plasma waves which couple to produce radiation at twice the plasma frequency. Physics topics relevant to the high electron beam current regime are discussed

  7. Transverse mode coupling instability for leptons in the CERN SPS

    Energy Technology Data Exchange (ETDEWEB)

    Linnecar, T; Shaposhnikova, E N [European Organization for Nuclear Research, Geneva (Switzerland)

    1996-08-01

    The intensity of leptons accelerated in the SPS machine is limited by a vertical transverse instability. The results of measurements of the thresholds for this transverse instability are compared with theoretical predictions for different broad band impedance models of the SPS. The threshold intensities found for the transverse instability and the position of the losses in the cycle enable the parameters of the broadband resonant impedance to be specified. (author)

  8. Ion source techniques for high-speed processing of material surface by ion beams

    International Nuclear Information System (INIS)

    Ishikawa, Junzo

    1990-01-01

    The present paper discusses some key or candidate techniques for future ion source development and such ion sources developed by the author. Several types of microwave ion sources for producing low charge state ions have been developed in Japan. When a microwave plasma cathode developed by the author is adapted to a Kaufman type ion source, the electron emission currents are found to be 2.5 A for argon gas and 0.5-0.9 A for oxygen gas. An alternative ionization method for metal atoms is strongly required for high-speed processing of material surface by metal-ion beams. Detailed discussion is made of collisional ionization of vaporized atoms, and negative-ion production (secondary negative-ion emission by sputtering). An impregnated electrode type liquid-metal ion source developed by the author, which has a porous tip structure, is described. The negative-ion production efficiency is quite high. The report also presents a neutral and ionized alkaline-metal bombardment type heavy negative-ion source, which consists of a cesium plasma ion source, suppressor, target electrode, negative-ion extraction electrode, and einzel lens. (N.K.)

  9. J/$\\psi$ production in proton-nucleus and nucleus-nucleus interactions at the CERN SPS

    CERN Document Server

    Abreu, M C; Alexa, C; Arnaldi, R; Ataian, M R; Baglin, C; Baldit, A; Bedjidian, Marc; Beolè, S; Boldea, V; Bordalo, P; Borges, G; Bussière, A; Capelli, L; Castanier, C; Castor, J I; Chaurand, B; Chevrot, I; Cheynis, B; Chiavassa, E; Cicalò, C; Claudino, T; Comets, M P; Constans, N; Constantinescu, S; Cortese, P; De Falco, A; De Marco, N; Dellacasa, G; Devaux, A; Dita, S; Drapier, O; Ducroux, L; Espagnon, B; Fargeix, J; Force, P; Gallio, M; Gavrilov, Yu K; Gerschel, C; Giubellino, P; Golubeva, M B; Gonin, M; Grigorian, A A; Grossiord, J Y; Guber, F F; Guichard, A; Gulkanian, H R; Hakobyan, R S; Haroutunian, R; Idzik, M; Jouan, D; Karavitcheva, T L; Kluberg, L; Kurepin, A B; Le Bornec, Y; Lourenço, C; Macciotta, P; MacCormick, M; Marzari-Chiesa, A; Masera, M; Masoni, A; Monteno, M; Musso, A; Petiau, P; Piccotti, A; Pizzi, J R; Prado da Silva, W L; Prino, F; Puddu, G; Quintans, C; Ramello, L; Ramos, S; Rato-Mendes, P; Riccati, L; Romana, A; Santos, H; Saturnini, P; Scalas, E; Scomparin, E; Serci, S; Shahoyan, R; Sigaudo, F; Silva, S; Sitta, M; Sonderegger, P; Tarrago, X; Topilskaya, N S; Usai, G L; Vercellin, Ermanno; Villatte, L; Willis, N

    2002-01-01

    The NA38 and NA50 experiments at the CERN SPS have measured charmonium production in different colliding systems with the aim of observing a phase transition from ordinary hadronic matter towards a state in which quarks and gluons are deconfined (quark-gluon plasma, QGP). This experimental research is based on the prediction that the J/ psi yield should be suppressed in deconfined matter. The analysis of the data collected by the NA50 experiment with Pb-Pb collisions at 158 GeV/c per nucleon shows that the J/ psi is anomalously suppressed with respect to the pattern observed in proton-nucleus and light ion reactions. (9 refs).

  10. Sintering of ZrC by hot isostatic pressing (HIP) and spark plasma sintering (SPS). Effect of impurities

    International Nuclear Information System (INIS)

    Allemand, Alexandre; Le Flem - Dormeval, Marion; Guillard, Francois

    2005-01-01

    Carbides are generally used as structural materials for high temperature applications. Particularly, ZrC because of low activation, neutronic transparency, cubic structure (isotropic behaviour) and good thermal conductivity, is one of the candidates under consideration for structural materials in the core of new high temperature nuclear reactors (Generation IV). Just a few studies about densification of monolithic ZrC exist. They mainly involve natural sintering or hot pressing at high temperature (until 2700 deg. C). Unfortunately those processes induce grain growth and do not lead to fully densified ZrC. The aim of this study is to compare the characteristics and the properties of ZrC sintered by HIP and by SPS. Fully dense ZrC can be reached either by HIP or by SPS, grain size being more or less controlled. Microstructural observations and mechanical testing of several ZrC grades shows that powder impurities play an important role in the quality of the grain boundaries and consequently in the mechanical properties. In particular, the porosity falls from 17% to 3 % just by reducing the free carbon content in starting ZrC powder. The densification process of dense monolithic ZrC was improved by combining a HIP at 1600 deg. C (titanium canning) followed by a post-HIP at 1900 deg. C (no canning required). Four-point bending tests are in progress to confirm the improvement of fracture strength. (authors)

  11. European sail tower SPS [Solar Power Satellite] concept

    Energy Technology Data Exchange (ETDEWEB)

    Seboldt, W.; Leipold, M.; Hanowski, N. [Institute of Space Sensor Technology and Planetary Exploration, Cologne (Germany). German Aerospace Center; Klimke, M. [HOPE Worldwide Deutschland, Berlin (Germany)

    2001-06-01

    Based on a DLR-study in 1998/99 on behalf of ESA/ESTEC called ''System Concepts, Architectures and Technologies for Space Exploration and Utilization (SE and U)'' a new design for an Earth-orbiting Solar Power Satellite (SPS) has been developed. The design is called ''European Sail Tower SPS'' and consists mainly of deplorable sail-like structures derived from the ongoing DLR/ESA solar sail technology development activity. Such an SPS satellite features an extremely light-weight and large tower-like orbital system and could supply Europe with significant amounts of electrical power generated by photovoltaic cells and subsequently transmitted to earth via microwaves. In order to build up the sail tower, 60 units - each consisting of a pair of square-shaped sails - are moved from LEO to GEO with electric propulsion and successively assembled in GEO robotically on a central strut. Each single sail has dimensions of 150 m x 150 m and is automatically deployed, using four diagonal lightweight carbon fiber (CFRP) booms which are initially rolled up on a central hub. The electric thrusters for the transport to GEO could also be used for orbit and attitude control of the assembled tower which has a total length of about 15 km and would be mainly gravity gradient stabilized. Employing thin film solar cell technology, each sail is used as a solar array and produces an electric power in orbit of about 3.7 MW{sub e}. A microwave antenna with a diameter of 1 km transmits the power to a 10 km rectenna on the ground. The total mass of this 450 MW SPS is about 2100 tons. First estimates indicate that the costs for one kWh delivered in this way could compete with present day energy costs, if launch costs would decrease by two orders of magnitude. Furthermore, mass production and large numbers of installed SPS systems must be assumed in order to lower significantly the production costs and to reduce the influence of the expensive technology

  12. Academic Training Lecture Regular Programme: Physics at the AD/PS/SPS

    CERN Multimedia

    2012-01-01

    Physics at the AD/PS/SPS (1/4), by Lau Gatignon (CERN).   Monday, June 18, 2012 from 11:00 to 12:00 (Europe/Zurich) at CERN ( 222-R-001 - Filtration Plant )   Lecture 1: The CERN injector complex and beams for non-LHC physics. The various machines and beam lines in the CERN injector complex are presented, from the linacs to the SPS. Special emphasis is given to the beam lines at the PS and SPS machines: AD, North and East Areas, nTOF and CNGS and HiRadMad as well as the ion beams. A short outlook is given to possible future upgrades and projects.   More information here.  

  13. Tune resonance phenomena in the SPS and machine protection via fast position interlocking

    CERN Document Server

    Baer, T; Bogey, T; Wenninger, J

    2010-01-01

    The Super Proton Synchrotron (SPS) at CERN with a peak energy of 450GeV is at the top of the LHC preaccelerator-complex. Apart from LHC, SPS is with Tevatron the accelerator with the largest stored beam energy, up to 2.5MJ. The SPS has a known vulnerability to fast equipment failures that led to an uncontrolled loss of a high intensity beam in 2008, which resulted in major damage of a main dipole. The beam loss was caused by a fast tune decrease towards an integer resonance. Simulations and distinct experimental studies provide clear understanding of the beam dynamics at different SPS tune resonances. Diverging closed orbit oscillations, dispersion explosion and abrupt increased beta-beating are the driving effects leading to a complete beam loss in as little as 3 turns (70us). Dedicated experiments of fast failures of the main power converters reveal that the current interlock systems are much too slow for an adequate machine protection. To counteract the vulnerability of the SPS, current research focuses on...

  14. Magnetic and structural properties of spark plasma sintered nanocrystalline NdFeB-powders

    Energy Technology Data Exchange (ETDEWEB)

    Wuest, H., E-mail: holger.wuest@de.bosch.com [Robert Bosch GmbH, Postfach 10 60 50, 70049 Stuttgart (Germany); Bommer, L., E-mail: lars.bommer@de.bosch.com [Robert Bosch GmbH, Postfach 10 60 50, 70049 Stuttgart (Germany); Weissgaerber, T., E-mail: thomas.weissgaerber@ifam-dd.fraunhofer.de [Fraunhofer Institute for Manufacturing Technology and Advanced Materials (IFAM), Branch Lab Dresden, Winterbergstraße 28, 01277 Dresden (Germany); Kieback, B., E-mail: bernd.kieback@ifam-dd.fraunhofer.de [Fraunhofer Institute for Manufacturing Technology and Advanced Materials (IFAM), Branch Lab Dresden, Winterbergstraße 28, 01277 Dresden (Germany); Technische Universität Dresden, Institute for Materials Science, Helmholtzstraße 7, 01069 Dresden (Germany)

    2015-10-15

    Near-stoichiometric NdFeB melt-spun ribbons have been subjected to spark plasma sintering varying the process temperature T{sub SPS} and pressure p{sub SPS} between 600 and 800 °C and 50–300 MPa, respectively. Produced bulk magnets were analyzed regarding microstructure and magnetic properties. For all samples the intrinsic coercivity H{sub c,J} gradually decreases with increasing sintering temperature and pressure, while residual induction B{sub r} increases simultaneously with sample density. Densities close to the theoretical limit were achieved for p{sub SPS}≥90 MPa and T{sub SPS}≥650 °C. With increasing T{sub SPS} precipitations of Nd-rich and Fe-rich phases have been observed as a result of a decomposition of the hard magnetic Nd{sub 2}Fe{sub 14}B phase. Under optimum sintering conditions of p{sub SPS}=300 MPa and T{sub SPS}=650 °C high-density bulk magnets with H{sub c,J}=652 kA/m, B{sub r}=0.86 T and (BH){sub max}=106 kJ/m{sup 3} have been produced. - Highlights: • Consolidation close to the theoretical density for p{sub SPS}≥90 MPa and T{sub SPS}≥650 °C. • Highest (BH){sub max} of 106 kJ/m{sup 3} for p{sub SPS}=300 MPa and T{sub SPS}=650 °C with 98% theo. • H{sub c,J} gradually decreases with increasing T{sub SPS}, while B{sub r} increases simultaneously with. • With increasing T{sub SPS}, Nd- and Fe-rich precipitations are observed. • Reduction in t{sub SPS} is economic but does not increase (BH){sub max} significantly.

  15. Plasma surface interaction studies in Japan

    International Nuclear Information System (INIS)

    Hino, T.; Hirohata, Y.; Yamashina, T.

    1994-01-01

    In order to achieve a long burning time period in a fusion reactor, the interactions between the plasma facing materials and the fusion plasma have to be well controlled. Namely, the radiation loss due to impurities and deterioration of the energy confinement time due to fuel particle recyclings have to be suppressed, in addition to the requirement of heat removal based on a high heat flux component. Recently, in Japan, the plasma facing material/component has been very actively developed for ITER and Large Helical Device (LHD). In this review paper, we briefly introduce the following issues, (1) progress of plasma surface interactions in tokamaks and helical devices, (2) development of plasma facing materials, (3) divertor development, (4) boronization, (5) selective pumping of helium ash, (6) tritium retention, and (7) neutron damage of graphite plasma facing material. (author)

  16. DBD plasma source operated in single-filamentary mode for therapeutic use in dermatology

    Energy Technology Data Exchange (ETDEWEB)

    Rajasekaran, Priyadarshini; Mertmann, Philipp; Bibinov, Nikita; Awakowicz, Peter [Institute for Electrical Engineering and Plasma Technology, Ruhr-Universitaet Bochum, Universitaetsstr. 150, 44801 Bochum (Germany); Wandke, Dirk [CINOGY GmbH, Max-Naeder-Str. 15, 37114 Duderstadt (Germany); Vioel, Wolfgang, E-mail: rajasekaran@aept.rub.d, E-mail: mertmann@aept.rub.d, E-mail: Nikita.Bibinov@rub.d, E-mail: dirk.wandke@cinogy.co, E-mail: vioel@hawk-hhg.d, E-mail: awakowicz@aept.rub.d [University of Applied Sciences and Arts, Faculty of Natural Sciences and Technology, Von-Ossietzky-Str. 99, 37085 Goettingen (Germany)

    2009-11-21

    Our dielectric barrier discharge (DBD) plasma source for bio-medical application comprises a copper electrode covered with ceramic. Objects of high capacitance such as the human body can be used as the opposite electrode. In this study, the DBD source is operated in single-filamentary mode using an aluminium spike as the opposite electrode, to imitate the conditions when the discharge is ignited on a raised point, such as hair, during therapeutic use on the human body. The single-filamentary discharge thus obtained is characterized using optical emission spectroscopy, numerical simulation, voltage-current measurements and microphotography. For characterization of the discharge, averaged plasma parameters such as electron distribution function and electron density are determined. Fluxes of nitric oxide (NO), ozone (O{sub 3}) and photons reaching the treated surface are simulated. The calculated fluxes are finally compared with corresponding fluxes used in different bio-medical applications.

  17. Characteristics of an elongated plasma column produced by magnetically coupled hollow cathode plasma source

    Science.gov (United States)

    Bhuva, M. P.; Karkari, S. K.; Kumar, Sunil

    2018-03-01

    An elongated plasma column in the presence of an axial magnetic field has been formed using a cylindrical hollow cathode (HC) and a constricted anode (CA). The plasma characteristics of the central line have been found to vary with the magnetic field strength and the axial distance from the source. It is believed that the primary electrons constituting the discharge current are steered by the axial magnetic field to undertake ionizing collisions along the plasma column. The current carrying electrons from the HC reach the anode by cross-field diffusion towards the central line. The above observation has been substantiated using a phenomenological model which links the observed characteristics of the source with the plasma column. The experimental results are found to be in qualitative agreement with the model.

  18. The effects of size and surface modification of amorphous silica particles on biodistribution and liver metabolism in mice

    International Nuclear Information System (INIS)

    Lu, Xiaoyan; Ji, Cai; Jin, Tingting; Fan, Xiaohui

    2015-01-01

    Engineered nanoparticles, with unconventional properties, are promising platforms for biomedical applications. Since they may interact with a wide variety of biomolecules, it is critical to understand the impact of the physicochemical properties of engineered nanoparticles on biological systems. In this study, the effects of particle size and surface modification alone or in combination of amorphous silica particles (SPs) on biological responses were determined using a suite of general toxicological assessments and metabonomics analysis in mice model. Our results suggested that amino or carboxyl surface modification mitigated the liver toxicity of plain-surface SPs. 30 nm SPs with amino surface modification were found to be the most toxic SPs among all the surface-modified SP treatments at the same dosage. When treatment dose was increased, submicro-sized SPs with amino or carboxyl surface modification also induced liver toxicity. Biodistribution studies suggested that 70 nm SPs were mainly accumulated in liver and spleen regardless of surface modifications. Interestingly, these two organs exhibited different uptake trends. Furthermore, metabonomics studies indicated that surface modification plays a more dominant role to affect the liver metabolism than particle size. (paper)

  19. Study of plasma-surface interaction at the GOL-3 facility

    Energy Technology Data Exchange (ETDEWEB)

    Shoshin, A.A., E-mail: shoshin@mail.ru [Budker Institute of Nuclear Physics SB RAS, Novosibirsk 630090 (Russian Federation); Novosibirsk State University, Novosibirsk 630090 (Russian Federation); Arakcheev, A.S., E-mail: asarakcheev@gmail.com [Budker Institute of Nuclear Physics SB RAS, Novosibirsk 630090 (Russian Federation); Novosibirsk State University, Novosibirsk 630090 (Russian Federation); Arzhannikov, A.V., E-mail: A.V.Arzhannikov@inp.nsk.su [Budker Institute of Nuclear Physics SB RAS, Novosibirsk 630090 (Russian Federation); Novosibirsk State University, Novosibirsk 630090 (Russian Federation); Burdakov, A.V., E-mail: a.v.burdakov@mail.ru [Budker Institute of Nuclear Physics SB RAS, Novosibirsk 630090 (Russian Federation); Novosibirsk State Technical University, Novosibirsk 630092 (Russian Federation); Ivanov, I.A., E-mail: I.A.Ivanov@inp.nsk.su [Budker Institute of Nuclear Physics SB RAS, Novosibirsk 630090 (Russian Federation); Novosibirsk State University, Novosibirsk 630090 (Russian Federation); Kasatov, A.A., E-mail: a.a.kasatov@gmail.com [Budker Institute of Nuclear Physics SB RAS, Novosibirsk 630090 (Russian Federation); Kuklin, K.N., E-mail: K.N.Kuklin@inp.nsk.su [Budker Institute of Nuclear Physics SB RAS, Novosibirsk 630090 (Russian Federation); Polosatkin, S.V., E-mail: S.V.Polosatkin@inp.nsk.su [Budker Institute of Nuclear Physics SB RAS, Novosibirsk 630090 (Russian Federation); Novosibirsk State University, Novosibirsk 630090 (Russian Federation); Postupaev, V.V., E-mail: V.V.Postupaev@inp.nsk.su [Budker Institute of Nuclear Physics SB RAS, Novosibirsk 630090 (Russian Federation); Novosibirsk State University, Novosibirsk 630090 (Russian Federation); Sinitsky, S.L., E-mail: S.L.Sinitsky@inp.nsk.su [Budker Institute of Nuclear Physics SB RAS, Novosibirsk 630090 (Russian Federation); Novosibirsk State University, Novosibirsk 630090 (Russian Federation); and others

    2017-01-15

    The review presents experimental studies of plasma-surface interaction and materials behavior under plasma loads done in the multiple-mirror trap of the GOL-3 facility. In the experiments for the PSI, the energy density in the extracted plasma stream varies from 0.5 to 30 MJ/m{sup 2}. Parameters of near-surface plasma measured by a set of diagnostics are reviewed. Surface patterns of targets exposed to the plasma are analyzed. The erosion depth depends on the energy loads—it rises from 0 to 600 μm at 0.5 and 30 MJ/m{sup 2}, correspondingly. Cracking and evolution of graphite and tungsten surface morphology are discussed. The enthalpy of brittle destruction of graphite (10 kJ/g), which determines the threshold of bulk damage of targets irradiated with a charged-particle flux with large penetration depth, was determined. Comparison of different facilities for PSI studies are presented. Heat flux play a key role to the target surface erosion.

  20. Inductive plasma source for the ion treatment of AISI-304 SS

    International Nuclear Information System (INIS)

    Piedad-Beneitez, A de la; Lopez-Callejas, R; Granda-Gutierrez, E E; Rodriguez-Mendez, B G; Perez-Martinez, J A; Flores-Fuentes, A A; Valencia-Alvarado, R; Barocio, S R; Mercado-Cabrera, A; Pena-Eguiluz, R; Munoz-Castro, A E

    2008-01-01

    The design and construction of a simple inductive plasma source is described as constituted by an evacuated Pyrex glass cylinder reactor with 190 mm inner diameter and 500 mm length. This discharge vessel is coaxially surrounded by a cylindrically wound antenna, 240 mm in diameter, made of 3.2 mm wide copper wire. The antenna is supplied by a 13.56 MHz RF generator whose resulting electric field is able to create the plasma. When nitrogen is admitted to the vessel, the plasma generation takes place within the 0.1-50 Pa work pressure and 300-600 W RF power. The plasma density has been established by double Langmuir probes between 3.2 x 10 15 and 2.4 x 10 18 m -3 . This inductive plasma set up is meant to modify the surface of AISI-304 stainless steel by means of ion deposition, thanks to the sample bias provided by an external - 400 V dc supply, in order to improve the steel hardness without compromising its corrosion resistance. Once accelerated by the negative bias, the plasma ions impinge on the sample nitriding it by diffusion. The treated samples were characterized by x-ray diffraction (XRD) indicating the formation of the expanded gamma phase, by scanning electron microscopy (SEM) providing the atomic percentages of nitrogen, and by microhardness (HV) measurement.

  1. SPS transverse beam scraping and LHC injection losses

    CERN Document Server

    Drosdal, L; Bartmann, W; Bracco, C; Cornelis, K; Goddard, B; Meddahi, M; Veyrunes, E

    2012-01-01

    Machine protection sets strict requirements for the quality of the injected beam, in particular in the transverse plane. Losses at aperture restrictions and protection elements have to be kept at a minimum. Particles in the beam tails are lost at the tight transfer line collimators and can trigger the LHC beam abort system. These particles have to be removed by scrapers in the vertical and horizontal plane in the SPS. Scraping has become vital for high intensity LHC operation. This paper shows the dependence of injection quality on the SPS scraping and discusses an improved scraper setting up strategy for better reproducibility with the current scraper system.

  2. The third generation multi-purpose plasma immersion ion implanter for surface modification of materials

    CERN Document Server

    Tang Bao Yin; Wang Xiao Feng; Gan Kong Yin; Wang Song Yan; Chu, P K; Huang Nian Ning; Sun Hong

    2002-01-01

    The third generation multi-purpose plasma immersion ion implantation (PIII) equipment has been successfully used for research and development of surface modification of biomedical materials, metals and their alloys in the Southwest Jiaotong University. The implanter equipped with intense current, pulsed cathodic arc metal plasma sources which have both strong coating function and gas and metal ion implantation function. Its pulse high voltage power supply can provide big output current. It can acquire very good implantation dose uniformity. The equipment can both perform ion implantation and combine ion implantation with sputtering deposition and coating to form many kinds of synthetic surface modification techniques. The main design principles, features of important components and achievement of research works in recent time have been described

  3. Surface modification of polylactic acid films by atmospheric pressure plasma treatment

    Science.gov (United States)

    Kudryavtseva, V. L.; Zhuravlev, M. V.; Tverdokhlebov, S. I.

    2017-09-01

    A new approach for the modification of polylactic acid (PLA) materials using atmospheric pressure plasma (APP) is described. PLA films plasma exposure time was 20, 60, 120 s. The surface morphology and wettability of the obtained PLA films were investigated by atomic force microscopy (AFM) and the sitting drop method. The atmospheric pressure plasma increased the roughness and surface energy of PLA film. The wettability of PLA has been improved with the application of an atmospheric plasma surface treatment. It was shown that it is possible to obtain PLA films with various surface relief and tunable wettability. Additionally, we demonstrated that the use of cold atmospheric pressure plasma for surface activation allows for the immobilization of bioactive compounds like hyaluronic acid (HA) on the surface of obtained films. It was shown that composite PLA-HA films have an increased long-term hydrophilicity of the films surface.

  4. Surface modification of polyethylene by plasma

    International Nuclear Information System (INIS)

    Colin O, E.

    2003-01-01

    The products made of polyethylene (PE) go from construction materials, electric insulating until packing material. The films for bags and pack occupy 83.6% of the distribution of the market of PE approximately. The enormous quantity of PE that is generated by its indiscriminate use brings as consequence a deterioration to the atmosphere, due to the long life that they present as waste. This work is a study on the modification of low density polyethylene films. In this type of thin materials, the changes in the surface meet with largely on the conformation of the rest of the material. To induce changes that modify the surface of PE, plasmas were used with reactive atmospheres of air, oxygen and nitrogen. The experimentation that was carries out went to introduce the PE to a cylindrical reactor where it was generated the plasma of air, oxygen and nitrogen to different times of exposure. After having carried out the exposure to the plasma, it was found that in the polyethylene it modifies their morphology, crystallinity, hydrophobicity, composition and electric conductivity. The analytical techniques that were used to characterize later to the polyethylene of being in contact with the plasma were: X-ray diffraction, Scanning Electron Microscopy, Infrared spectroscopy, Electric conductivity, Angle of contact and finally Thermal Gravimetric Analysis. The content of this work it is presented in five chapters: In the chapter 1 there are presented some general concepts of plasma and of the one polymer in study PE. In the chapter 2 it is made a general revision on modification of surfaces, as well as the properties that were modified in polymeric materials that were exposed to plasma in previous works. In the chapter 3 the experimental part and the conditions used are described in the modification of the PE. Also in this chapter a brief description it is made of the used characterization techniques. The results and discussion are presented in the chapter 4. These results

  5. Preliminary assessment of the environmental impacts of the Satellite Power System (SPS)

    International Nuclear Information System (INIS)

    Halverson, S.L.; Rote, D.M.; Rush, C.M.; Davis, K.; White, M.; Cahill, D.F.

    1978-01-01

    The impact of the Satellite Power System (SPS) Microwave Power Transmission System (MPTS) as well as impacts related to other elements of the total SPS on the environment are being determined. The goal of these programs is to advance the state of knowledge by the year 1980 to the point where an assessment can be made of the probability and severity of the impacts of the SPS. Assessments will be made of the effects on the health and safety of the public, and occupationally involved personnel, and the ecology; the upper and lower atmosphere including climatological impacts; and on communications systems including electromagnetic compatibility, the effects of microwave heating of the ionosphere and magnetosphere, and the effects of F-layer depletion by launch vehicle and transport vehicle effluents. If the assessment indicates that the impacts are acceptable or that feasible mitigating strategies can be implemented and if other related assessments (the impact on society and a competitive comparison of the SPS with other energy alternatives) are favorable, a decision may be made to implement the development of the SPS related technologies. This paper identifies postulated effects and summarizes the research efforts to determine whether or not these effects will occur

  6. Polarization-controlled asymmetric excitation of surface plasmons

    KAUST Repository

    Xu, Quan

    2017-08-28

    Free-space light can be coupled into propagating surface waves at a metal–dielectric interface, known as surface plasmons (SPs). This process has traditionally faced challenges in preserving the incident polarization information and controlling the directionality of the excited SPs. The recently reported polarization-controlled asymmetric excitation of SPs in metasurfaces has attracted much attention for its promise in developing innovative plasmonic devices. However, the unit elements in these works were purposely designed in certain orthogonal polarizations, i.e., linear or circular polarizations, resulting in limited two-level polarization controllability. Here, we introduce a coupled-mode theory to overcome this limit. We demonstrated theoretically and experimentally that, by utilizing the coupling effect between a pair of split-ring-shaped slit resonators, exotic asymmetric excitation of SPs can be obtained under the x-, y-, left-handed circular, and right-handed circular polarization incidences, while the polarization information of the incident light can be preserved in the excited SPs. The versatility of the presented design scheme would offer opportunities for polarization sensing and polarization-controlled plasmonic devices.

  7. Stochastic clustering of material surface under high-heat plasma load

    Science.gov (United States)

    Budaev, Viacheslav P.

    2017-11-01

    The results of a study of a surface formed by high-temperature plasma loads on various materials such as tungsten, carbon and stainless steel are presented. High-temperature plasma irradiation leads to an inhomogeneous stochastic clustering of the surface with self-similar granularity - fractality on the scale from nanoscale to macroscales. Cauliflower-like structure of tungsten and carbon materials are formed under high heat plasma load in fusion devices. The statistical characteristics of hierarchical granularity and scale invariance are estimated. They differ qualitatively from the roughness of the ordinary Brownian surface, which is possibly due to the universal mechanisms of stochastic clustering of material surface under the influence of high-temperature plasma.

  8. Apparatus for plasma surface treating and preparation of membrane layers

    NARCIS (Netherlands)

    1990-01-01

    An apparatus suitable for plasma surface treating (e.g., forming a membrane layer on a substrate surface) comprises a plasma generation section which is operable at least at substantially atmospheric pressure and is in communication via at least one plasma inlet (e.g., a nozzle) with an enclosed

  9. Surface chemistry and fundamental limitations on the plasma cleaning of metals

    Energy Technology Data Exchange (ETDEWEB)

    Dong, Bin, E-mail: bindong@my.unt.edu [Department of Chemistry, University of North Texas, 1155 Union Circle 305070, Denton, TX, 76203 (United States); Driver, M. Sky, E-mail: Marcus.Driver@unt.edu [Department of Chemistry, University of North Texas, 1155 Union Circle 305070, Denton, TX, 76203 (United States); Emesh, Ismail, E-mail: Ismail_Emesh@amat.com [Applied Materials Inc., 3050 Bowers Ave, Santa Clara, CA, 95054 (United States); Shaviv, Roey, E-mail: Roey_Shaviv@amat.com [Applied Materials Inc., 3050 Bowers Ave, Santa Clara, CA, 95054 (United States); Kelber, Jeffry A., E-mail: Jeffry.Kelber@unt.edu [Department of Chemistry, University of North Texas, 1155 Union Circle 305070, Denton, TX, 76203 (United States)

    2016-10-30

    Highlights: • O{sub 2}-free plasma treatment of air-exposed Co or Cu surfaces yields remnant C layers inert to further plasma cleaning. • The formation of the remnant C layer is graphitic (Cu) or carbidic (Co). • The formation of a remnant C layer is linked to plasma cleaning of a metal surface. - Abstract: In-situ X-ray photoelectron spectroscopy (XPS) studies reveal that plasma cleaning of air-exposed Co or Cu transition metal surfaces results in the formation of a remnant C film 1–3 monolayers thick, which is not reduced upon extensive further plasma exposure. This effect is observed for H{sub 2} or NH{sub 3} plasma cleaning of Co, and He or NH{sub 3} plasma cleaning of Cu, and is observed with both inductively coupled (ICP) and capacitively-coupled plasma (CCP). Changes in C 1 s XPS spectra indicate that this remnant film formation is accompanied by the formation of carbidic C on Co and of graphitic C on Cu. This is in contrast to published work showing no such remnant carbidic/carbon layer after similar treatments of Si oxynitride surfaces. The observation of the remnant carbidic C film on Co and graphitic film on Cu, but not on silicon oxynitride (SiO{sub x}N{sub y}), regardless of plasma chemistry or type, indicates that this effect is due to plasma induced secondary electron emission from the metal surface, resulting in transformation of sp{sup 3} adventitious C to either a metal carbide or graphite. These results suggest fundamental limitations to plasma-based surface cleaning procedures on metal surfaces.

  10. LLNL large-area inductively coupled plasma (ICP) source: Experiments

    International Nuclear Information System (INIS)

    Richardson, R.A.; Egan, P.O.; Benjamin, R.D.

    1995-05-01

    We describe initial experiments with a large (76-cm diameter) plasma source chamber to explore the problems associated with large-area inductively coupled plasma (ICP) sources to produce high density plasmas useful for processing 400-mm semiconductor wafers. Our experiments typically use a 640-nun diameter planar ICP coil driven at 13.56 MHz. Plasma and system data are taken in Ar and N 2 over the pressure range 3-50 mtorr. RF inductive power was run up to 2000W, but typically data were taken over the range 100-1000W. Diagnostics include optical emission spectroscopy, Langmuir probes, and B probes as well as electrical circuit measurements. The B and E-M measurements are compared with models based on commercial E-M codes. Initial indications are that uniform plasmas suitable for 400-mm processing are attainable

  11. Plasma assisted surface coating/modification processes: An emerging technology

    Science.gov (United States)

    Spalvins, T.

    1986-01-01

    A broad understanding of the numerous ion or plasma assisted surface coating/modification processes is sought. An awareness of the principles of these processes is needed before discussing in detail the ion nitriding technology. On the basis of surface modifications arising from ion or plasma energizing and interactions, it can be broadly classified as deposition of distinct overlay coatings (sputtering-dc, radio frequency, magnetron, reactive; ion plating-diode, triode) and surface property modification without forming a discrete coating (ion implantation, ion beam mixing, laser beam irradiation, ion nitriding, ion carburizing, plasma oxidation). These techniques offer a great flexibility and are capable in tailoring desirable chemical and structural surface properties independent of the bulk properties.

  12. Plasma assisted surface coating/modification processes - An emerging technology

    Science.gov (United States)

    Spalvins, T.

    1987-01-01

    A broad understanding of the numerous ion or plasma assisted surface coating/modification processes is sought. An awareness of the principles of these processes is needed before discussing in detail the ion nitriding technology. On the basis of surface modifications arising from ion or plasma energizing and interactions, it can be broadly classified as deposition of distinct overlay coatings (sputtering-dc, radio frequency, magnetron, reactive; ion plating-diode, triode) and surface property modification without forming a discrete coating (ion implantation, ion beam mixing, laser beam irradiation, ion nitriding, ion carburizing, plasma oxidation. These techniques offer a great flexibility and are capable in tailoring desirable chemical and structural surface properties independent of the bulk properties.

  13. Low-temperature plasma techniques in surface modification of biomaterials

    International Nuclear Information System (INIS)

    Feng Xiangfen; Xie Hankun; Zhang Jing

    2002-01-01

    Since synthetic polymers usually can not meet the biocompatibility and bio-functional demands of the human body, surface treatment is a prerequisite for them to be used as biomaterials. A very effective surface modification method, plasma treatment, is introduced. By immobilizing the bio-active molecules with low temperature plasma, polymer surfaces can be modified to fully satisfy the requirements of biomaterials

  14. SPS Ion Induced Desorption Experiment

    CERN Multimedia

    Maximilien Brice

    2003-01-01

    This experiment will give a study about the induced desorption from heavy ion (Indium ion run from week 45 in SPS T4-H8 area) impacting LHC type graphite collimator. 4 different samples are located in the 4 chambers 90° one to each other: pure graphite, graphite with copper coating, graphite with NEG coating, 316LN stainless steal (reference).

  15. Atmospheric pressure plasmas for surface modification of flexible and printed electronic devices: A review

    Energy Technology Data Exchange (ETDEWEB)

    Kim, Kyong Nam; Lee, Seung Min; Mishra, Anurag [Department of Materials Science and Engineering, Sungkyunkwan University, Suwon, Gyeonggi-do 440-746 (Korea, Republic of); Yeom, Geun Young, E-mail: gyyeom@skku.edu [Department of Materials Science and Engineering, Sungkyunkwan University, Suwon, Gyeonggi-do 440-746 (Korea, Republic of); SKKU Advanced Institute of Nano Technology (SAINT), Sungkyunkwan University, Suwon, Gyeonggi-do 440-746 (Korea, Republic of)

    2016-01-01

    Recently, non-equilibrium atmospheric pressure plasma, especially those operated at low gas temperatures, have become a topic of great interest for the processing of flexible and printed electronic devices due to several benefits such as the reduction of process and reactor costs, the employment of easy-to-handle apparatuses and the easier integration into continuous production lines. In this review, several types of typical atmospheric pressure plasma sources have been addressed, and the processes including surface treatment, texturing and sintering for application to flexible and printed electronic devices have been discussed.

  16. Practical Aspects of Suspension Plasma Spray for Thermal Barrier Coatings on Potential Gas Turbine Components

    Science.gov (United States)

    Ma, X.; Ruggiero, P.

    2018-04-01

    Suspension plasma spray (SPS) process has attracted extensive efforts and interests to produce fine-structured and functional coatings. In particular, thermal barrier coatings (TBCs) applied by SPS process gain increasing interest due to its potential for superior thermal protection of gas turbine hot sections as compared to conventional TBCs. Unique columnar architectures and nano- and submicrometric grains in the SPS-TBC demonstrated some advantages of thermal shock durability, low thermal conductivity, erosion resistance and strain-tolerant microstructure. This work aimed to look into some practical aspects of SPS processing for TBC applications before it becomes a reliable industry method. The spray capability and applicability of SPS process to achieve uniformity thickness and microstructure on curved substrates were emphasized in designed spray trials to simulate the coating fabrication onto industrial turbine parts with complex configurations. The performances of the SPS-TBCs were tested in erosion, falling ballistic impact and indentational loading tests as to evaluate SPS-TBC performances in simulated turbine service conditions. Finally, a turbine blade was coated and sectioned to verify SPS sprayability in multiple critical sections. The SPS trials and test results demonstrated that SPS process is promising for innovative TBCs, but some challenges need to be addressed and resolved before it becomes an economic and capable industrial process, especially for complex turbine components.

  17. The New Control and Interlock System for the SPS Main Power Converters

    CERN Document Server

    Denis, B; Mugnier, C; Varas, J

    1999-01-01

    The Control and Interlock System (CIS) of the SPS main power converters was designed in the mid-70s and became increasingly difficult to maintain. A new system based on Programmable Logic Controllers has been developed by an external contractor in close collaboration with CERN. The system is now operational and fully integrated in the SPS/LEP control infrastructure. The CIS is the first major contracted industrial solution used to control accelerator equipment directly involved in the production of particle beams at CERN. This paper gives an overview of the SPS main power converter installation and describes both the contractual and technical solution adopted for the CIS. It first explains how the system was specified and how the contractual relationship was defined to respect CERN’s purchasing rules and the operational requirements of the SPS accelerator. The architectural design of the new system is presented with special emphasis on how the conflict between safety and availability has been addressed.

  18. Workshop on Satellite Power Systems (SPS) effects on optical and radio astronomy

    International Nuclear Information System (INIS)

    Stokes, G.M.; Ekstrom, P.A.

    1980-04-01

    The impacts of the SPS on astronomy were concluded to be: increased sky brightness, reducing the effective aperture of terrestrial telescopes; microwave leakage radiation causing erroneous radioastronomical signals; direct overload of radioastronomical receivers at centimeter wavelengths; and unintentional radio emissions associated with massive amounts of microwave power or with the presence of large, warm structures in orbit causing the satellites to appear as individual stationary radio sources; finally, the fixed location of the geostationary satellite orbits would result in fixed regions of the sky being unusable for observations

  19. Surface characterization of polyethylene terephthalate films treated by ammonia low-temperature plasma

    International Nuclear Information System (INIS)

    Zheng Zhiwen; Ren Li; Feng Wenjiang; Zhai Zhichen; Wang Yingjun

    2012-01-01

    In order to study the surface characterization and protein adhesion behavior of polyethylene terephthalate film, low temperature ammonia plasma was used to modify the film. Effects of plasma conditions of the surface structures and properties were investigated. Results indicated that surface hydrophilicity of polyethylene terephthalate was significantly improved by ammonia plasma treatment. Ammonia plasma played the role more important than air treatment in the process of modification. Furthermore, by Fourier Transform Infrared spectra some new bonds such as -N=O and N-H which could result in the improvement of the surface hydrophilicity were successfully grafted on the film surface. Atom force microscope experiments indicated that more protein adsorbed on hydrophobic surfaces than hydrophilic ones, and the blobs arranged in a straight line at etching surface by plasma. Modified membrane after ammonia plasma treatment had a good cell affinity and could be effective in promoting the adhesion and growth of cells on the material surface. Timeliness experiments showed that the plasma treatment gave the material a certain performance only in a short period of time and the hydrophobicity recovered after 12 days.

  20. Impurity radiation from a beam-plasma neutron source

    International Nuclear Information System (INIS)

    Molvik, A.W.

    1995-01-01

    Impurity radiation, in a worst case evaluation for a beam-plasma neutron source (BPNS), does not limit performance. Impurities originate from four sources: (a) sputtering from walls by charge exchange or alpha particle bombardment, (b) sputtering from limiters, (c) plasma desorption of gas from walls and (d) injection with neutral beams. Sources (c) and (d) are negligible; adsorbed gas on the walls of the confinement chamber and the neutral beam sources is removed by the steady state discharge. Source (b) is negligible for impinging ion energies below the sputtering threshold (T i ≤ 0.025 keV on tungsten) and for power densities to the limiter within the capabilities of water cooling (30-40 MW/m 2 ); both conditions can be satisfied in the BPNS. Source (a) radiates 0.025 MW/m 2 to the neutron irradiation samples, compared with 5 to 10 MW/m 2 of neutrons; and radiates a total of 0.08 MW from the plasma column, compared with 60 MW of injected power. The particle bombardment that yields source (a) deposits an average of 2.7 MW/m 2 on the samples, within the capabilities of helium gas cooling (10 MW/m 2 ). An additional worst case for source (d) is evaluated for present day 2 to 5 s pulsed neutral beams with 0.1% impurity density and is benchmarked against 2XIIB. The total radiation would increase a factor of 1.5 to ≤ 0.12 MW, supporting the conclusion that impurities will not have a significant impact on a BPN. (author). 61 refs, 7 figs, 2 tabs

  1. Plasma Surface interaction in Controlled fusion devices

    International Nuclear Information System (INIS)

    1990-05-01

    The subjects presented in the 9th conference on plasma surface interaction in controlled fusion devices were: the modifications of power scrape-off-length and power deposition during various configurations in Tore Supra plasmas; the effects observed in ergodic divertor experiments in Tore-Supra; the diffuse connexion induced by the ergodic divertor and the topology of the heat load patterns on the plasma facing components in Tore-Supra; the study of the influence of air exposure on graphite implanted by low energy high density deuterium plasma

  2. New developments in metal ion implantation by vacuum arc ion sources and metal plasma immersion

    International Nuclear Information System (INIS)

    Brown, I.G.; Anders, A.; Anders, S.

    1996-01-01

    Ion implantation by intense beams of metal ions can be accomplished using the dense metal plasma formed in a vacuum arc discharge embodied either in a vacuum arc ion source or in a metal plasma immersion configuration. In the former case high energy metal ion beams are formed and implantation is done in a more-or-less conventional way, and in the latter case the substrate is immersed in the plasma and repetitively pulse-biased so as to accelerate the ions at the high voltage plasma sheath formed at the substrate. A number of advances have been made in the last few years, both in plasma technology and in the surface modification procedures, that enhance the effectiveness and versatility of the methods, including for example: controlled increase of the in charge states produced; operation in a dual metal-gaseous ion species mode; very large area beam formation; macroparticle filtering; and the development of processing regimes for optimizing adhesion, morphology and structure. These complementary ion processing techniques provide the plasma tools for doing ion surface modification over a very wide parameter regime, from pure ion implantation at energies approaching the MeV level, through ion mixing at energies in the ∼1 to ∼100 keV range, to IBAD-like processing at energies from a few tens of eV to a few keV. Here the authors review the methods, describe a number of recent developments, and outline some of the surface modification applications to which the methods have been put. 54 refs., 9 figs

  3. Simulating Sources of Superstorm Plasmas

    Science.gov (United States)

    Fok, Mei-Ching

    2008-01-01

    We evaluated the contributions to magnetospheric pressure (ring current) of the solar wind, polar wind, auroral wind, and plasmaspheric wind, with the surprising result that the main phase pressure is dominated by plasmaspheric protons. We used global simulation fields from the LFM single fluid ideal MHD model. We embedded the Comprehensive Ring Current Model within it, driven by the LFM transpolar potential, and supplied with plasmas at its boundary including solar wind protons, polar wind protons, auroral wind O+, and plasmaspheric protons. We included auroral outflows and acceleration driven by the LFM ionospheric boundary condition, including parallel ion acceleration driven by upward currents. Our plasmasphere model runs within the CRCM and is driven by it. Ionospheric sources were treated using our Global Ion Kinetics code based on full equations of motion. This treatment neglects inertial loading and pressure exerted by the ionospheric plasmas, and will be superceded by multifluid simulations that include those effects. However, these simulations provide new insights into the respective role of ionospheric sources in storm-time magnetospheric dynamics.

  4. Method for atmospheric pressure reactive atom plasma processing for surface modification

    Science.gov (United States)

    Carr, Jeffrey W [Livermore, CA

    2009-09-22

    Reactive atom plasma processing can be used to shape, polish, planarize and clean the surfaces of difficult materials with minimal subsurface damage. The apparatus and methods use a plasma torch, such as a conventional ICP torch. The workpiece and plasma torch are moved with respect to each other, whether by translating and/or rotating the workpiece, the plasma, or both. The plasma discharge from the torch can be used to shape, planarize, polish, and/or clean the surface of the workpiece, as well as to thin the workpiece. The processing may cause minimal or no damage to the workpiece underneath the surface, and may involve removing material from the surface of the workpiece.

  5. Atmospheric pressure plasma jets : properties of plasma bullets and the dynamics of the interaction with dielectric surfaces

    NARCIS (Netherlands)

    Sobota, A.; Slikboer, E.; Guaitella, O.Y.N.

    2015-01-01

    Cold atmospheric pressure plasma jets, although mostly researched for applications in surface treatment, are rarely investigated in the presence of a surface. This paper presents the properties of plasma bullets formed in the capillary as well as the dynamics of the propagation of the plasma on

  6. Electron Beam Diagnosis and Dynamics using DIADYN Plasma Source

    International Nuclear Information System (INIS)

    Toader, D.; Craciun, G.; Manaila, E.; Oproiu, C.; Marghitu, S.

    2009-01-01

    This paper is presenting results obtained with the DIADYN installation after replacing its vacuum electron source (VES L V) with a plasma electron source (PES L V). DIADYN is a low energy laboratory equipment operating with 10 to 50 keV electron beams and designed to help realize non-destructive diagnosis and dynamics for low energy electron beams but also to be used in future material irradiations. The results presented here regard the beam diagnosis and dynamics made with beams obtained from the newly replaced plasma source. We discuss both results obtained in experimental dynamics and dynamics calculation results for electron beams extracted from the SEP L V source.

  7. Bactericidal effects of plasma-modified surface chemistry of silicon nanograss

    International Nuclear Information System (INIS)

    Ostrikov, Kola; Macgregor-Ramiasa, Melanie; Cavallaro, Alex; Ostrikov, Kostya; Vasilev, Krasimir

    2016-01-01

    The surface chemistry and topography of biomaterials regulate the adhesion and growth of microorganisms in ways that are still poorly understood. Silicon nanograss structures prepared via inductively coupled plasma etching were coated with plasma deposited nanometer-thin polymeric films to produce substrates with controlled topography and defined surface chemistry. The influence of surface properties on Staphylococcus aureus proliferation is demonstrated and explained in terms of nanograss substrate wetting behaviour. With the combination of the nanograss topography; hydrophilic plasma polymer coatings enhanced antimicrobial activity while hydrophobic coatings reduced it. This study advances the understanding of the effects of surface wettability on the bactericidal properties of reactive nano-engineered surfaces. (paper)

  8. Experimental study of high current negative ion sources D- / H-. Analysis based on the simulation of the negative ion transport in the plasma source

    International Nuclear Information System (INIS)

    Riz, D.

    1996-01-01

    In the frame of the development of a neutral beam injection system able to work the ITER tokamak (International Thermonuclear Experimental Reactor), two negative ion sources, Dragon and Kamaboko, have been installed on the MANTIS test bed in Cadarache, and studies in order to extract 20 mA/cm 2 of D - . The two production modes of negative ions have been investigated: volume production; surface production after cesium injection in the discharge. Experiments have shown that cesium seeding is necessary in order to reach the requested performances for ITER. 20 mA/cm 2 have been extracted from the Kamaboko source for an arc power density of 2.5 kW/liter. Simultaneously, a code called NIETZSCHE has been developed to simulate the negative ions transport in the source plasma, from their birth place to the extraction holes. The ion trajectory is calculated by numerically solving the 3D motion equation, while the atomic processes of destruction, of elastic collisions H - /H + and of charge exchange H - /H 0 are handled at each time step by a Monte Carlo procedure. The code allows to obtain the extraction probability of a negative ion produced at a given location. The calculations performed with NIETZSCHE have allowed to explain several phenomena observed on negative ion sources, such as the isotopic effect H - /D - and the influence of the polarisation of the plasma grid and of the magnetic filter on the negative ions current. The code has also shown that, in the type of sources contemplated for ITER, working with large arc power densities (> 1 kW/liter), only negative ions produced in volume at a distance lower that 2 cm from the plasma grid and those produced at the grid surface have a chance of being extracted. (author)

  9. The new control system of the SPS injection kicker

    CERN Document Server

    Antoine, A; Marchand, A; Verhagen, H

    2002-01-01

    The SPS accelerator will be used as injector for the LHC and has to be adapted to the LHC requirements. The tight specification on beam blow-up and bunch spacing in the SPS has required an upgrade program of the SPS injection kicker in order to obtain a reduction of the magnetic field ripple to less than ± 0.5% and of the magnet current rise time to less than 145 ns. In this context, the slow control part has been entirely rebuilt on the basis of off-the-shelf industrial components. A hierarchical architecture based on a SIEMENS S7-400 master programmable logic controller interconnected through PROFIBUS-DP to S7-300 deported and decentralised I/Os has been implemented. Integration of in-house specific G-64 hardware systems inside this industrial environment has been done through a PROFIBUS-DP to G-64 intelligent interface based on an OEM fieldbus mezzanine board on one side and an FPGA implementing the required functionality on the other. Simultaneously, the fast timing system has been completely reshuffled ...

  10. Surfaces electrons at dielectric plasma walls

    International Nuclear Information System (INIS)

    Heinisch, Rafael Leslie

    2013-01-01

    The concept of the electron surface layer introduced in this thesis provides a framework for the description of the microphysics of the surplus electrons immediately at the wall and thereby complements the modelling of the plasma sheath. In this work we have considered from a surface physics perspective the distribution and build-up of an electron adsorbate on the wall as well as the effect of the negative charge on the scattering of light by a spherical particle immersed in a plasma. In our electron surface layer model we treat the wall-bound electrons as a wall-thermalised electron distribution minimising the grand canonical potential and satisfying Poisson's equation. The boundary between the electron surface layer and the plasma sheath is determined by a force balance between the attractive image potential and the repulsive sheath potential and lies in front of the crystallographic interface. Depending on the electron affinity χ, that is the offset of the conduction band minimum to the potential in front of the surface, two scenarios for the wall-bound electrons are realised. For χ 0 electrons penetrate into the conduction band where they form an extended space charge. These different scenarios are also reflected in the electron kinetics at the wall which control the sticking coefficient and the desorption time. If χ -3 . For χ>0 electron physisorption takes place in the conduction band. For this case sticking coefficients and desorption times have not been calculated yet but in view of the more efficient scattering with bulk phonons, responsible for electron energy relaxation in this case, we expect them to be larger than for the case of χ 0 the electrons in the bulk of the particle modify the refractive index through their bulk electrical conductivity. In both cases the conductivity is limited by scattering with surface or bulk phonons. Surplus electrons lead to an increase of absorption at low frequencies and, most notably, to a blue-shift of an

  11. Electrochemical performance of solid oxide fuel cells having electrolytes made by suspension and solution precursor plasma spraying

    Science.gov (United States)

    Marr, M.; Kuhn, J.; Metcalfe, C.; Harris, J.; Kesler, O.

    2014-01-01

    Yttria-stabilized zirconia (YSZ) electrolytes were deposited by suspension plasma spraying (SPS) and solution precursor plasma spraying (SPPS). The electrolytes were evaluated for permeability, microstructure, and electrochemical performance. With SPS, three different suspensions were tested to explore the influence of powder size distribution and liquid properties. Electrolytes made from suspensions of a powder with d50 = 2.6 μm were more gas-tight than those made from suspensions of a powder with d50 = 0.6 μm. A peak open circuit voltage of 1.00 V was measured at 750 °C with a cell with an electrolyte made from a suspension of d50 = 2.6 μm powder. The use of a flammable suspension liquid was beneficial for improving electrolyte conductivity when using lower energy plasmas, but the choice of liquid was less important when using higher energy plasmas. With SPPS, peak electrolyte conductivities were comparable to the peak conductivities of the SPS electrolytes. However, leak rates through the SPPS electrolytes were higher than those through the electrolytes made from suspensions of d50 = 2.6 μm powder. The electrochemical test data on SPPS electrolytes are the first reported in the literature.

  12. Development and evaluation of suspension plasma sprayed yttria stabilized zirconia coatings as thermal barriers

    Science.gov (United States)

    van Every, Kent J.

    The insulating effects from thermal barrier coatings (TBCs) in gas turbine engines allow for increased operational efficiencies and longer service lifetimes. Consequently, improving TBCs can lead to enhanced gas turbine engine performance. This study was conducted to investigate if yttria-stabilized zirconia (YSZ) coatings, the standard industrial choice for TBCs, produced from nano-sized powder could provide better thermal insulation than current commericial YSZ coatings generated using micron-sized powders. The coatings for this research were made via the recently developed suspension plasma spraying (SPS) process. With SPS, powders are suspended in a solvent containing dispersing agents; the suspension is then injected directly into a plasma flow that evaporates the solvent and melts the powder while transporting it to the substrate. Although related to the industrial TBC production method of air plasma spraying (APS), SPS has two important differences---the ability to spray sub-micron diameter ceramic particles, and the ability to alloy the particles with chemicals dissolved in the solvent. These aspects of SPS were employed to generate a series of coatings from suspensions containing ˜100 nm diameter YSZ powder particles, some of which were alloyed with neodymium and ytterbium ions from the solvent. The SPS coatings contained columnar structures not observed in APS TBCs; thus, a theory was developed to explain the formation of these features. The thermal conductivity of the coatings was tested to evaluate the effects of these unique microstructures and the effects of the alloying process. The results for samples in the as-sprayed and heat-treated conditions were compared to conventional YSZ TBCs. This comparison showed that, relative to APS YSZ coatings, the unalloyed SPS samples typically exhibited higher as-sprayed and lower heat-treated thermal conductivities. All thermal conductivity values for the alloyed samples were lower than conventional YSZ TBCs

  13. Plasma Surface Modification of Polyaramid Fibers for Protective Clothing

    Science.gov (United States)

    Widodo, Mohamad

    2011-12-01

    The purpose of this research was to develop a novel process that would achieve biocidal properties on Kevlar fabric via atmospheric pressure plasma jet (APPJ) induced-graft polymerization of monomers. In the course of the study, experiments were carried out to understand plasma-monomer-substrate interactions, particularly, how each of the main parameters in the plasma processing affects the formation of surface radicals and eventually the degree of graft polymerization of monomers. The study also served to explore the possibility of developing plasma-initiated and plasma-controlled graft polymerization for continuous operation. In this regards, three methods of processing were studied, which included two-step plasma graft-polymerization with immersion, two-step and one-step plasma graft-polymerization with pad-dry. In general, plasma treatment did not cause visible damage to the surface of Kevlar fibers, except for the appearance of tiny globules distributed almost uniformly indicating a minor effect of plasma treatment to the surface morphology of the polymer. From the examination of SEM images, however, it was found that a very localized surface etching seemed to have taken place, especially at high RF power (800 W) and long time of exposure (60 s), even in plasma downstream mode of operation. It was suggested that a small amount of charged particles might have escaped and reached the substrate surface. High density of surface radicals, which is the prerequisite for high graft density and high antimicrobial activity, was achieved by the combination of high RF power and short exposure time or low RF power and long time of exposure. This was a clear indication that the formation of surface radicals is a function of amount of the dissipated energy, which also explained the two-factor interaction between the two process parameters. XPS results showed that hydrolysis of the anilide bond of PPTA chains took place to some extent on the surface of Kevlar, leading to the

  14. Microwave plasma induced surface modification of diamond-like carbon films

    Science.gov (United States)

    Rao Polaki, Shyamala; Kumar, Niranjan; Gopala Krishna, Nanda; Madapu, Kishore; Kamruddin, Mohamed; Dash, Sitaram; Tyagi, Ashok Kumar

    2017-12-01

    Tailoring the surface of diamond-like carbon (DLC) film is technically relevant for altering the physical and chemical properties, desirable for useful applications. A physically smooth and sp3 dominated DLC film with tetrahedral coordination was prepared by plasma-enhanced chemical vapor deposition technique. The surface of the DLC film was exposed to hydrogen, oxygen and nitrogen plasma for physical and chemical modifications. The surface modification was based on the concept of adsorption-desorption of plasma species and surface entities of films. Energetic chemical species of microwave plasma are adsorbed, leading to desorbtion of the surface carbon atoms due to energy and momentum exchange. The interaction of such reactive species with DLC films enhanced the roughness, surface defects and dangling bonds of carbon atoms. Adsorbed hydrogen, oxygen and nitrogen formed a covalent network while saturating the dangling carbon bonds around the tetrahedral sp3 valency. The modified surface chemical affinity depends upon the charge carriers and electron covalency of the adsorbed atoms. The contact angle of chemically reconstructed surface increases when a water droplet interacts either through hydrogen or van dear Waals bonding. These weak interactions influenced the wetting property of the DLC surface to a great extent.

  15. The effect of the novel internal-type linear inductive antenna for large area magnetized inductive plasma source

    Science.gov (United States)

    Lee, S. H.; Shulika, Olga.; Kim, K. N.; Yeom, G. Y.; Lee, J. K.

    2004-09-01

    As the technology of plasma processing progresses, there is a continuing demand for higher plasma density, uniformity over large areas and greater control over plasma parameters to optimize the processes of etching, deposition and surface treatment. Traditionally, the external planar ICP sources with low pressure high density plasma have limited scale-up capabilities due to its high impedance accompanied by the large antenna size. Also due to the cost and thickness of their dielectric material in order to generate uniform plasma. In this study the novel internal-type linear inductive antenna system (1,020mm¡¿830mm¡¿437mm) with permanent magnet arrays are investigated to improve both the plasma density and the uniformity of LAPS (Large Area Plasma Source) for FPD processing. Generally plasma discharges are enhanced because the inductance of the novel antenna (termed as the double comb antenna) is lower than that of the serpentine-type antenna and also the magnetic confinement of electron increases the power absorption efficiency. The uniformity is improved by reducing the standing wave effect. The total length of antenna is comparable to the driving rf wavelength to cause the plasma nonuniformity. To describe the discharge phenomenon we have developed a magnetized two-dimensional fluid simulation. This work was supported by National Research Laboratory (NRL) Program of the Korea Ministry of Science and Technology. [References] 1. J.K.Lee, Lin Meng, Y.K.Shin, H,J,Lee and T.H.Chung, ¡°Modeling and Simulation of a Large-Area Plasma Source¡±, Jpn. J. Appl. Phys. Vol.36(1997) pp. 5714-5723 2. S.E.Park, B.U.Cho, Y.J.Lee*, and G.Y.Yeom*, and J.K.Lee, ¡°The Characteristics of Large Area Processing Plasmas¡±, IEEE Trans. Plasma Sci., Vol.31 ,No.4(2003) pp. 628-637

  16. Development of long lifetime-high current plasma cathode ion source

    International Nuclear Information System (INIS)

    Yabe, Eiji; Takayama, Kazuo; Fukui, Ryota.

    1987-01-01

    A long lifetime ion source with plasma cathode has been developed for use in ion implantation. In this ion source, a plasma of a nonreactive working gas serves as a cathode in place of a thermionic tungsten filament used in the Freeman ion source. In an applied magnetic field, the plasma cathode is convergent, i.e. filament-like; in zero magnetic field, it turns divergent and spray-like. In the latter case, the plasma exhibits a remarkable ability when the working gas has an ionization potential larger than the feed gas. By any combination of a working gas of either argon or neon and a feed gas of AsF 5 or PF 5 , the lifetime of this ion source was found to be more than 90 hours with an extraction voltage of 40 kV and the corresponding ion current density 20 mA/cm 2 . Mass spectrometry results show that this ion source has an ability of generating a considerable amount of As + and P + ions from AsF 5 and PF 5 , and hence will be useful for realizing a fully cryopumped ion implanter system. This ion source is eminently suitable for use in oxygen ion production. (author)

  17. Plasma potentials and performance of the advanced electron cyclotron resonance ion source

    International Nuclear Information System (INIS)

    Xie, Z.Q.; Lyneis, C.M.

    1994-01-01

    The mean plasma potential was measured on the LBL advanced electron cyclotron resonance (AECR) ion source for a variety of conditions. The mean potentials for plasmas of oxygen, argon, and argon mixed with oxygen in the AECR were determined. These plasma potentials are positive with respect to the plasma chamber wall and are on the order of tens of volts. Electrons injected into the plasma by an electron gun or from an aluminum oxide wall coating with a very high secondary electron emission reduce the plasma potential as does gas mixing. A lower plasma potential in the AECR source coincides with enhanced production of high charged state ions indicating longer ion confinement times. The effect of the extra electrons from external injection or wall coatings is to lower the average plasma potential and to increase the n e τ i of the ECR plasma. With sufficient extra electrons, the need for gas mixing can be eliminated or reduced to a lower level, so the source can operate at lower neutral pressures. A reduction of the neutral pressure decreases charge exchange between ions and neutrals and enhances the production of high charge state ions. An aluminum oxide coating results in the lowest plasma potential among the three methods discussed and the best source performance

  18. Effect of spark plasma sintering conditions on the thermoelectric properties of (Bi{sub 0.25}Sb{sub 0.75}){sub 2}Te{sub 3} alloys

    Energy Technology Data Exchange (ETDEWEB)

    Lim, Sang-Soon [Center for Electronic Materials, Korea Institute of Science and Technology, Seoul 136-791 (Korea, Republic of); Department of Materials Science and Engineering, Yonsei University, Seoul 120-749 (Korea, Republic of); Kim, Ju-Heon [High Temp. Energy Materials Research Center, Korea Institute of Science and Technology, Seoul 136-791 (Korea, Republic of); Kwon, Beomjin; Kim, Seong Keun [Center for Electronic Materials, Korea Institute of Science and Technology, Seoul 136-791 (Korea, Republic of); Park, Hyung-Ho [Department of Materials Science and Engineering, Yonsei University, Seoul 120-749 (Korea, Republic of); Lee, Ki-Suk; Baik, Jeong Min [School of Materials and Science Engineering, UNIST, Ulsan 689-798 (Korea, Republic of); KIST-UNIST Ulsan Center for Convergent Materials, UNIST, Ulsan 689-798 (Korea, Republic of); Choi, Won Jun [Center for Opto-Electronic Materials and Devices, Korea Institute of Science and Technology, Seoul 136-791 (Korea, Republic of); Kim, Dong-Ik [High Temp. Energy Materials Research Center, Korea Institute of Science and Technology, Seoul 136-791 (Korea, Republic of); Hyun, Dow-Bin; Kim, Jin-Sang [Center for Electronic Materials, Korea Institute of Science and Technology, Seoul 136-791 (Korea, Republic of); Baek, Seung-Hyub, E-mail: shbaek77@kist.re.kr [Center for Electronic Materials, Korea Institute of Science and Technology, Seoul 136-791 (Korea, Republic of); KIST-UNIST Ulsan Center for Convergent Materials, UNIST, Ulsan 689-798 (Korea, Republic of); Department of Nanomaterials Science and Technology, Korea University of Science and Technology, Daejeon, 305-333 (Korea, Republic of)

    2016-09-05

    As a field-assisted technique, spark plasma sintering (SPS) enables densification of specimens in a very short period of time compared to other sintering techniques. For high performance thermoelectric material synthesis, SPS is widely used to fabricate nanograin-structured thermoelectric materials by rapidly densifying the nanopowders suppressing grain growth. However, the microstructural evolution behavior of thermoelectric materials by SPS, another important process during sintering, has been rarely studied. Here, we explore SPS as a tool to control the microstructure by long-time SPS. Using p-type (Bi{sub 0.25}Sb{sub 0.75}){sub 2}Te{sub 3} thermoelectric materials as a model system, we systematically vary SPS temperature and time to understand the correlations between SPS conditions, microstructural evolution, and the thermoelectric properties. Our results show that the relatively low eutectic temperature (∼420 °C) and the existence of volatile tellurium (Te) are critical factors to determine both microstructure and thermoelectric property. In the liquid-phase sintering regime, rapid evaporation of Te leads to a strong dependence of thermoelectric property on SPS time. On the other hand, in the solid-phase sintering regime, there is a weak dependence on SPS time. The optimum thermoelectric figure-of-merit (Z) of 2.93 × 10{sup −3}/K is achieved by SPS at 500 °C for 30 min. Our results will provide an insight on the optimization of SPS conditions for materials containing volatile elements with low eutectic temperature. - Highlights: • Spark plasma sintering (SPS) is used to synthesize the thermoelectric (Bi{sub 0.25}Sb{sub 0.75}){sub 2}Te{sub 3}. • Liquid phase and volatile element are a key for the microstructure and thermoelectric property. • Thermoelectric figure-of-merit of 2.9 × 10{sup −3}/K is achieved at 500 °C for 30 min.

  19. Dependence of the source performance on plasma parameters at the BATMAN test facility

    Science.gov (United States)

    Wimmer, C.; Fantz, U.

    2015-04-01

    The investigation of the dependence of the source performance (high jH-, low je) for optimum Cs conditions on the plasma parameters at the BATMAN (Bavarian Test MAchine for Negative hydrogen ions) test facility is desirable in order to find key parameters for the operation of the source as well as to deepen the physical understanding. The most relevant source physics takes place in the extended boundary layer, which is the plasma layer with a thickness of several cm in front of the plasma grid: the production of H-, its transport through the plasma and its extraction, inevitably accompanied by the co-extraction of electrons. Hence, a link of the source performance with the plasma parameters in the extended boundary layer is expected. In order to characterize electron and negative hydrogen ion fluxes in the extended boundary layer, Cavity Ring-Down Spectroscopy and Langmuir probes have been applied for the measurement of the H- density and the determination of the plasma density, the plasma potential and the electron temperature, respectively. The plasma potential is of particular importance as it determines the sheath potential profile at the plasma grid: depending on the plasma grid bias relative to the plasma potential, a transition in the plasma sheath from an electron repelling to an electron attracting sheath takes place, influencing strongly the electron fraction of the bias current and thus the amount of co-extracted electrons. Dependencies of the source performance on the determined plasma parameters are presented for the comparison of two source pressures (0.6 Pa, 0.45 Pa) in hydrogen operation. The higher source pressure of 0.6 Pa is a standard point of operation at BATMAN with external magnets, whereas the lower pressure of 0.45 Pa is closer to the ITER requirements (p ≤ 0.3 Pa).

  20. Dependence of the source performance on plasma parameters at the BATMAN test facility

    International Nuclear Information System (INIS)

    Wimmer, C.; Fantz, U.

    2015-01-01

    The investigation of the dependence of the source performance (high j H − , low j e ) for optimum Cs conditions on the plasma parameters at the BATMAN (Bavarian Test MAchine for Negative hydrogen ions) test facility is desirable in order to find key parameters for the operation of the source as well as to deepen the physical understanding. The most relevant source physics takes place in the extended boundary layer, which is the plasma layer with a thickness of several cm in front of the plasma grid: the production of H − , its transport through the plasma and its extraction, inevitably accompanied by the co-extraction of electrons. Hence, a link of the source performance with the plasma parameters in the extended boundary layer is expected. In order to characterize electron and negative hydrogen ion fluxes in the extended boundary layer, Cavity Ring-Down Spectroscopy and Langmuir probes have been applied for the measurement of the H − density and the determination of the plasma density, the plasma potential and the electron temperature, respectively. The plasma potential is of particular importance as it determines the sheath potential profile at the plasma grid: depending on the plasma grid bias relative to the plasma potential, a transition in the plasma sheath from an electron repelling to an electron attracting sheath takes place, influencing strongly the electron fraction of the bias current and thus the amount of co-extracted electrons. Dependencies of the source performance on the determined plasma parameters are presented for the comparison of two source pressures (0.6 Pa, 0.45 Pa) in hydrogen operation. The higher source pressure of 0.6 Pa is a standard point of operation at BATMAN with external magnets, whereas the lower pressure of 0.45 Pa is closer to the ITER requirements (p ≤ 0.3 Pa)

  1. RF-Plasma Source Commissioning in Indian Negative Ion Facility

    International Nuclear Information System (INIS)

    Singh, M. J.; Bandyopadhyay, M.; Yadava, Ratnakar; Chakraborty, A. K.; Bansal, G.; Gahlaut, A.; Soni, J.; Kumar, Sunil; Pandya, K.; Parmar, K. G.; Sonara, J.; Kraus, W.; Heinemann, B.; Riedl, R.; Obermayer, S.; Martens, C.; Franzen, P.; Fantz, U.

    2011-01-01

    The Indian program of the RF based negative ion source has started off with the commissioning of ROBIN, the inductively coupled RF based negative ion source facility under establishment at Institute for Plasma research (IPR), India. The facility is being developed under a technology transfer agreement with IPP Garching. It consists of a single RF driver based beam source (BATMAN replica) coupled to a 100 kW, 1 MHz RF generator with a self excited oscillator, through a matching network, for plasma production and ion extraction and acceleration. The delivery of the RF generator and the RF plasma source without the accelerator, has enabled initiation of plasma production experiments. The recent experimental campaign has established the matching circuit parameters that result in plasma production with density in the range of 0.5-1x10 18 /m 3 , at operational gas pressures ranging between 0.4-1 Pa. Various configurations of the matching network have been experimented upon to obtain a stable operation of the set up for RF powers ranging between 25-85 kW and pulse lengths ranging between 4-20 s. It has been observed that the range of the parameters of the matching circuit, over which the frequency of the power supply is stable, is narrow and further experiments with increased number of turns in the coil are in the pipeline to see if the range can be widened. In this paper, the description of the experimental system and the commissioning data related to the optimisation of the various parameters of the matching network, to obtain stable plasma of required density, are presented and discussed.

  2. RF-Plasma Source Commissioning in Indian Negative Ion Facility

    Science.gov (United States)

    Singh, M. J.; Bandyopadhyay, M.; Bansal, G.; Gahlaut, A.; Soni, J.; Kumar, Sunil; Pandya, K.; Parmar, K. G.; Sonara, J.; Yadava, Ratnakar; Chakraborty, A. K.; Kraus, W.; Heinemann, B.; Riedl, R.; Obermayer, S.; Martens, C.; Franzen, P.; Fantz, U.

    2011-09-01

    The Indian program of the RF based negative ion source has started off with the commissioning of ROBIN, the inductively coupled RF based negative ion source facility under establishment at Institute for Plasma research (IPR), India. The facility is being developed under a technology transfer agreement with IPP Garching. It consists of a single RF driver based beam source (BATMAN replica) coupled to a 100 kW, 1 MHz RF generator with a self excited oscillator, through a matching network, for plasma production and ion extraction and acceleration. The delivery of the RF generator and the RF plasma source without the accelerator, has enabled initiation of plasma production experiments. The recent experimental campaign has established the matching circuit parameters that result in plasma production with density in the range of 0.5-1×1018/m3, at operational gas pressures ranging between 0.4-1 Pa. Various configurations of the matching network have been experimented upon to obtain a stable operation of the set up for RF powers ranging between 25-85 kW and pulse lengths ranging between 4-20 s. It has been observed that the range of the parameters of the matching circuit, over which the frequency of the power supply is stable, is narrow and further experiments with increased number of turns in the coil are in the pipeline to see if the range can be widened. In this paper, the description of the experimental system and the commissioning data related to the optimisation of the various parameters of the matching network, to obtain stable plasma of required density, are presented and discussed.

  3. Surprising synthesis of nanodiamond from single-walled carbon nanotubes by the spark plasma sintering process

    Science.gov (United States)

    Mirzaei, Ali; Ham, Heon; Na, Han Gil; Kwon, Yong Jung; Kang, Sung Yong; Choi, Myung Sik; Bang, Jae Hoon; Park, No-Hyung; Kang, Inpil; Kim, Hyoun Woo

    2016-10-01

    Nanodiamond (ND) was successfully synthesized using single-walled carbon nanotubes (SWCNTs) as a pure solid carbon source by means of a spark plasma sintering process. Raman spectra and X-ray diffraction patterns revealed the generation of the cubic diamond phase by means of the SPS process. Lattice-resolved TEM images confirmed that diamond nanoparticles with a diameter of about ˜10 nm existed in the products. The NDs were generated mainly through the gas-phase nucleation of carbon atoms evaporated from the SWCNTs. [Figure not available: see fulltext.

  4. Modeling of low pressure plasma sources for microelectronics fabrication

    International Nuclear Information System (INIS)

    Agarwal, Ankur; Bera, Kallol; Kenney, Jason; Rauf, Shahid; Likhanskii, Alexandre

    2017-01-01

    Chemically reactive plasmas operating in the 1 mTorr–10 Torr pressure range are widely used for thin film processing in the semiconductor industry. Plasma modeling has come to play an important role in the design of these plasma processing systems. A number of 3-dimensional (3D) fluid and hybrid plasma modeling examples are used to illustrate the role of computational investigations in design of plasma processing hardware for applications such as ion implantation, deposition, and etching. A model for a rectangular inductively coupled plasma (ICP) source is described, which is employed as an ion source for ion implantation. It is shown that gas pressure strongly influences ion flux uniformity, which is determined by the balance between the location of plasma production and diffusion. The effect of chamber dimensions on plasma uniformity in a rectangular capacitively coupled plasma (CCP) is examined using an electromagnetic plasma model. Due to high pressure and small gap in this system, plasma uniformity is found to be primarily determined by the electric field profile in the sheath/pre-sheath region. A 3D model is utilized to investigate the confinement properties of a mesh in a cylindrical CCP. Results highlight the role of hole topology and size on the formation of localized hot-spots. A 3D electromagnetic plasma model for a cylindrical ICP is used to study inductive versus capacitive power coupling and how placement of ground return wires influences it. Finally, a 3D hybrid plasma model for an electron beam generated magnetized plasma is used to understand the role of reactor geometry on plasma uniformity in the presence of E  ×  B drift. (paper)

  5. Modeling of low pressure plasma sources for microelectronics fabrication

    Science.gov (United States)

    Agarwal, Ankur; Bera, Kallol; Kenney, Jason; Likhanskii, Alexandre; Rauf, Shahid

    2017-10-01

    Chemically reactive plasmas operating in the 1 mTorr-10 Torr pressure range are widely used for thin film processing in the semiconductor industry. Plasma modeling has come to play an important role in the design of these plasma processing systems. A number of 3-dimensional (3D) fluid and hybrid plasma modeling examples are used to illustrate the role of computational investigations in design of plasma processing hardware for applications such as ion implantation, deposition, and etching. A model for a rectangular inductively coupled plasma (ICP) source is described, which is employed as an ion source for ion implantation. It is shown that gas pressure strongly influences ion flux uniformity, which is determined by the balance between the location of plasma production and diffusion. The effect of chamber dimensions on plasma uniformity in a rectangular capacitively coupled plasma (CCP) is examined using an electromagnetic plasma model. Due to high pressure and small gap in this system, plasma uniformity is found to be primarily determined by the electric field profile in the sheath/pre-sheath region. A 3D model is utilized to investigate the confinement properties of a mesh in a cylindrical CCP. Results highlight the role of hole topology and size on the formation of localized hot-spots. A 3D electromagnetic plasma model for a cylindrical ICP is used to study inductive versus capacitive power coupling and how placement of ground return wires influences it. Finally, a 3D hybrid plasma model for an electron beam generated magnetized plasma is used to understand the role of reactor geometry on plasma uniformity in the presence of E  ×  B drift.

  6. Assessors for communication skills: SPs or healthcare professionals?

    Science.gov (United States)

    Liew, Siaw-Cheok; Dutta, Susmita; Sidhu, Jagmohni Kaur; De-Alwis, Ranjit; Chen, Nicole; Sow, Chew-Fei; Barua, Ankur

    2014-07-01

    The complexity of modern medicine creates more challenges for teaching and assessment of communication skills in undergraduate medical programme. This research was conducted to study the level of communication skills among undergraduate medical students and to determine the difference between simulated patients and clinical instructors' assessment of communication skills. This comparative study was conducted for three months at the Clinical Skills and Simulation Centre of the International Medical University in Malaysia. The modified Calgary-Cambridge checklist was used to assess the communication skills of 50 first year and 50 second year medical students (five-minutes pre-recorded interview videos on the scenario of sore throat). These videos were reviewed and scored by simulated patients (SPs), communication skills instructors (CSIs) and non-communication skills instructors (non-CSIs). Better performance was observed among the undergraduate medical students, who had formal training in communication skills with a significant difference in overall scores detected among the first and second year medical students (p = 0.0008). A non-significant difference existed between the scores of SPs and CSIs for Year 1 (p = 0.151). The SPs could be trained and involved in assessment of communication skills. Formal training in communication skills is necessary in the undergraduate medical programme.

  7. Preparation of Ti3Al intermetallic compound by spark plasma sintering

    Science.gov (United States)

    Ito, Tsutomu; Fukui, Takahiro

    2018-04-01

    Sintered compacts of single phase Ti3Al intermetallic compound, which have excellent potential as refractory materials, were prepared by spark plasma sintering (SPS). A raw powder of Ti3Al intermetallic compound with an average powder diameter of 176 ± 56 μm was used in this study; this large powder diameter is disadvantageous for sintering because of the small surface area. The samples were prepared at sintering temperatures (Ts) of 1088, 1203, and 1323 K, sintering stresses (σs) of 16, 32, and 48 MPa, and a sintering time (ts) of 10 min. The calculated relative densities based on the apparent density of Ti3Al provided by the supplier were approximately 100% under all sintering conditions. From the experimental results, it was evident that SPS is an effective technique for dense sintering of Ti3Al intermetallic compounds in a short time interval. In this report, the sintering characteristics of Ti3Al intermetallic compacts are briefly discussed and compared with those of pure titanium compacts.

  8. Material Surface Characteristics and Plasma Performance in the Lithium Tokamak Experiment

    Science.gov (United States)

    Lucia, Matthew James

    The performance of a tokamak plasma and the characteristics of the surrounding plasma facing component (PFC) material surfaces strongly influence each other. Despite this relationship, tokamak plasma physics has historically been studied more thoroughly than PFC surface physics. The disparity is particularly evident in lithium PFC research: decades of experiments have examined the effect of lithium PFCs on plasma performance, but the understanding of the lithium surface itself is much less complete. This latter information is critical to identifying the mechanisms by which lithium PFCs affect plasma performance. This research focused on such plasma-surface interactions in the Lithium Tokamak Experiment (LTX), a spherical torus designed to accommodate solid or liquid lithium as the primary PFC. Surface analysis was accomplished via the novel Materials Analysis and Particle Probe (MAPP) diagnostic system. In a series of experiments on LTX, the MAPP x-ray photoelectron spectroscopy (XPS) and thermal desorption spectroscopy (TDS) capabilities were used for in vacuo interrogation of PFC samples. This represented the first application of XPS and TDS for in situ surface analysis of tokamak PFCs. Surface analysis indicated that the thin (dLi ˜ 100nm) evaporative lithium PFC coatings in LTX were converted to Li2O due to oxidizing agents in both the residual vacuum and the PFC substrate. Conversion was rapid and nearly independent of PFC temperature, forming a majority Li2O surface within minutes and an entirely Li2O surface within hours. However, Li2O PFCs were still capable of retaining hydrogen and sequestering impurities until the Li2 O was further oxidized to LiOH, a process that took weeks. For hydrogen retention, Li2O PFCs retained H+ from LTX plasma discharges, but no LiH formation was observed. Instead, results implied that H+ was only weakly-bound, such that it almost completely outgassed as H 2 within minutes. For impurity sequestration, LTX plasma performance

  9. Electrochemical surface plasmon spectroscopy-Recent developments and applications

    International Nuclear Information System (INIS)

    Zhang, Nan; Schweiss, Ruediger; Zong, Yun; Knoll, Wolfgang

    2007-01-01

    A survey is given on recent developments and applications of electrochemical techniques combined with surface plasmon resonance (SPR) spectroscopy. Surface plasmon spectroscopy (SPS) and optical waveguide mode spectroscopy make use of evanescent waves on metal-dielectric interfaces and can be conveniently combined with electrochemical methods. Selected examples of applications of high-pressure surface electrochemical plasmon resonance spectroscopy to study supramolecular architectures such as layer-by-layer films of conducting polymers or thin composite films will be presented. Then a combination of SPS with the electrochemical quartz crystal microbalance (EQCM) will be introduced and illustrated with a study on doping/de-doping process of a conducting polymer. This combination allows for simultaneous electrochemical, optical and microgravimetric characterization of interfaces. Finally, new technical developments including integration of SPS into microfluidic devices using a grating coupler and surface plasmon enhanced diffraction will be discussed

  10. Compilation and assessment of microwave bioeffects. Final report. A selective review of the literature on biological effects of microwaves in relation to the Satellite Power System (SPS)

    Energy Technology Data Exchange (ETDEWEB)

    Justesen, D.R.; Ragan, H.A.; Rogers, L.E.; Guy, A.W.; Hjeresen, D.L.; Hinds, W.T.; Phillips, R.D.

    1978-05-01

    One of many alternate sources of electrical energy that are being considered by the Department of Energy is a microwave-mediated Satellite Power System (SPS). Once inserted into geosynchronous orbit at an altitude of more than 40,000 kilometers, a satellite would collect then convert the sun's energy to 2450-MHz microwaves, which would be beamed to the Earth's surface, where a rectifying antenna (rectenna) would convert the microwaves to electrical current suitable for industrial and domestic use. The expanse of each rectenna (about 10 by 13 kilometers), the power density of the continuous-wave microwave beam (approx. 23 mW/cm/sup 2/ at center, with fall off to 1 mW/cm/sup 2/ or less at the periphery of the rectenna), and the possibility that 20 or more satellite systems will eventually be operating, creates two sets of interrelated problems for biological/ecological assessment. These are 1) the effects of microwave fields of higher intensity on airborne biota (including human beings in aircraft) that may traffic the area above the rectenna and 2) the effects of virtually perpetual fields of much lower intensity on all forms of life at and beyond the rectennae's zone of exclusion. In this review, the scientific literature is examined, not only for biological effects that are pertinent to assessment of SPS, but for hiatuses of knowledge that will have to be filled before SPS can be vouched for operational safety.

  11. Plasma surface functionalization and dyeing kinetics of Pan-Pmma copolymers

    Science.gov (United States)

    Labay, C.; Canal, C.; Rodríguez, C.; Caballero, G.; Canal, J. M.

    2013-10-01

    Fiber surface modification with air corona plasma has been studied through dyeing kinetics under isothermal conditions at 30 °C on an acrylic-fiber fabric with a cationic dye (CI Basic Blue 3) analyzing the absorption, desorption and fixing on the surface of molecules having defined cationic character. The initial dyeing rate in the first 60 s indicates an increase of 58.3% in the dyeing rate due to the effect of corona plasma on the acrylic fiber surface. At the end of the dyeing process, the plasma-treated fabrics absorb 24.7% more dye, and the K/S value of the acrylic fabric increases by 8.8%. With selected dyestuff molecules, new techniques can be designed to amplify the knowledge about plasma-treated surface modifications of macromolecules.

  12. MODELLING SLOW EXTRACTION INDUCED RADIOACTIVITY IN SPS LSS2

    CERN Document Server

    Araujo Martinez, Aurora Cecilia; CERN. Geneva. TE Department

    2017-01-01

    The Accelerator and Beam Transfer (ABT) group is investigating the impact of recent proposals to extract higher proton intensities to Fixed Target experiments at the SPS. The 400 GeV high-energy proton beam is typically extracted over a few seconds using a resonant slow-extraction technique that induces small but unavoidable beam losses on the extraction equipment in SPS LSS2. In this report, the induced radioactivity for 2016-2017 is used to predict future activation levels and cool-down times, using a past intervention as a reference to predict dose to the personnel carrying-out maintenance of the accelerator.

  13. Metastable states of plasma particles close to a charged surface

    Energy Technology Data Exchange (ETDEWEB)

    Shavlov, A. V., E-mail: shavlov@ikz.ru [The Institute of the Earth Cryosphere, RAS Siberian branch, 625000, P.O. 1230, Tyumen (Russian Federation); Tyumen State Oil and Gas University, 38, Volodarskogo St., 625000, Tyumen (Russian Federation); Dzhumandzhi, V. A. [The Institute of the Earth Cryosphere, RAS Siberian branch, 625000, P.O. 1230, Tyumen (Russian Federation)

    2015-09-15

    The free energy of the plasma particles and the charged surface that form an electroneutral system is calculated on the basis of the Poisson-Boltzmann equation. It is shown that, owing to correlation of light plasma particles near the charged surface and close to heavy particles of high charge, there can be metastable states in plasma. The corresponding phase charts of metastable states of the separate components of plasma, and plasma as a whole, are constructed. These charts depend on temperature, the charge magnitude, the size of the particles, and the share of the charge of the light carriers out of the total charge of the plasma particles.

  14. Spectroscopy for identification of plasma sources for lithography and water window imaging

    International Nuclear Information System (INIS)

    O'Sullivan, Gerry; Dunne, Padraig; Liu, Luning; Lokasani, Ragava; Long, Elaine; O'Reilly, Fergal; Sheridan, Paul; Sokell, Emma; Wu, Tao; Higashiguchi, Takeshi; Li, Bowen; Ohashi, Hayato; Suzuki, Chihiro

    2015-01-01

    The identification of sources for applications that include nanolithography, surface patterning and high resolution imaging is the focus of a considerable activity in the extreme ultraviolet (EUV) or soft x-ray (SXR) spectral regions. We report on the result of a study of the spectra from laser produced plasmas of a number of medium and high Z metals undertaken in order to identify potential sources for use with available multilayer mirrors. The main focus was the study of unresolved transition arrays emitted from ions with 3d, 4d and 4f valence subshells that emit strongly in the water window (2.34-4.38 nm).and that could be used for biological imaging or cell tomography. (paper)

  15. Plasma surface interactions in Q-enhanced mirror systems

    International Nuclear Information System (INIS)

    Post, R.F.

    1978-01-01

    Two approaches to enhancement of the Q (energy gain) factor of mirror systems are under study at Livermore. These include the Tandem Mirror and the Field Reversed Mirror. Both of these new ideas preserve features of conventional mirror systems as far as plasma-wall interactions are concerned. Specifically in both approaches field lines exit from the ends of the system and impinge on walls located at a distance from the confinement chamber. It is possible to predict some aspects of the plasma/surface interactions of TM and FRM systems from experience obtained in the Livermore 2XIIB experiment. In particular, as observed in 2XIIB, effective isolation of the plasma from thermal contact with the ends owing to the development of sheath-like regions is to be expected. Studies presently underway directed toward still further enhancing the decoupling of the plasma from the effects of plasma surface interactions at the walls will be discussed, with particular reference to the problem of minimizing the effects of refluxing secondary electrons produced by plasma impact on the end walls

  16. Experimental facility for determining plasma characteristics in ion sources

    International Nuclear Information System (INIS)

    Abroyan, M.A.; Kagan, Yu.M.; Kolokolov, N.B.; Lavrov, B.P.

    A facility for optical and electrical measurements of the plasma parameters in the arc plasma ion sources is described. The potentialities of the system are demonstrated on the basis of the electron concentration, the electron energy distribution function, and the radial population distribution of the excited states of hydrogen atoms in the arc plasma of the duoplasmatron. (U.S.)

  17. Calibrate the aerial surveying instrument by the limited surface source and the single point source that replace the unlimited surface source

    CERN Document Server

    Lu Cun Heng

    1999-01-01

    It is described that the calculating formula and surveying result is found on the basis of the stacking principle of gamma ray and the feature of hexagonal surface source when the limited surface source replaces the unlimited surface source to calibrate the aerial survey instrument on the ground, and that it is found in the light of the exchanged principle of the gamma ray when the single point source replaces the unlimited surface source to calibrate aerial surveying instrument in the air. Meanwhile through the theoretical analysis, the receiving rate of the crystal bottom and side surfaces is calculated when aerial surveying instrument receives gamma ray. The mathematical expression of the gamma ray decaying following height according to the Jinge function regularity is got. According to this regularity, the absorbing coefficient that air absorbs the gamma ray and the detective efficiency coefficient of the crystal is calculated based on the ground and air measuring value of the bottom surface receiving cou...

  18. Development of very large helicon plasma source

    International Nuclear Information System (INIS)

    Shinohara, Shunjiro; Tanikawa, Takao

    2004-01-01

    We have developed a very large volume, high-density helicon plasma source, 75 cm in diameter and 486 cm in axial length; full width at half maximum of the plasma density is up to ∼42 cm with good plasma uniformity along the z axis. By the use of a spiral antenna located just outside the end of the vacuum chamber through a quartz-glass window, plasma can be initiated with a very low value of radio frequency (rf) power ( 12 cm -3 is successfully produced with less than several hundred Watt; achieving excellent discharge efficiency. It is possible to control the radial density profile in this device by changing the magnetic field configurations near the antenna and/or the antenna radiation-field patterns

  19. UO2 fuel pellets fabrication via Spark Plasma Sintering using non-standard molybdenum die

    Science.gov (United States)

    Papynov, E. K.; Shichalin, O. O.; Mironenko, A. Yu; Tananaev, I. G.; Avramenko, V. A.; Sergienko, V. I.

    2018-02-01

    The article investigates spark plasma sintering (SPS) of commercial uranium dioxide (UO2) powder of ceramic origin into highly dense fuel pellets using non-standard die instead of usual graphite die. An alternative and formerly unknown method has been suggested to fabricate UO2 fuel pellets by SPS for excluding of typical problems related to undesirable carbon diffusion. Influence of SPS parameters on chemical composition and quality of UO2 pellets has been studied. Also main advantages and drawbacks have been revealed for SPS consolidation of UO2 in non-standard molybdenum die. The method is very promising due to high quality of the final product (density 97.5-98.4% from theoretical, absence of carbon traces, mean grain size below 3 μm) and mild sintering conditions (temperature 1100 ºC, pressure 141.5 MPa, sintering time 25 min). The results are interesting for development and probable application of SPS in large-scale production of nuclear ceramic fuel.

  20. Radiation from a pulsed dipole source in a moving magnetized plasma

    International Nuclear Information System (INIS)

    Gavrilenko, V. G.; Petrov, E. Yu.; Pikulin, V. D.; Sutyagina, D. A.

    2006-01-01

    The problem of radiation from a pulsed dipole source in a moving magnetized plasma described by a diagonal permittivity tensor is considered. An exact solution describing the spatiotemporal behavior of the excited electromagnetic field is obtained. The shape of an electromagnetic pulse that is generated by the source and propagates at different angles to both the direction of the external magnetic field and the direction of plasma motion is investigated. It is found that even nonrelativistic motion of the plasma medium can substantially influence the parameters of radiation from prescribed unsteady sources

  1. Experimental study of high current negative ion sources D{sup -} / H{sup -}. Analysis based on the simulation of the negative ion transport in the plasma source; Etude experimentale de sources a fort courant d`ions negatifs D{sup -} / H{sup -}. Analyse fondee sur la simulation du transport des ions dans le plasma de la source

    Energy Technology Data Exchange (ETDEWEB)

    Riz, D.

    1996-10-30

    In the frame of the development of a neutral beam injection system able to work the ITER tokamak (International Thermonuclear Experimental Reactor), two negative ion sources, Dragon and Kamaboko, have been installed on the MANTIS test bed in Cadarache, and studies in order to extract 20 mA/cm{sup 2} of D{sup -}. The two production modes of negative ions have been investigated: volume production; surface production after cesium injection in the discharge. Experiments have shown that cesium seeding is necessary in order to reach the requested performances for ITER. 20 mA/cm{sup 2} have been extracted from the Kamaboko source for an arc power density of 2.5 kW/liter. Simultaneously, a code called NIETZSCHE has been developed to simulate the negative ions transport in the source plasma, from their birth place to the extraction holes. The ion trajectory is calculated by numerically solving the 3D motion equation, while the atomic processes of destruction, of elastic collisions H{sup -}/H{sup +} and of charge exchange H{sup -}/H{sup 0} are handled at each time step by a Monte Carlo procedure. The code allows to obtain the extraction probability of a negative ion produced at a given location. The calculations performed with NIETZSCHE have allowed to explain several phenomena observed on negative ion sources, such as the isotopic effect H{sup -}/D{sup -} and the influence of the polarisation of the plasma grid and of the magnetic filter on the negative ions current. The code has also shown that, in the type of sources contemplated for ITER, working with large arc power densities (> 1 kW/liter), only negative ions produced in volume at a distance lower that 2 cm from the plasma grid and those produced at the grid surface have a chance of being extracted. (author). 122 refs.

  2. Electron Beam Diagnosis and Dynamics using DIADYN Plasma Source

    Energy Technology Data Exchange (ETDEWEB)

    Toader, D; Craciun, G; Manaila, E; Oproiu, C [National Institute of Research for Laser, Plasma and Radiation Physics Bucuresti (Romania); Marghitu, S [ICPE Electrostatica S.A - Bucuresti (Romania)

    2009-11-15

    This paper is presenting results obtained with the DIADYN installation after replacing its vacuum electron source (VES{sub L}V) with a plasma electron source (PES{sub L}V). DIADYN is a low energy laboratory equipment operating with 10 to 50 keV electron beams and designed to help realize non-destructive diagnosis and dynamics for low energy electron beams but also to be used in future material irradiations. The results presented here regard the beam diagnosis and dynamics made with beams obtained from the newly replaced plasma source. We discuss both results obtained in experimental dynamics and dynamics calculation results for electron beams extracted from the SEP{sub L}V source.

  3. The CERN LEP-SPS Librarian system

    International Nuclear Information System (INIS)

    Corniaux, C.; Morpurgo, G.

    1990-01-01

    The complexity of a software working environment, such as the control system for the SPS and LEP accelerators, requires a considerable management effort. The software needed to run the machines is continuously evolving, as new releases of application and system programs are introduced rather frequency. Ideally the management effort must coordinate all the different software developers and their users (the machine operating team). A software tool, the Librarian, has been written to help them in performing this task. Its ultimate goal is to enable the accelerator operators to retain control of all the software running in the control system. All the source files needed to produce a piece of running software (a 'product') are saved together, and can be retrieved either individually or as a whole. Management of the different versions of a product is also implemented, as well as a scheme for protections and access rights depending on the type of user (Librarian manager, application manager or software developer). The data base ORACLE has been used to maintain logical links between files saved under the Librarian. (orig.)

  4. Arabidopsis thaliana sucrose phosphate synthase (sps) genes are expressed differentially in organs and tissues, and their transcription is regulated by osmotic stress.

    Science.gov (United States)

    Solís-Guzmán, María Gloria; Argüello-Astorga, Gerardo; López-Bucio, José; Ruiz-Herrera, León Francisco; López-Meza, Joel Edmundo; Sánchez-Calderón, Lenin; Carreón-Abud, Yazmín; Martínez-Trujillo, Miguel

    2017-11-01

    Sucrose is synthesized from UDP-Glc and Fru-6-phosphate via the activity of sucrose-phosphate synthase (SPS) enzymes, which produce Suc-6-phosphate. Suc-6-phosphate is rapidly dephosphorylated by phosphatases to produce Suc and inorganic phosphate. Arabidopsis has four sps genes encoding SPS enzymes. Of these enzymes, AtSPS1F and AtSPS2F have been grouped with other dicotyledonous SPS enzymes, while AtSPS3F and AtSPS4F are included in groups with both dicotyledonous and monocotyledonous SPS enzymes. In this work, we generated Arabidopsis thaliana transformants containing the promoter region of each sps gene fused to gfp::uidA reporter genes. A detailed characterization of expression conferred by the sps promoters in organs and tissues was performed. We observed expression of AtSPS1F, AtSPS2F and AtSPS3F in the columella roots of the plants that support sucrose synthesis. Hence, these findings support the idea that sucrose synthesis occurs in the columella cells, and suggests that sucrose has a role in this tissue. In addition, the expression of AtSPS4F was identified in embryos and suggests its participation in this developmental stage. Quantitative transcriptional analysis of A. thaliana plants grown in media with different osmotic potential showed that AtSPS2F and AtSPS4F respond to osmotic stress. Copyright © 2017 Elsevier B.V. All rights reserved.

  5. Surface generation of negative hydrogen ion beams

    International Nuclear Information System (INIS)

    Bommel, P.J.M. van.

    1984-01-01

    This thesis describes investigations on negative hydrogen ion sources at the ampere level. Formation of H - ions occurs when positive hydrogen ions capture two electrons at metal surfaces. The negative ionization probability of hydrogen at metal surfaces increases strongly with decreasing work function of the surface. The converters used in this study are covered with cesium. Usually there are 'surface plasma sources' in which the hydrogen source plasma interacts with a converter. In this thesis the author concentrates upon investigating a new concept that has converters outside the plasma. In this approach a positive hydrogen ion beam is extracted from the plasma and is subsequently reflected from a low work function converter surface. (Auth.)

  6. Surface resistivity measurement of plasma treated polymers

    International Nuclear Information System (INIS)

    Simon, D.; Pigram, P.J.; Liesegang, J.

    2000-01-01

    Full text: Resistivity of insulators is an important property of materials used within the integrated circuit and packaging industries. The measurement of electrical resistivity of insulator materials in the surface region in this work is interpreted through observations of surface charge decay. A self-field driven and diffusion charge transport theory is used to model the process and resistivity values obtained computationally. Data for the charge decay of surface charged samples are collected by suspending them inside a coaxial cylinder connected to an electrometer. Samples used have been low density polyethylene LDPE sheet, both pristine and surface treated. Some samples have been treated by air plasma at low vacuum pressures for different periods of time; others have been washed in ethyl acetate and then plasma treated before the resistivity measurement. The sets of resistivity measurements form the various treatments are compared below. X-ray photoelectron spectroscopy (XPS) has also been used to investigate and account for the observed variations in surface resistivity

  7. A Beam Quality Monitor for LHC Beams in the SPS

    CERN Document Server

    Papotti, G

    2008-01-01

    The SPS Beam Quality Monitor (BQM) system monitors the longitudinal parameters of the beam before extraction to the LHC to prevent losses and degradation of the LHC luminosity by the injection of low quality beams. It is implemented in two priority levels. At the highest level the SPS-LHC synchronization and global beam structure are verified. If the specifications are not met, the beam should be dumped in the SPS before extraction. On the second level, individual bunch position, length and stability are checked for beam quality assessment. Tolerances are adapted to the mode of operation and extraction to the LHC can also be inhibited. Beam parameters are accessed by acquiring bunch profiles with a longitudinal pick up and fast digital oscilloscope. The beam is monitored for instabilities during the acceleration cycle and thoroughly checked a few ms before extraction for a final decision on extraction interlock. Dedicated hardware and software components implementing fast algorithms are required. In this pape...

  8. Parametric plasma surface instabilities with p-polarized radiation

    International Nuclear Information System (INIS)

    Rappaport, H.L.

    1994-01-01

    The authors argue that parametric plasma surface mode excitation is a viable broadband instability mechanism in the microwave regime since the wavelength of incident radiation can be large compared to plasma ion density gradient scale lengths. The authors restrict their attention to plasmas which are uniform in the planes perpendicular to the density gradients. The boundary region is characterized by three parameters: (1) the ion density gradient length; (2) the electron Debye length; and (3) the excursion of boundary electrons as they move in response to monochromatic p-polarized radiation. A thin vacuum plasma transition layer, in which the ion density gradient scale length is large compared with the Debye length and the electron excursion, is included in the analysis of plasma stability. The recently proposed Lagrangian Frame Two-Plasmon Decay mode (LFTPD) is investigated in the regime in which the instability is not resonantly coupled to surface waves propagating along the boundary region. In this case they have found both spatially dependent growth rate profiles and spatially dependent transit layer magnetic fields due to nonlinear surface currents. LFTPD growth rate profiles are displayed as a function of pump amplitude. The results of a time domain simulation of this mode is also shown

  9. Changing the surface properties on naval steel as result of non-thermal plasma treatment

    Science.gov (United States)

    Hnatiuc, B.; Sabău, A.; Dumitrache, C. L.; Hnatiuc, M.; Crețu, M.; Astanei, D.

    2016-08-01

    The problem of corrosion, related to Biofouling formation, is an issue with very high importance in the maritime domain. According to new rules, the paints and all the technologies for the conditioning of naval materials must fulfil more restrictive environmental conditions. In order to solve this issue, different new clean technologies have been proposed. Among them, the use of non-thermal plasmas produced at atmospheric pressure plays a very important role. This study concerns the opportunity of plasma treatment for preparation or conditioning of naval steel OL36 type. The plasma reactors chosen for the experiments can operate at atmospheric pressure and are easy to use in industrial conditions. They are based on electrical discharges GlidArc and Spark, which already proved their efficiency for the surface activation or even for coatings of the surface. The non-thermal character of the plasma is ensured by a gas flow blown through the electrical discharges. One power supply has been used for reactors that provide a 5 kV voltage and a maximum current of 100 mA. The modifications of the surface properties and composition have been studied by XPS technique (X-ray Photoelectron Spectroscopy). There were taken into consideration 5 samples: 4 of them undergoing a Mini-torch plasma, a Gliding Spark, a GlidArc with dry air and a GlidArc with CO2, respectively the fifth sample which is the untreated witness. Before the plasma treatment, samples of naval steel were processed in order to obtain mechanical gloss. The time of treatment was chosen to 12 minutes. In the spectroscopic analysis, done on a ULVAC-PHI, Inc. PHI 5000 Versa Probe scanning XPS microprobe, a monocromated Al Kα X-ray source with a spot size of 100 μm2 was used to scan each sample while the photoelectrons were collected at a 45-degree take-off angle. Differences were found between atomic concentrations in each individual case, which proves that the active species produced by each type of plasma affects

  10. Nuclear Fusion Research Understanding Plasma-Surface Interactions

    CERN Document Server

    Clark, Robert E.H

    2005-01-01

    It became clear in the early days of fusion research that the effects of the containment vessel (erosion of "impurities") degrade the overall fusion plasma performance. Progress in controlled nuclear fusion research over the last decade has led to magnetically confined plasmas that, in turn, are sufficiently powerful to damage the vessel structures over its lifetime. This book reviews current understanding and concepts to deal with this remaining critical design issue for fusion reactors. It reviews both progress and open questions, largely in terms of available and sought-after plasma-surface interaction data and atomic/molecular data related to these "plasma edge" issues.

  11. Atmospheric-Pressure Plasma Jet Surface Treatment for Use in Improving Adhesion

    Energy Technology Data Exchange (ETDEWEB)

    Kuettner, Lindsey Ann [Los Alamos National Lab. (LANL), Los Alamos, NM (United States)

    2017-09-06

    Atmospheric-pressure plasma jets (APPJs) are a method of plasma treatment that plays an important role in material processing and modifying surface properties of materials, especially polymers. Gas plasmas react with polymer surfaces in numerous ways such as oxidation, radical formation, degradation, and promotion of cross-linking. Because of this, gas and plasma conditions can be explored for chosen processes to maximize desired properties. The purpose of this study is to investigate plasma parameters in order to modify surface properties for improved adhesion between aluminum and epoxy substrates using two types of adhesives. The background, results to date, and future work will be discussed.

  12. Magnetic insulation of secondary electrons in plasma source ion implantation

    International Nuclear Information System (INIS)

    Rej, D.J.; Wood, B.P.; Faehl, R.J.; Fleischmann, H.H.

    1993-01-01

    The uncontrolled loss of accelerated secondary electrons in plasma source ion implantation (PSII) can significantly reduce system efficiency and poses a potential x-ray hazard. This loss might be reduced by a magnetic field applied near the workpiece. The concept of magnetically-insulated PSII is proposed, in which secondary electrons are trapped to form a virtual cathode layer near the workpiece surface where the local electric field is essentially eliminated. Subsequent electrons that are emitted can then be reabsorbed by the workpiece. Estimates of anomalous electron transport from microinstabilities are made. Insight into the process is gained with multi-dimensional particle-in-cell simulations

  13. Satellite Power Systems (SPS) concept definition study, exhibit C. Volume 2, part 2: System engineering, cost and programmatics

    Science.gov (United States)

    Hanley, G. M.

    1979-01-01

    Volume 2, Part 2, of a seven volume Satellite Power Systems (SPS) report is presented. Part 2 covers cost and programmatics and is divided into four sections. The first section gives illustrations of the SPS reference satellite and rectenna concept, and an overall scenario for SPS space transportation involvement. The second section presents SPS program plans for the implementation of PHASE C/D activities. These plans describe SPS program schedules and networks, critical items of systems evolution/technology development, and the natural resources analysis. The fourth section presents summary comments on the methods and rationale followed in arriving at the results documented. Suggestions are also provided in those areas where further analysis or evaluation will enhance SPS cost and programmatic definitions.

  14. Harmonic surface wave propagation in plasma

    International Nuclear Information System (INIS)

    Shivarova, A.; Stoychev, T.

    1980-01-01

    Second order harmonic surface waves generated by one fundamental high-frequency surface wave are investigated experimentally in gas discharge plasma. Two types of harmonic waves of equal frequency, associated with the linear dispersion relation and the synchronism conditions relatively propagate. The experimental conditions and the different space damping rates of the waves ensure the existence of different spatial regions (consecutively arranged along the plasma column) of a dominant propagation of each one of these two waves. Experimental data are obtained both for the wavenumbers and the space damping rates by relatively precise methods for wave investigations such as the methods of time-space diagrams and of phase shift measurements. The results are explained by the theoretical model for nonlinear mixing of dispersive waves. (author)

  15. Resonant power absorption in helicon plasma sources

    International Nuclear Information System (INIS)

    Chen Guangye; Arefiev, Alexey V.; Bengtson, Roger D.; Breizman, Boris N.; Lee, Charles A.; Raja, Laxminarayan L.

    2006-01-01

    Helicon discharges produce plasmas with a density gradient across the confining magnetic field. Such plasmas can create a radial potential well for nonaxisymmetric whistlers, allowing radially localized helicon (RLH) waves. This work presents new evidence that RLH waves play a significant role in helicon plasma sources. An experimentally measured plasma density profile in an argon helicon discharge is used to calculate the rf field structure. The calculations are performed using a two-dimensional field solver under the assumption that the density profile is axisymmetric. It is found that RLH waves with an azimuthal wave number m=1 form a standing wave structure in the axial direction and that the frequency of the RLH eigenmode is close to the driving frequency of the rf antenna. The calculated resonant power absorption, associated with the RLH eigenmode, accounts for most of the rf power deposited into the plasma in the experiment

  16. A High-Intensity, RF Plasma-Sputter Negative Ion Source

    International Nuclear Information System (INIS)

    Alton, G.D.; Bao, Y.; Cui, B.; Lohwasser, R.; Reed, C.A.; Zhang, T.

    1999-01-01

    A high-intensity, plasma-sputter negative-ion source based on the use of RF power for plasma generation has been developed that can be operated in either pulsed or dc modes. The source utilizes a high-Q, self-igniting, inductively coupled antenna system, operating at 80 MHz that has been optimized to generate Cs-seeded plasmas at low pressures (typically, - (610 microA); F - (100 microA); Si - (500 microA); S - (500 microA); P - (125 microA); Cl - (200 microA); Ni - (150 microA); Cu - (230 microA); Ge - (125 microA); As - (100 microA); Se - (200 microA); Ag - (70 microA); Pt - (125 microA); Au - (250 microA). The normalized emittance var e psilon n of the source at the 80% contour is: var e psilon n = 7.5 mm.mrad.(MeV) 1/2 . The design principles of the source, operational parameters, ion optics, emittance and intensities for a number of negative-ion species will be presented in this report

  17. Surface Modification of Metals using Plasma Torch

    International Nuclear Information System (INIS)

    Hassan, A.

    2009-01-01

    Low temperature plasma nitriding of 304L stainless steel is performed using a home made low power direct-current plasma torch. Plasma nitriding is carried out in temperature range of 300-550 degree C for 1 to 4 hours, in various N 2 H 2 gas mixture ratios at about 5 Torr pressure and torch power 300 Watts. The effect of treatment time, temperature and working gas composition on the microstructure and mechanical properties of plasma nitrided surface layers is investigated. The microstructure, phase composition and micro hardness profile of the nitrided surface layers are characterized by optical microscopy, scanning electron microscope (SEM), X-ray diffraction (XRD) and Vickers micro hardness tester. The results show that plasma treatment for 14 h over a temperature range of 300 - 550 degree C yields nitride case depth of 20 - 50 μm and the hardness of the nitrided layer is in the range of 700-1250 HV. Plasma nitriding of stainless steel samples at about 475 degree C in 70 % of nitrogen admixed with hydrogen at 5 torr shows the maximum increase of hardness 1220 HV which is about four times that of untreated layers. The XRD pattern confirmed the formation of an expanded austenite .N phase, due to the nitrogen incorporation into original lattice and forms supersaturated face center cubic phase. In addition preliminary results for aluminum nitriding is also shown

  18. Shunting arc plasma source for pure carbon ion beam

    Energy Technology Data Exchange (ETDEWEB)

    Koguchi, H.; Sakakita, H.; Kiyama, S.; Shimada, T.; Sato, Y.; Hirano, Y. [Energy Technology Research Institute, National Institute of Advanced Industrial Science and Technology (AIST), 1-1-1 Umezono, Tsukuba, Ibaraki 305-8568 (Japan)

    2012-02-15

    A plasma source is developed using a coaxial shunting arc plasma gun to extract a pure carbon ion beam. The pure carbon ion beam is a new type of deposition system for diamond and other carbon materials. Our plasma device generates pure carbon plasma from solid-state carbon material without using a hydrocarbon gas such as methane gas, and the plasma does not contain any hydrogen. The ion saturation current of the discharge measured by a double probe is about 0.2 mA/mm{sup 2} at the peak of the pulse.

  19. Shunting arc plasma source for pure carbon ion beam.

    Science.gov (United States)

    Koguchi, H; Sakakita, H; Kiyama, S; Shimada, T; Sato, Y; Hirano, Y

    2012-02-01

    A plasma source is developed using a coaxial shunting arc plasma gun to extract a pure carbon ion beam. The pure carbon ion beam is a new type of deposition system for diamond and other carbon materials. Our plasma device generates pure carbon plasma from solid-state carbon material without using a hydrocarbon gas such as methane gas, and the plasma does not contain any hydrogen. The ion saturation current of the discharge measured by a double probe is about 0.2 mA∕mm(2) at the peak of the pulse.

  20. Plasma facing surface composition during NSTX Li experiments

    Energy Technology Data Exchange (ETDEWEB)

    Skinner, C.H., E-mail: cskinner@pppl.gov [Princeton Plasma Physics Laboratory, POB 451, Princeton, NJ 08543 (United States); Sullenberger, R. [Department of Mechanical and Aerospace Engineering, Princeton University, NJ 08540 (United States); Koel, B.E. [Department of Chemical and Biological Engineering, Princeton University, NJ 08540 (United States); Jaworski, M.A.; Kugel, H.W. [Princeton Plasma Physics Laboratory, POB 451, Princeton, NJ 08543 (United States)

    2013-07-15

    Lithium conditioned plasma facing surfaces have lowered recycling and enhanced plasma performance on many fusion devices. However, the nature of the plasma–lithium surface interaction has been obscured by the difficulty of in-tokamak surface analysis. We report laboratory studies of the chemical composition of lithium surfaces exposed to typical residual gases found in tokamaks. Solid lithium and a molybdenum alloy (TZM) coated with lithium have been examined using X-ray photoelectron spectroscopy, temperature programmed desorption, and Auger electron spectroscopy both in ultrahigh vacuum conditions and after exposure to trace gases. Lithium surfaces near room temperature were oxidized after exposure to 1–2 Langmuirs of oxygen or water vapor. The oxidation rate by carbon monoxide was four times less. Lithiated PFC surfaces in tokamaks will be oxidized in about 100 s depending on the tokamak vacuum conditions.

  1. On solitary surface waves in cold plasmas

    International Nuclear Information System (INIS)

    Vladimirov, S.V.; Yu, M.Y.; Stenflo, L.

    1993-01-01

    A new type of nonlinear electromagnetic solitary surface waves propagating along the boundary of a cold plasma is discussed. These waves are described by a novel nonlinear evolution equation, obtained when the nonlinear surface currents at the boundary are taken into consideration. (Author)

  2. Correlation between the plasma characteristics and the surface chemistry of plasma-treated polymers through partial least-squares analysis.

    Science.gov (United States)

    Mavadat, Maryam; Ghasemzadeh-Barvarz, Massoud; Turgeon, Stéphane; Duchesne, Carl; Laroche, Gaétan

    2013-12-23

    We investigated the effect of various plasma parameters (relative density of atomic N and H, plasma temperature, and vibrational temperature) and process conditions (pressure and H2/(N2 + H2) ratio) on the chemical composition of modified poly(tetrafluoroethylene) (PTFE). The plasma parameters were measured by means of near-infrared (NIR) and UV-visible emission spectroscopy with and without actinometry. The process conditions of the N2-H2 microwave discharges were set at various pressures ranging from 100 to 2000 mTorr and H2/(N2+H2) gas mixture ratios between 0 and 0.4. The surface chemical composition of the modified polymers was determined by X-ray photoelectron spectroscopy (XPS). A mathematical model was constructed using the partial least-squares regression algorithm to correlate the plasma information (process condition and plasma parameters as determined by emission spectroscopy) with the modified surface characteristics. To construct the model, a set of data input variables containing process conditions and plasma parameters were generated, as well as a response matrix containing the surface composition of the polymer. This model was used to predict the composition of PTFE surfaces subjected to N2-H2 plasma treatment. Contrary to what is generally accepted in the literature, the present data demonstrate that hydrogen is not directly involved in the defluorination of the surface but rather produces atomic nitrogen and/or NH radicals that are shown to be at the origin of fluorine atom removal from the polymer surface. The results show that process conditions alone do not suffice in predicting the surface chemical composition and that the plasma characteristics, which cannot be easily correlated with these conditions, should be considered. Process optimization and control would benefit from plasma diagnostics, particularly infrared emission spectroscopy.

  3. Successful test of SPS-to-LHC beamline

    CERN Multimedia

    Maximilien Brice

    2004-01-01

    On 23 October there was great excitement in the Prevessin control room when, on the first attempt, a beam passed over 2.5 km down the new SPS-to-LHC transfer line, TI8, to within a few metres of the LHC tunnel.

  4. Numerical investigation of three-dimensional single-species plasma equilibria on magnetic surfaces

    International Nuclear Information System (INIS)

    Lefrancois, Remi G.; Pedersen, Thomas Sunn; Boozer, Allen H.; Kremer, Jason P.

    2005-01-01

    Presented for the first time are numerical solutions to the three-dimensional nonlinear equilibrium equation for single-species plasmas confined on magnetic surfaces and surrounded by an equipotential boundary. The major-radial shift of such plasmas is found to be outward, qualitatively similar to the Shafranov shift of quasineutral plasmas confined on magnetic surfaces. However, this is the opposite of what occurs in the pure toroidal field equilibria of non-neutral plasmas (i.e., in the absence of magnetic surfaces). The effect of varying the number of Debye lengths in the plasma for the three-dimensional (3D) model is in agreement with previous 2D calculations: the potential varies significantly on magnetic surfaces for plasmas with few Debye lengths (a d ), and tends to be constant on surfaces when many Debye lengths are present (a > or approx. 10λ d ). For the case of a conducting boundary that does not conform to the outer magnetic surface, the plasma is shifted towards the conductor and the potential varies significantly on magnetic surfaces near the plasma edge. Debye shielding effects are clearly demonstrated when a nonuniform bias is applied to the boundary. Computed equilibrium profiles are presented for the Columbia Non-Neutral Torus [T. S. Pedersen, A. H. Boozer, J. P. Kermer, R. Lefrancois, F. Dahlgren, N. Pomphrey, W. Reiersen, and W. Dorland, Fusion Sci. Technol. 46, 200 (2004)], a stellarator designed to confine non-neutral plasmas

  5. SPS: scrubbing or coating ?

    CERN Document Server

    Jimenez, J M

    2012-01-01

    The operation of the SPS with high intensity bunched beams is limited by the electron cloud building-up in both the arcs and long straight sections. Two consolidation options have been considered: mitigation of the electron cloud using coatings or relying, as before, on the scrubbing runs. A status report on both options will be given with a particular emphasis on measurements plans for 2012 and pending issues. The testing needs, corresponding beam parameters and MD time in 2012 will be addressed. The criteria for the decision making and the corresponding schedule will be discussed.

  6. Kinetic theory of surface waves in plasma jets

    International Nuclear Information System (INIS)

    Shokri, B.

    2002-01-01

    The kinetic theory analysis of surface waves propagating along a semi-bounded plasma jet is presented. The frequency spectra and their damping rate are obtained in both the high and low frequency regions. Finally, the penetration of the static field in the plasma jet under the condition that the plasma jet velocity is smaller than the sound velocity is studied

  7. Nanomechanical and nanotribological properties of plasma nanotextured superhydrophilic and superhydrophobic polymeric surfaces

    International Nuclear Information System (INIS)

    Skarmoutsou, A; Charitidis, C A; Gnanappa, A K; Tserepi, A; Gogolides, E

    2012-01-01

    Oxygen plasma-induced surface modification of polymethylmethacrylate (PMMA), under plasma conditions favouring (maximizing) roughness formation, has been shown to create textured surfaces of roughness size and morphology dependent on the plasma-treatment time and subsequent morphology stabilization procedure. Superhydrophobic or superhydrophilic surfaces can thus be obtained, with potential applications in antireflective self-cleaning surfaces, microfluidics, wetting–dewetting control, anti-icing etc, necessitating determination of their mechanical properties. In this study, nanoindentation is used to determine the reduced modulus and hardness of the surface, while nanoscratch tests are performed to measure the coefficient of friction. The data are combined to assess the wear behaviour of such surfaces as a first guide for their practical applications. Short-time plasma treatment slightly changes mechanical, tribological and wear properties compared to untreated PMMA. However, a significant decrease in the reduced modulus and hardness and an increase in the coefficient of friction are observed after long plasma-treatment times. The C 4 F 8 plasma deposited thin hydrophobic layer on the polymeric surfaces (untreated and treated) reveals good adhesion, while its mechanical properties are greatly influenced by the substrate; it is also found that it effectively protects the polymeric surfaces, reducing plastic deformation. (paper)

  8. Quasi-steady carbon plasma source for neutral beam injector

    International Nuclear Information System (INIS)

    Koguchi, H.; Sakakita, H.; Kiyama, S.; Shimada, T.; Sato, Y.; Hirano, Y.

    2014-01-01

    Carbon plasma is successfully sustained during 1000 s without any carrier gas in the bucket type ionization chamber with cusp magnetic field. Every several seconds, seed plasmas having ∼3 ms duration time are injected into the ionization chamber by a shunting arch plasma gun. The weakly ionized carbon plasma ejected from the shunting arch is also ionized by 2.45 GHz microwave at the electron cyclotron resonance surface and the plasma can be sustained even in the interval of gun discharges. Control of the gun discharge interval allows to keep high pressure and to sustain the plasma for long duration

  9. Quasi-steady carbon plasma source for neutral beam injector.

    Science.gov (United States)

    Koguchi, H; Sakakita, H; Kiyama, S; Shimada, T; Sato, Y; Hirano, Y

    2014-02-01

    Carbon plasma is successfully sustained during 1000 s without any carrier gas in the bucket type ionization chamber with cusp magnetic field. Every several seconds, seed plasmas having ∼3 ms duration time are injected into the ionization chamber by a shunting arch plasma gun. The weakly ionized carbon plasma ejected from the shunting arch is also ionized by 2.45 GHz microwave at the electron cyclotron resonance surface and the plasma can be sustained even in the interval of gun discharges. Control of the gun discharge interval allows to keep high pressure and to sustain the plasma for long duration.

  10. SPS Internship: Working With Physics To Go

    Science.gov (United States)

    Hancock, Logan

    2008-10-01

    The Physics To Go website (www.physicstogo.com) is one of many collections of ComPADRE, an online library of electronic resources devoted to physics and astronomy education, funded by the National Science Foundation. Physics To Go, produced by the American Physical Society (APS), is a collection focused on informal physics learning, targeted towards self-motivated learners and the general public. My contributions to the site this summer consisted of obtaining useful materials to add to the collection and working to update the homepage's ``mini-magazine'' every two weeks. I was selected for this position at APS by the Society of Physics Students (SPS) summer internship program, hosted by the American Institute of Physics (AIP) in College Park, MD. This internship is presented to a number of physics undergraduates each year and offers opportunities in research and science policy/outreach positions at SPS, APS, AAPT, NASA, and NIST.

  11. ECR plasma source for heavy ion beam charge neutralization

    Science.gov (United States)

    Efthimion, Philip C.; Gilson, Erik; Grisham, Larry; Kolchin, Pavel; Davidson, Ronald C.; Yu, Simon; Logan, B. Grant

    2003-01-01

    Highly ionized plasmas are being considered as a medium for charge neutralizing heavy ion beams in order to focus beyond the space-charge limit. Calculations suggest that plasma at a density of 1 100 times the ion beam density and at a length [similar]0.1 2 m would be suitable for achieving a high level of charge neutralization. An Electron Cyclotron Resonance (ECR) source has been built at the Princeton Plasma Physics Laboratory (PPPL) to support a joint Neutralized Transport Experiment (NTX) at the Lawrence Berkeley National Laboratory (LBNL) to study ion beam neutralization with plasma. The ECR source operates at 13.6 MHz and with solenoid magnetic fields of 1 10 gauss. The goal is to operate the source at pressures [similar]10[minus sign]6 Torr at full ionization. The initial operation of the source has been at pressures of 10[minus sign]4 10[minus sign]1 Torr. Electron densities in the range of 108 to 1011 cm[minus sign]3 have been achieved. Low-pressure operation is important to reduce ion beam ionization. A cusp magnetic field has been installed to improve radial confinement and reduce the field strength on the beam axis. In addition, axial confinement is believed to be important to achieve lower-pressure operation. To further improve breakdown at low pressure, a weak electron source will be placed near the end of the ECR source. This article also describes the wave damping mechanisms. At moderate pressures (> 1 mTorr), the wave damping is collisional, and at low pressures (< 1 mTorr) there is a distinct electron cyclotron resonance.

  12. Calibrate the aerial surveying instrument by the limited surface source and the single point source that replace the unlimited surface source

    International Nuclear Information System (INIS)

    Lu Cunheng

    1999-01-01

    It is described that the calculating formula and surveying result is found on the basis of the stacking principle of gamma ray and the feature of hexagonal surface source when the limited surface source replaces the unlimited surface source to calibrate the aerial survey instrument on the ground, and that it is found in the light of the exchanged principle of the gamma ray when the single point source replaces the unlimited surface source to calibrate aerial surveying instrument in the air. Meanwhile through the theoretical analysis, the receiving rate of the crystal bottom and side surfaces is calculated when aerial surveying instrument receives gamma ray. The mathematical expression of the gamma ray decaying following height according to the Jinge function regularity is got. According to this regularity, the absorbing coefficient that air absorbs the gamma ray and the detective efficiency coefficient of the crystal is calculated based on the ground and air measuring value of the bottom surface receiving count rate (derived from total receiving count rate of the bottom and side surface). Finally, according to the measuring value, it is proved that imitating the change of total receiving gamma ray exposure rate of the bottom and side surfaces with this regularity in a certain high area is feasible

  13. A novel cupping-assisted plasma treatment for skin disinfection

    Science.gov (United States)

    Xiong, Zilan; Graves, David B.

    2017-02-01

    A novel plasma treatment method/plasma source called cupping-assisted plasma treatment/source for skin disinfection is introduced. The idea combines ancient Chinese ‘cupping’ technology with plasma sources to generate active plasma inside an isolated, pressure-controlled chamber attached to the skin. Advantages of lower pressure include reducing the threshold voltage for plasma ignition and improving the spatial uniformity of the plasma treatment. In addition, with reduced pressure inside the cup, skin pore permeability might be increased and it improves attachment of the plasma device to the skin. Moreover, at a given pressure, plasma-generated active species are restricted inside the cup, raising local reactive species concentration and enhancing the measured surface disinfection rate. A surface micro-discharge (SMD) device is used as an example of a working plasma source. We report discharge characteristics and disinfection efficiency as a function of pressure and applied voltage.

  14. Satellite Power Systems (SPS) concept definition study, exhibit C. Volume 2, part 2: System engineering, cost and programmatics, appendixes

    Science.gov (United States)

    Hanley, G. M.

    1979-01-01

    Appendixes for Volume 2 (Part 2) of a seven volume Satellite (SPS) report are presented. The document contains two appendixes. The first is a SPS work breakdown structure dictionary. The second gives SPS cost estimating relationships and contains the cost analyses and a description of cost elements that comprise the SPS program.

  15. Considerations on a new fast extraction kicker concept for SPS

    CERN Document Server

    Barnes, M

    2010-01-01

    An alternative extraction kicker concept is investigated for the SPS, based on open C-type kickers and a fast-bumper system. The beam is moved into the kicker gap some tens of ms before extraction. The concept is illustrated in detail with the LSS4 extraction from the SPS – very similar parameters and considerations apply to LSS6. A similar concept could also be conceived for injection but is more difficult due to the larger beam size. The technical issues are presented and the potential impact on the machine impedance outlined.

  16. Stability studies of plasma modification effects of polylactide and polycaprolactone surface layers

    Energy Technology Data Exchange (ETDEWEB)

    Moraczewski, Krzysztof, E-mail: kmm@ukw.edu.pl [Kazimierz Wielki University, Chodkiewicza 30, 85-064 Bydgoszcz (Poland); Stepczyńska, Magdalena [Kazimierz Wielki University, Chodkiewicza 30, 85-064 Bydgoszcz (Poland); Malinowski, Rafał [Institute for Engineering of Polymer Materials and Dyes, Marii Skłodowskiej-Curie 55, 87‐100 Toruń (Poland); Rytlewski, Piotr; Jagodziński, Bartłomiej; Żenkiewicz, Marian [Kazimierz Wielki University, Chodkiewicza 30, 85-064 Bydgoszcz (Poland)

    2016-07-30

    Highlights: • Plasma modification affects surface roughness, wettability and surface energy. • Polylactide and polycaprolactone aging causes decay of the modification effects. • Changes in the surface characteristic and wettability deterioration were observed. • The decay occurs due to migration of low molecular weight molecules to the surface. • Plasma modification effect lasts longer in the case of polycaprolactone. - Abstract: The article presents results of research on the stability of oxygen plasma modification effects of polylactide and polycaprolactone surface layers. The modified samples were aged for three, six or nine weeks. The studies were carried out using scanning electron microscopy, goniometry and Fourier transform infrared spectroscopy. Studies have shown that the plasma modification has significant impact on the geometric structure and chemical composition of the surface, wettability and surface energy of tested polymers. The modification effects are not permanent. It has been observed that over time the effects of plasma modification fade. Studies have shown that modifying effect lasts longer in the case of polycaprolactone.

  17. Operation of the ORNL High Particle Flux Helicon Plasma Source

    International Nuclear Information System (INIS)

    Goulding, R. H.; Biewer, T. M.; Caughman, J. B. O.; Chen, G. C.; Owen, L. W.; Sparks, D. O.

    2011-01-01

    A high power, high particle flux rf-based helicon plasma source has been constructed at ORNL and operated at power levels up to 30 kW. High-density hydrogen and helium plasmas have been produced. The source has been designed as the basis for a linear plasma materials interaction (PMI) test facility that will generate particle fluxes Γ p 10 23 m -3 s -1 , and utilize additional ion and electron cyclotron heating to produce high parallel (to the magnetic field) heat fluxes of ∼10 MW/m 2 . An rf-based source for PMI research is of interest because high plasma densities are generated with no internal electrodes, allowing true steady state operation with minimal impurity generation. The ORNL helicon source has a diameter of 15 cm and to-date has operated at a frequency f = 13.56 MHz, with magnetic field strength |B| in the antenna region up to ∼0.15 T. Maximum densities of 3x10 19 m -3 in He and 2.5x10 19 m -3 in H have been achieved. Radial density profiles have been seen to be dependent on the axial |B| profile.

  18. Surface properties of activated carbon treated by cold plasma heating

    Energy Technology Data Exchange (ETDEWEB)

    Norikazu, Kurano [Shigematsu works Co. Ltd., 267 Yashita, Iwatsuki 3390046 (Japan); Yamada, Hiroshi [Shigematsu works Co. Ltd., 267 Yashita, Iwatsuki 3390046 (Japan); Yajima, Tatsuhiko [Faculty of Engineering, Saitama Institute of Technology, 1690 Fusoiji, Okabe 3690293 (Japan); Sugiyama, Kazuo [Faculty of Engineering, Saitama University, 255 Shimo-okubo, Sakura-Ku, Saitama 3388570 (Japan)]. E-mail: sugi@apc.saitama-u.ac.jp

    2007-03-12

    To modify the surface properties of activated carbon powders, we have applied the cold plasma treatment method. The cold plasma was used to be generated in the evacuated reactor vessel by 2.45 GHz microwave irradiation. In this paper, changes of surface properties such as distribution of acidic functional groups and roughness morphology were examined. By the cold plasma treatment, activated carbons with large specific surface area of ca. 2000 m{sup 2}/g or more could be prepared in a minute. The amount of every gaseous organic compound adsorbed on the unit gram of treated activated carbons was more increased that on the unit gram of untreated carbons. Especially, the adsorbed amount of carbon disulfide was remarkably increased even if it was compared by the amount per unit surface area. These results suggest that the surface property of the sample was modified by the plasma treatment. It became apparent by observing SEM photographs that dust and impure particles in macropores of activated carbons were far more reduced by the plasma treatment than by the conventional heating in an electric furnace under vacuum. In addition, a bubble-like surface morphology of the sample was observed by AEM measurement. The amount of acidic functional groups at the surface was determined by using the Boehm's titration method. Consequently, the increase of lactone groups and the decrease of carboxyl groups were also observed.

  19. Plasma phenomenology in astrophysical systems: Radio-sources and jets

    International Nuclear Information System (INIS)

    Montani, Giovanni; Petitta, Jacopo

    2014-01-01

    We review the plasma phenomenology in the astrophysical sources which show appreciable radio emissions, namely Radio-Jets from Pulsars, Microquasars, Quasars, and Radio-Active Galaxies. A description of their basic features is presented, then we discuss in some details the links between their morphology and the mechanisms that lead to the different radio-emissions, investigating especially the role played by the plasma configurations surrounding compact objects (Neutron Stars, Black Holes). For the sake of completeness, we briefly mention observational techniques and detectors, whose structure set them apart from other astrophysical instruments. The fundamental ideas concerning angular momentum transport across plasma accretion disks—together with the disk-source-jet coupling problem—are discussed, by stressing their successes and their shortcomings. An alternative scenario is then inferred, based on a parallelism between astrophysical and laboratory plasma configurations, where small-scale structures can be found. We will focus our attention on the morphology of the radio-jets, on their coupling with the accretion disks and on the possible triggering phenomena, viewed as profiles of plasma instabilities

  20. The gridless plasma ion source (GIS) for plasma ion assisted optical coating

    International Nuclear Information System (INIS)

    You Dawei; Li Xiaoqian; Wang Yu; Lin Yongchang

    2004-01-01

    High-quality optical coating is a key technology for modern optics. Ion-assisted deposition technology was used to improve the vaporized coating in 1980's. The GIS (gridless ion source), which is an advanced plasma source for producing a high-quality optical coating in large area, can produce a large area uniformity>1000 mm (diameter), a high ion current density ∼0.5 mA/cm 2 , 20 eV-200 eV energetic plasma ions and can activate reactive gas and film atoms. Now we have developed a GIS system. The GIS and the plasma ion-assisted deposition technology are investigated to achieve a high-quality optical coating. The GIS is a high power and high current source with a power of 1 kW-7.5 kW, a current of 10 A- 70 A and an ion density of 200 μA/cm 2 -500 μA/cm 2 . Because of the special magnetic structure, the plasma-ion extraction efficiency has been improved to obtain a maximum ion density of 500 μA/cm 2 in the medium power (∼4 kW) level. The GIS applied is of a special cathode structure, so that the GIS operation can be maintained under a rather low power and the lifetime of cathode will be extended. The GIS has been installed in the LPSX-1200 type box coating system. The coated TiO 2 , SiO 2 films such as antireflective films with the system have the same performance reported by Leybold Co, 1992, along with a controllable refractive index and film structure. (authors)