WorldWideScience

Sample records for surface-decorated silicon nanowires

  1. The antimicrobial effect of silicon nanowires decorated with silver and copper nanoparticles

    International Nuclear Information System (INIS)

    Fellahi, Ouarda; Marcon, Lionel; Coffinier, Yannick; Boukherroub, Rabah; Sarma, Rupak K; Saikia, Ratul; Das, Manash R; Hadjersi, Toufik; Maamache, Mustapha

    2013-01-01

    The paper reports on the preparation and antibacterial activity of silicon nanowire (SiNW) substrates coated with Ag or Cu nanoparticles (NPs) against Escherichia coli (E. coli) bacteria. The substrates are easily prepared using the metal-assisted chemical etching of crystalline silicon in hydrofluoric acid/silver nitrate (HF/AgNO 3 ) aqueous solution. Decoration of the SiNWs with metal NPs is achieved by simple immersion in HF aqueous solutions containing silver or copper salts. The SiNWs coated with Ag NPs are biocompatible with human lung adenocarcinoma epithelial cell line A549 while possessing strong antibacterial properties to E. coli. In contrast, the SiNWs decorated with Cu NPs showed higher cytotoxicity and slightly lower antibacterial activity. Moreover, it was also observed that leakage of sugars and proteins from the cell wall of E. coli in interaction with SiNWs decorated with Ag NPs is higher compared to SiNWs modified with Cu NPs. (paper)

  2. A new route to produce efficient surface-enhanced Raman spectroscopy substrates: gold-decorated CdSe nanowires

    Energy Technology Data Exchange (ETDEWEB)

    Das, Gobind; Chakraborty, Ritun; Gopalakrishnan, Anisha [Italian Institute of Technology, Nanostructure Division (Italy); Baranov, Dmitry [University of Colorado at Boulder, Department of Chemistry and Biochemistry (United States); Di Fabrizio, Enzo [King Abdullah University Science and Technology (KAUST), PSE and BESE Divisions (Saudi Arabia); Krahne, Roman, E-mail: roman.krahne@iit.it [Italian Institute of Technology, Nanostructure Division (Italy)

    2013-05-15

    Surface-enhanced Raman spectroscopy is a popular tool for the detection of extremely small quantities of target molecules. Au nanoparticles have been very successful in this respect due to local enhancement of the light intensity caused by their plasmon resonance. Furthermore, Au nanoparticles are biocompatible, and target substances can be easily attached to their surface. Here, we demonstrate that Au-decorated CdSe nanowires when employed as SERS substrates lead to an enhancement as large as 10{sup 5} with respect to the flat Au surfaces. In the case of hybrid metal-CdSe nanowires, the Au nucleates preferably on lattice defects at the lateral facets of the nanowires, which leads to a homogeneous distribution of Au nanoparticles on the nanowire, and to an efficient quenching of the nanowire luminescence. Moreover, the size of the Au nanoparticles can be well controlled via the AuCl{sub 3} concentration in the fabrication process. We demonstrate the effectiveness of our SERS substrates with two target substances, namely, cresyl-violet and rhodamine-6G. Au-decorated nanowires can be easily fabricated in large quantities at low cost by wet-chemical synthesis. Furthermore, their deposition onto various substrates, as well as the functionalization of these wires with the target substances, is as straightforward as with the traditional markers.

  3. Room temperature NO2 gas sensing of Au-loaded tungsten oxide nanowires/porous silicon hybrid structure

    International Nuclear Information System (INIS)

    Wang Deng-Feng; Liang Ji-Ran; Li Chang-Qing; Yan Wen-Jun; Hu Ming

    2016-01-01

    In this work, we report an enhanced nitrogen dioxide (NO 2 ) gas sensor based on tungsten oxide (WO 3 ) nanowires/porous silicon (PS) decorated with gold (Au) nanoparticles. Au-loaded WO 3 nanowires with diameters of 10 nm–25 nm and lengths of 300 nm–500 nm are fabricated by the sputtering method on a porous silicon substrate. The high-resolution transmission electron microscopy (HRTEM) micrographs show that Au nanoparticles are uniformly distributed on the surfaces of WO 3 nanowires. The effect of the Au nanoparticles on the NO 2 -sensing performance of WO 3 nanowires/porous silicon is investigated over a low concentration range of 0.2 ppm–5 ppm of NO 2 at room temperature (25 °C). It is found that the 10-Å Au-loaded WO 3 nanowires/porous silicon-based sensor possesses the highest gas response characteristic. The underlying mechanism of the enhanced sensing properties of the Au-loaded WO 3 nanowires/porous silicon is also discussed. (paper)

  4. Silicon nanowire hybrid photovoltaics

    KAUST Repository

    Garnett, Erik C.

    2010-06-01

    Silicon nanowire Schottky junction solar cells have been fabricated using n-type silicon nanowire arrays and a spin-coated conductive polymer (PEDOT). The polymer Schottky junction cells show superior surface passivation and open-circuit voltages compared to standard diffused junction cells with native oxide surfaces. External quantum efficiencies up to 88% were measured for these silicon nanowire/PEDOT solar cells further demonstrating excellent surface passivation. This process avoids high temperature processes which allows for low-cost substrates to be used. © 2010 IEEE.

  5. Silicon nanowire hybrid photovoltaics

    KAUST Repository

    Garnett, Erik C.; Peters, Craig; Brongersma, Mark; Cui, Yi; McGehee, Mike

    2010-01-01

    Silicon nanowire Schottky junction solar cells have been fabricated using n-type silicon nanowire arrays and a spin-coated conductive polymer (PEDOT). The polymer Schottky junction cells show superior surface passivation and open-circuit voltages compared to standard diffused junction cells with native oxide surfaces. External quantum efficiencies up to 88% were measured for these silicon nanowire/PEDOT solar cells further demonstrating excellent surface passivation. This process avoids high temperature processes which allows for low-cost substrates to be used. © 2010 IEEE.

  6. A new route to produce efficient surface-enhanced Raman spectroscopy substrates: Gold-decorated CdSe nanowires

    KAUST Repository

    Das, Gobind

    2013-04-13

    Surface-enhanced Raman spectroscopy is a popular tool for the detection of extremely small quantities of target molecules. Au nanoparticles have been very successful in this respect due to local enhancement of the light intensity caused by their plasmon resonance. Furthermore, Au nanoparticles are biocompatible, and target substances can be easily attached to their surface. Here, we demonstrate that Au-decorated CdSe nanowires when employed as SERS substrates lead to an enhancement as large as 105 with respect to the flat Au surfaces. In the case of hybrid metal-CdSe nanowires, the Au nucleates preferably on lattice defects at the lateral facets of the nanowires, which leads to a homogeneous distribution of Au nanoparticles on the nanowire, and to an efficient quenching of the nanowire luminescence. Moreover, the size of the Au nanoparticles can be well controlled via the AuCl3 concentration in the fabrication process. We demonstrate the effectiveness of our SERS substrates with two target substances, namely, cresyl-violet and rhodamine-6G. Au-decorated nanowires can be easily fabricated in large quantities at low cost by wet-chemical synthesis. Furthermore, their deposition onto various substrates, as well as the functionalization of these wires with the target substances, is as straightforward as with the traditional markers. © 2013 Springer Science+Business Media Dordrecht.

  7. A new route to produce efficient surface-enhanced Raman spectroscopy substrates: Gold-decorated CdSe nanowires

    KAUST Repository

    Das, Gobind; Chakraborty, Ritun; Gopalakrishnan, Anisha; Baranov, Dmitry; Di Fabrizio, Enzo M.; Krahne, Roman

    2013-01-01

    Surface-enhanced Raman spectroscopy is a popular tool for the detection of extremely small quantities of target molecules. Au nanoparticles have been very successful in this respect due to local enhancement of the light intensity caused by their plasmon resonance. Furthermore, Au nanoparticles are biocompatible, and target substances can be easily attached to their surface. Here, we demonstrate that Au-decorated CdSe nanowires when employed as SERS substrates lead to an enhancement as large as 105 with respect to the flat Au surfaces. In the case of hybrid metal-CdSe nanowires, the Au nucleates preferably on lattice defects at the lateral facets of the nanowires, which leads to a homogeneous distribution of Au nanoparticles on the nanowire, and to an efficient quenching of the nanowire luminescence. Moreover, the size of the Au nanoparticles can be well controlled via the AuCl3 concentration in the fabrication process. We demonstrate the effectiveness of our SERS substrates with two target substances, namely, cresyl-violet and rhodamine-6G. Au-decorated nanowires can be easily fabricated in large quantities at low cost by wet-chemical synthesis. Furthermore, their deposition onto various substrates, as well as the functionalization of these wires with the target substances, is as straightforward as with the traditional markers. © 2013 Springer Science+Business Media Dordrecht.

  8. Surface functionalization of HF-treated silicon nanowires

    Indian Academy of Sciences (India)

    Administrator

    place when silicon nanowires reacted with 2,2,2-trifluoroethyl acrylate, and reductive deposition reaction occurred in the ... detection of fM level of protein. 14 and DNA. 15 ... surfaces can be easily modified to act as both elec- tron-transfer ...

  9. Semiconducting silicon nanowires for biomedical applications

    CERN Document Server

    Coffer, JL

    2014-01-01

    Biomedical applications have benefited greatly from the increasing interest and research into semiconducting silicon nanowires. Semiconducting Silicon Nanowires for Biomedical Applications reviews the fabrication, properties, and applications of this emerging material. The book begins by reviewing the basics, as well as the growth, characterization, biocompatibility, and surface modification, of semiconducting silicon nanowires. It goes on to focus on silicon nanowires for tissue engineering and delivery applications, including cellular binding and internalization, orthopedic tissue scaffol

  10. V-groove SnO2 nanowire sensors: fabrication and Pt-nanoparticle decoration

    International Nuclear Information System (INIS)

    Sun, Gun-Joo; Choi, Sun-Woo; Jung, Sung-Hyun; Katoch, Akash; Kim, Sang Sub

    2013-01-01

    Networked SnO 2 nanowire sensors were achieved using the selective growth of SnO 2 nanowires and their tangling ability, particularly on on-chip V-groove structures, in an effort to overcome the disadvantages imposed on the conventional trench-structured SnO 2 nanowire sensors. The sensing performance of the V-groove-structured SnO 2 nanowire sensors was highly dependent on the geometrical dimension of the groove, being superior to those of their conventional trench-structured counterparts. Pt nanoparticles were decorated on the surface of the networked SnO 2 nanowires via γ-ray radiolysis to enhance the sensing performances of the V-groove sensors whose V-groove widths had been optimized. The V-groove-structured Pt-nanoparticle-decorated SnO 2 nanowire sensors exhibited outstanding and reliable sensing capabilities towards toluene and nitrogen dioxide gases, indicating their potential for use as a platform for chemical gas sensors. (paper)

  11. Catalytic Activity of Silicon Nanowires Decorated with Gold and Copper Nanoparticles Deposited by Pulsed Laser Ablation

    Directory of Open Access Journals (Sweden)

    Michele Casiello

    2018-01-01

    Full Text Available Silicon nanowires (SiNWs decorated by pulsed laser ablation with gold or copper nanoparticles (labeled as AuNPs@SiNWs and CuNPs@SiNWs were investigated for their catalytic properties. Results demonstrated high catalytic performances in the Caryl–N couplings and subsequent carbonylations for gold and copper catalysts, respectively, that have no precedents in the literature. The excellent activity, attested by the very high turn over number (TON values, was due both to the uniform coverage along the NW length and to the absence of the chemical shell surrounding the metal nanoparticles (MeNPs. A high recyclability was also observed and can be ascribed to the strong covalent interaction at the Me–Si interface by virtue of metal “silicides” formation.

  12. Ion-step method for surface potential sensing of silicon nanowires

    NARCIS (Netherlands)

    Chen, S.; van Nieuwkasteele, Jan William; van den Berg, Albert; Eijkel, Jan C.T.

    2016-01-01

    This paper presents a novel stimulus-response method for surface potential sensing of silicon nanowire (Si NW) field-effect transistors. When an "ion-step" from low to high ionic strength is given as a stimulus to the gate oxide surface, an increase of double layer capacitance is therefore expected.

  13. Magnetic properties of nickel nanowires decorated with cobalt nanoparticles fabricated by two step electrochemical deposition technique

    Energy Technology Data Exchange (ETDEWEB)

    Maaz, K., E-mail: maaz@impcas.ac.cn [Materials Research Center, Institute of Modern Physics, Chinese Academy of Sciences, Lanzhou, 730000 (China); Nanomaterials Research Group, Physics Division, PINSTECH, Nilore, 45650, Islamabad (Pakistan); Duan, J.L. [Materials Research Center, Institute of Modern Physics, Chinese Academy of Sciences, Lanzhou, 730000 (China); Karim, S. [Nanomaterials Research Group, Physics Division, PINSTECH, Nilore, 45650, Islamabad (Pakistan); Chen, Y.H.; Yao, H.J.; Mo, D.; Sun, Y.M. [Materials Research Center, Institute of Modern Physics, Chinese Academy of Sciences, Lanzhou, 730000 (China); Liu, J., E-mail: j.liu@impcas.ac.cn [Materials Research Center, Institute of Modern Physics, Chinese Academy of Sciences, Lanzhou, 730000 (China)

    2016-10-01

    We demonstrate fabrication and magnetic characterization of novel nanostructures composed of Ni nanowires decorated with Co nanoparticles by two step etching and electrochemical deposition in polycarbonate template. Structural analysis confirmed the formation of nickel nanowires with diameter of 62 nm which are surrounded by cobalt nanoparticles of about 15 nm in diameter. By electron microscopy analyses it is evident that the nanoparticles are distributed on the surface of the nanowires. Analysis of magnetization data indicates that ferromagnetic Ni nanowires exhibit an easy axis of magnetization parallel to the wire long-axis while the angular dependence of coercivity indicates that magnetization reversal occurs through the curling process in these nanowires. An exchange bias accompanied by vertical shift in magnetization was observed below ∼20 K, measured under a cooling field of 1 kOe, which is attributed to the spin interactions between the spin-glass like surface layer and ferromagnetic core of the nanowires and nanoparticles. - Highlights: • Co-decorated Ni nanowires were fabricated by two-step electrodeposition technique. • The nanoparticles are distributed on the surface of nanowires. • Magnetization reversal occurs through the curling process in the nanowires. • Temperature dependent coercivity follows thermal activation model.

  14. All-(111) surface silicon nanowire field effect transistor devices: Effects of surface preparations

    NARCIS (Netherlands)

    Masood, M.N.; Carlen, Edwin; van den Berg, Albert

    2014-01-01

    Etching/hydrogen termination of All-(111) surface silicon nanowire field effect (SiNW-FET) devices developed by conventional photolithography and plane dependent wet etchings is studied with X-ray photoelectron spectroscopy (XPS), scanning electron microscopy (SEM), atomic force microscopy (AFM) and

  15. Influence of surface pre-treatment on the electronic levels in silicon MaWCE nanowires.

    Science.gov (United States)

    Venturi, Giulia; Castaldini, Antonio; Schleusener, Alexander; Sivakov, Vladimir; Cavallini, Anna

    2015-05-15

    Deep level transient spectroscopy (DLTS) was performed on n-doped silicon nanowires grown by metal-assisted wet chemical etching (MaWCE) with gold as the catalyst in order to investigate the energetic scheme inside the bandgap. To observe the possible dependence of the level scheme on the processing temperature, DLTS measurements were performed on the nanowires grown on a non-treated Au/Si surface and on a thermally pre-treated Au/Si surface. A noticeable modification of the configuration of the energy levels was observed, induced by the annealing process. Based on our results on these MaWCE nanowires and on literature data about deep levels in bulk silicon, some hypotheses were advanced regarding the identification of the defects responsible of the energy levels revealed.

  16. Porous Silicon Nanowires

    Science.gov (United States)

    Qu, Yongquan; Zhou, Hailong; Duan, Xiangfeng

    2011-01-01

    In this minreview, we summarize recent progress in the synthesis, properties and applications of a new type of one-dimensional nanostructures — single crystalline porous silicon nanowires. The growth of porous silicon nanowires starting from both p- and n-type Si wafers with a variety of dopant concentrations can be achieved through either one-step or two-step reactions. The mechanistic studies indicate the dopant concentration of Si wafers, oxidizer concentration, etching time and temperature can affect the morphology of the as-etched silicon nanowires. The porous silicon nanowires are both optically and electronically active and have been explored for potential applications in diverse areas including photocatalysis, lithium ion battery, gas sensor and drug delivery. PMID:21869999

  17. Direct electrodeposition of metal nanowires on electrode surface

    International Nuclear Information System (INIS)

    Gambirasi, Arianna; Cattarin, Sandro; Musiani, Marco; Vazquez-Gomez, Lourdes; Verlato, Enrico

    2011-01-01

    A method for decorating the surface of disk electrodes with metal nanowires is presented. Cu and Ni nanowires with diameters from 1.0 μm to 0.2 μm are directly deposited on the electrode surface using a polycarbonate membrane filter template maintained in contact with the metal substrate by the soft homogeneous pressure of a sponge soaked with electrolyte. The morphologic and structural properties of the deposit are characterized by scanning electron microscopy (SEM) and electron backscatter diffraction (EBSD). The latter shows that the head of nanowires with diameter of 0.4 μm is ordinarily polycrystalline, and that of nanowires with diameter of 0.2 μm is almost always monocrystalline for Cu and frequently also for Ni. Cyclic voltammetries and impedance investigations recorded in alkaline solutions at representative Ni electrodes decorated with nanowires provide consistent values of roughness factor, in the range 20-25.

  18. Au nanostructure-decorated TiO2 nanowires exhibiting photoactivity across entire UV-visible region for photoelectrochemical water splitting.

    Science.gov (United States)

    Pu, Ying-Chih; Wang, Gongming; Chang, Kao-Der; Ling, Yichuan; Lin, Yin-Kai; Fitzmorris, Bob C; Liu, Chia-Ming; Lu, Xihong; Tong, Yexiang; Zhang, Jin Z; Hsu, Yung-Jung; Li, Yat

    2013-08-14

    Here we demonstrate that the photoactivity of Au-decorated TiO2 electrodes for photoelectrochemical water oxidation can be effectively enhanced in the entire UV-visible region from 300 to 800 nm by manipulating the shape of the decorated Au nanostructures. The samples were prepared by carefully depositing Au nanoparticles (NPs), Au nanorods (NRs), and a mixture of Au NPs and NRs on the surface of TiO2 nanowire arrays. As compared with bare TiO2, Au NP-decorated TiO2 nanowire electrodes exhibited significantly enhanced photoactivity in both the UV and visible regions. For Au NR-decorated TiO2 electrodes, the photoactivity enhancement was, however, observed in the visible region only, with the largest photocurrent generation achieved at 710 nm. Significantly, TiO2 nanowires deposited with a mixture of Au NPs and NRs showed enhanced photoactivity in the entire UV-visible region. Monochromatic incident photon-to-electron conversion efficiency measurements indicated that excitation of surface plasmon resonance of Au is responsible for the enhanced photoactivity of Au nanostructure-decorated TiO2 nanowires. Photovoltage experiment showed that the enhanced photoactivity of Au NP-decorated TiO2 in the UV region was attributable to the effective surface passivation of Au NPs. Furthermore, 3D finite-difference time domain simulation was performed to investigate the electrical field amplification at the interface between Au nanostructures and TiO2 upon SPR excitation. The results suggested that the enhanced photoactivity of Au NP-decorated TiO2 in the UV region was partially due to the increased optical absorption of TiO2 associated with SPR electrical field amplification. The current study could provide a new paradigm for designing plasmonic metal/semiconductor composite systems to effectively harvest the entire UV-visible light for solar fuel production.

  19. Microspheres for the Growth of Silicon Nanowires via Vapor-Liquid-Solid Mechanism

    Directory of Open Access Journals (Sweden)

    Arancha Gómez-Martínez

    2014-01-01

    Full Text Available Silicon nanowires have been synthesized by a simple process using a suitable support containing silica and carbon microspheres. Nanowires were grown by thermal chemical vapor deposition via a vapor-liquid-solid mechanism with only the substrate as silicon source. The curved surface of the microsized spheres allows arranging the gold catalyst as nanoparticles with appropriate dimensions to catalyze the growth of nanowires. The resulting material is composed of the microspheres with the silicon nanowires attached on their surface.

  20. Self-diffusion in single crystalline silicon nanowires

    Science.gov (United States)

    Südkamp, T.; Hamdana, G.; Descoins, M.; Mangelinck, D.; Wasisto, H. S.; Peiner, E.; Bracht, H.

    2018-04-01

    Self-diffusion experiments in single crystalline isotopically controlled silicon nanowires with diameters of 70 and 400 nm at 850 and 1000 °C are reported. The isotope structures were first epitaxially grown on top of silicon substrate wafers. Nanowires were subsequently fabricated using a nanosphere lithography process in combination with inductively coupled plasma dry reactive ion etching. Three-dimensional profiling of the nanosized structure before and after diffusion annealing was performed by means of atom probe tomography (APT). Self-diffusion profiles obtained from APT analyses are accurately described by Fick's law for self-diffusion. Data obtained for silicon self-diffusion in nanowires are equal to the results reported for bulk silicon crystals, i.e., finite size effects and high surface-to-volume ratios do not significantly affect silicon self-diffusion. This shows that the properties of native point defects determined from self-diffusion in bulk crystals also hold for nanosized silicon structures with diameters down to 70 nm.

  1. Thermally responsive silicon nanowire arrays for native/denatured-protein separation

    International Nuclear Information System (INIS)

    Wang Hongwei; Wang Yanwei; Yuan Lin; Wang Lei; Yang Weikang; Wu Zhaoqiang; Li Dan; Chen Hong

    2013-01-01

    We present our findings of the selective adsorption of native and denatured proteins onto thermally responsive, native-protein resistant poly(N-isopropylacrylamide) (PNIPAAm) decorated silicon nanowire arrays (SiNWAs). The PNIPAAm–SiNWAs surface, which shows very low levels of native-protein adsorption, favors the adsorption of denatured proteins. The amount of denatured-protein adsorption is higher at temperatures above the lower critical solution temperature (LCST) of PNIPAAm. Temperature cycling surrounding the LCST, which ensures against thermal denaturation of native proteins, further increases the amount of denatured-protein adsorption. Moreover, the PNIPAAm–SiNWAs surface is able to selectively adsorb denatured protein even from mixtures of different protein species; meanwhile, the amount of native proteins in solution is kept nearly at its original level. It is believed that these results will not only enrich current understanding of protein interactions with PNIPAAm-modified SiNWAs surfaces, but may also stimulate applications of PNIPAAm–SiNWAs surfaces for native/denatured protein separation. (paper)

  2. Dislocation-induced nanoparticle decoration on a GaN nanowire.

    Science.gov (United States)

    Yang, Bing; Yuan, Fang; Liu, Qingyun; Huang, Nan; Qiu, Jianhang; Staedler, Thorsten; Liu, Baodan; Jiang, Xin

    2015-02-04

    GaN nanowires with homoepitaxial decorated GaN nanoparticles on their surface along the radial direction have been synthesized by means of a chemical vapor deposition method. The growth of GaN nanowires is catalyzed by Au particles via the vapor-liquid-solid (VLS) mechanism. Screw dislocations are generated along the radial direction of the nanowires under slight Zn doping. In contrast to the metal-catalyst-assisted VLS growth, GaN nanoparticles are found to prefer to nucleate and grow at these dislocation sites. High-resolution transmission electron microscopy (HRTEM) analysis demonstrates that the GaN nanoparticles possess two types of epitaxial orientation with respect to the corresponding GaN nanowire: (I) [1̅21̅0]np//[1̅21̅0]nw, (0001)np//(0001)nw; (II) [1̅21̅3]np//[12̅10]nw, (101̅0)np//(101̅0)nw. An increased Ga signal in the energy-dispersive spectroscopy (EDS) profile lines of the nanowires suggests GaN nanoparticle growth at the edge surface of the wires. All the crystallographic results confirm the importance of the dislocations with respect to the homoepitaxial growth of the GaN nanoparticles. Here, screw dislocations situated on the (0001) plane provide the self-step source to enable nucleation of the GaN nanoparticles.

  3. Global optimization of silicon nanowires for efficient parametric processes

    DEFF Research Database (Denmark)

    Vukovic, Dragana; Xu, Jing; Mørk, Jesper

    2013-01-01

    We present a global optimization of silicon nanowires for parametric single-pump mixing. For the first time, the effect of surface roughness-induced loss is included in the analysis, significantly influencing the optimum waveguide dimensions.......We present a global optimization of silicon nanowires for parametric single-pump mixing. For the first time, the effect of surface roughness-induced loss is included in the analysis, significantly influencing the optimum waveguide dimensions....

  4. Broad spectral photocurrent enhancement in Au-decorated CdSe nanowires

    KAUST Repository

    Chakraborty, Ritun; Greullet, Fanny; George, Chandramohan; Baranov, Dmitry; Di Fabrizio, Enzo M.; Krahne, Roman

    2013-01-01

    Metal-semiconductor hybrid nanostructures promise improved photoconductive performance due to plasmonic properties of the metal portions and intrinsic electric fields at the metal-semiconductor interface that possibly enhance charge separation. Here we report gold decorated CdSe nanowires as a novel functional material and investigate the influence of gold decoration on the lateral facets on the photoconductive properties. Gold decorated nanowires show typically an at least ten-fold higher photocurrent as compared to their bare counterparts. Interestingly, the photocurrent enhancement is wavelength independent, although the plasmon resonance related to the gold particles appears in the absorption spectra. Our experiments show that light scattering and Schottky fields associated with the metal-semiconductor interface are at the origin of the photocurrent enhancement. © 2013 The Royal Society of Chemistry.

  5. Increased short circuit current in organic photovoltaic using high-surface area electrode based on ZnO nanowires decorated with CdTe quantum dots.

    Science.gov (United States)

    Aga, R S; Gunther, D; Ueda, A; Pan, Z; Collins, W E; Mu, R; Singer, K D

    2009-11-18

    A photosensitized high-surface area transparent electrode has been employed to increase the short circuit current of a photovoltaic device with a blend of poly(3-hexylthiophene) (P3HT) and (6,6)-phenyl C61 butyric acid methyl ester (PCBM) as the active layer. This is achieved by directly growing ZnO nanowires on indium tin oxide (ITO) film via a physical vapor method. The nanowire surface is then decorated with CdTe quantum dots by pulsed electron-beam deposition (PED). The nanowires alone provided a 20-fold increase in the short circuit current under visible light illumination. This was further increased by a factor of approximately 1.5 by the photosensitization effect of CdTe, which has an optical absorption of up to 820 nm.

  6. A deep etching mechanism for trench-bridging silicon nanowires.

    Science.gov (United States)

    Tasdemir, Zuhal; Wollschläger, Nicole; Österle, Werner; Leblebici, Yusuf; Alaca, B Erdem

    2016-03-04

    Introducing a single silicon nanowire with a known orientation and dimensions to a specific layout location constitutes a major challenge. The challenge becomes even more formidable, if one chooses to realize the task in a monolithic fashion with an extreme topography, a characteristic of microsystems. The need for such a monolithic integration is fueled by the recent surge in the use of silicon nanowires as functional building blocks in various electromechanical and optoelectronic applications. This challenge is addressed in this work by introducing a top-down, silicon-on-insulator technology. The technology provides a pathway for obtaining well-controlled silicon nanowires along with the surrounding microscale features up to a three-order-of-magnitude scale difference. A two-step etching process is developed, where the first shallow etch defines a nanoscale protrusion on the wafer surface. After applying a conformal protection on the protrusion, a deep etch step is carried out forming the surrounding microscale features. A minimum nanowire cross-section of 35 nm by 168 nm is demonstrated in the presence of an etch depth of 10 μm. Nanowire cross-sectional features are characterized via transmission electron microscopy and linked to specific process steps. The technology allows control on all dimensional aspects along with the exact location and orientation of the silicon nanowire. The adoption of the technology in the fabrication of micro and nanosystems can potentially lead to a significant reduction in process complexity by facilitating direct access to the nanowire during surface processes such as contact formation and doping.

  7. A deep etching mechanism for trench-bridging silicon nanowires

    International Nuclear Information System (INIS)

    Tasdemir, Zuhal; Alaca, B Erdem; Wollschläger, Nicole; Österle, Werner; Leblebici, Yusuf

    2016-01-01

    Introducing a single silicon nanowire with a known orientation and dimensions to a specific layout location constitutes a major challenge. The challenge becomes even more formidable, if one chooses to realize the task in a monolithic fashion with an extreme topography, a characteristic of microsystems. The need for such a monolithic integration is fueled by the recent surge in the use of silicon nanowires as functional building blocks in various electromechanical and optoelectronic applications. This challenge is addressed in this work by introducing a top-down, silicon-on-insulator technology. The technology provides a pathway for obtaining well-controlled silicon nanowires along with the surrounding microscale features up to a three-order-of-magnitude scale difference. A two-step etching process is developed, where the first shallow etch defines a nanoscale protrusion on the wafer surface. After applying a conformal protection on the protrusion, a deep etch step is carried out forming the surrounding microscale features. A minimum nanowire cross-section of 35 nm by 168 nm is demonstrated in the presence of an etch depth of 10 μm. Nanowire cross-sectional features are characterized via transmission electron microscopy and linked to specific process steps. The technology allows control on all dimensional aspects along with the exact location and orientation of the silicon nanowire. The adoption of the technology in the fabrication of micro and nanosystems can potentially lead to a significant reduction in process complexity by facilitating direct access to the nanowire during surface processes such as contact formation and doping. (paper)

  8. A deep etching mechanism for trench-bridging silicon nanowires

    Science.gov (United States)

    Tasdemir, Zuhal; Wollschläger, Nicole; Österle, Werner; Leblebici, Yusuf; Erdem Alaca, B.

    2016-03-01

    Introducing a single silicon nanowire with a known orientation and dimensions to a specific layout location constitutes a major challenge. The challenge becomes even more formidable, if one chooses to realize the task in a monolithic fashion with an extreme topography, a characteristic of microsystems. The need for such a monolithic integration is fueled by the recent surge in the use of silicon nanowires as functional building blocks in various electromechanical and optoelectronic applications. This challenge is addressed in this work by introducing a top-down, silicon-on-insulator technology. The technology provides a pathway for obtaining well-controlled silicon nanowires along with the surrounding microscale features up to a three-order-of-magnitude scale difference. A two-step etching process is developed, where the first shallow etch defines a nanoscale protrusion on the wafer surface. After applying a conformal protection on the protrusion, a deep etch step is carried out forming the surrounding microscale features. A minimum nanowire cross-section of 35 nm by 168 nm is demonstrated in the presence of an etch depth of 10 μm. Nanowire cross-sectional features are characterized via transmission electron microscopy and linked to specific process steps. The technology allows control on all dimensional aspects along with the exact location and orientation of the silicon nanowire. The adoption of the technology in the fabrication of micro and nanosystems can potentially lead to a significant reduction in process complexity by facilitating direct access to the nanowire during surface processes such as contact formation and doping.

  9. Control growth of silicon nanocolumns' epitaxy on silicon nanowires

    Energy Technology Data Exchange (ETDEWEB)

    Chong, Su Kong, E-mail: sukong1985@yahoo.com.my [University of Malaya, Low Dimensional Materials Research Centre, Department of Physics (Malaysia); Dee, Chang Fu [Universiti Kebangsaan Malaysia (UKM), Institute of Microengineering and Nanoelectronics (IMEN) (Malaysia); Yahya, Noorhana [Universiti Teknologi PETRONAS, Faculty of Science and Information Technology (Malaysia); Rahman, Saadah Abdul [University of Malaya, Low Dimensional Materials Research Centre, Department of Physics (Malaysia)

    2013-04-15

    The epitaxial growth of Si nanocolumns on Si nanowires was studied using hot-wire chemical vapor deposition. A single-crystalline and surface oxide-free Si nanowire core (core radius {approx}21 {+-} 5 nm) induced by indium crystal seed was used as a substance for the vapor phase epitaxial growth. The growth process is initiated by sidewall facets, which then nucleate upon certain thickness to form Si islands and further grow to form nanocolumns. The Si nanocolumns with diameter of 10-20 nm and aspect ratio up to 10 can be epitaxially grown on the surface of nanowires. The results showed that the radial growth rate of the Si nanocolumns remains constant with the increase of deposition time. Meanwhile, the radial growth rates are controllable by manipulating the hydrogen to silane gas flow rate ratio. The optical antireflection properties of the Si nanocolumns' decorated SiNW arrays are discussed in the text.

  10. Rare earth silicide nanowires on silicon surfaces

    International Nuclear Information System (INIS)

    Wanke, Martina

    2008-01-01

    The growth, structure and electronic properties of rare earth silicide nanowires are investigated on planar and vicinal Si(001) und Si(111) surfaces with scanning tunneling microscopy (STM), low energy electron diffraction (LEED) and angle-resolved photoelectron spectroscopy (ARPES). On all surfaces investigated within this work hexagonal disilicides are grown epitaxially with a lattice mismatch of -2.55% up to +0.83% along the hexagonal a-axis. Along the hexagonal c-axis the lattice mismatch is essentially larger with 6.5%. On the Si(001)2 x 1 surface two types of nanowires are grown epitaxially. The socalled broad wires show a one-dimensional metallic valence band structure with states crossing the Fermi level. Along the nanowires two strongly dispersing states at the anti J point and a strongly dispersing state at the anti Γ point can be observed. Along the thin nanowires dispersing states could not be observed. Merely in the direction perpendicular to the wires an intensity variation could be observed, which corresponds to the observed spacial structure of the thin nanowires. The electronic properties of the broad erbium silicide nanowires are very similar to the broad dysprosium silicide nanowires. The electronic properties of the DySi 2 -monolayer and the Dy 3 Si 5 -multilayer on the Si(111) surface are investigated in comparison to the known ErSi 2 /Si(111) and Er 3 Si 5 /Si(111) system. The positions and the energetic locations of the observed band in the surface Brillouin zone will be confirmed for dysprosium. The shape of the electron pockets in the vector k parallel space is elliptical at the anti M points, while the hole pocket at the anti Γ point is showing a hexagonal symmetry. On the Si(557) surface the structural and electronic properties depend strongly on the different preparation conditions likewise, in particular on the rare earth coverage. At submonolayer coverage the thin nanowires grow in wide areas of the sample surface, which are oriented

  11. Rare earth silicide nanowires on silicon surfaces

    Energy Technology Data Exchange (ETDEWEB)

    Wanke, Martina

    2008-11-10

    The growth, structure and electronic properties of rare earth silicide nanowires are investigated on planar and vicinal Si(001) und Si(111) surfaces with scanning tunneling microscopy (STM), low energy electron diffraction (LEED) and angle-resolved photoelectron spectroscopy (ARPES). On all surfaces investigated within this work hexagonal disilicides are grown epitaxially with a lattice mismatch of -2.55% up to +0.83% along the hexagonal a-axis. Along the hexagonal c-axis the lattice mismatch is essentially larger with 6.5%. On the Si(001)2 x 1 surface two types of nanowires are grown epitaxially. The socalled broad wires show a one-dimensional metallic valence band structure with states crossing the Fermi level. Along the nanowires two strongly dispersing states at the anti J point and a strongly dispersing state at the anti {gamma} point can be observed. Along the thin nanowires dispersing states could not be observed. Merely in the direction perpendicular to the wires an intensity variation could be observed, which corresponds to the observed spacial structure of the thin nanowires. The electronic properties of the broad erbium silicide nanowires are very similar to the broad dysprosium silicide nanowires. The electronic properties of the DySi{sub 2}-monolayer and the Dy{sub 3}Si{sub 5}-multilayer on the Si(111) surface are investigated in comparison to the known ErSi{sub 2}/Si(111) and Er{sub 3}Si{sub 5}/Si(111) system. The positions and the energetic locations of the observed band in the surface Brillouin zone will be confirmed for dysprosium. The shape of the electron pockets in the (vector)k {sub parallel} space is elliptical at the anti M points, while the hole pocket at the anti {gamma} point is showing a hexagonal symmetry. On the Si(557) surface the structural and electronic properties depend strongly on the different preparation conditions likewise, in particular on the rare earth coverage. At submonolayer coverage the thin nanowires grow in wide areas

  12. Metallization of DNA on silicon surface

    International Nuclear Information System (INIS)

    Puchkova, Anastasiya Olegovna; Sokolov, Petr; Petrov, Yuri Vladimirovich; Kasyanenko, Nina Anatolievna

    2011-01-01

    New simple way for silver deoxyribonucleic acid (DNA)-based nanowires preparation on silicon surface was developed. The electrochemical reduction of silver ions fixed on DNA molecule provides the forming of tightly matched zonate silver clusters. Highly homogeneous metallic clusters have a size about 30 nm. So the thickness of nanowires does not exceed 30–50 nm. The surface of n-type silicon monocrystal is the most convenient substrate for this procedure. The comparative analysis of DNA metallization on of n-type silicon with a similar way for nanowires fabrication on p-type silicon, freshly cleaved mica, and glass surface shows the advantage of n-type silicon, which is not only the substrate for DNA fixation but also the source of electrons for silver reduction. Images of bound DNA molecules and fabricated nanowires have been obtained using an atomic force microscope and a scanning ion helium microscope. DNA interaction with silver ions in a solution was examined by the methods of ultraviolet spectroscopy and circular dichroism.

  13. Novel Size and Surface Oxide Effects in Silicon Nanowires as Lithium Battery Anodes

    KAUST Repository

    McDowell, Matthew T.

    2011-09-14

    With its high specific capacity, silicon is a promising anode material for high-energy lithium-ion batteries, but volume expansion and fracture during lithium reaction have prevented implementation. Si nanostructures have shown resistance to fracture during cycling, but the critical effects of nanostructure size and native surface oxide on volume expansion and cycling performance are not understood. Here, we use an ex situ transmission electron microscopy technique to observe the same Si nanowires before and after lithiation and have discovered the impacts of size and surface oxide on volume expansion. For nanowires with native SiO2, the surface oxide can suppress the volume expansion during lithiation for nanowires with diameters <∼50 nm. Finite element modeling shows that the oxide layer can induce compressive hydrostatic stress that could act to limit the extent of lithiation. The understanding developed herein of how volume expansion and extent of lithiation can depend on nanomaterial structure is important for the improvement of Si-based anodes. © 2011 American Chemical Society.

  14. Synthesis of Ag-decorated porous TiO{sub 2} nanowires through a sunlight induced reduction method and its enhanced photocatalytic activity

    Energy Technology Data Exchange (ETDEWEB)

    Yao, Yun-Chang; Dai, Xin-Rong [Anhui & Huaihe river institute of hydraulic research, Hefei, Anhui 230088 (China); Hu, Xiao-Ye, E-mail: hxy821982@issp.ac.cn [Key Laboratory of Materials Physics, and Anhui Key Laboratory of Nanomaterials and Nanotechnology, Institute of Solid State Physics, Chinese Academy of Sciences, Hefei 230031 (China); Huang, Su-Zhen [Institute of plasma physics, Chinese Academy of Sciences, Hefei 230031 (China); Jin, Zhen, E-mail: ftbjin@hotmail.com [Research Center for Biomimetic Functional Materials and Sensing Devices, Institute of Intelligent Machines, Chinese Academy of Sciences, Hefei, Anhui 230031 (China)

    2016-11-30

    Highlights: • The Ag-decorated porous TiO{sub 2} nanowires were succefully synthesized. • A sunlight induced ethanol reduction method for Ag decoration has been reported. • The Ag-decorated porous TiO{sub 2} nanowires exhibit excellent photocatalytic activity. • The photodegradation ratio of the as-prepared product is much higher than that of P25. - Abstract: In this work, Ag-decorated porous TiO{sub 2} nanowires were successfully synthesized via a facile and low-cost sunlight induced reduction method. The cooperation of sunlight irradiation and ethanol reduction results the formation and decoration of the Ag nanoparticles on the porous TiO{sub 2} nanowires. The structure of the Ag-decorated porous TiO{sub 2} nanowires were characterized by X-ray diffraction (XRD), scanning electron microscopy (SEM), transmission electron microscopy (TEM), and Energy dispersive spectroscopy (EDS) measurements. It can be seen that the Ag nanoparticles are well dispersed within the porous TiO{sub 2} nanowires. The as-prepared Ag-decorated porous TiO{sub 2} nanowires exhibits excellent photocatalytic properties. The photocatalytic tests show that 10 ppm methylene blue can be photodegraded within 60 min. And the photodegradation ratio of the Ag-decorated porous TiO{sub 2} nanowires much higher than that of P25 and porous TiO{sub 2} nanowires. Moreover, the Ag-decorated porous TiO{sub 2} nanowires also reveal good photocatalytic activity towards to other organic pollutions, such as phenol and R6G. Therefore, it is believed that the Ag-decorated porous TiO{sub 2} nanowires can be used as a potential high performance photocatalyst in wastewater treatment.

  15. Silicon nanowire-based solar cells

    Energy Technology Data Exchange (ETDEWEB)

    Stelzner, Th; Pietsch, M; Andrae, G; Falk, F; Ose, E; Christiansen, S [Institute of Photonic Technology, Albert-Einstein-Strasse 9, D-07745 Jena (Germany)], E-mail: thomas.stelzner@ipht-jena.de

    2008-07-23

    The fabrication of silicon nanowire-based solar cells on silicon wafers and on multicrystalline silicon thin films on glass is described. The nanowires show a strong broadband optical absorption, which makes them an interesting candidate to serve as an absorber in solar cells. The operation of a solar cell is demonstrated with n-doped nanowires grown on a p-doped silicon wafer. From a partially illuminated area of 0.6 cm{sup 2} open-circuit voltages in the range of 230-280 mV and a short-circuit current density of 2 mA cm{sup -2} were obtained.

  16. Silicon nanowire-based solar cells

    International Nuclear Information System (INIS)

    Stelzner, Th; Pietsch, M; Andrae, G; Falk, F; Ose, E; Christiansen, S

    2008-01-01

    The fabrication of silicon nanowire-based solar cells on silicon wafers and on multicrystalline silicon thin films on glass is described. The nanowires show a strong broadband optical absorption, which makes them an interesting candidate to serve as an absorber in solar cells. The operation of a solar cell is demonstrated with n-doped nanowires grown on a p-doped silicon wafer. From a partially illuminated area of 0.6 cm 2 open-circuit voltages in the range of 230-280 mV and a short-circuit current density of 2 mA cm -2 were obtained

  17. Hydrogen adsorption in metal-decorated silicon carbide nanotubes

    Science.gov (United States)

    Singh, Ram Sevak; Solanki, Ankit

    2016-09-01

    Hydrogen storage for fuel cell is an active area of research and appropriate materials with excellent hydrogen adsorption properties are highly demanded. Nanotubes, having high surface to volume ratio, are promising storage materials for hydrogen. Recently, silicon carbide nanotubes have been predicted as potential materials for future hydrogen storage application, and studies in this area are ongoing. Here, we report a systematic study on hydrogen adsorption properties in metal (Pt, Ni and Al) decorated silicon carbide nanotubes (SiCNTs) using first principles calculations based on density functional theory. The hydrogen adsorption properties are investigated by calculations of adsorption energy, electronic band structure, density of states (DOS) and Mulliken charge population analysis. Our findings show that hydrogen adsorptions on Pt, Ni and Al-decorated SiCNTs undergo spontaneous exothermic reactions with significant modulation of electronic structure of SiCNTs in all cases. Importantly, according to the Mulliken charge population analysis, dipole-dipole interaction causes chemisorptions of hydrogen in Pt, Ni and Al decorated SiCNTs with formation of chemical bonds. The study is a platform for the development of metal decorated SiCNTs for hydrogen adsorption or hydrogen storage application.

  18. Crystallinity, Surface Morphology, and Photoelectrochemical Effects in Conical InP and InN Nanowires Grown on Silicon.

    Science.gov (United States)

    Parameshwaran, Vijay; Xu, Xiaoqing; Clemens, Bruce

    2016-08-24

    The growth conditions of two types of indium-based III-V nanowires, InP and InN, are tailored such that instead of yielding conventional wire-type morphologies, single-crystal conical structures are formed with an enlarged diameter either near the base or near the tip. By using indium droplets as a growth catalyst, combined with an excess indium supply during growth, "ice cream cone" type structures are formed with a nanowire "cone" and an indium-based "ice cream" droplet on top for both InP and InN. Surface polycrystallinity and annihilation of the catalyst tip of the conical InP nanowires are observed when the indium supply is turned off during the growth process. This growth design technique is extended to create single-crystal InN nanowires with the same morphology. Conical InN nanowires with an enlarged base are obtained through the use of an excess combined Au-In growth catalyst. Electrochemical studies of the InP nanowires on silicon demonstrate a reduction photocurrent as a proof of photovolatic behavior and provide insight as to how the observed surface polycrystallinity and the resulting interface affect these device-level properties. Additionally, a photovoltage is induced in both types of conical InN nanowires on silicon, which is not replicated in epitaxial InN thin films.

  19. Synthesis of magnetic microtubes decorated with nanowires and cells

    Science.gov (United States)

    Pomar, C. Diaz; Martinho, H.; Ferreira, F. F.; Goia, T. S.; Rodas, A. C. D.; Santos, S. F.; Souza, J. A.

    2018-04-01

    Antiferromagnetic and ferrimagnetic microtubes decorated with nanowires have been obtained during thermal oxidation process, which was assisted by in situ electrical resistivity measurements. The synthesis route including heat treatment and electrical current along with growth mechanism are presented. This simple method and the ability to tune in the magnetic moment of the obtained microtubes going from a nonmagnetic-like to a large magnetization saturation open an avenue for interesting applications. In vitro experiments involving adherence, migration, and proliferation of fibroblasts cell culture on the surface of the microtubes indicated the absence of cytotoxicity for this material. We have also calculated both torque and driving magnetic force for these microtubes with nanowires and cells as a function of external magnetic field gradient which were found to be robust opening the possibility for magnetic bio micro-robot device fabrication and application in biotechnology.

  20. Reduction of Cr(VI) to Cr(III) using silicon nanowire arrays under visible light irradiation

    Energy Technology Data Exchange (ETDEWEB)

    Fellahi, Ouarda [Institut d' Electronique, de Microélectronique et de Nanotechnologie (IEMN), UMR CNRS 8520, Avenue Poincaré—BP 70478, 59652 Villeneuve d' Ascq Cedex (France); Centre de Recherche en Technologie des Semi-conducteurs pour l' Energétique-CRTSE 02, Bd Frantz Fanon, BP. 140, Alger 7 Merveilles (Algeria); Barras, Alexandre [Institut d' Electronique, de Microélectronique et de Nanotechnologie (IEMN), UMR CNRS 8520, Avenue Poincaré—BP 70478, 59652 Villeneuve d' Ascq Cedex (France); Pan, Guo-Hui [State Key Laboratory of Luminescence and Applications, Changchun Institute of Optics, Fine Mechanics and Physics, Chinese Academy of Sciences, 3888 Dong Nanhu Road, Changchun 130033 (China); Coffinier, Yannick [Institut d' Electronique, de Microélectronique et de Nanotechnologie (IEMN), UMR CNRS 8520, Avenue Poincaré—BP 70478, 59652 Villeneuve d' Ascq Cedex (France); Hadjersi, Toufik [Centre de Recherche en Technologie des Semi-conducteurs pour l' Energétique-CRTSE 02, Bd Frantz Fanon, BP. 140, Alger 7 Merveilles (Algeria); Maamache, Mustapha [Laboratoire de Physique Quantique et Systèmes Dynamiques, Département de Physique, Université de Sétif, Sétif 19000 (Algeria); Szunerits, Sabine [Institut d' Electronique, de Microélectronique et de Nanotechnologie (IEMN), UMR CNRS 8520, Avenue Poincaré—BP 70478, 59652 Villeneuve d' Ascq Cedex (France); and others

    2016-03-05

    Highlights: • Cr(VI) reduction to Cr(III) using silicon nanowires decorated with Cu nanoparticles. • The reduction takes place at room temperature and neutral pH under visible light. • The photocatalytic reduction was enhanced by addition of adipic or citric acid. - Abstract: We report an efficient visible light-induced reduction of hexavalent chromium Cr(VI) to trivalent Cr(III) by direct illumination of an aqueous solution of potassium dichromate (K{sub 2}Cr{sub 2}O{sub 7}) in the presence of hydrogenated silicon nanowires (H-SiNWs) or silicon nanowires decorated with copper nanoparticles (Cu NPs-SiNWs) as photocatalyst. The SiNW arrays investigated in this study were prepared by chemical etching of crystalline silicon in HF/AgNO{sub 3} aqueous solution. The Cu NPs were deposited on SiNW arrays via electroless deposition technique. Visible light irradiation of an aqueous solution of K{sub 2}Cr{sub 2}O{sub 7} (10{sup −4} M) in presence of H-SiNWs showed that these substrates were not efficient for Cr(VI) reduction. The reduction efficiency achieved was less than 10% after 120 min irradiation at λ > 420 nm. Addition of organic acids such as citric or adipic acid in the solution accelerated Cr(VI) reduction in a concentration-dependent manner. Interestingly, Cu NPs-SiNWs was found to be a very efficient interface for the reduction of Cr(VI) to Cr(III) in absence of organic acids. Almost a full reduction of Cr(VI) was achieved by direct visible light irradiation for 140 min using this photocatalyst.

  1. Excitation of nanowire surface plasmons by silicon vacancy centers in nanodiamonds

    DEFF Research Database (Denmark)

    Kumar, Shailesh; Davydov, Valery A.; Agafonov, Viatcheslav N.

    2017-01-01

    Silicon vacancy (SiV) centers in diamonds have emerged as a very promising candidate for quantum emitters due to their narrow emission line resulting in their indistinguishability. While many different quantum emitters have already been used for the excitation of various propagating plasmonic modes......, the corresponding exploitation of SiV centers has remained so far uncharted territory. Here, we report on the excitation of surface plasmon modes supported by silver nanowires using SiV centers in nanodiamonds. The coupling of SiV center fluorescence to surface plasmons is observed, when a nanodiamond situated...

  2. Electrodeposition at room temperature of amorphous silicon and germanium nanowires in ionic liquid

    Energy Technology Data Exchange (ETDEWEB)

    Martineau, F; Namur, K; Mallet, J; Delavoie, F; Troyon, M; Molinari, M [Laboratoire de Microscopies et d' Etude de Nanostructures (LMEN EA3799), Universite de Reims Champagne Ardennes (URCA), Reims Cedex 2 (France); Endres, F, E-mail: michael.molinari@univ-reims.fr [Institute of Particle Technology, Chair of Interface Processes, Clausthal University of Technology, D-36678 Clausthal-Zellerfeld (Germany)

    2009-11-15

    The electrodeposition at room temperature of silicon and germanium nanowires from the air- and water-stable ionic liquid 1-butyl-1-methylpyrrolidinium bis(trifluoromethanesulfonyl)imide (P{sub 1,4}) containing SiCl{sub 4} as Si source or GeCl{sub 4} as Ge source is investigated by cyclic voltammetry. By using nanoporous polycarbonate membranes as templates, it is possible to reproducibly grow pure silicon and germanium nanowires of different diameters. The nanowires are composed of pure amorphous silicon or germanium. The nanowires have homogeneous cylindrical shape with a roughness of a few nanometres on the wire surfaces. The nanowires' diameters and lengths well match with the initial membrane characteristics. Preliminary photoluminescence experiments exhibit strong emission in the near infrared for the amorphous silicon nanowires.

  3. Surface chemistry and morphology of the solid electrolyte interphase on silicon nanowire lithium-ion battery anodes

    KAUST Repository

    Chan, Candace K.; Ruffo, Riccardo; Hong, Seung Sae; Cui, Yi

    2009-01-01

    Silicon nanowires (SiNWs) have the potential to perform as anodes for lithium-ion batteries with a much higher energy density than graphite. However, there has been little work in understanding the surface chemistry of the solid electrolyte

  4. Surface effects on the thermal conductivity of silicon nanowires

    Science.gov (United States)

    Li, Hai-Peng; Zhang, Rui-Qin

    2018-03-01

    Thermal transport in silicon nanowires (SiNWs) has recently attracted considerable attention due to their potential applications in energy harvesting and generation and thermal management. The adjustment of the thermal conductivity of SiNWs through surface effects is a topic worthy of focus. In this paper, we briefly review the recent progress made in this field through theoretical calculations and experiments. We come to the conclusion that surface engineering methods are feasible and effective methods for adjusting nanoscale thermal transport and may foster further advancements in this field. Project supported by the National Natural Science Foundation ofChina (Grant No. 11504418), China Scholarship Council (Grant No. 201706425053), Basic Research Program in Shenzhen, China (Grant No. JCYJ20160229165210666), and the Fundamental Research Funds for the Central Universities of China (Grant No. 2015XKMS075).

  5. Specific and selective target detection of supra-genome 21 Mers Salmonella via silicon nanowires biosensor

    Science.gov (United States)

    Mustafa, Mohammad Razif Bin; Dhahi, Th S.; Ehfaed, Nuri. A. K. H.; Adam, Tijjani; Hashim, U.; Azizah, N.; Mohammed, Mohammed; Noriman, N. Z.

    2017-09-01

    The nano structure based on silicon can be surface modified to be used as label-free biosensors that allow real-time measurements. The silicon nanowire surface was functionalized using 3-aminopropyltrimethoxysilane (APTES), which functions as a facilitator to immobilize biomolecules on the silicon nanowire surface. The process is simple, economical; this will pave the way for point-of-care applications. However, the surface modification and subsequent detection mechanism still not clear. Thus, study proposed step by step process of silicon nano surface modification and its possible in specific and selective target detection of Supra-genome 21 Mers Salmonella. The device captured the molecule with precisely; the approach took the advantages of strong binding chemistry created between APTES and biomolecule. The results indicated how modifications of the nanowires provide sensing capability with strong surface chemistries that can lead to specific and selective target detection.

  6. All-in-one nanowire-decorated multifunctional membrane for rapid cell lysis and direct DNA isolation.

    KAUST Repository

    So, Hongyun; Lee, Kunwoo; Murthy, Niren; Pisano, Albert P

    2014-01-01

    This paper describes a handheld device that uses an all-in-one membrane for continuous mechanical cell lysis and rapid DNA isolation without the assistance of power sources, lysis reagents, and routine centrifugation. This nanowire-decorated multifunctional membrane was fabricated to isolate DNA by selective adsorption to silica surface immediately after disruption of nucleus membranes by ultrasharp tips of nanowires for a rapid cell lysis, and it can be directly assembled with commercial syringe filter holders. The membrane was fabricated by photoelectrochemical etching to create microchannel arrays followed by hydrothermal synthesis of nanowires and deposition of silica. The proposed membrane successfully purifies high-quality DNA within 5 min, whereas a commercial purification kit needs more than an hour.

  7. All-in-one nanowire-decorated multifunctional membrane for rapid cell lysis and direct DNA isolation.

    KAUST Repository

    So, Hongyun

    2014-11-24

    This paper describes a handheld device that uses an all-in-one membrane for continuous mechanical cell lysis and rapid DNA isolation without the assistance of power sources, lysis reagents, and routine centrifugation. This nanowire-decorated multifunctional membrane was fabricated to isolate DNA by selective adsorption to silica surface immediately after disruption of nucleus membranes by ultrasharp tips of nanowires for a rapid cell lysis, and it can be directly assembled with commercial syringe filter holders. The membrane was fabricated by photoelectrochemical etching to create microchannel arrays followed by hydrothermal synthesis of nanowires and deposition of silica. The proposed membrane successfully purifies high-quality DNA within 5 min, whereas a commercial purification kit needs more than an hour.

  8. Quantitative measurements of C-reactive protein using silicon nanowire arrays

    Directory of Open Access Journals (Sweden)

    Min-Ho Lee

    2008-03-01

    Full Text Available Min-Ho Lee, Kuk-Nyung Lee, Suk-Won Jung, Won-Hyo Kim, Kyu-Sik Shin, Woo-Kyeong SeongKorea Electronics Technology Institute, Gyeonggi, KoreaAbstract: A silicon nanowire-based sensor for biological application showed highly desirable electrical responses to either pH changes or receptor-ligand interactions such as protein disease markers, viruses, and DNA hybridization. Furthermore, because the silicon nanowire can display results in real-time, it may possess superior characteristics for biosensing than those demonstrated in previously studied methods. However, despite its promising potential and advantages, certain process-related limitations of the device, due to its size and material characteristics, need to be addressed. In this article, we suggest possible solutions. We fabricated silicon nanowire using a top-down and low cost micromachining method, and evaluate the sensing of molecules after transfer and surface modifications. Our newly designed method can be used to attach highly ordered nanowires to various substrates, to form a nanowire array device, which needs to follow a series of repetitive steps in conventional fabrication technology based on a vapor-liquid-solid (VLS method. For evaluation, we demonstrated that our newly fabricated silicon nanowire arrays could detect pH changes as well as streptavidin-biotin binding events. As well as the initial proof-of-principle studies, C-reactive protein binding was measured: electrical signals were changed in a linear fashion with the concentration (1 fM to 1 nM in PBS containing 1.37 mM of salts. Finally, to address the effects of Debye length, silicon nanowires coupled with antigen proteins underwent electrical signal changes as the salt concentration changed.Keywords: silicon nanowire array, C-reactive protein, vapor-liquid-solid method

  9. Organophosphonate functionalized silicon nanowires for DNA hybridization studies

    Energy Technology Data Exchange (ETDEWEB)

    Pedone, Daniel; Cattani Scholz, Anna; Birner, Stefan; Abstreiter, Gerhard [WSI, TU Muenchen (Germany); Dubey, Manish; Schwartz, Jeffrey [Princeton University, NJ (United States); Tornow, Marc [IHT, TU Braunschweig (Germany)

    2007-07-01

    Semiconductor nanowire field effect devices have great appeal for label-free sensing applications due to their sensitivity to surface potential changes that may originate from charged adsorbates. In addition to requiring high sensitivity, suitable passivation and functionalization of the semiconductor surface is obligatory. We have fabricated both freely suspended and oxide-supported silicon nanowires from Silicon-on-Insulator substrates using standard nanopatterning methods (EBL, RIE) and sacrificial oxide layer etching. Subsequent to nanofabrication, the devices were first coated with an hydroxyalkylphosphonate monolayer and then bound via bifunctional linker groups to single stranded DNA or PNA oligonucleotides, respectively. We investigated DNA hybridization on such functionalized nanowires using a difference resistance setup, where subtracting the reference signal from a second wire could be used to exclude most non-specific effects. A net change in surface potential on the order of a few mV could be detected upon addition of the complementary DNA strand. This surface potential change corresponds to the hybridization of about 10{sup 10}cm{sup -2} probe strands according to our model calculations that takes into account the entire hybrid system in electrolyte solution.

  10. Flexible integration of free-standing nanowires into silicon photonics.

    Science.gov (United States)

    Chen, Bigeng; Wu, Hao; Xin, Chenguang; Dai, Daoxin; Tong, Limin

    2017-06-14

    Silicon photonics has been developed successfully with a top-down fabrication technique to enable large-scale photonic integrated circuits with high reproducibility, but is limited intrinsically by the material capability for active or nonlinear applications. On the other hand, free-standing nanowires synthesized via a bottom-up growth present great material diversity and structural uniformity, but precisely assembling free-standing nanowires for on-demand photonic functionality remains a great challenge. Here we report hybrid integration of free-standing nanowires into silicon photonics with high flexibility by coupling free-standing nanowires onto target silicon waveguides that are simultaneously used for precise positioning. Coupling efficiency between a free-standing nanowire and a silicon waveguide is up to ~97% in the telecommunication band. A hybrid nonlinear-free-standing nanowires-silicon waveguides Mach-Zehnder interferometer and a racetrack resonator for significantly enhanced optical modulation are experimentally demonstrated, as well as hybrid active-free-standing nanowires-silicon waveguides circuits for light generation. These results suggest an alternative approach to flexible multifunctional on-chip nanophotonic devices.Precisely assembling free-standing nanowires for on-demand photonic functionality remains a challenge. Here, Chen et al. integrate free-standing nanowires into silicon waveguides and show all-optical modulation and light generation on silicon photonic chips.

  11. Mechanics of nanowire/nanotube in-surface buckling on elastomeric substrates

    Energy Technology Data Exchange (ETDEWEB)

    Xiao, J; Huang, Y [Department of Mechanical Engineering, Northwestern University, Evanston, IL 60208 (United States); Ryu, S Y; Paik, U [Division of Materials Science and Engineering, Hanyang University, 17 Hangdang-dong, Sungdong-gu, Seoul 133-791 (Korea, Republic of); Hwang, K-C [Department of Engineering Mechanics, Tsinghua University, Beijing 100084 (China); Rogers, J A, E-mail: y-huang@northwestern.edu, E-mail: jrogers@uiuc.edu [Department of Materials Science and Engineering, Frederick-Seitz Materials Research Laboratory and Beckman Institute, University of Illinois at Urbana-Champaign, Illinois 61801 (United States)

    2010-02-26

    A continuum mechanics theory is established for the in-surface buckling of one-dimensional nanomaterials on compliant substrates, such as silicon nanowires on elastomeric substrates observed in experiments. Simple analytical expressions are obtained for the buckling wavelength, amplitude and critical buckling strain in terms of the bending and tension stiffness of the nanomaterial and the substrate elastic properties. The analysis is applied to silicon nanowires, single-walled carbon nanotubes, multi-walled carbon nanotubes, and carbon nanotube bundles. For silicon nanowires, the measured buckling wavelength gives Young's modulus to be 140 GPa, which agrees well with the prior experimental studies. It is shown that the energy for in-surface buckling is lower than that for normal (out-of-surface) buckling, and is therefore energetically favorable.

  12. Mechanics of nanowire/nanotube in-surface buckling on elastomeric substrates

    International Nuclear Information System (INIS)

    Xiao, J; Huang, Y; Ryu, S Y; Paik, U; Hwang, K-C; Rogers, J A

    2010-01-01

    A continuum mechanics theory is established for the in-surface buckling of one-dimensional nanomaterials on compliant substrates, such as silicon nanowires on elastomeric substrates observed in experiments. Simple analytical expressions are obtained for the buckling wavelength, amplitude and critical buckling strain in terms of the bending and tension stiffness of the nanomaterial and the substrate elastic properties. The analysis is applied to silicon nanowires, single-walled carbon nanotubes, multi-walled carbon nanotubes, and carbon nanotube bundles. For silicon nanowires, the measured buckling wavelength gives Young's modulus to be 140 GPa, which agrees well with the prior experimental studies. It is shown that the energy for in-surface buckling is lower than that for normal (out-of-surface) buckling, and is therefore energetically favorable.

  13. Unveiling the Formation Pathway of Single Crystalline Porous Silicon Nanowires

    Science.gov (United States)

    Zhong, Xing; Qu, Yongquan; Lin, Yung-Chen; Liao, Lei; Duan, Xiangfeng

    2011-01-01

    Porous silicon nanowire is emerging as an interesting material system due to its unique combination of structural, chemical, electronic, and optical properties. To fully understand their formation mechanism is of great importance for controlling the fundamental physical properties and enabling potential applications. Here we present a systematic study to elucidate the mechanism responsible for the formation of porous silicon nanowires in a two-step silver-assisted electroless chemical etching method. It is shown that silicon nanowire arrays with various porosities can be prepared by varying multiple experimental parameters such as the resistivity of the starting silicon wafer, the concentration of oxidant (H2O2) and the amount of silver catalyst. Our study shows a consistent trend that the porosity increases with the increasing wafer conductivity (dopant concentration) and oxidant (H2O2) concentration. We further demonstrate that silver ions, formed by the oxidation of silver, can diffuse upwards and re-nucleate on the sidewalls of nanowires to initiate new etching pathways to produce porous structure. The elucidation of this fundamental formation mechanism opens a rational pathway to the production of wafer-scale single crystalline porous silicon nanowires with tunable surface areas ranging from 370 m2·g−1 to 30 m2·g−1, and can enable exciting opportunities in catalysis, energy harvesting, conversion, storage, as well as biomedical imaging and therapy. PMID:21244020

  14. A silicon nanowire heater and thermometer

    Science.gov (United States)

    Zhao, Xingyan; Dan, Yaping

    2017-07-01

    In the thermal conductivity measurements of thermoelectric materials, heaters and thermometers made of the same semiconducting materials under test, forming a homogeneous system, will significantly simplify fabrication and integration. In this work, we demonstrate a high-performance heater and thermometer made of single silicon nanowires (SiNWs). The SiNWs are patterned out of a silicon-on-insulator wafer by CMOS-compatible fabrication processes. The electronic properties of the nanowires are characterized by four-probe and low temperature Hall effect measurements. The I-V curves of the nanowires are linear at small voltage bias. The temperature dependence of the nanowire resistance allows the nanowire to be used as a highly sensitive thermometer. At high voltage bias, the I-V curves of the nanowire become nonlinear due to the effect of Joule heating. The temperature of the nanowire heater can be accurately monitored by the nanowire itself as a thermometer.

  15. Horizontal silicon nanowires for surface-enhanced Raman spectroscopy

    Science.gov (United States)

    Gebavi, Hrvoje; Ristić, Davor; Baran, Nikola; Mikac, Lara; Mohaček-Grošev, Vlasta; Gotić, Marijan; Šikić, Mile; Ivanda, Mile

    2018-01-01

    The main purpose of this paper is to focus on details of the fabrication process of horizontally and vertically oriented silicon nanowires (SiNWs) substrates for the application of surface-enhanced Raman spectroscopy (SERS). The fabrication process is based on the vapor-liquid-solid method and electroless-assisted chemical etching, which, as the major benefit, resulting in the development of economical, easy-to-prepare SERS substrates. Furthermore, we examined the fabrication of Au coated Ag nanoparticles (NPs) on the SiNWs substrates in such a way as to diminish the influence of silver NPs corrosion, which, in turn, enhanced the SERS time stability, thus allowing for wider commercial applications. The substances on which high SERS sensitivity was proved are rhodamine (R6G) and 4-mercaptobenzoic acid (MBA), with the detection limits of 10-8 M and 10-6 M, respectively.

  16. Effect of Silicon Nanowire on Crystalline Silicon Solar Cell Characteristics

    OpenAIRE

    Zahra Ostadmahmoodi Do; Tahereh Fanaei Sheikholeslami; Hassan Azarkish

    2016-01-01

    Nanowires (NWs) are recently used in several sensor or actuator devices to improve their ordered characteristics. Silicon nanowire (Si NW) is one of the most attractive one-dimensional nanostructures semiconductors because of its unique electrical and optical properties. In this paper, silicon nanowire (Si NW), is synthesized and characterized for application in photovoltaic device. Si NWs are prepared using wet chemical etching method which is commonly used as a simple and low cost method fo...

  17. Si nanoparticle-decorated Si nanowire networks for Li-ion battery anodes

    KAUST Repository

    Hu, Liangbing

    2011-01-01

    We designed and fabricated binder-free, 3D porous silicon nanostructures for Li-ion battery anodes, where Si nanoparticles electrically contact current collectors via vertically grown silicon nanowires. When compared with a Si nanowire anode, the areal capacity was increased by a factor of 4 without having to use long, high temperature steps under vacuum that vapour-liquid-solid Si nanowire growth entails. © 2011 The Royal Society of Chemistry.

  18. Silicon nanowires: structure and properties

    International Nuclear Information System (INIS)

    Nezhdanov, A.V.; Mashin, A.I.; Razuvaev, A.G.; Ershov, A.V.; Ignatov, S.K.

    2006-01-01

    An attempt to grow silicon nanowires has been made by electron beam evaporation on highly oriented pyrolytic substrate. Needle-like objects are located along the normal to a substrate (density 2 x 10 11 cm -2 ). For modeling quasi-one-dimensional objects calculations of nuclear structure and energy spectra have been accomplished. A fullerene-like structure Si 24 is proposed as a basic atomic configuration of silicon nanowires [ru

  19. Position-controlled epitaxial III-V nanowires on silicon

    Energy Technology Data Exchange (ETDEWEB)

    Roest, Aarnoud L; Verheijen, Marcel A; Wunnicke, Olaf; Serafin, Stacey; Wondergem, Harry; Bakkers, Erik P A M [Philips Research Laboratories, Professor Holstlaan 4, 5656 AA Eindhoven (Netherlands); Kavli Institute of NanoScience, Delft University of Technology, PO Box 5046, 2600 GA Delft (Netherlands)

    2006-06-14

    We show the epitaxial integration of III-V semiconductor nanowires with silicon technology. The wires are grown by the VLS mechanism with laser ablation as well as metal-organic vapour phase epitaxy. The hetero-epitaxial growth of the III-V nanowires on silicon was confirmed with x-ray diffraction pole figures and cross-sectional transmission electron microscopy. We show preliminary results of two-terminal electrical measurements of III-V nanowires grown on silicon. E-beam lithography was used to predefine the position of the nanowires.

  20. Position-controlled epitaxial III-V nanowires on silicon

    International Nuclear Information System (INIS)

    Roest, Aarnoud L; Verheijen, Marcel A; Wunnicke, Olaf; Serafin, Stacey; Wondergem, Harry; Bakkers, Erik P A M

    2006-01-01

    We show the epitaxial integration of III-V semiconductor nanowires with silicon technology. The wires are grown by the VLS mechanism with laser ablation as well as metal-organic vapour phase epitaxy. The hetero-epitaxial growth of the III-V nanowires on silicon was confirmed with x-ray diffraction pole figures and cross-sectional transmission electron microscopy. We show preliminary results of two-terminal electrical measurements of III-V nanowires grown on silicon. E-beam lithography was used to predefine the position of the nanowires

  1. Investigation of functionalized silicon nanowires by self-assembled monolayer

    Energy Technology Data Exchange (ETDEWEB)

    Hemed, Nofar Mintz [Dept. of Physical Electronics, Eng. Faculty, and the University Res. Inst. for Nano Science and Nano-Technologies, Tel-Aviv University, Ramat-Aviv 69978 (Israel); Convertino, Annalisa [Istituto per la Microelettronica e i Microsistemi C.N.R.-Area della Ricerca di Roma, via del Fosso del Cavaliere 100, I-00133 Roma (Italy); Shacham-Diamand, Yosi [Dept. of Physical Electronics, Eng. Faculty, and the University Res. Inst. for Nano Science and Nano-Technologies, Tel-Aviv University, Ramat-Aviv 69978 (Israel); The Department of Applied Chemistry, Waseda University, 3-4-1 Okubo, Shinjuku, Tokyo 169-8555 (Japan)

    2016-03-30

    Graphical abstract: - Highlights: • We characterize and verify the existence of self-assembled monolayer (SAM) on silicon nanowires and α-Si:H. • We define the term “electrical coverage” and find the formula for both cases. • The SAM's electrical coverage on silicon nanowires is found to be ∼63%. • The SAM's electrical coverage on α-Si:H is found to be ∼65 ± 3%. • The amount of SAM on the SiNWs is sufficient and it can serve as a linker to biological molecules. - Abstract: The functionalization using self assembled monolayer (SAM) of silicon nanowires (SiNW) fabricated by plasma enhanced chemical vapor deposition (PECVD) is reported here. The SAM is being utilized as the first building block in the functionalization process. The morphology of the SiNW comprises a polycrystalline core wrapped by an hydrogenated amorphous silicon (α-Si:H) shell. Since most of the available methods for SAM verification and characterization are suitable only for flat substrates; therefore, in addition to the SiNW α-Si:H on flat samples were produced in the same system as the SiNWs. First we confirmed the SAM's presence on the flat α-Si:H samples using the following methods: contact angle measurement to determine the change in surface energy; atomic force microscopy (AFM) to determine uniformity and molecular coverage. Spectroscopic ellipsometry and X-ray reflectivity (XRR) were performed to measure SAM layer thickness and density. X-ray photoelectron spectroscopy (XPS) was applied to study the chemical states of the surface. Next, SiNW/SAM were tested by electrochemical impedance spectroscopy (EIS), and the results were compared to α-Si:H/SAM. The SAM electrical coverage on SiNW and α-Si:H was found to be ∼37% and ∼65 ± 3%, respectively. A model, based on transmission line theory for the nanowires is presented to explain the disparity in results between the nanowires and flat surface of the same materials.

  2. Silicon nanowire based high brightness, pulsed relativistic electron source

    Directory of Open Access Journals (Sweden)

    Deep Sarkar

    2017-06-01

    Full Text Available We demonstrate that silicon nanowire arrays efficiently emit relativistic electron pulses under irradiation by a high-intensity, femtosecond, and near-infrared laser (∼1018 W/cm2, 25 fs, 800 nm. The nanowire array yields fluxes and charge per bunch that are 40 times higher than those emitted by an optically flat surface, in the energy range of 0.2–0.5 MeV. The flux and charge yields for the nanowires are observed to be directional in nature unlike that for planar silicon. Particle-in-cell simulations establish that such large emission is caused by the enhancement of the local electric fields around a nanowire, which consequently leads to an enhanced absorption of laser energy. We show that the high-intensity contrast (ratio of picosecond pedestal to femtosecond peak of the laser pulse (10−9 is crucial to this large yield. We extend the notion of surface local-field enhancement, normally invoked in low-order nonlinear optical processes like second harmonic generation, optical limiting, etc., to ultrahigh laser intensities. These electron pulses, expectedly femtosecond in duration, have potential application in imaging, material modification, ultrafast dynamics, terahertz generation, and fast ion sources.

  3. Biofunctionalization of ZnO nanowires for DNA sensory applications

    Energy Technology Data Exchange (ETDEWEB)

    Schroeder, Ulrich Christian; Gnauck, Martin; Ronning, Carsten [Institute of Solid State Physics, University of Jena, Max-Wien-Platz 1, D-07743 Jena (Germany); Moeller, Robert; Rudolph, Bettina; Fritzsche, Wolfgang [Institut fuer Photonische Technologien e.V., Albert-Einstein-Strasse 9, D-07745 Jena (Germany)

    2011-07-01

    In recent years, DNA detecting systems have received a growing interest due to promising fields of application like DNA diagnostics, gene analysis, virus detection or forensic applications. Nanowire-based DNA biosensor allows both miniaturization and easy continuous monitoring of a detection signal by electrical means. The label free detection scheme based on electrochemical changes of the surface potential during immobilization of specific DNA probes was heretofore mainly studied for silicon. In this work a surface decoration process with bifunctional molecules known as silanization was applied to VLS-grown ZnO nanowires which both feature a large sensitivity for surface modification, are biocompatible and easy to synthesize as well. Successfully bound DNA was proved by fluorescence microscopy. Dielectrophoresis (DEP) was chosen and optimized for quickly contacting the ZnO nanowires. Furthermore, electrical signal characterization was performed in preparation for DNA sensory applications.

  4. Growth of Gold-assisted Gallium Arsenide Nanowires on Silicon Substrates via Molecular Beam Epitaxy

    Directory of Open Access Journals (Sweden)

    Ramon M. delos Santos

    2008-06-01

    Full Text Available Gallium arsenide nanowires were grown on silicon (100 substrates by what is called the vapor-liquid-solid (VLS growth mechanism using a molecular beam epitaxy (MBE system. Good quality nanowires with surface density of approximately 108 nanowires per square centimeter were produced by utilizing gold nanoparticles, with density of 1011 nanoparticles per square centimeter, as catalysts for nanowire growth. X-ray diffraction measurements, scanning electron microscopy, transmission electron microscopy and Raman spectroscopy revealed that the nanowires are epitaxially grown on the silicon substrates, are oriented along the [111] direction and have cubic zincblende structure.

  5. Synthesis of porous silicon nano-wires and the emission of red luminescence

    International Nuclear Information System (INIS)

    Congli, Sun; Hao, Hu; Huanhuan, Feng; Jingjing, Xu; Yu, Chen; Yong, Jin; Zhifeng, Jiao; Xiaosong, Sun

    2013-01-01

    This very paper is focusing on the characterization of porous silicon nano-wires prepared via a two-step route, the electroless chemical etching and the following post-treatment of HF/HNO 3 solution. Hence, scanning electron microscopy, transmission electron microscopy and confocal fluorescence microscopy are employed for this purpose. From the results of experiments, one can find that the as-prepared silicon nano-wire is of smooth surface and that no visible photo-luminescence emission could be seen. However, the porous structure can be found in the silicon nano-wire treated with HF/HNO 3 solution, and the clear photo-luminescence emission of 630 nm can be recorded with a confocal fluorescence microscope. The transmission electron microscopy test tells that the porous silicon nano-wire is made up of a porous crystalline silicon nano-core and a rough coating of silicon oxide. Besides, based on the post-HF- and -H 2 O 2 - treatments, the emission mechanism of the red luminescence has been discussed and could be attributed to the quantum confinement/luminescence center model which could be simply concluded as that the electron–hole pairs are mainly excited inside the porous silicon nano-core and then tunneling out and recombining at the silicon oxide coating.

  6. Synthesis of porous silicon nano-wires and the emission of red luminescence

    Energy Technology Data Exchange (ETDEWEB)

    Congli, Sun [School of Materials Science and Engineering, Sichuan University (China); Hao, Hu [National Engineering Research Center for Biomaterials, Sichuan University, Chengdu 610064, Sichuan (China); Huanhuan, Feng; Jingjing, Xu; Yu, Chen; Yong, Jin; Zhifeng, Jiao [School of Materials Science and Engineering, Sichuan University (China); Xiaosong, Sun, E-mail: sunxs@scu.edu.cn [School of Materials Science and Engineering, Sichuan University (China)

    2013-10-01

    This very paper is focusing on the characterization of porous silicon nano-wires prepared via a two-step route, the electroless chemical etching and the following post-treatment of HF/HNO{sub 3} solution. Hence, scanning electron microscopy, transmission electron microscopy and confocal fluorescence microscopy are employed for this purpose. From the results of experiments, one can find that the as-prepared silicon nano-wire is of smooth surface and that no visible photo-luminescence emission could be seen. However, the porous structure can be found in the silicon nano-wire treated with HF/HNO{sub 3} solution, and the clear photo-luminescence emission of 630 nm can be recorded with a confocal fluorescence microscope. The transmission electron microscopy test tells that the porous silicon nano-wire is made up of a porous crystalline silicon nano-core and a rough coating of silicon oxide. Besides, based on the post-HF- and -H{sub 2}O{sub 2}- treatments, the emission mechanism of the red luminescence has been discussed and could be attributed to the quantum confinement/luminescence center model which could be simply concluded as that the electron–hole pairs are mainly excited inside the porous silicon nano-core and then tunneling out and recombining at the silicon oxide coating.

  7. Coaxial-structured ZnO/silicon nanowires extended-gate field-effect transistor as pH sensor

    International Nuclear Information System (INIS)

    Li, Hung-Hsien; Yang, Chi-En; Kei, Chi-Chung; Su, Chung-Yi; Dai, Wei-Syuan; Tseng, Jung-Kuei; Yang, Po-Yu; Chou, Jung-Chuan; Cheng, Huang-Chung

    2013-01-01

    An extended-gate field-effect transistor (EGFET) of coaxial-structured ZnO/silicon nanowires as pH sensor was demonstrated in this paper. The oriented 1-μm-long silicon nanowires with the diameter of about 50 nm were vertically synthesized by the electroless metal deposition method at room temperature and were sequentially capped with the ZnO films using atomic layer deposition at 50 °C. The transfer characteristics (I DS –V REF ) of such ZnO/silicon nanowire EGFET sensor exhibited the sensitivity and linearity of 46.25 mV/pH and 0.9902, respectively for the different pH solutions (pH 1–pH 13). In contrast to the ZnO thin-film ones, the ZnO/silicon nanowire EGFET sensor achieved much better sensitivity and superior linearity. It was attributed to a high surface-to-volume ratio of the nanowire structures, reflecting a larger effective sensing area. The output voltage and time characteristics were also measured to indicate good reliability and durability for the ZnO/silicon nanowires sensor. Furthermore, the hysteresis was 9.74 mV after the solution was changed as pH 7 → pH 3 → pH 7 → pH 11 → pH 7. - Highlights: ► Coaxial-structured ZnO/silicon nanowire EGFET was demonstrated as pH sensor. ► EMD and ALD methods were proposed to fabricate ZnO/silicon nanowires. ► ZnO/silicon nanowire EGFET sensor achieved better sensitivity and linearity. ► ZnO/silicon nanowire EGFET sensor had good reliability and durability

  8. Superhydrophobic Ag decorated ZnO nanostructured thin film as effective surface enhanced Raman scattering substrates

    Science.gov (United States)

    Jayram, Naidu Dhanpal; Sonia, S.; Poongodi, S.; Kumar, P. Suresh; Masuda, Yoshitake; Mangalaraj, D.; Ponpandian, N.; Viswanathan, C.

    2015-11-01

    The present work is an attempt to overcome the challenges in the fabrication of super hydrophobic silver decorated zinc oxide (ZnO) nanostructure thin films via thermal evaporation process. The ZnO nanowire thin films are prepared without any surface modification and show super hydrophobic nature with a contact angle of 163°. Silver is further deposited onto the ZnO nanowire to obtain nanoworm morphology. Silver decorated ZnO (Ag@ZnO) thin films are used as substrates for surface enhanced Raman spectroscopy (SERS) studies. The formation of randomly arranged nanowire and silver decorated nanoworm structure is confirmed using FESEM, HR-TEM and AFM analysis. Crystallinity and existence of Ag on ZnO are confirmed using XRD and XPS studies. A detailed growth mechanism is discussed for the formation of the nanowires from nanobeads based on various deposition times. The prepared SERS substrate reveals a reproducible enhancement of 3.082 × 107 M for Rhodamine 6G dye (R6G) for 10-10 molar concentration per liter. A higher order of SERS spectra is obtained for a contact angle of 155°. Thus the obtained thin films show the superhydrophobic nature with a highly enhanced Raman spectrum and act as SERS substrates. The present nanoworm morphology shows a new pathway for the construction of semiconductor thin films for plasmonic studies and challenges the orderly arranged ZnO nanorods, wires and other nano structure substrates used in SERS studies.

  9. Creating New VLS Silicon Nanowire Contact Geometries by Controlling Catalyst Migration

    DEFF Research Database (Denmark)

    Alam, Sardar Bilal; Panciera, Federico; Hansen, Ole

    2015-01-01

    The formation of self-assembled contacts between vapor-liquid-solid grown silicon nanowires and flat silicon surfaces was imaged in situ using electron microscopy. By measuring the structural evolution of the contact formation process, we demonstrate how different contact geometries are created b...

  10. Single-step synthesis of In{sub 2}O{sub 3} nanowires decorated with TeO{sub 2} nanobeads and their acetone-sensing properties

    Energy Technology Data Exchange (ETDEWEB)

    Park, Sunghoon; Kheel, Hyejoon; Sun, Gun-Joo; Lee, Chongmu [Inha University, Department of Materials Science and Engineering, Incheon (Korea, Republic of); Park, Sang Eon [Inha University, Department of Chemistry, Incheon (Korea, Republic of)

    2016-04-15

    In{sub 2}O{sub 3} nanowires decorated with TeO{sub 2} nanobeads were synthesized by a facile single-step thermal evaporation process, and their acetone-gas-sensing properties were examined. The diameters and lengths of the In{sub 2}O{sub 3} nanowires ranged from 10 to 20 nm and up to 100 μm, respectively, whereas the diameters of the TeO{sub 2} beads ranged from 50 to 200 nm. The TeO{sub 2}-decorated In{sub 2}O{sub 3} nanowire sensor showed stronger response to acetone gas than the pristine In{sub 2}O{sub 3} nanowire sensor. The pristine and TeO{sub 2}-decorated In{sub 2}O{sub 3} nanowires exhibited sensitivity of ∝10.13 and ∝24.87, respectively, to 200 ppm acetone at 300 C. The decorated nanowire sensor also showed much more rapid response and recovery than the latter. Both sensors showed the strongest response to acetone gas at 300 C, respectively. The mechanism and origin of the enhanced acetone-gas-sensing performance of the TeO{sub 2}-decorated In{sub 2}O{sub 3} nanowire sensor compared to the pristine In{sub 2}O{sub 3} nanowire sensor were discussed in detail. The enhanced sensing performance of the TeO{sub 2}-decorated In{sub 2}O{sub 3} nanowire is mainly due to the modulation of the potential barrier height at the TeO{sub 2}-In{sub 2}O{sub 3} interface, high catalytic activity of TeO{sub 2,} and creation of active adsorption sites by incorporation of TeO{sub 2}. (orig.)

  11. Silicon Nanowires for All-Optical Signal Processing in Optical Communication

    DEFF Research Database (Denmark)

    Pu, Minhao; Hu, Hao; Ji, Hua

    2012-01-01

    Silicon (Si), the second most abundant element on earth, has dominated in microelectronics for many decades. It can also be used for photonic devices due to its transparency in the range of optical telecom wavelengths which will enable a platform for a monolithic integration of optics...... and microelectronics. Silicon photonic nanowire waveguides fabricated on silicon-on-insulator (SOI) substrates are crucial elements in nano-photonic integrated circuits. The strong light confinement in nanowires induced by high index contrast SOI material enhances the nonlinear effects in the silicon nanowire core...... such as four-wave mixing (FWM) which is an imperative process for optical signal processing. Since the current mature silicon fabrication technology enables a precise dimension control on nanowires, dispersion engineering can be performed by tailoring nanowire dimensions to realize an efficient nonlinear...

  12. Functionalization of silicon nanowire surfaces with metal-organic frameworks

    KAUST Repository

    Liu, Nian

    2011-12-28

    Metal-organic frameworks (MOFs) and silicon nanowires (SiNWs) have been extensively studied due to their unique properties; MOFs have high porosity and specific surface area with well-defined nanoporous structure, while SiNWs have valuable one-dimensional electronic properties. Integration of the two materials into one composite could synergistically combine the advantages of both materials and lead to new applications. We report the first example of a MOF synthesized on surface-modified SiNWs. The synthesis of polycrystalline MOF-199 (also known as HKUST-1) on SiNWs was performed at room temperature using a step-by-step (SBS) approach, and X-ray photoelectron spectroscopy, X-ray diffraction, scanning electron microscopy, transmission electron microscopy, and energy dispersive spectroscopy elemental mapping were used to characterize the material. Matching of the SiNW surface functional groups with the MOF organic linker coordinating groups was found to be critical for the growth. Additionally, the MOF morphology can by tuned by changing the soaking time, synthesis temperature and precursor solution concentration. This SiNW/MOF hybrid structure opens new avenues for rational design of materials with novel functionalities. © 2011 Tsinghua University Press and Springer-Verlag Berlin Heidelberg.

  13. Fluorinated alkyne-derived monolayers on oxide-free silicon nanowires via one-step hydrosilylation

    International Nuclear Information System (INIS)

    Nguyen Minh, Quyen; Pujari, Sidharam P.; Wang, Bin; Wang, Zhanhua; Haick, Hossam; Zuilhof, Han; Rijn, Cees J.M. van

    2016-01-01

    Highlights: • Oxide-free H-terminated silicon nanowires undergo efficient surface modification by reaction with fluorinated 1-alkynes (HC≡C−(CH 2 ) 6 C 8 H 17−x F x ; x = 0–17). • These surface-modified Si NWs are chemically stable under range of conditions (including acid, base). • The surface coating yields efficient electrical passivation as demonstrated by a near-zero electrochemical activity of the surface. - Abstract: Passivation of oxide-free silicon nanowires (Si NWs) by the formation of high-quality fluorinated 1-hexadecyne-derived monolayers with varying fluorine content has been investigated. Alkyl chain monolayers (C 16 H 30−x F x ) with a varying number of fluorine substituents (x = 0, 1, 3, 9, 17) were attached onto hydrogen-terminated silicon (Si−H) surfaces with an effective one-step hydrosilylation. This surface chemistry gives well-defined monolayers on nanowires that have a cylindrical core–shell structure, as characterized by X-ray photoelectron spectroscopy (XPS), Fourier transform infrared spectroscopy (FT-IR) and static contact angle (SCA) analysis. The monolayers were stable under acidic and basic conditions, as well as under extreme conditions (such as UV exposure), and provide excellent surface passivation, which opens up applications in the fields of field effect transistors, optoelectronics and especially for disease diagnosis.

  14. Controlled self-decoration of Mo6SyIz (8.2 ≤ y + z ≤ 10) nanowires and their transformation to MoS2 nanotubes with gold nanoparticles

    International Nuclear Information System (INIS)

    Kovič, Andrej; Vengust, Damjan; Vilfan, Mojca; Mrzel, Aleš

    2013-01-01

    Nanowires and nanotubes decorated with gold nanoparticles are known for their excellent sensing and catalytic properties. However, the decoration of transition–metal dichalcogenide nanotubes can be very complex. Here we report on a simple procedure that enables efficient production and purification of thin bundles of Mo 6 S y I z (8.2 ≤ y + z ≤ 10) nanowires decorated with gold nanoparticles and their transformation to gold-decorated MoS 2 nanotubes. We isolated several hundred milligrams of nanowire bundles that were several microns long with average diameters of around 40 nm, and formed a stable dispersion in water without added surfactants. Gold nanoparticles were directly deposited on the nanowire bundles either in a solution or on a substrate at room temperature in a single-step reaction without any additional reducing reagents. The number of gold nanoparticles on a nanowire bundle is controlled by changing the concentration of chloroauric acid HAuCl 4 ·3H 2 O in the solution. Since the nanowires can serve as precursor crystals for fabrication of nanotubes, we were able to transform gold-decorated nanowires and produce gold-decorated MoS 2 nanotubes

  15. Piezoresistive effect in top-down fabricated silicon nanowires

    DEFF Research Database (Denmark)

    Reck, Kasper; Richter, Jacob; Hansen, Ole

    2008-01-01

    We have designed and fabricated silicon test chips to investigate the piezoresistive properties of both crystalline and polycrystalline nanowires using a top-down approach, in order to comply with conventional fabrication techniques. The test chip consists of 5 silicon nanowires and a reference...

  16. Superconductive silicon nanowires using gallium beam lithography.

    Energy Technology Data Exchange (ETDEWEB)

    Henry, Michael David; Jarecki, Robert Leo,

    2014-01-01

    This work was an early career LDRD investigating the idea of using a focused ion beam (FIB) to implant Ga into silicon to create embedded nanowires and/or fully suspended nanowires. The embedded Ga nanowires demonstrated electrical resistivity of 5 m-cm, conductivity down to 4 K, and acts as an Ohmic silicon contact. The suspended nanowires achieved dimensions down to 20 nm x 30 nm x 10 m with large sensitivity to pressure. These structures then performed well as Pirani gauges. Sputtered niobium was also developed in this research for use as a superconductive coating on the nanowire. Oxidation characteristics of Nb were detailed and a technique to place the Nb under tensile stress resulted in the Nb resisting bulk atmospheric oxidation for up to years.

  17. Silicon nanowire transistors

    CERN Document Server

    Bindal, Ahmet

    2016-01-01

    This book describes the n and p-channel Silicon Nanowire Transistor (SNT) designs with single and dual-work functions, emphasizing low static and dynamic power consumption. The authors describe a process flow for fabrication and generate SPICE models for building various digital and analog circuits. These include an SRAM, a baseband spread spectrum transmitter, a neuron cell and a Field Programmable Gate Array (FPGA) platform in the digital domain, as well as high bandwidth single-stage and operational amplifiers, RF communication circuits in the analog domain, in order to show this technology’s true potential for the next generation VLSI. Describes Silicon Nanowire (SNW) Transistors, as vertically constructed MOS n and p-channel transistors, with low static and dynamic power consumption and small layout footprint; Targets System-on-Chip (SoC) design, supporting very high transistor count (ULSI), minimal power consumption requiring inexpensive substrates for packaging; Enables fabrication of different types...

  18. Nanowire decorated, ultra-thin, single crystalline silicon for photovoltaic devices.

    Science.gov (United States)

    Aurang, Pantea; Turan, Rasit; Unalan, Husnu Emrah

    2017-10-06

    Reducing silicon (Si) wafer thickness in the photovoltaic industry has always been demanded for lowering the overall cost. Further benefits such as short collection lengths and improved open circuit voltages can also be achieved by Si thickness reduction. However, the problem with thin films is poor light absorption. One way to decrease optical losses in photovoltaic devices is to minimize the front side reflection. This approach can be applied to front contacted ultra-thin crystalline Si solar cells to increase the light absorption. In this work, homojunction solar cells were fabricated using ultra-thin and flexible single crystal Si wafers. A metal assisted chemical etching method was used for the nanowire (NW) texturization of ultra-thin Si wafers to compensate weak light absorption. A relative improvement of 56% in the reflectivity was observed for ultra-thin Si wafers with the thickness of 20 ± 0.2 μm upon NW texturization. NW length and top contact optimization resulted in a relative enhancement of 23% ± 5% in photovoltaic conversion efficiency.

  19. Position-controlled epitaxial III-V nanowires on silicon

    NARCIS (Netherlands)

    Roest, A.L.; Verheijen, M.A.; Wunnicke, O.; Serafin, S.N.; Wondergem, H.J.; Bakkers, E.P.A.M.

    2006-01-01

    We show the epitaxial integration of III-V semiconductor nanowires with silicon technology. The wires are grown by the VLS mechanism with laser ablation as well as metal-organic vapour phase epitaxy. The hetero-epitaxial growth of the III-V nanowires on silicon was confirmed with x-ray diffraction

  20. Solution-Grown Silicon Nanowires for Lithium-Ion Battery Anodes

    KAUST Repository

    Chan, Candace K.; Patel, Reken N.; O’ Connell, Michael J.; Korgel, Brian A.; Cui, Yi

    2010-01-01

    Composite electrodes composed of silicon nanowires synthesized using the supercritical fluid-liquid-solid (SFLS) method mixed with amorphous carbon or carbon nanotubes were evaluated as Li-ion battery anodes. Carbon coating of the silicon nanowires

  1. Fluorinated alkyne-derived monolayers on oxide-free silicon nanowires via one-step hydrosilylation

    Energy Technology Data Exchange (ETDEWEB)

    Nguyen Minh, Quyen [Laboratory of Organic Chemistry, Wageningen University, Stippeneng 4, 6708 WE Wageningen (Netherlands); Nanosens, IJsselkade 7, 7201 HB Zutphen (Netherlands); Pujari, Sidharam P. [Laboratory of Organic Chemistry, Wageningen University, Stippeneng 4, 6708 WE Wageningen (Netherlands); Wang, Bin [The Department of Chemical Engineering and Russell Berrie Nanotechnology Institute, Technion – Israel Institute of Technology, Haifa 3200003 (Israel); Wang, Zhanhua [Laboratory of Organic Chemistry, Wageningen University, Stippeneng 4, 6708 WE Wageningen (Netherlands); Haick, Hossam [The Department of Chemical Engineering and Russell Berrie Nanotechnology Institute, Technion – Israel Institute of Technology, Haifa 3200003 (Israel); Zuilhof, Han [Laboratory of Organic Chemistry, Wageningen University, Stippeneng 4, 6708 WE Wageningen (Netherlands); Rijn, Cees J.M. van, E-mail: cees.vanrijn@wur.nl [Laboratory of Organic Chemistry, Wageningen University, Stippeneng 4, 6708 WE Wageningen (Netherlands)

    2016-11-30

    Highlights: • Oxide-free H-terminated silicon nanowires undergo efficient surface modification by reaction with fluorinated 1-alkynes (HC≡C−(CH{sub 2}){sub 6}C{sub 8}H{sub 17−x}F{sub x}; x = 0–17). • These surface-modified Si NWs are chemically stable under range of conditions (including acid, base). • The surface coating yields efficient electrical passivation as demonstrated by a near-zero electrochemical activity of the surface. - Abstract: Passivation of oxide-free silicon nanowires (Si NWs) by the formation of high-quality fluorinated 1-hexadecyne-derived monolayers with varying fluorine content has been investigated. Alkyl chain monolayers (C{sub 16}H{sub 30−x}F{sub x}) with a varying number of fluorine substituents (x = 0, 1, 3, 9, 17) were attached onto hydrogen-terminated silicon (Si−H) surfaces with an effective one-step hydrosilylation. This surface chemistry gives well-defined monolayers on nanowires that have a cylindrical core–shell structure, as characterized by X-ray photoelectron spectroscopy (XPS), Fourier transform infrared spectroscopy (FT-IR) and static contact angle (SCA) analysis. The monolayers were stable under acidic and basic conditions, as well as under extreme conditions (such as UV exposure), and provide excellent surface passivation, which opens up applications in the fields of field effect transistors, optoelectronics and especially for disease diagnosis.

  2. Metal-Catalyst-Free Synthesis and Characterization of Single-Crystalline Silicon Oxynitride Nanowires

    Directory of Open Access Journals (Sweden)

    Shuang Xi

    2012-01-01

    Full Text Available Large quantities of single-crystal silicon oxynitride nanowires with high N concentration have been synthesized directly on silicon substrate at 1200°C without using any metal catalyst. The diameter of these ternary nanowires is ranging from 10 to 180 nm with log-normal distribution, and the length of these nanowires varies from a few hundreds of micrometers to several millimeters. A vapor-solid mechanism was proposed to explain the growth of the nanowires. These nanowires are grown to form a disordered mat with an ultrabright white nonspecular appearance. The mat demonstrates highly diffusive reflectivity with the optical reflectivity of around 80% over the whole visible wavelength, which is comparable to the most brilliant white beetle scales found in nature. The whiteness might be resulted from the strong multiscattering of a large fraction of incident light on the disordered nanowire mat. These ultra-bright white nanowires could form as reflecting surface to meet the stringent requirements of bright-white light-emitting-diode lighting for higher optical efficiency. They can also find applications in diverse fields such as sensors, cosmetics, paints, and tooth whitening.

  3. Synthesis and investigation of silicon carbide nanowires by HFCVD

    Indian Academy of Sciences (India)

    We found that increasing substrate temperature increases silicon and oxygen doping amount. We also found that electrical resistivity and surface roughness increased by increasing substrate temperature. This study showed that SiC nanowires with high density grew on the free catalyst glass substrate, and the alignment of ...

  4. Aluminum-catalyzed silicon nanowires: Growth methods, properties, and applications

    Energy Technology Data Exchange (ETDEWEB)

    Hainey, Mel F.; Redwing, Joan M. [Department of Materials Science and Engineering, Materials Research Institute, The Pennsylvania State University, University Park, Pennsylvania 16802 (United States)

    2016-12-15

    Metal-mediated vapor-liquid-solid (VLS) growth is a promising approach for the fabrication of silicon nanowires, although residual metal incorporation into the nanowires during growth can adversely impact electronic properties particularly when metals such as gold and copper are utilized. Aluminum, which acts as a shallow acceptor in silicon, is therefore of significant interest for the growth of p-type silicon nanowires but has presented challenges due to its propensity for oxidation. This paper summarizes the key aspects of aluminum-catalyzed nanowire growth along with wire properties and device results. In the first section, aluminum-catalyzed nanowire growth is discussed with a specific emphasis on methods to mitigate aluminum oxide formation. Next, the influence of growth parameters such as growth temperature, precursor partial pressure, and hydrogen partial pressure on nanowire morphology is discussed, followed by a brief review of the growth of templated and patterned arrays of nanowires. Aluminum incorporation into the nanowires is then discussed in detail, including measurements of the aluminum concentration within wires using atom probe tomography and assessment of electrical properties by four point resistance measurements. Finally, the use of aluminum-catalyzed VLS growth for device fabrication is reviewed including results on single-wire radial p-n junction solar cells and planar solar cells fabricated with nanowire/nanopyramid texturing.

  5. Selective etching of n-type silicon in pn junction structure in hydrofluoric acid and its application in silicon nanowire fabrication

    International Nuclear Information System (INIS)

    Wang Huiquan; Jin Zhonghe; Zheng Yangming; Ma Huilian; Wang Yuelin; Li Tie

    2008-01-01

    Boron is selectively implanted on the surface of an n-type silicon wafer to form a p-type area surrounded by an n-type area. The wafer is then put into a buffered oxide etch solution. It is found that the n-type area can be selectively etched without illumination, with an etching rate lower than 1 nm min -1 , while the p-type area can be selectively etched under illumination with a much higher etching rate. The possible mechanism of the etching phenomenon is discussed. A simple fabrication process of silicon nanowires is proposed according to the above phenomenon. In this process only traditional micro-electromechanical system technology is used. Dimensions of the fabricated nanowire can be controlled well. A 50 nm wide and 50 nm thick silicon nanowire has been formed using this method

  6. Laser desorption/ionization from nanostructured surfaces: nanowires, nanoparticle films and silicon microcolumn arrays

    International Nuclear Information System (INIS)

    Chen Yong; Luo Guanghong; Diao Jiajie; Chornoguz, Olesya; Reeves, Mark; Vertes, Akos

    2007-01-01

    Due to their optical properties and morphology, thin films formed of nanoparticles are potentially new platforms for soft laser desorption/ionization (SLDI) mass spectrometry. Thin films of gold nanoparticles (with 12±1 nm particle size) were prepared by evaporation-driven vertical colloidal deposition and used to analyze a series of directly deposited polypeptide samples. In this new SLDI method, the required laser fluence for ion detection was equal or less than what was needed for matrix-assisted laser desorption/ionization (MALDI) but the resulting spectra were free of matrix interferences. A silicon microcolumn array-based substrate (a.k.a. black silicon) was developed as a new matrix-free laser desorption ionization surface. When low-resistivity silicon wafers were processed with a 22 ps pulse length 3xω Nd:YAG laser in air, SF 6 or water environment, regularly arranged conical spikes emerged. The radii of the spike tips varied with the processing environment, ranging from approximately 500 nm in water, to ∼2 μm in SF 6 gas and to ∼5 μm in air. Peptide mass spectra directly induced by a nitrogen laser showed the formation of protonated ions of angiotensin I and II, substance P, bradykinin fragment 1-7, synthetic peptide, pro14-arg, and insulin from the processed silicon surfaces but not from the unprocessed areas. Threshold fluences for desorption/ionization were similar to those used in MALDI. Although compared to silicon nanowires the threshold laser pulse energy for ionization is significantly (∼10x) higher, the ease of production and robustness of microcolumn arrays offer complementary benefits

  7. Increasing the efficiency of polymer solar cells by silicon nanowires

    Energy Technology Data Exchange (ETDEWEB)

    Eisenhawer, B; Sivakov, V; Pietsch, M; Andrae, G; Falk, F [Institute of Photonic Technology, Albert-Einstein-Strasse 9, 07743 Jena (Germany); Sensfuss, S, E-mail: bjoern.eisenhawer@ipht-jena.de [Thuringian Institute for Textile and Plastics Research, Breitscheidstrasse 97, 07407 Rudolstadt (Germany)

    2011-08-05

    Silicon nanowires have been introduced into P3HT:[60]PCBM solar cells, resulting in hybrid organic/inorganic solar cells. A cell efficiency of 4.2% has been achieved, which is a relative improvement of 10% compared to a reference cell produced without nanowires. This increase in cell performance is possibly due to an enhancement of the electron transport properties imposed by the silicon nanowires. In this paper, we present a novel approach for introducing the nanowires by mixing them into the polymer blend and subsequently coating the polymer/nanowire blend onto a substrate. This new onset may represent a viable pathway to producing nanowire-enhanced polymer solar cells in a reel to reel process.

  8. Increasing the efficiency of polymer solar cells by silicon nanowires

    International Nuclear Information System (INIS)

    Eisenhawer, B; Sivakov, V; Pietsch, M; Andrae, G; Falk, F; Sensfuss, S

    2011-01-01

    Silicon nanowires have been introduced into P3HT:[60]PCBM solar cells, resulting in hybrid organic/inorganic solar cells. A cell efficiency of 4.2% has been achieved, which is a relative improvement of 10% compared to a reference cell produced without nanowires. This increase in cell performance is possibly due to an enhancement of the electron transport properties imposed by the silicon nanowires. In this paper, we present a novel approach for introducing the nanowires by mixing them into the polymer blend and subsequently coating the polymer/nanowire blend onto a substrate. This new onset may represent a viable pathway to producing nanowire-enhanced polymer solar cells in a reel to reel process.

  9. Silicon nanowires for ultra-fast and ultrabroadband optical signal processing

    DEFF Research Database (Denmark)

    Ji, Hua; Hu, Hao; Pu, Minhao

    2015-01-01

    In this paper, we present recent research on silicon nanowires for ultra-fast and ultra-broadband optical signal processing at DTU Fotonik. The advantages and limitations of using silicon nanowires for optical signal processing are revealed through experimental demonstrations of various optical...

  10. Piezoresistive silicon nanowire resonators as embedded building blocks in thick SOI

    Science.gov (United States)

    Nasr Esfahani, Mohammad; Kilinc, Yasin; Çagatay Karakan, M.; Orhan, Ezgi; Hanay, M. Selim; Leblebici, Yusuf; Erdem Alaca, B.

    2018-04-01

    The use of silicon nanowire resonators in nanoelectromechanical systems for new-generation sensing and communication devices faces integration challenges with higher-order structures. Monolithic and deterministic integration of such nanowires with the surrounding microscale architecture within the same thick crystal is a critical aspect for the improvement of throughput, reliability and device functionality. A monolithic and IC-compatible technology based on a tuned combination of etching and protection processes was recently introduced yielding silicon nanowires within a 10 μ m-thick device layer. Motivated by its success, the implications of the technology regarding the electromechanical resonance are studied within a particular setting, where the resonator is co-fabricated with all terminals and tuning electrodes. Frequency response is measured via piezoresistive readout with frequency down-mixing. Measurements indicate mechanical resonance with frequencies as high as 100 MHz exhibiting a Lorentzian behavior with proper transition to nonlinearity, while Allan deviation on the order of 3-8 ppm is achieved. Enabling the fabrication of silicon nanowires in thick silicon crystals using conventional semiconductor manufacturing, the present study thus demonstrates an alternative pathway to bottom-up and thin silicon-on-insulator approaches for silicon nanowire resonators.

  11. Effect of Silicon Nanowire on Crystalline Silicon Solar Cell Characteristics

    Directory of Open Access Journals (Sweden)

    Zahra Ostadmahmoodi Do

    2016-06-01

    Full Text Available Nanowires (NWs are recently used in several sensor or actuator devices to improve their ordered characteristics. Silicon nanowire (Si NW is one of the most attractive one-dimensional nanostructures semiconductors because of its unique electrical and optical properties. In this paper, silicon nanowire (Si NW, is synthesized and characterized for application in photovoltaic device. Si NWs are prepared using wet chemical etching method which is commonly used as a simple and low cost method for producing nanowires of the same substrate material. The process conditions are adjusted to find the best quality of Si NWs. Morphology of Si NWs is studied using a field emission scanning electron microscopic technique. An energy dispersive X-Ray analyzer is also used to provide elemental identification and quantitative compositional information. Subsequently, Schottky type solar cell samples are fabricated on Si and Si NWs using ITO and Ag contacts. The junction properties are calculated using I-V curves in dark condition and the solar cell I-V characteristics are obtained under incident of the standardized light of AM1.5. The results for the two mentioned Schottky solar cell samples are compared and discussed. An improvement in short circuit current and efficiency of Schottky solar cell is found when Si nanowires are employed.

  12. Synthesis of silicon nanowires and novel nano-dendrite structures

    International Nuclear Information System (INIS)

    Sinha, Saion; Gao Bo; Zhou, Otto

    2004-01-01

    We report a study on the effects of various parameters on the synthesis of silicon nanowires (5--50 nm in diameter) by pulsed laser ablation. A novel silicon nanodendrite structure is observed by changing some of the growth parameters abruptly. This growth mechanism is explained by a qualitative model. These nanodendrites show a promise of being used as a template in fabricating nanocircuits. Thermal quantum confinement effects were also observed on the silicon nanowires and have been reported

  13. Optimization of pH sensing using silicon nanowire field effect transistors with HfO2 as the sensing surface

    International Nuclear Information System (INIS)

    Zafar, Sufi; D'Emic, Christopher; Afzali, Ali; Fletcher, Benjamin; Zhu, Y; Ning, Tak

    2011-01-01

    Silicon nanowire field effect transistor sensors with SiO 2 /HfO 2 as the gate dielectric sensing surface are fabricated using a top down approach. These sensors are optimized for pH sensing with two key characteristics. First, the pH sensitivity is shown to be independent of buffer concentration. Second, the observed pH sensitivity is enhanced and is equal to the Nernst maximum sensitivity limit of 59 mV/pH with a corresponding subthreshold drain current change of ∼ 650%/pH. These two enhanced pH sensing characteristics are attributed to the use of HfO 2 as the sensing surface and an optimized fabrication process compatible with silicon processing technology.

  14. Optimization of pH sensing using silicon nanowire field effect transistors with HfO2 as the sensing surface.

    Science.gov (United States)

    Zafar, Sufi; D'Emic, Christopher; Afzali, Ali; Fletcher, Benjamin; Zhu, Y; Ning, Tak

    2011-10-07

    Silicon nanowire field effect transistor sensors with SiO(2)/HfO(2) as the gate dielectric sensing surface are fabricated using a top down approach. These sensors are optimized for pH sensing with two key characteristics. First, the pH sensitivity is shown to be independent of buffer concentration. Second, the observed pH sensitivity is enhanced and is equal to the Nernst maximum sensitivity limit of 59 mV/pH with a corresponding subthreshold drain current change of ∼ 650%/pH. These two enhanced pH sensing characteristics are attributed to the use of HfO(2) as the sensing surface and an optimized fabrication process compatible with silicon processing technology.

  15. Nanowire-integrated microporous silicon membrane for continuous fluid transport in micro cooling device

    International Nuclear Information System (INIS)

    So, Hongyun; Pisano, Albert P.; Cheng, Jim C.

    2013-01-01

    We report an efficient passive micro pump system combining the physical properties of nanowires and micropores. This nanowire-integrated microporous silicon membrane was created to feed coolant continuously onto the surface of the wick in a micro cooling device to ensure it remains hydrated and in case of dryout, allow for regeneration of the system. The membrane was fabricated by photoelectrochemical etching to form micropores followed by hydrothermal growth of nanowires. This study shows a promising approach to address thermal management challenges for next generation electronic devices with absence of external power

  16. Structural and optical properties of silicon-carbide nanowires produced by the high-temperature carbonization of silicon nanostructures

    Energy Technology Data Exchange (ETDEWEB)

    Pavlikov, A. V., E-mail: pavlikov@physics.msu.ru [Moscow State University, Faculty of Physics (Russian Federation); Latukhina, N. V.; Chepurnov, V. I. [Samara National Researh University (Russian Federation); Timoshenko, V. Yu. [Moscow State University, Faculty of Physics (Russian Federation)

    2017-03-15

    Silicon-carbide (SiC) nanowire structures 40–50 nm in diameter are produced by the high-temperature carbonization of porous silicon and silicon nanowires. The SiC nanowires are studied by scanning electron microscopy, X-ray diffraction analysis, Raman spectroscopy, and infrared reflectance spectroscopy. The X-ray structural and Raman data suggest that the cubic 3C-SiC polytype is dominant in the samples under study. The shape of the infrared reflectance spectrum in the region of the reststrahlen band 800–900 cm{sup –1} is indicative of the presence of free charge carriers. The possibility of using SiC nanowires in microelectronic, photonic, and gas-sensing devices is discussed.

  17. Silicon nanowire hot carrier electroluminescence

    Energy Technology Data Exchange (ETDEWEB)

    Plessis, M. du, E-mail: monuko@up.ac.za; Joubert, T.-H.

    2016-08-31

    Avalanche electroluminescence from silicon pn junctions has been known for many years. However, the internal quantum efficiencies of these devices are quite low due to the indirect band gap nature of the semiconductor material. In this study we have used reach-through biasing and SOI (silicon-on-insulator) thin film structures to improve the internal power efficiency and the external light extraction efficiency. Both continuous silicon thin film pn junctions and parallel nanowire pn junctions were manufactured using a custom SOI technology. The pn junctions are operated in the reach-through mode of operation, thus increasing the average electric field within the fully depleted region. Experimental results of the emission spectrum indicate that the most dominant photon generating mechanism is due to intraband hot carrier relaxation processes. It was found that the SOI nanowire light source external power efficiency is at least an order of magnitude better than the comparable bulk CMOS (Complementary Metal Oxide Semiconductor) light source. - Highlights: • We investigate effect of electric field on silicon avalanche electroluminescence. • With reach-through pn junctions the current and carrier densities are kept constant. • Higher electric fields increase short wavelength radiation. • Higher electric fields decrease long wavelength radiation. • The effect of the electric field indicates intraband transitions as main mechanism.

  18. Highly catalytic and stabilized titanium nitride nanowire array-decorated graphite felt electrodes for all vanadium redox flow batteries

    Science.gov (United States)

    Wei, L.; Zhao, T. S.; Zeng, L.; Zeng, Y. K.; Jiang, H. R.

    2017-02-01

    In this work, we prepare a highly catalytic and stabilized titanium nitride (TiN) nanowire array-decorated graphite felt electrode for all vanadium redox flow batteries (VRFBs). Free-standing TiN nanowires are synthesized by a two-step process, in which TiO2 nanowires are first grown onto the surface of graphite felt via a seed-assisted hydrothermal method and then converted to TiN through nitridation reaction. When applied to VRFBs, the prepared electrode enables the electrolyte utilization and energy efficiency to be 73.9% and 77.4% at a high current density of 300 mA cm-2, which are correspondingly 43.3% and 15.4% higher than that of battery assembled with a pristine electrode. More impressively, the present battery exhibits good stability and high capacity retention during the cycle test. The superior performance is ascribed to the significant improvement in the electrochemical kinetics and enlarged active sites toward V3+/V2+ redox reaction.

  19. Silicon nanowire structures as high-sensitive pH-sensors

    International Nuclear Information System (INIS)

    Belostotskaya, S O; Chuyko, O V; Kuznetsov, A E; Kuznetsov, E V; Rybachek, E N

    2012-01-01

    Sensitive elements for pH-sensors created on silicon nanostructures were researched. Silicon nanostructures have been used as ion-sensitive field effect transistor (ISFET) for the measurement of solution pH. Silicon nanostructures have been fabricated by 'top-down' approach and have been studied as pH sensitive elements. Nanowires have the higher sensitivity. It was shown, that sensitive element, which is made of 'one-dimensional' silicon nanostructure have bigger pH-sensitivity as compared with 'two-dimensional' structure. Integrated element formed from two p- and n-type nanowire ISFET ('inverter') can be used as high sensitivity sensor for local relative change [H+] concentration in very small volume.

  20. Ballistic Spin Field Effect Transistor Based on Silicon Nanowires

    Science.gov (United States)

    Osintsev, Dmitri; Sverdlov, Viktor; Stanojevic, Zlatan; Selberherr, Siegfried

    2011-03-01

    We investigate the properties of ballistic spin field-effect transistors build on silicon nanowires. An accurate description of the conduction band based on the k . p} model is necessary in thin and narrow silicon nanostructures. The subband effective mass and subband splitting dependence on the nanowire dimensions is analyzed and used in the transport calculations. The spin transistor is formed by sandwiching the nanowire between two ferromagnetic metallic contacts. Delta-function barriers at the interfaces between the contacts and the silicon channel are introduced. The major contribution to the electric field-dependent spin-orbit interaction in confined silicon systems is due to the interface-induced inversion asymmetry which is of the Dresselhaus type. We study the current and conductance through the system for the contacts being in parallel and anti-parallel configurations. Differences between the [100] and [110] orientated structures are investigated in details. This work is supported by the European Research Council through the grant #247056 MOSILSPIN.

  1. Surface chemistry and morphology of the solid electrolyte interphase on silicon nanowire lithium-ion battery anodes

    KAUST Repository

    Chan, Candace K.

    2009-04-01

    Silicon nanowires (SiNWs) have the potential to perform as anodes for lithium-ion batteries with a much higher energy density than graphite. However, there has been little work in understanding the surface chemistry of the solid electrolyte interphase (SEI) formed on silicon due to the reduction of the electrolyte. Given that a good, passivating SEI layer plays such a crucial role in graphite anodes, we have characterized the surface composition and morphology of the SEI formed on the SiNWs using X-ray photoelectron spectroscopy (XPS) and scanning electron microscopy (SEM). We have found that the SEI is composed of reduction products similar to that found on graphite electrodes, with Li2CO3 as an important component. Combined with electrochemical impedance spectroscopy, the results were used to determine the optimal cycling parameters for good cycling. The role of the native SiO2 as well as the effect of the surface area of the SiNWs on reactivity with the electrolyte were also addressed. © 2009 Elsevier B.V. All rights reserved.

  2. Broadband Nonlinear Signal Processing in Silicon Nanowires

    DEFF Research Database (Denmark)

    Yvind, Kresten; Pu, Minhao; Hvam, Jørn Märcher

    The fast non-linearity of silicon allows Tbit/s optical signal processing. By choosing suitable dimensions of silicon nanowires their dispersion can be tailored to ensure a high nonlinearity at power levels low enough to avoid significant two-photon abso We have fabricated low insertion...

  3. Nonlinear Optical Functions in Crystalline and Amorphous Silicon-on-Insulator Nanowires

    DEFF Research Database (Denmark)

    Baets, R.; Kuyken, B.; Liu, X.

    2012-01-01

    Silicon-on-Insulator nanowires provide an excellent platform for nonlinear optical functions in spite of the two-photon absorption at telecom wavelengths. Work on both crystalline and amorphous silicon nanowires is reviewed, in the wavelength range of 1.5 to 2.5 µm....

  4. Preparation of highly aligned silicon oxide nanowires with stable intensive photoluminescence

    International Nuclear Information System (INIS)

    Duraia, El-Shazly M.; Mansurov, Z.A.; Tokmolden, S.; Beall, Gary W.

    2010-01-01

    In this work we report the successful formation of highly aligned vertical silicon oxide nanowires. The source of silicon was from the substrate itself without any additional source of silicon. X-ray measurement demonstrated that our nanowires are amorphous. Photoluminescence measurements were conducted through 18 months and indicated that there is a very good intensive emission peaks near the violet regions. The FTIR measurements indicated the existence of peaks at 463, 604, 795 and a wide peak at 1111 cm -1 and this can be attributed to Si-O-Si and Si-O stretching vibrations. We also report the formation of the octopus-like silicon oxide nanowires and the growth mechanism of these structures was discussed.

  5. Preparation of highly aligned silicon oxide nanowires with stable intensive photoluminescence

    Energy Technology Data Exchange (ETDEWEB)

    Duraia, El-Shazly M., E-mail: duraia_physics@yahoo.co [Suez Canal University, Faculty of Science, Physics Department, Ismailia (Egypt); Al-Farabi Kazakh National University, Almaty (Kazakhstan); Institute of Physics and Technology, 11 Ibragimov Street, 050032 Almaty (Kazakhstan); Mansurov, Z.A. [Al-Farabi Kazakh National University, Almaty (Kazakhstan); Tokmolden, S. [Institute of Physics and Technology, 11 Ibragimov Street, 050032 Almaty (Kazakhstan); Beall, Gary W. [Texas State University-San Marcos, Department of Chemistry and Biochemistry, 601 University Dr., San Marcos, TX 78666 (United States)

    2010-02-15

    In this work we report the successful formation of highly aligned vertical silicon oxide nanowires. The source of silicon was from the substrate itself without any additional source of silicon. X-ray measurement demonstrated that our nanowires are amorphous. Photoluminescence measurements were conducted through 18 months and indicated that there is a very good intensive emission peaks near the violet regions. The FTIR measurements indicated the existence of peaks at 463, 604, 795 and a wide peak at 1111 cm{sup -1} and this can be attributed to Si-O-Si and Si-O stretching vibrations. We also report the formation of the octopus-like silicon oxide nanowires and the growth mechanism of these structures was discussed.

  6. Silicon nanowires nanogenerator based on the piezoelectricity of alpha-quartz.

    Science.gov (United States)

    Yin, Kui; Lin, Haiyang; Cai, Qian; Zhao, Yi; Lee, Shuit-Tong; Hu, Fei; Shao, Mingwang

    2013-12-21

    Silicon nanowires are important semiconductor with core/shell structure. In this work, the piezoelectric material alpha-quartz was grown in the interface of silicon nanowires by thermal treatment at 600 °C for 0.5 h. These nanowires were employed as starting materials to fabricate piezoelectric nanogenerators, which could convert kinetic energy into electrical one, exhibiting an output voltage of 36.5 V and a response current of 1.4 μA under a free-falling object of 300 g at a height of 30 cm.

  7. A silicon-nanowire memory driven by optical gradient force induced bistability

    Energy Technology Data Exchange (ETDEWEB)

    Dong, B. [School of Electrical and Electronic Engineering, Nanyang Technological University, Singapore 639798 (Singapore); Institute of Microelectronics, A*STAR (Agency for Science, Technology and Research), Singapore 117685 (Singapore); Cai, H., E-mail: caih@ime.a-star.edu.sg; Gu, Y. D.; Kwong, D. L. [Institute of Microelectronics, A*STAR (Agency for Science, Technology and Research), Singapore 117685 (Singapore); Chin, L. K.; Ng, G. I.; Ser, W. [School of Electrical and Electronic Engineering, Nanyang Technological University, Singapore 639798 (Singapore); Huang, J. G. [School of Electrical and Electronic Engineering, Nanyang Technological University, Singapore 639798 (Singapore); Institute of Microelectronics, A*STAR (Agency for Science, Technology and Research), Singapore 117685 (Singapore); School of Mechanical Engineering, Xi' an Jiaotong University, Xi' an 710049 (China); Yang, Z. C. [School of Electronics Engineering and Computer Science, Peking University, Beijing 100871 (China); Liu, A. Q., E-mail: eaqliu@ntu.edu.sg [School of Electrical and Electronic Engineering, Nanyang Technological University, Singapore 639798 (Singapore); School of Electronics Engineering and Computer Science, Peking University, Beijing 100871 (China)

    2015-12-28

    In this paper, a bistable optical-driven silicon-nanowire memory is demonstrated, which employs ring resonator to generate optical gradient force over a doubly clamped silicon-nanowire. Two stable deformation positions of a doubly clamped silicon-nanowire represent two memory states (“0” and “1”) and can be set/reset by modulating the light intensity (<3 mW) based on the optical force induced bistability. The time response of the optical-driven memory is less than 250 ns. It has applications in the fields of all optical communication, quantum computing, and optomechanical circuits.

  8. Strain and thermal conductivity in ultrathin suspended silicon nanowires

    Science.gov (United States)

    Fan, Daniel; Sigg, Hans; Spolenak, Ralph; Ekinci, Yasin

    2017-09-01

    We report on the uniaxial strain and thermal conductivity of well-ordered, suspended silicon nanowire arrays between 10 to 20 nm width and 22 nm half-pitch, fabricated by extreme-ultraviolet (UV) interference lithography. Laser-power-dependent Raman spectroscopy showed that nanowires connected monolithically to the bulk had a consistent strain of ˜0.1 % , whereas nanowires clamped by metal exhibited variability and high strain of up to 2.3%, having implications in strain engineering of nanowires. The thermal conductivity at room temperature was measured to be ˜1 W /m K for smooth nanowires and ˜0.1 W /m K for rougher ones, similar to results by other investigators. We found no modification of the bulk properties in terms of intrinsic scattering, and therefore, the decrease in thermal conductivity is mainly due to boundary scattering. Different types of surface roughness, such as constrictions and line-edge roughness, may play roles in the scattering of phonons of different wavelengths. Such low thermal conductivities would allow for very efficient thermal energy harvesting, approaching and passing values achieved by state-of-the-art thermoelectric materials.

  9. One-step synthesis of lightly doped porous silicon nanowires in HF/AgNO3/H2O2 solution at room temperature

    International Nuclear Information System (INIS)

    Bai, Fan; Li, Meicheng; Song, Dandan; Yu, Hang; Jiang, Bing; Li, Yingfeng

    2012-01-01

    One-step synthesis of lightly doped porous silicon nanowire arrays was achieved by etching the silicon wafer in HF/AgNO 3 /H 2 O 2 solution at room temperature. The lightly doped porous silicon nanowires (pNWs) have circular nanopores on the sidewall, which can emit strong green fluorescence. The surface morphologies of these nanowires could be controlled by simply adjusting the concentration of H 2 O 2 , which influences the distribution of silver nanoparticles (Ag NPs) along the nanowire axis. A mechanism based on Ag NPs-induced lateral etching of nanowires was proposed to explain the formation of pNWs. The controllable and widely applicable synthesis of pNWs will open their potential application to nanoscale photoluminescence devices. - Graphical abstract: The one-step synthesis of porous silicon nanowire arrays is achieved by chemical etching of the lightly doped p-type Si (100) wafer at room temperature. These nanowires exhibit strong green photoluminescence. SEM, TEM, HRTEM and photoluminescence images of pNWs. The scale bars of SEM, TEM HRTEM and photoluminescence are 10 μm, 20 nm, 10 nm, and 1 μm, respectively. Highlights: ► Simple one-step synthesis of lightly doped porous silicon nanowire arrays is achieved at RT. ► Etching process and mechanism are illustrated with etching model from a novel standpoint. ► As-prepared porous silicon nanowire emits strong green fluorescence, proving unique property.

  10. Large-size, high-uniformity, random silver nanowire networks as transparent electrodes for crystalline silicon wafer solar cells.

    Science.gov (United States)

    Xie, Shouyi; Ouyang, Zi; Jia, Baohua; Gu, Min

    2013-05-06

    Metal nanowire networks are emerging as next generation transparent electrodes for photovoltaic devices. We demonstrate the application of random silver nanowire networks as the top electrode on crystalline silicon wafer solar cells. The dependence of transmittance and sheet resistance on the surface coverage is measured. Superior optical and electrical properties are observed due to the large-size, highly-uniform nature of these networks. When applying the nanowire networks on the solar cells with an optimized two-step annealing process, we achieved as large as 19% enhancement on the energy conversion efficiency. The detailed analysis reveals that the enhancement is mainly caused by the improved electrical properties of the solar cells due to the silver nanowire networks. Our result reveals that this technology is a promising alternative transparent electrode technology for crystalline silicon wafer solar cells.

  11. New surface plasmon polariton waveguide based on GaN nanowires

    Directory of Open Access Journals (Sweden)

    Jun Zhu

    Full Text Available Lasers are nowadays widely used in industry, in hospitals and in many devices that we have at home. Random laser development is challenging given its high threshold and low integration. Surface plasmon polariton (SPP can improve random laser characteristics because of its ability to control diffraction. In this study, we establish a random laser structural model with silicon-based parcel GaN nanowires. The GaN nanowire gain and enhanced surface plasmon increase population inversion level. Our laser model is based on random particle scattering feedback mechanism, nanowire use, and surface plasmon enhancement effect, which causes stochastic laser emergence. Analysis shows that the SPP mode and nanowire waveguides coupled in the dielectric layer of low refractive index can store light energy like a capacitor under low refractive index clearance. The waveguide mode field area and limiting factors show that the modeled laser can achieve sub-wavelength constraints of the output light field. We also investigate emergent laser performance for a more limited light field capacity and lower threshold. Keywords: Random laser, Surface plasmon polariton, Feedback mechanism, Low threshold, Subwavelength constraints

  12. Photoresponsive properties of ultrathin silicon nanowires

    International Nuclear Information System (INIS)

    Tran, Duy P.; Macdonald, Thomas J.; Nann, Thomas; Thierry, Benjamin; Wolfrum, Bernhard; Stockmann, Regina; Offenhäusser, Andreas

    2014-01-01

    Functional silicon nanowires (SiNWs) are promising building blocks in the design of highly sensitive photodetectors and bio-chemical sensors. We systematically investigate the photoresponse properties of ultrathin SiNWs (20 nm) fabricated using a size-reduction method based on e-beam lithography and tetramethylammonium hydroxide wet-etching. The high-quality SiNWs were able to detect light from the UV to the visible range with excellent sensitivity (∼1 pW/array), good time response, and high photoresponsivity (R ∼ 2.5 × 10 4  A/W). Improvement of the ultrathin SiNWs' photoresponse has been observed in comparison to 40 nm counter-part nanowires. These properties are attributable to the predominance surface-effect due to the high surface-to-volume ratio of ultrathin SiNWs. Long-term measurements at different temperatures in both the forward and reverse bias directions demonstrated the stability and reliability of the fabricated device. By sensitizing the fabricated SiNW arrays with cadmium telluride quantum dots (QDs), hybrid QD SiNW devices displayed an improvement in photocurrent response under UV light, while preserving their performance in the visible light range. The fast, stable, and high photoresponse of these hybrid nanostructures is promising towards the development of optoelectronic and photovoltaic devices

  13. Photoresponsive properties of ultrathin silicon nanowires

    Energy Technology Data Exchange (ETDEWEB)

    Tran, Duy P.; Macdonald, Thomas J.; Nann, Thomas; Thierry, Benjamin, E-mail: a.offenhaeusser@fz-juelich.de, E-mail: benjamin.thierry@unisa.edu.au [Ian Wark Research Institute, University of South Australia, Mawson Lakes Campus, MM Bldg., Mawson Lakes Blvd., Mawson Lakes, South Australia 5095 (Australia); Wolfrum, Bernhard; Stockmann, Regina; Offenhäusser, Andreas, E-mail: a.offenhaeusser@fz-juelich.de, E-mail: benjamin.thierry@unisa.edu.au [Peter Grünberg Institute, Forschungszentrum Jülich GmbH, 2.4v Bldg., Wilhelm-Johnen St., Jülich 52428 (Germany)

    2014-12-08

    Functional silicon nanowires (SiNWs) are promising building blocks in the design of highly sensitive photodetectors and bio-chemical sensors. We systematically investigate the photoresponse properties of ultrathin SiNWs (20 nm) fabricated using a size-reduction method based on e-beam lithography and tetramethylammonium hydroxide wet-etching. The high-quality SiNWs were able to detect light from the UV to the visible range with excellent sensitivity (∼1 pW/array), good time response, and high photoresponsivity (R ∼ 2.5 × 10{sup 4 }A/W). Improvement of the ultrathin SiNWs' photoresponse has been observed in comparison to 40 nm counter-part nanowires. These properties are attributable to the predominance surface-effect due to the high surface-to-volume ratio of ultrathin SiNWs. Long-term measurements at different temperatures in both the forward and reverse bias directions demonstrated the stability and reliability of the fabricated device. By sensitizing the fabricated SiNW arrays with cadmium telluride quantum dots (QDs), hybrid QD SiNW devices displayed an improvement in photocurrent response under UV light, while preserving their performance in the visible light range. The fast, stable, and high photoresponse of these hybrid nanostructures is promising towards the development of optoelectronic and photovoltaic devices.

  14. Pt-decorated GaN nanowires with significant improvement in H2 gas-sensing performance at room temperature.

    Science.gov (United States)

    Abdullah, Q N; Yam, F K; Hassan, Z; Bououdina, M

    2015-12-15

    Superior sensitivity towards H2 gas was successfully achieved with Pt-decorated GaN nanowires (NWs) gas sensor. GaN NWs were fabricated via chemical vapor deposition (CVD) route. Morphology (field emission scanning electron microscopy and transmission electron microscopy) and crystal structure (high resolution X-ray diffraction) characterizations of the as-synthesized nanostructures demonstrated the formation of GaN NWs having a wurtzite structure, zigzaged shape and an average diameter of 30-166nm. The Pt-decorated GaN NWs sensor shows a high response of 250-2650% upon exposure to H2 gas concentration from 7 to 1000ppm respectively at room temperature (RT), and then increases to about 650-4100% when increasing the operating temperature up to 75°C. The gas-sensing measurements indicated that the Pt-decorated GaN NWs based sensor exhibited efficient detection of H2 at low concentration with excellent sensitivity, repeatability, and free hysteresis phenomena over a period of time of 100min. The large surface-to-volume ratio of GaN NWs and the catalytic activity of Pt metal are the most influential factors leading to the enhancement of H2 gas-sensing performances through the improvement of the interaction between the target molecules (H2) and the sensing NWs surface. The attractive low-cost, low power consumption and high-performance of the resultant decorated GaN NWs gas sensor assure their uppermost potential for H2 gas sensor working at low operating temperature. Copyright © 2015 Elsevier Inc. All rights reserved.

  15. Silicon-on-Insulator Nanowire Based Optical Waveguide Biosensors

    International Nuclear Information System (INIS)

    Li, Mingyu; Liu, Yong; Chen, Yangqing; He, Jian-Jun

    2016-01-01

    Optical waveguide biosensors based on silicon-on-insulator (SOI) nanowire have been developed for label free molecular detection. This paper reviews our work on the design, fabrication and measurement of SOI nanowire based high-sensitivity biosensors employing Vernier effect. Biosensing experiments using cascaded double-ring sensor and Mach-Zehnder- ring sensor integrated with microfluidic channels are demonstrated (paper)

  16. Silicon nanowire field-effect transistors for the detection of proteins

    Science.gov (United States)

    Madler, Carsten

    In this dissertation I present results on our efforts to increase the sensitivity and selectivity of silicon nanowire ion-sensitive field-effect transistors for the detection of biomarkers, as well as a novel method for wireless power transfer based on metamaterial rectennas for their potential use as implantable sensors. The sensing scheme is based on changes in the conductance of the semiconducting nanowires upon binding of charged entities to the surface, which induces a field-effect. Monitoring the differential conductance thus provides information of the selective binding of biological molecules of interest to previously covalently linked counterparts on the nanowire surface. In order to improve on the performance of the nanowire sensing, we devised and fabricated a nanowire Wheatstone bridge, which allows canceling out of signal drift due to thermal fluctuations and dynamics of fluid flow. We showed that balancing the bridge significantly improves the signal-to-noise ratio. Further, we demonstrated the sensing of novel melanoma biomarker TROY at clinically relevant concentrations and distinguished it from nonspecific binding by comparing the reaction kinetics. For increased sensitivity, an amplification method was employed using an enzyme which catalyzes a signal-generating reaction by changing the redox potential of a redox pair. In addition, we investigated the electric double layer, which forms around charges in an electrolytic solution. It causes electrostatic screening of the proteins of interest, which puts a fundamental limitation on the biomarker detection in solutions with high salt concentrations, such as blood. We solved the coupled Nernst-Planck and Poisson equations for the electrolyte under influence of an oscillating electric field and discovered oscillations of the counterion concentration at a characteristic frequency. In addition to exploring different methods for improved sensing capabilities, we studied an innovative method to supply power

  17. Directed deposition of silicon nanowires using neopentasilane as precursor and gold as catalyst

    Directory of Open Access Journals (Sweden)

    Britta Kämpken

    2012-07-01

    Full Text Available In this work the applicability of neopentasilane (Si(SiH34 as a precursor for the formation of silicon nanowires by using gold nanoparticles as a catalyst has been explored. The growth proceeds via the formation of liquid gold/silicon alloy droplets, which excrete the silicon nanowires upon continued decomposition of the precursor. This mechanism determines the diameter of the Si nanowires. Different sources for the gold nanoparticles have been tested: the spontaneous dewetting of gold films, thermally annealed gold films, deposition of preformed gold nanoparticles, and the use of “liquid bright gold”, a material historically used for the gilding of porcelain and glass. The latter does not only form gold nanoparticles when deposited as a thin film and thermally annealed, but can also be patterned by using UV irradiation, providing access to laterally structured layers of silicon nanowires.

  18. Carbon−Silicon Core−Shell Nanowires as High Capacity Electrode for Lithium Ion Batteries

    KAUST Repository

    Cui, Li-Feng; Yang, Yuan; Hsu, Ching-Mei; Cui, Yi

    2009-01-01

    We introduce a novel design of carbon-silicon core-shell nanowires for high power and long life lithium battery electrodes. Amorphous silicon was coated onto carbon nanofibers to form a core-shell structure and the resulted core-shell nanowires

  19. Solution-grown silicon nanowires for lithium-ion battery anodes.

    Science.gov (United States)

    Chan, Candace K; Patel, Reken N; O'Connell, Michael J; Korgel, Brian A; Cui, Yi

    2010-03-23

    Composite electrodes composed of silicon nanowires synthesized using the supercritical fluid-liquid-solid (SFLS) method mixed with amorphous carbon or carbon nanotubes were evaluated as Li-ion battery anodes. Carbon coating of the silicon nanowires using the pyrolysis of sugar was found to be crucial for making good electronic contact to the material. Using multiwalled carbon nanotubes as the conducting additive was found to be more effective for obtaining good cycling behavior than using amorphous carbon. Reversible capacities of 1500 mAh/g were observed for 30 cycles.

  20. Solution-Grown Silicon Nanowires for Lithium-Ion Battery Anodes

    KAUST Repository

    Chan, Candace K.

    2010-03-23

    Composite electrodes composed of silicon nanowires synthesized using the supercritical fluid-liquid-solid (SFLS) method mixed with amorphous carbon or carbon nanotubes were evaluated as Li-ion battery anodes. Carbon coating of the silicon nanowires using the pyrolysis of sugar was found to be crucial for making good electronic contact to the material. Using multiwalled carbon nanotubes as the conducting additive was found to be more effective for obtaining good cycling behavior than using amorphous carbon. Reversible capacities of 1500 mAh/g were observed for 30 cycles. © 2010 American Chemical Society.

  1. Carbon−Silicon Core−Shell Nanowires as High Capacity Electrode for Lithium Ion Batteries

    KAUST Repository

    Cui, Li-Feng

    2009-09-09

    We introduce a novel design of carbon-silicon core-shell nanowires for high power and long life lithium battery electrodes. Amorphous silicon was coated onto carbon nanofibers to form a core-shell structure and the resulted core-shell nanowires showed great performance as anode material. Since carbon has a much smaller capacity compared to silicon, the carbon core experiences less structural stress or damage during lithium cycling and can function as a mechanical support and an efficient electron conducting pathway. These nanowires have a high charge storage capacity of ∼2000 mAh/g and good cycling life. They also have a high Coulmbic efficiency of 90% for the first cycle and 98-99.6% for the following cycles. A full cell composed of LiCoO2 cathode and carbon-silicon core-shell nanowire anode is also demonstrated. Significantly, using these core-shell nanowires we have obtained high mass loading and an area capacity of ∼4 mAh/cm2, which is comparable to commercial battery values. © 2009 American Chemical Society.

  2. Ultra-low reflection porous silicon nanowires for solar cell applications

    OpenAIRE

    Najar , Adel; Charrier , Joël; Pirasteh , Parastesh; Sougrat , R.

    2012-01-01

    International audience; High density vertically aligned Porous Silicon NanoWires (PSiNWs) were fabricated on silicon substrate using metal assisted chemical etching process. A linear dependency of nanowire length to the etching time was obtained and the change in the growth rate of PSiNWs by increasing etching durations was shown. A typical 2D bright-field TEM image used for volume reconstruction of the sample shows the pores size varying from 10 to 50 nm. Furthermore, reflectivity measuremen...

  3. A III-V nanowire channel on silicon for high-performance vertical transistors.

    Science.gov (United States)

    Tomioka, Katsuhiro; Yoshimura, Masatoshi; Fukui, Takashi

    2012-08-09

    Silicon transistors are expected to have new gate architectures, channel materials and switching mechanisms in ten years' time. The trend in transistor scaling has already led to a change in gate structure from two dimensions to three, used in fin field-effect transistors, to avoid problems inherent in miniaturization such as high off-state leakage current and the short-channel effect. At present, planar and fin architectures using III-V materials, specifically InGaAs, are being explored as alternative fast channels on silicon because of their high electron mobility and high-quality interface with gate dielectrics. The idea of surrounding-gate transistors, in which the gate is wrapped around a nanowire channel to provide the best possible electrostatic gate control, using InGaAs channels on silicon, however, has been less well investigated because of difficulties in integrating free-standing InGaAs nanostructures on silicon. Here we report the position-controlled growth of vertical InGaAs nanowires on silicon without any buffering technique and demonstrate surrounding-gate transistors using InGaAs nanowires and InGaAs/InP/InAlAs/InGaAs core-multishell nanowires as channels. Surrounding-gate transistors using core-multishell nanowire channels with a six-sided, high-electron-mobility transistor structure greatly enhance the on-state current and transconductance while keeping good gate controllability. These devices provide a route to making vertically oriented transistors for the next generation of field-effect transistors and may be useful as building blocks for wireless networks on silicon platforms.

  4. Effective antireflection properties of porous silicon nanowires for photovoltaic applications

    KAUST Repository

    Najar, Adel

    2013-01-01

    Porous silicon nanowires (PSiNWs) have been prepared by metal-assisted chemical etching method on the n-Si substrate. The presence of nano-pores with pore size ranging between 10-50nm in SiNWs was confirmed by electron tomography (ET) in the transmission electron microscope (TEM). The PSiNWs give strong photoluminescence peak at red wavelength. Ultra-low reflectance of <5% span over wavelength 250 nm to 1050 nm has been measured. The finite-difference time-domain (FDTD) method has been employed to model the optical reflectance for both Si wafer and PSiNWs. Our calculation results are in agreement with the measured reflectance from nanowires length of 6 µm and 60% porosity. The low reflectance is attributed to the effective graded index of PSiNWs and enhancement of multiple optical scattering from the pores and nanowires. PSiNW structures with low surface reflectance can potentially serve as an antireflection layer for Si-based photovoltaic devices.

  5. Silicon Nanowire Field-effect Chemical Sensor

    NARCIS (Netherlands)

    Chen, S.

    2011-01-01

    This thesis describes the work that has been done on the project “Design and optimization of silicon nanowire for chemical sensing‿, including Si-NW fabrication, electrical/electrochemical modeling, the application as ISFET, and the build-up of Si- NW/LOC system for automatic sample delivery. A

  6. Study of optical absorbance in porous silicon nanowires for photovoltaic applications

    KAUST Repository

    Charrier, Joël

    2013-10-01

    Porous silicon nanowires (PSiNWs) layers fabrication was reported. Reflectance spectra were measured as a function of the nanowire length and were inferior to 0.1% and a strong photoluminescence (PL) signal was measured from samples. Models based on cone shape of nanowires located in circular and rectangular bases were used to calculate the reflectance using the transfer matrix formalism (TMF) of PSiNWs layer. The modeling of the reflectance permits to explain this value by taking account into the shape of the nanowires and its porosity. Optical absorbance and transmission were also theoretically studied. The absorbance was superior to that obtained with silicon nanowires and the ultimate efficiency was about equal to 25% for normal incidence angle. These results could be applied to the potential application in low-cost and high efficiency PSiNWs based solar cells. © 2013 Elsevier B.V. All rights reserved.

  7. Polarization Insensitive One-to-Six WDM Multicasting in a Silicon Nanowire

    DEFF Research Database (Denmark)

    Pu, Minhao; Hu, Hao; Peucheret, Christophe

    2012-01-01

    We present polarization insensitive one-to-six WDM multicasting based on nondegenerate four-wave mixing in a silicon nanowire with angled-pump scheme. Bit-error rate measurements are performed and error-free operation is achieved.......We present polarization insensitive one-to-six WDM multicasting based on nondegenerate four-wave mixing in a silicon nanowire with angled-pump scheme. Bit-error rate measurements are performed and error-free operation is achieved....

  8. pH-controlled silicon nanowires fluorescence switch

    International Nuclear Information System (INIS)

    Mu Lixuan; Shi Wensheng; Zhang Taiping; Zhang Hongyan; She Guangwei

    2010-01-01

    Covalently immobilizing photoinduced electronic transfer (PET) fluorophore 3-[N, N-bis(9-anthrylmethyl)amino]-propyltriethoxysilane (DiAN) on the surface of silicon nanowires (SiNWs) resulted a SiNWs-based fluorescence switch. This fluorescence switch is operated by adjustment of the acidity of the environment and exhibits sensitive response to pH at the range from 8 to 10. Such response is attributed to the effect of pH on the PET process. The successful combination of logic switch and SiNWs provides a rational approach to assemble different logic molecules on SiNWs for realization of miniaturization and modularization of switches and logic devices.

  9. Modulation of thermal conductivity in kinked silicon nanowires: phonon interchanging and pinching effects.

    Science.gov (United States)

    Jiang, Jin-Wu; Yang, Nuo; Wang, Bing-Shen; Rabczuk, Timon

    2013-04-10

    We perform molecular dynamics simulations to investigate the reduction of the thermal conductivity by kinks in silicon nanowires. The reduction percentage can be as high as 70% at room temperature. The temperature dependence of the reduction is also calculated. By calculating phonon polarization vectors, two mechanisms are found to be responsible for the reduced thermal conductivity: (1) the interchanging effect between the longitudinal and transverse phonon modes and (2) the pinching effect, that is, a new type of localization, for the twisting and transverse phonon modes in the kinked silicon nanowires. Our work demonstrates that the phonon interchanging and pinching effects, induced by kinking, are brand-new and effective ways in modulating heat transfer in nanowires, which enables the kinked silicon nanowires to be a promising candidate for thermoelectric materials.

  10. Impedance Analysis of Silicon Nanowire Lithium Ion Battery Anodes

    KAUST Repository

    Ruffo, Riccardo

    2009-07-02

    The impedance behavior of silicon nanowire electrodes has been investigated to understand the electrochemical process kinetics that influences the performance when used as a high-capacity anode in a lithium ion battery. The ac response was measured by using impedance spectroscopy in equilibrium conditions at different lithium compositions and during several cycles of charge and discharge in a half cell vs. metallic lithium. The impedance analysis shows the contribution of both surface resistance and solid state diffusion through the bulk of the nanowires. The surface process is dominated by a solid electrolyte layer (SEI) consisting of an inner, inorganic insoluble part and several organic compounds at the outer interface, as seen by XPS analysis. The surface resistivity, which seems to be correlated with the Coulombic efficiency of the electrode, grows at very high lithium contents due to an increase in the inorganic SEI thickness. We estimate the diffusion coefficient of about 2 × 10 -10 cm 2/s for lithium diffusion in silicon. A large increase in the electrode impedance was observed at very low lithium compositions, probably due to a different mechanism for lithium diffusion inside the wires. Restricting the discharge voltage to 0.7 V prevents this large impedance and improves the electrode lifetime. Cells cycled between 0.07 and 0.70 V vs. metallic lithium at a current density of 0.84 A/g (C/5) showed good Coulombic efficiency (about 99%) and maintained a capacity of about 2000 mAh/g after 80 cycles. © 2009 American Chemical Society.

  11. Surface-Passivated AlGaN Nanowires for Enhanced Luminescence of Ultraviolet Light Emitting Diodes

    KAUST Repository

    Sun, Haiding

    2017-12-19

    Spontaneously-grown, self-aligned AlGaN nanowire ultraviolet light emitting diodes still suffer from low efficiency partially because of the strong surface recombination caused by surface states, i.e., oxidized surface and high density surface states. Several surface passivation methods have been introduced to reduce surface non-radiative recombination by using complex and toxic chemicals. Here, we present an effective method to suppress such undesirable surface recombination of the AlGaN nanowires via diluted potassium hydroxide (KOH) solution; a commonly used chemical process in semiconductor fabrication which is barely used as surface passivation solution in self-assembled nitride-based nanowires. The transmission electron microscopy investigation on the samples reveals almost intact nanowire structures after the passivation process. We demonstrated an approximately 49.7% enhancement in the ultraviolet light output power after 30-s KOH treatment on AlGaN nanowires grown on titanium-coated silicon substrates. We attribute such a remarkable enhancement to the removal of the surface dangling bonds and oxidized nitrides (Ga-O or Al-O bonds) at the surface as we observe the change of the carrier lifetime before and after the passivation. Thus, our results highlight the possibility of employing this process for the realization of high performance nanowire UV emitters.

  12. An innovative large scale integration of silicon nanowire-based field effect transistors

    Science.gov (United States)

    Legallais, M.; Nguyen, T. T. T.; Mouis, M.; Salem, B.; Robin, E.; Chenevier, P.; Ternon, C.

    2018-05-01

    Since the early 2000s, silicon nanowire field effect transistors are emerging as ultrasensitive biosensors while offering label-free, portable and rapid detection. Nevertheless, their large scale production remains an ongoing challenge due to time consuming, complex and costly technology. In order to bypass these issues, we report here on the first integration of silicon nanowire networks, called nanonet, into long channel field effect transistors using standard microelectronic process. A special attention is paid to the silicidation of the contacts which involved a large number of SiNWs. The electrical characteristics of these FETs constituted by randomly oriented silicon nanowires are also studied. Compatible integration on the back-end of CMOS readout and promising electrical performances open new opportunities for sensing applications.

  13. Structural and electrochemical study of the reaction of lithium with silicon nanowires

    KAUST Repository

    Chan, Candace K.

    2009-04-01

    The structural transformations of silicon nanowires when cycled against lithium were evaluated using electrochemical potential spectroscopy and galvanostatic cycling. During the charge, the nanowires alloy with lithium to form an amorphous LixSi compound. At potentials <50 mV, a structural transformation occurs. In studies on micron-sized particles previously reported in the literature, this transformation is a crystallization to a metastable Li15Si4 phase. X-ray diffraction measurements on the Si nanowires, however, show that they are amorphous, suggesting that a different amorphous phase (LiySi) is formed. Lithium is removed from this phase in the discharge to form amorphous silicon. We have found that limiting the voltage in the charge to 70 mV results in improved efficiency and cyclability compared to charging to 10 mV. This improvement is due to the suppression of the transformation at low potentials, which alloys for reversible cycling of amorphous silicon nanowires. © 2008 Elsevier B.V. All rights reserved.

  14. A new approach for two-terminal electronic memory devices - Storing information on silicon nanowires

    Science.gov (United States)

    Saranti, Konstantina; Alotaibi, Sultan; Paul, Shashi

    2016-06-01

    The work described in this paper focuses on the utilisation of silicon nanowires as the information storage element in flash-type memory devices. Silicon nanostructures have attracted attention due to interesting electrical and optical properties, and their potential integration into electronic devices. A detailed investigation of the suitability of silicon nanowires as the charge storage medium in two-terminal non-volatile memory devices are presented in this report. The deposition of the silicon nanostructures was carried out at low temperatures (less than 400 °C) using a previously developed a novel method within our research group. Two-terminal non-volatile (2TNV) memory devices and metal-insulator-semiconductor (MIS) structures containing the silicon nanowires were fabricated and an in-depth study of their characteristics was carried out using current-voltage and capacitance techniques.

  15. Study of optical absorbance in porous silicon nanowires for photovoltaic applications

    KAUST Repository

    Charrier, Joë l; Najar, Adel; Pirasteh, Parastesh

    2013-01-01

    Porous silicon nanowires (PSiNWs) layers fabrication was reported. Reflectance spectra were measured as a function of the nanowire length and were inferior to 0.1% and a strong photoluminescence (PL) signal was measured from samples. Models based

  16. Scattering cross section of metal catalyst atoms in silicon nanowires

    DEFF Research Database (Denmark)

    Markussen, Troels; Rurali, R.; Cartoixa, X.

    2010-01-01

    A common technique to fabricate silicon nanowires is to use metal particles (e.g., Au, Ag, Cu, Al) to catalyze the growth reaction. As a consequence, the fabricated nanowires contain small concentrations of these metals as impurities. In this work we investigate the effect of the metallic impurit...

  17. Optical nonlinearity enhancement with graphene-decorated silicon waveguides

    Science.gov (United States)

    Ishizawa, Atsushi; Kou, Rai; Goto, Takahiro; Tsuchizawa, Tai; Matsuda, Nobuyuki; Hitachi, Kenichi; Nishikawa, Tadashi; Yamada, Koji; Sogawa, Tetsuomi; Gotoh, Hideki

    2017-04-01

    Broadband on-chip optical frequency combs (OFCs) are important for expanding the functionality of photonic integrated circuits. Here, we demonstrate a huge local optical nonlinearity enhancement using graphene. A waveguide is decorated with graphene by precisely manipulating graphene’s area and position. Our approach simultaneously achieves both an extremely efficient supercontinuum and ultra-short pulse generation. With our graphene-decorated silicon waveguide (G-SWG), we have achieved enhanced spectral broadening of femtosecond pump pulses, along with an eightfold increase in the output optical intensity at a wavelength approximately 200 nm shorter than that of the pump pulses. We also found that this huge nonlinearity works as a compressor that effectively compresses pulse width from 80 to 15.7 fs. Our results clearly show the potential for our G-SWG to greatly boost the speed and capacity of future communications with lower power consumption, and our method will further decrease the required pump laser power because it can be applied to decorate various kinds of waveguides with various two-dimensional materials.

  18. Comparison of Light Trapping in Silicon Nanowire and Surface Textured Thin-Film Solar Cells

    Directory of Open Access Journals (Sweden)

    Rion Parsons

    2017-04-01

    Full Text Available The optics of axial silicon nanowire solar cells is investigated and compared to silicon thin-film solar cells with textured contact layers. The quantum efficiency and short circuit current density are calculated taking a device geometry into account, which can be fabricated by using standard semiconductor processing. The solar cells with textured absorber and textured contact layers provide a gain of short circuit current density of 4.4 mA/cm2 and 6.1 mA/cm2 compared to a solar cell on a flat substrate, respectively. The influence of the device dimensions on the quantum efficiency and short circuit current density will be discussed.

  19. Silicon nanowires for photovoltaic solar energy conversion.

    Science.gov (United States)

    Peng, Kui-Qing; Lee, Shuit-Tong

    2011-01-11

    Semiconductor nanowires are attracting intense interest as a promising material for solar energy conversion for the new-generation photovoltaic (PV) technology. In particular, silicon nanowires (SiNWs) are under active investigation for PV applications because they offer novel approaches for solar-to-electric energy conversion leading to high-efficiency devices via simple manufacturing. This article reviews the recent developments in the utilization of SiNWs for PV applications, the relationship between SiNW-based PV device structure and performance, and the challenges to obtaining high-performance cost-effective solar cells.

  20. High density micro-pyramids with silicon nanowire array for photovoltaic applications

    International Nuclear Information System (INIS)

    Rahman, Tasmiat; Navarro-Cía, Miguel; Fobelets, Kristel

    2014-01-01

    We use a metal assisted chemical etch process to fabricate silicon nanowire arrays (SiNWAs) onto a dense periodic array of pyramids that are formed using an alkaline etch masked with an oxide layer. The hybrid micro-nano structure acts as an anti-reflective coating with experimental reflectivity below 1% over the visible and near-infrared spectral regions. This represents an improvement of up to 11 and 14 times compared to the pyramid array and SiNWAs on bulk, respectively. In addition to the experimental work, we optically simulate the hybrid structure using a commercial finite difference time domain package. The results of the optical simulations support our experimental work, illustrating a reduced reflectivity in the hybrid structure. The nanowire array increases the absorbed carrier density within the pyramid by providing a guided transition of the refractive index along the light path from air into the silicon. Furthermore, electrical simulations which take into account surface and Auger recombination show an efficiency increase for the hybrid structure of 56% over bulk, 11% over pyramid array and 8.5% over SiNWAs. (paper)

  1. A facile fluorescent sensor based on silicon nanowires for dithionite

    Science.gov (United States)

    Cao, Xingxing; Mu, Lixuan; Chen, Min; She, Guangwei

    2018-05-01

    A facile and novel fluorescent sensor for dithionite has been constructed by simultaneously immobilizing dansyl group (fluorescence molecule) and dabsyl group (quencher and recognizing group) on the silicon nanowires (SiNWs) and SiNW arrays surface. This sensor for dithionite exhibited high selectivity and a good relationship of linearity between fluorescence intensities and dithionite concentrations from 0.1 to 1 mM. This approach is straightforward and does not require complicated synthesis, which can be extended to develop other sensors with similar rationale.

  2. Structural and electrochemical study of the reaction of lithium with silicon nanowires

    KAUST Repository

    Chan, Candace K.; Ruffo, Riccardo; Hong, Seung Sae; Huggins, Robert A.; Cui, Yi

    2009-01-01

    The structural transformations of silicon nanowires when cycled against lithium were evaluated using electrochemical potential spectroscopy and galvanostatic cycling. During the charge, the nanowires alloy with lithium to form an amorphous Lix

  3. Assessment on thermoelectric power factor in silicon nanowire networks

    Energy Technology Data Exchange (ETDEWEB)

    Lohn, Andrew J.; Kobayashi, Nobuhiko P. [Baskin School of Engineering, University of California Santa Cruz, CA (United States); Nanostructured Energy Conversion Technology and Research (NECTAR), Advanced Studies Laboratories, University of California Santa Cruz, NASA Ames Research Center, Moffett Field, CA (United States); Coleman, Elane; Tompa, Gary S. [Structured Materials Industries, Inc., Piscataway, NJ (United States)

    2012-01-15

    Thermoelectric devices based on three-dimensional networks of highly interconnected silicon nanowires were fabricated and the parameters that contribute to the power factor, namely the Seebeck coefficient and electrical conductivity were assessed. The large area (2 cm x 2 cm) devices were fabricated at low cost utilizing a highly scalable process involving silicon nanowires grown on steel substrates. Temperature dependence of the Seebeck coefficient was found to be weak over the range of 20-80 C at approximately -400 {mu}V/K for unintentionally doped devices and {+-}50 {mu}V/K for p-type and n-type devices, respectively. (Copyright copyright 2012 WILEY-VCH Verlag GmbH and Co. KGaA, Weinheim)

  4. First-principles study of structural & electronic properties of pyramidal silicon nanowire

    Energy Technology Data Exchange (ETDEWEB)

    Jariwala, Pinank; Thakor, P. B. [Department of Physics, Veer Narmad South Gujarat University, Surat 395 007, Gujarat (India); Singh, Deobrat; Sonvane, Y. A., E-mail: yasonvane@gmail.com [Department of Applied Physics, S. V. National Institute of Technology, Surat 395 007 (India); Gupta, Sanjeev K. [Department of Physics, St. Xavier’s College, Ahmedabad 38 0009 (India)

    2016-05-23

    We have investigated the stable structural and electronic properties of Silicon (Si) nanowires having different cross-sections with 5-7 Si atoms per unit cell. These properties of the studied Si nanowires were significantly changed from those of diamond bulk Si structure. The binding energy increases as increasing atoms number per unit cell in different SiNWs structures. All the nanowires structures are behave like metallic rather than semiconductor in bulk systems. In general, the number of conduction channels increases when the nanowire becomes thicker. The density of charge revealed delocalized metallic bonding for all studied Si nanowires.

  5. Nanowire-decorated microscale metallic electrodes

    DEFF Research Database (Denmark)

    Vlad, A.; Mátéfi-Tempfli, M.; Antohe, V.A.

    2008-01-01

    The fabrication of metallic nanowire patterns within anodic alumina oxide (AAO) membranes on top of continuous conducting substrates are discussed. The fabrication protocol is based on the realization of nanowire patterns using supported nanoporous alumina templates (SNAT) prepared on top...... of lithographically defined metallic microelectrodes. The anodization of the aluminum permits electroplating only on top of the metallic electrodes, leading to the nanowire patterns having the same shape as the underlying metallic tracks. The variation in the fabricated structures between the patterned and non......-patterned substrates can be interpreted in terms of different behavior during anodization. The improved quality of fabricated nanowire patterns is clearly demonstrated by the SEM imaging and the uniform growth of nanowires inside the alumina template is observed without any significant height variation....

  6. Room temperature photoluminescence in the visible range from silicon nanowires grown by a solid-state reaction

    International Nuclear Information System (INIS)

    Anguita, J V; Sharma, P; Henley, S J; Silva, S R P

    2009-01-01

    The solid-liquid-solid method (also known as the solid-state method) is used to produce silicon nanowires at the core of silica nanowires with a support catalyst layer structure of nickel and titanium layers sputtered on oxide-coated silicon wafers. This silane-free process is low cost and large-area compatible. Using electron microscopy and Raman spectroscopy we deduce that the wires have crystalline silicon cores. The nanowires show photoluminescence in the visible range (orange), and we investigate the origin of this band. We further show that the nanowires form a random mesh that acts as an efficient optical trap, giving rise to an optically absorbing medium.

  7. Room temperature photoluminescence in the visible range from silicon nanowires grown by a solid-state reaction

    Science.gov (United States)

    Anguita, J. V.; Sharma, P.; Henley, S. J.; Silva, S. R. P.

    2009-11-01

    The solid-liquid-solid method (also known as the solid-state method) is used to produce silicon nanowires at the core of silica nanowires with a support catalyst layer structure of nickel and titanium layers sputtered on oxide-coated silicon wafers. This silane-free process is low cost and large-area compatible. Using electron microscopy and Raman spectroscopy we deduce that the wires have crystalline silicon cores. The nanowires show photoluminescence in the visible range (orange), and we investigate the origin of this band. We further show that the nanowires form a random mesh that acts as an efficient optical trap, giving rise to an optically absorbing medium.

  8. Silicon nanowire networks for multi-stage thermoelectric modules

    International Nuclear Information System (INIS)

    Norris, Kate J.; Garrett, Matthew P.; Zhang, Junce; Coleman, Elane; Tompa, Gary S.; Kobayashi, Nobuhiko P.

    2015-01-01

    Highlights: • Fabricated flexible single, double, and quadruple stacked Si thermoelectric modules. • Measured an enhanced power production of 27%, showing vertical stacking is scalable. • Vertically scalable thermoelectric module design of semiconducting nanowires. • Design can utilize either p or n-type semiconductors, both types are not required. • ΔT increases with thickness therefore power/area can increase as modules are stacked. - Abstract: We present the fabrication and characterization of single, double, and quadruple stacked flexible silicon nanowire network based thermoelectric modules. From double to quadruple stacked modules, power production increased 27%, demonstrating that stacking multiple nanowire thermoelectric devices in series is a scalable method to generate power by supplying larger temperature gradient. We present a vertically scalable multi-stage thermoelectric module design using semiconducting nanowires, eliminating the need for both n-type and p-type semiconductors for modules

  9. Reducing the porosity and reflection loss of silicon nanowires by a sticky tape

    International Nuclear Information System (INIS)

    Liu, Junjun; Huang, Zhifeng

    2015-01-01

    Engineering the porosity of silicon nanowires (SiNWs) is of fundamental importance, and this work introduces a new method for doing so. Metal-assisted chemical etching (MACE) of heavily doped Si(100) creates mesoporous silicon nanowires (mp-SiNWs). mp-SiNWs are transferred from the MACE-treated wafer to a sticky tape, leaving residues composed of broken mp-SiNWs and a mesoporous Si layer on the wafer. Then the taped wafer is re-treated by MACE, without changing the etching conditions. The second MACE treatment generates mp-SiNWs that are less porous and longer than those generated by the first MACE treatment, which can be attributed to the difference in the surface topography at the beginning of the etching process. Less porous mp-SiNWs reduce optical scattering from the porous Si skeletons, and vertically protrude on the wafer without aggregation to facilitate optical trapping. Consequently, less porous mp-SiNWs effectively reduce ultraviolet-visible reflection loss. (paper)

  10. Chemically Etched Silicon Nanowires as Anodes for Lithium-Ion Batteries

    Energy Technology Data Exchange (ETDEWEB)

    West, Hannah Elise [Sandia National Lab. (SNL-NM), Albuquerque, NM (United States)

    2015-08-01

    This study focused on silicon as a high capacity replacement anode for Lithium-ion batteries. The challenge of silicon is that it expands ~270% upon lithium insertion which causes particles of silicon to fracture, causing the capacity to fade rapidly. To account for this expansion chemically etched silicon nanowires from the University of Maine were studied as anodes. They were built into electrochemical half-cells and cycled continuously to measure the capacity and capacity fade.

  11. The electronic structure of radial p-n junction silicon nanowires

    Science.gov (United States)

    Chiou, Shan-Haw; Grossman, Jeffrey

    2007-03-01

    Silicon nanowires with radial p-n junctions have recently been suggested for photovoltaic applications because incident light can be absorbed along the entire length of the wire, while photogenerated carriers only need to diffuse a maximum of one radius to reach the p-n junction. If the differential of the potential is larger than the binding energy of the electron-hole pair and has a range larger than the Bohr radius of electron-hole pair, then the charge separation mechanism will be similar to traditional silicon solar cells. However, in the small-diameter limit, where quantum confinement effects are prominent, both the exciton binding energy and the potential drop will increase, and the p-n junction itself may have a dramatically different character. We present ab initio calculations based on the generalized gradient approximation (GGA) of silicon nanowires with 2-3 nm diameter in the [111] growth direction. A radial p-n junction was formed by symmetrically doping boron and phosphorous at the same vertical level along the axis of the nanowire. The competition between the slope and character of the radial electronic potential and the exciton binding energy will presented in the context of a charge separation mechanism.

  12. Surface-Coating Regulated Lithiation Kinetics and Degradation in Silicon Nanowires for Lithium Ion Battery

    Energy Technology Data Exchange (ETDEWEB)

    Luo, Langli; Yang, Hui; Yan, Pengfei; Travis, Jonathan J.; Lee, Younghee; Liu, Nian; Piper, Daniela M.; Lee, Se-Hee; Zhao, Peng; George, Steven M.; Zhang, Jiguang; Cui, Yi; Zhang, Sulin; Ban, Chunmei; Wang, Chong M.

    2015-05-26

    Silicon (Si)-based materials hold promise as the next-generation anodes for high-energy lithium (Li)-ion batteries. Enormous research efforts have been undertaken to mitigate the chemo-mechanical failure due to the large volume changes of Si during lithiation and delithiation cycles. It has been found nanostructured Si coated with carbon or other functional materials can lead to significantly improved cyclability. However, the underlying mechanism and comparative performance of different coatings remain poorly understood. Herein, using in situ transmission electron microscopy (TEM) through a nanoscale half-cell battery, in combination with chemo-mechanical simulation, we explored the effect of thin (~5 nm) alucone and Al2O3 coatings on the lithiation kinetics of Si nanowires (SiNWs). We observed that the alucone coating leads to a “V-shaped” lithiation front of the SiNWs , while the Al2O3 coating yields an “H-shaped” lithiation front. These observations indicate that the difference between the Li surface diffusivity and bulk diffusivity of the coatings dictates lithiation induced morphological evolution in the nanowires. Our experiments also indicate that the reaction rate in the coating layer can be the limiting step for lithiation and therefore critically influences the rate performance of the battery. Further, the failure mechanism of the Al2O3 coated SiNWs was also explored. Our studies shed light on the design of high capacity, high rate and long cycle life Li-ion batteries.

  13. Self-bridging of vertical silicon nanowires and a universal capacitive force model for spontaneous attraction in nanostructures.

    Science.gov (United States)

    Sun, Zhelin; Wang, Deli; Xiang, Jie

    2014-11-25

    Spontaneous attractions between free-standing nanostructures have often caused adhesion or stiction that affects a wide range of nanoscale devices, particularly nano/microelectromechanical systems. Previous understandings of the attraction mechanisms have included capillary force, van der Waals/Casimir forces, and surface polar charges. However, none of these mechanisms universally applies to simple semiconductor structures such as silicon nanowire arrays that often exhibit bunching or adhesions. Here we propose a simple capacitive force model to quantitatively study the universal spontaneous attraction that often causes stiction among semiconductor or metallic nanostructures such as vertical nanowire arrays with inevitably nonuniform size variations due to fabrication. When nanostructures are uniform in size, they share the same substrate potential. The presence of slight size differences will break the symmetry in the capacitive network formed between the nanowires, substrate, and their environment, giving rise to electrostatic attraction forces due to the relative potential difference between neighboring wires. Our model is experimentally verified using arrays of vertical silicon nanowire pairs with varied spacing, diameter, and size differences. Threshold nanowire spacing, diameter, or size difference between the nearest neighbors has been identified beyond which the nanowires start to exhibit spontaneous attraction that leads to bridging when electrostatic forces overcome elastic restoration forces. This work illustrates a universal understanding of spontaneous attraction that will impact the design, fabrication, and reliable operation of nanoscale devices and systems.

  14. Silicon Nanowires for Solar Thermal Energy Harvesting: an Experimental Evaluation on the Trade-off Effects of the Spectral Optical Properties.

    Science.gov (United States)

    Sekone, Abdoul Karim; Chen, Yu-Bin; Lu, Ming-Chang; Chen, Wen-Kai; Liu, Chia-An; Lee, Ming-Tsang

    2016-12-01

    Silicon nanowire possesses great potential as the material for renewable energy harvesting and conversion. The significantly reduced spectral reflectivity of silicon nanowire to visible light makes it even more attractive in solar energy applications. However, the benefit of its use for solar thermal energy harvesting remains to be investigated and has so far not been clearly reported. The purpose of this study is to provide practical information and insight into the performance of silicon nanowires in solar thermal energy conversion systems. Spectral hemispherical reflectivity and transmissivity of the black silicon nanowire array on silicon wafer substrate were measured. It was observed that the reflectivity is lower in the visible range but higher in the infrared range compared to the plain silicon wafer. A drying experiment and a theoretical calculation were carried out to directly evaluate the effects of the trade-off between scattering properties at different wavelengths. It is clearly seen that silicon nanowires can improve the solar thermal energy harnessing. The results showed that a 17.8 % increase in the harvest and utilization of solar thermal energy could be achieved using a silicon nanowire array on silicon substrate as compared to that obtained with a plain silicon wafer.

  15. Polarization Insensitive Wavelength Conversion Based on Four-Wave Mixing in a Silicon Nanowire

    DEFF Research Database (Denmark)

    Pu, Minhao; Hu, Hao; Peucheret, Christophe

    2012-01-01

    We experimentally demonstrate, for the first time, polarization-insensitive wavelength conversion of a 10 Gb/s NRZ-OOK data signal based on four-wave mixing in a silicon nanowire with bit-error rate measurements.......We experimentally demonstrate, for the first time, polarization-insensitive wavelength conversion of a 10 Gb/s NRZ-OOK data signal based on four-wave mixing in a silicon nanowire with bit-error rate measurements....

  16. CMOS-compatible fabrication of top-gated field-effect transistor silicon nanowire-based biosensors

    International Nuclear Information System (INIS)

    Ginet, Patrick; Akiyama, Sho; Takama, Nobuyuki; Fujita, Hiroyuki; Kim, Beomjoon

    2011-01-01

    Field-effect transistor (FET) nanowire-based biosensors are very promising tools for medical diagnosis. In this paper, we introduce a simple method to fabricate FET silicon nanowires using only standard microelectromechanical system (MEMS) processes. The key steps of our fabrication process were a local oxidation of silicon (LOCOS) and anisotropic KOH etchings that enabled us to reduce the width of the initial silicon structures from 10 µm to 170 nm. To turn the nanowires into a FET, a top-gate electrode was patterned in gold next to them in order to apply the gate voltage directly through the investigated liquid environment. An electrical characterization demonstrated the p-type behaviour of the nanowires. Preliminary chemical sensing tested the sensitivity to pH of our device. The effect of the binding of streptavidin on biotinylated nanowires was monitored in order to evaluate their biosensing ability. In this way, streptavidin was detected down to a 100 ng mL −1 concentration in phosphate buffered saline by applying a gate voltage less than 1.2 V. The use of a top-gate electrode enabled the detection of biological species with only very low voltages that were compatible with future handheld-requiring applications. We thus demonstrated the potential of our devices and their fabrication as a solution for the mass production of efficient and reliable FET nanowire-based biological sensors

  17. Flow boiling heat transfer on nanowire-coated surfaces with highly wetting liquid

    International Nuclear Information System (INIS)

    Shin, Sangwoo; Choi, Geehong; Kim, Beom Seok; Cho, Hyung Hee

    2014-01-01

    Owing to the recent advances in nanotechnology, one significant progress in energy technology is increased cooling ability. It has recently been shown that nanowires can improve pool boiling heat transfer due to the unique features such as enhanced wetting and enlarged nucleation sites. Applying such nanowires on a flow boiling, which is another major class of boiling phenomenon that is associated with forced convection, is yet immature and scarce despite its importance in various applications such as liquid cooling of energy, electronics and refrigeration systems. Here, we investigate flow boiling heat transfer on surfaces that are coated with SiNWs (silicon nanowires). Also, we use highly-wetting dielectric liquid, FC-72, as a working fluid. An interesting wetting behavior is observed where the presence of SiNWs reduces wetting and wicking that in turn leads to significant decrease of CHF (critical heat flux) compared to the plain surface, which opposes the current consensus. Also, the effects of nanowire length and Reynolds number on the boiling heat transfer are shown to be highly nonmonotonic. We attempt to explain such an unusual behavior on the basis of wetting, nucleation and forced convection, and we show that such factors are highly coupled in a way that lead to unusual behavior. - Highlights: • Observation of suppressed wettability in the presence of surface roughness (nanowires). • Significant reduction of critical heat flux in the presence of nanowires. • Nonmonotonic behavior of heat transfer coefficient vs. nanowire length and Reynolds number

  18. Silicon nanowire arrays as learning chemical vapour classifiers

    International Nuclear Information System (INIS)

    Niskanen, A O; Colli, A; White, R; Li, H W; Spigone, E; Kivioja, J M

    2011-01-01

    Nanowire field-effect transistors are a promising class of devices for various sensing applications. Apart from detecting individual chemical or biological analytes, it is especially interesting to use multiple selective sensors to look at their collective response in order to perform classification into predetermined categories. We show that non-functionalised silicon nanowire arrays can be used to robustly classify different chemical vapours using simple statistical machine learning methods. We were able to distinguish between acetone, ethanol and water with 100% accuracy while methanol, ethanol and 2-propanol were classified with 96% accuracy in ambient conditions.

  19. David Adler Lectureship Award Talk: III-V Semiconductor Nanowires on Silicon for Future Devices

    Science.gov (United States)

    Riel, Heike

    Bottom-up grown nanowires are very attractive materials for direct integration of III-V semiconductors on silicon thus opening up new possibilities for the design and fabrication of nanoscale devices for electronic, optoelectronic as well as quantum information applications. Template-Assisted Selective Epitaxy (TASE) allows the well-defined and monolithic integration of complex III-V nanostructures and devices on silicon. Achieving atomically abrupt heterointerfaces, high crystal quality and control of dimension down to 1D nanowires enabled the demonstration of FETs and tunnel devices based on In(Ga)As and GaSb. Furthermore, the strong influence of strain on nanowires as well as results on quantum transport studies of InAs nanowires with well-defined geometry will be presented.

  20. Silicon Nanowire Fabrication Using Edge and Corner Lithography

    NARCIS (Netherlands)

    Yagubizade, H.; Berenschot, Johan W.; Jansen, Henricus V.; Elwenspoek, Michael Curt; Tas, Niels Roelof

    2010-01-01

    This paper presents a wafer scale fabrication method of single-crystalline silicon nanowires (SiNWs) bound by <111> planes using a combination of edge and corner lithography. These are methods of unconventional nanolithography for wafer scale nano-patterning which determine the size of nano-features

  1. Molecular layer deposition of APTES on silicon nanowire biosensors: Surface characterization, stability and pH response

    International Nuclear Information System (INIS)

    Liang, Yuchen; Huang, Jie; Zang, Pengyuan; Kim, Jiyoung; Hu, Walter

    2014-01-01

    Graphical abstract: - Abstract: We report the use of molecular layer deposition (MLD) for depositing 3-aminopropyltriethoxysilane (APTES) on a silicon dioxide surface. The APTES monolayer was characterized using spectroscopic ellipsometry, contact angle goniometry, and atomic force microscopy. Effects of reaction time of repeating pulses and simultaneous feeding of water vapor with APTES were tested. The results indicate that the synergistic effects of water vapor and reaction time are significant for the formation of a stable monolayer. Additionally, increasing the number of repeating pulses improved the APTES surface coverage but led to saturation after 10 pulses. In comparing MLD with solution-phase deposition, the APTES surface coverage and the surface quality were nearly equivalent. The hydrolytic stability of the resulting films was also studied. The results confirmed that the hydrolysis process was necessary for MLD to obtain stable surface chemistry. Furthermore, we compared the pH sensing results of Si nanowire field effect transistors (Si NWFETs) modified by both the MLD and solution methods. The highly repeatable pH sensing results reflected the stability of APTES monolayers. The results also showed an improved pH response of the sensor prepared by MLD compared to the one prepared by the solution treatment, which indicated higher surface coverage of APTES

  2. Molecular layer deposition of APTES on silicon nanowire biosensors: Surface characterization, stability and pH response

    Science.gov (United States)

    Liang, Yuchen; Huang, Jie; Zang, Pengyuan; Kim, Jiyoung; Hu, Walter

    2014-12-01

    We report the use of molecular layer deposition (MLD) for depositing 3-aminopropyltriethoxysilane (APTES) on a silicon dioxide surface. The APTES monolayer was characterized using spectroscopic ellipsometry, contact angle goniometry, and atomic force microscopy. Effects of reaction time of repeating pulses and simultaneous feeding of water vapor with APTES were tested. The results indicate that the synergistic effects of water vapor and reaction time are significant for the formation of a stable monolayer. Additionally, increasing the number of repeating pulses improved the APTES surface coverage but led to saturation after 10 pulses. In comparing MLD with solution-phase deposition, the APTES surface coverage and the surface quality were nearly equivalent. The hydrolytic stability of the resulting films was also studied. The results confirmed that the hydrolysis process was necessary for MLD to obtain stable surface chemistry. Furthermore, we compared the pH sensing results of Si nanowire field effect transistors (Si NWFETs) modified by both the MLD and solution methods. The highly repeatable pH sensing results reflected the stability of APTES monolayers. The results also showed an improved pH response of the sensor prepared by MLD compared to the one prepared by the solution treatment, which indicated higher surface coverage of APTES.

  3. Ultra-low reflection porous silicon nanowires for solar cell applications

    KAUST Repository

    Najar, Adel

    2012-01-01

    High density vertically aligned Porous Silicon NanoWires (PSiNWs) were fabricated on silicon substrate using metal assisted chemical etching process. A linear dependency of nanowire length to the etching time was obtained and the change in the growth rate of PSiNWs by increasing etching durations was shown. A typical 2D bright-field TEM image used for volume reconstruction of the sample shows the pores size varying from 10 to 50 nm. Furthermore, reflectivity measurements show that the 35% reflectivity of the starting silicon wafer drops to 0.1% recorded for more than 10 μm long PSiNWs. Models based on cone shape of nanowires located in a circular and rectangular bases were used to calculate the reflectance employing the Transfert Matrix Formalism (TMF) of the PSiNWs layer. Using TMF, the Bruggeman model was used to calculate the refractive index of PSiNWs layer. The calculated reflectance using circular cone shape fits better the measured reflectance for PSiNWs. The remarkable decrease in optical reflectivity indicates that PSiNWs is a good antireflective layer and have a great potential to be utilized in radial or coaxial p-n heterojunction solar cells that could provide orthogonal photon absorption and enhanced carrier collection. ©2012 Optical Society of America.

  4. Nanofabrication of Arrays of Silicon Field Emitters with Vertical Silicon Nanowire Current Limiters and Self-Aligned Gates

    Science.gov (United States)

    2016-08-19

    limiters, MEMS, NEMS, field emission, cold cathodes (Some figures may appear in colour only in the online journal) 1. Introduction Dense arrays of silicon... attention has been given to densely packed, highly ordered, top-down fabricated, single crystal vertical silicon nanowire devices that are embedded

  5. High Surface Area of Porous Silicon Drives Desorption of Intact Molecules

    Science.gov (United States)

    Northen, Trent R.; Woo, Hin-Koon; Northen, Michael T.; Nordström, Anders; Uritboonthail, Winnie; Turner, Kimberly L.; Siuzdak, Gary

    2007-01-01

    The surface structure of porous silicon used in desorption/ionization on porous silicon (DIOS) mass analysis is known to play a primary role in the desorption/ionization (D/I) process. In this study, mass spectrometry and scanning electron microscopy (SEM) are used to examine the correlation between intact ion generation with surface ablation, and surface morphology. The DIOS process is found to be highly laser energy dependent and correlates directly with the appearance of surface ions (Sin+ and OSiH+). A threshold laser energy for DIOS is observed (10 mJ/cm2), which supports that DIOS is driven by surface restructuring and is not a strictly thermal process. In addition, three DIOS regimes are observed which correspond to surface restructuring and melting. These results suggest that higher surface area silicon substrates may enhance DIOS performance. A recent example which fits into this mechanism is silicon nanowires surface which have a high surface energy and concomitantly requires lower laser energy for analyte desorpton. PMID:17881245

  6. Novel epoxy-silicone thermolytic transparent packaging adhesives chemical modified by ZnO nanowires for HB LEDs

    International Nuclear Information System (INIS)

    He Ying; Wang Junan; Pei Changlong; Song Jizhong; Zhu Di; Chen Jie

    2010-01-01

    A novel high transparent thermolytic epoxy-silicone for high-brightness light-emitting diode (HB-LED) is introduced, which was synthesized by polymerization using silicone matrix via diglycidyl ether bisphenol-A epoxy resin (DGEBA) as reinforcing agent, and filling ZnO nanowires to modify thermal conductivity and control refractive index of the hybrid material. The interactions of ZnO nanowires with polymers are mediated by the ligands attached to the nanoparticles. Thus, the ligands markedly influence the properties of ZnO nanowires/epoxy-silicone composites. The refractive indices of the prepared hybrid adhesives can be tuned by the ZnO nanowires from 1.4711 to 1.5605. Light transmittance can be increased by 20% from 80 to 95%. The thermal conductivity of the transparent packaging adhesives is 0.89-0.90 W/mK.

  7. Impedance Analysis of Silicon Nanowire Lithium Ion Battery Anodes

    KAUST Repository

    Ruffo, Riccardo; Hong, Seung Sae; Chan, Candace K.; Huggins, Robert A.; Cui, Yi

    2009-01-01

    The impedance behavior of silicon nanowire electrodes has been investigated to understand the electrochemical process kinetics that influences the performance when used as a high-capacity anode in a lithium ion battery. The ac response was measured

  8. Controlling growth density and patterning of single crystalline silicon nanowires

    International Nuclear Information System (INIS)

    Chang, Tung-Hao; Chang, Yu-Cheng; Liu, Fu-Ken; Chu, Tieh-Chi

    2010-01-01

    This study examines the usage of well-patterned Au nanoparticles (NPs) as a catalyst for one-dimensional growth of single crystalline Si nanowires (NWs) through the vapor-liquid-solid (VLS) mechanism. The study reports the fabrication of monolayer Au NPs through the self-assembly of Au NPs on a 3-aminopropyltrimethoxysilane (APTMS)-modified silicon substrate. Results indicate that the spin coating time of Au NPs plays a crucial role in determining the density of Au NPs on the surface of the silicon substrate and the later catalysis growth of Si NWs. The experiments in this study employed optical lithography to pattern Au NPs, treating them as a catalyst for Si NW growth. The patterned Si NW structures easily produced and controlled Si NW density. This approach may be useful for further studies on single crystalline Si NW-based nanodevices and their properties.

  9. Modeling of Temperature-Dependent Noise in Silicon Nanowire FETs including Self-Heating Effects

    Directory of Open Access Journals (Sweden)

    P. Anandan

    2014-01-01

    Full Text Available Silicon nanowires are leading the CMOS era towards the downsizing limit and its nature will be effectively suppress the short channel effects. Accurate modeling of thermal noise in nanowires is crucial for RF applications of nano-CMOS emerging technologies. In this work, a perfect temperature-dependent model for silicon nanowires including the self-heating effects has been derived and its effects on device parameters have been observed. The power spectral density as a function of thermal resistance shows significant improvement as the channel length decreases. The effects of thermal noise including self-heating of the device are explored. Moreover, significant reduction in noise with respect to channel thermal resistance, gate length, and biasing is analyzed.

  10. Imaging, structural, and chemical analysis of silicon nanowires

    International Nuclear Information System (INIS)

    Barsotti, R.J. Jr.; Fischer, J.E.; Lee, C.H.; Mahmood, J.; Adu, C.K.W.; Eklund, P.C.

    2002-01-01

    Laser ablation has been used to grow silicon nanowires with an average silicon crystal core diameter of 6.7 nm±2.9 nm surrounded by an amorphous SiO x sheath of 1-2 nm, the smallest silicon wires reported in the literature. Imaging, chemical, and structural analysis of these wires are reported. Due to the growth temperature and the presence of calcium impurities and trace oxygen, two distinct types of wires are found. They appear to grow by two different processes. One requires a metal catalyst, the other is catalyzed by oxygen. Suggestions for controlled synthesis based on these growth mechanisms are made

  11. Hybrid nanocomposites based on conducting polymer and silicon nanowires for photovoltaic application

    International Nuclear Information System (INIS)

    Chehata, Nadia; Ltaief, Adnen; Ilahi, Bouraoui; Salem, Bassem; Bouazizi, Abdelaziz; Maaref, Hassen; Baron, Thierry

    2014-01-01

    Hybrid nanocomposites based on a nanoscale combination of organic and inorganic semiconductors are a promising way to enhance the performance of solar cells through a higher aspect ratio of the interface and the good processability of polymers. Nanocomposites are based on a heterojunction network between poly (2-methoxy-5-(2-ethyhexyl-oxy)-p-phenylenevinylene) (MEH-PPV) as an organic electron donor and silicon nanowires (SiNWs) as an inorganic electron acceptor. Nanowires (NWs) seem to be a promising material for this purpose, as they provide a large surface area for contact with the polymer and a designated conducting pathway whilst their volume is low. In this paper, silicon nanowires are introduced by mixing them into the polymer matrix. Hybrid nanocomposites films were deposited onto ITO substrate by spin coating method. Optical properties and photocurrent response were investigated. Charge transfer between the polymer and SiNWs has been demonstrated through photoluminescence measurements. The photocurrent density of ITO/MEH-PPV:SiNWs/Al structures have been obtained by J–V characteristics. The J sc value is about 0.39 µA/cm 2 . - Highlights: • SiNWs synthesis by Vapor–Liquid–Solid (VLS) mechanism. • SiNWs contribution to absorption spectra enhancement of MEH-PPV:SiNWs nanocomposites. • Decrease of PL intensity of MEH-PPV by addition of SiNWs. • Charge transfer process was taken place. • ITO/MEH-PPV:SiNWs/Al structure shows a photovoltaic effect, with a FF of 0.32

  12. Collective behaviors of mammalian cells on amine-coated silicon nanowires

    International Nuclear Information System (INIS)

    Kim, So Yeon; Yang, Eun Gyeong

    2013-01-01

    Intensive studies with vertical nanowire (NW) arrays have illustrated broad implications for manipulating mammalian cells in vitro, but how cellular responses are influenced by the presence of NWs has not been thoroughly investigated. Here, we address collective cellular behaviors, including surface area of cells, membrane trafficking, focal adhesion distribution and dynamics, and cytoskeletal protein distribution on amine-coated silicon (Si) NWs with different physical properties. The degree of HeLa cell spreading was inversely proportional to the surface area occupied by the NWs, which was not affected by manipulation of membrane trafficking dynamics. In the presence of a diffusive focal complex around the NWs, strong, well organized focal adhesion was hardly visible on the NWs, implying that the cells were interacting weakly with the NW-embedded surface. Furthermore, we found that actin filament formation of the cells on long NWs was not favorable, and this could explain our observation of reduced cell spreading, as well as the decreased number of focal adhesion complexes. Taken together, our results suggest that cells can survive on silicon NWs by adjusting their morphology and adhesion behavior through actively organizing these molecules. (paper)

  13. Ab initio design of nanostructures for solar energy conversion: a case study on silicon nitride nanowire.

    Science.gov (United States)

    Pan, Hui

    2014-01-01

    Design of novel materials for efficient solar energy conversion is critical to the development of green energy technology. In this work, we present a first-principles study on the design of nanostructures for solar energy harvesting on the basis of the density functional theory. We show that the indirect band structure of bulk silicon nitride is transferred to direct bandgap in nanowire. We find that intermediate bands can be created by doping, leading to enhancement of sunlight absorption. We further show that codoping not only reduces the bandgap and introduces intermediate bands but also enhances the solubility of dopants in silicon nitride nanowires due to reduced formation energy of substitution. Importantly, the codoped nanowire is ferromagnetic, leading to the improvement of carrier mobility. The silicon nitride nanowires with direct bandgap, intermediate bands, and ferromagnetism may be applicable to solar energy harvesting.

  14. Carrier gas effects on aluminum-catalyzed nanowire growth

    International Nuclear Information System (INIS)

    Ke, Yue; Hainey, Mel Jr; Won, Dongjin; Weng, Xiaojun; Eichfeld, Sarah M; Redwing, Joan M

    2016-01-01

    Aluminum-catalyzed silicon nanowire growth under low-pressure chemical vapor deposition conditions requires higher reactor pressures than gold-catalyzed growth, but the reasons for this difference are not well understood. In this study, the effects of reactor pressure and hydrogen partial pressure on silicon nanowire growth using an aluminum catalyst were studied by growing nanowires in hydrogen and hydrogen/nitrogen carrier gas mixtures at different total reactor pressures. Nanowires grown in the nitrogen/hydrogen mixture have faceted catalyst droplet tips, minimal evidence of aluminum diffusion from the tip down the nanowire sidewalls, and significant vapor–solid deposition of silicon on the sidewalls. In comparison, wires grown in pure hydrogen show less well-defined tips, evidence of aluminum diffusion down the nanowire sidewalls at increasing reactor pressures and reduced vapor–solid deposition of silicon on the sidewalls. The results are explained in terms of a model wherein the hydrogen partial pressure plays a critical role in aluminum-catalyzed nanowire growth by controlling hydrogen termination of the silicon nanowire sidewalls. For a given reactor pressure, increased hydrogen partial pressures increase the extent of hydrogen termination of the sidewalls which suppresses SiH_4 adsorption thereby reducing vapor–solid deposition of silicon but increases the surface diffusion length of aluminum. Conversely, lower hydrogen partial pressures reduce the hydrogen termination and also increase the extent of SiH_4 gas phase decomposition, shifting the nanowire growth window to lower growth temperatures and silane partial pressures. (paper)

  15. Covalent and stable CuAAC modification of silicon surfaces for control of cell adhesion

    DEFF Research Database (Denmark)

    Vutti, Surendra; Buch-Månson, Nina; Schoffelen, Sanne

    2015-01-01

    in the vapor or liquid phase. In this work, we compared these two methods for oxidized silicon surfaces and thoroughly characterized the functionalization steps by tagging and fluorescence imaging. We demonstrate that the vapor-phase functionalization only provided transient surface modification that was lost...... on extensive washing. For stable surface modification, a liquid-phase method was developed. In this method, silicon wafers were decorated with azides, either by silanization with (3-azidopropyl)triethoxysilane or by conversion of the amine groups of an aminopropylated surface by means of the azido...

  16. Broadband infrared photoluminescence in silicon nanowires with high density stacking faults.

    Science.gov (United States)

    Li, Yang; Liu, Zhihong; Lu, Xiaoxiang; Su, Zhihua; Wang, Yanan; Liu, Rui; Wang, Dunwei; Jian, Jie; Lee, Joon Hwan; Wang, Haiyan; Yu, Qingkai; Bao, Jiming

    2015-02-07

    Making silicon an efficient light-emitting material is an important goal of silicon photonics. Here we report the observation of broadband sub-bandgap photoluminescence in silicon nanowires with a high density of stacking faults. The photoluminescence becomes stronger and exhibits a blue shift under higher laser powers. The super-linear dependence on excitation intensity indicates a strong competition between radiative and defect-related non-radiative channels, and the spectral blue shift is ascribed to the band filling effect in the heterostructures of wurtzite silicon and cubic silicon created by stacking faults.

  17. Vertically aligned nanowires on flexible silicone using a supported alumina template prepared by pulsed anodization

    DEFF Research Database (Denmark)

    Mátéfi-Tempfli, Stefan; Mátéfi-Tempfli, M.

    2009-01-01

    Carpets of vertically aligned nanowires on flexible substrates are successfully realized by a template method. Applying special pulsed anodization conditions, defect-free nanoporous alumina structures supported on polydimethylsiloxane (PDMS), a flexible silicone elastomer, are created. By using...... this template with nanopores ending on a conducting underlayer, a high-density nanowire array can be simply grown by direct DCelectrodeposition on the top of the silicone rubber....

  18. Silicon Nanowire Field-effect Chemical Sensor

    OpenAIRE

    Chen, S.

    2011-01-01

    This thesis describes the work that has been done on the project “Design and optimization of silicon nanowire for chemical sensing‿, including Si-NW fabrication, electrical/electrochemical modeling, the application as ISFET, and the build-up of Si- NW/LOC system for automatic sample delivery. A novel top-down fabrication technique was presented for single-crystal Si-NW fabrication realized with conventional microfabrication technique. High quality triangular Si-NWs were made with high wafer-s...

  19. Synthesis and investigation of silicon carbide nanowires by HFCVD ...

    Indian Academy of Sciences (India)

    Silicon carbide (SiC) nanowire has been fabricated by hot filament chemical vapour .... −5. Torr by mechanical and dif- fusion vacuum pumps, then high purity H2 gas was fed into it. ... to standard PDF card numbers of 01-074-2307 and 01-.

  20. Comparison of confinement characters between porous silicon and silicon nanowires

    International Nuclear Information System (INIS)

    Tit, Nacir; Yamani, Zain H.; Pizzi, Giovanni; Virgilio, Michele

    2011-01-01

    Confinement character and its effects on photoluminescence (PL) properties are theoretically investigated and compared between porous silicon (p-Si) and silicon nanowires (Si-NWs). The method is based on the application of the tight-binding technique using the minimal sp 3 -basis set, including the second-nearest-neighbor interactions. The results show that the quantum confinement (QC) is not entirely controlled by the porosity, rather it is mainly affected by the average distance between pores (d). The p-Si is found to exhibit weaker confinement character than Si-NWs. The confinement energy of charge carriers decays against d exponentially for p-Si and via a power-law for Si-NWs. This latter type of QC is much stronger and is somewhat similar to the case of a single particle in a quantum box. The excellent fit to the PL data demonstrates that the experimental samples of p-Si do exhibit strong QC character and thus reveals the possibility of silicon clustering into nano-crystals and/or nanowires. Furthermore, the results show that the passivation of the surface dangling bonds by the hydrogen atoms plays an essential role in preventing the appearance of gap states and consequently enhances the optical qualities of the produced structures. The oscillator strength (OS) is found to increase exponentially with energy in Si-NWs confirming the strong confinement character of carriers. Our theoretical findings suggest the existence of Si nanocrystals (Si-NCs) of sizes 1-3 nm and/or Si-NWs of cross-sectional sizes in the 1-3 nm range inside the experimental p-Si samples. The experimentally-observed strong photoluminescence from p-Si should be in favor of an exhibition of 3D-confinement character. The favorable comparison of our theoretical results with the experimental data consolidates our above claims. -- Highlights: → Tight-binding is used to study quantum-confinement (QC) effects in p-Si and Si-NWs. → QC is not entirely controlled by the porosity but also by the d

  1. Twins and strain relaxation in zinc-blende GaAs nanowires grown on silicon

    Energy Technology Data Exchange (ETDEWEB)

    Piñero, J.C., E-mail: josecarlos.pinero@uca.es [Dpto. Ciencias de los Materiales, Universidad de Cádiz, 11510, Puerto Real, Cádiz (Spain); Araújo, D.; Pastore, C.E.; Gutierrez, M. [Dpto. Ciencias de los Materiales, Universidad de Cádiz, 11510, Puerto Real, Cádiz (Spain); Frigeri, C. [Istituto CNR-IMEM Parco Area delle Scienze 37/A, Fontanini, 43010, Parma (Italy); Benali, A.; Lelièvre, J.F.; Gendry, M. [INL-Institut des Nanotechnologies de Lyon, UMR 5270 Ecole Centrale de Lyon 36, Avenue Guy de Collongue, 69134, Ecully Cedex (France)

    2017-02-15

    Highlights: • A TEM-HREM study of GaAs nanowires, growth over Si, is presented. • Misfit dislocations are detected in the Si/GaAs magma interface. • The study demonstrates strain relaxation through twin formation in some nanowires. - Abstract: To integrate materials with large lattice mismatch as GaAs on silicon (Si) substrate, one possible approach, to improve the GaAs crystalline quality, is to use nanowires (NWs) technology. In the present contribution, NWs are grown on <111> oriented Si substrates by molecular beam epitaxy (MBE) using vapor-liquid-solid (VLS) method. Transmission electron microscopy (TEM) analyses show that NWs are mainly grown alternating wurtzite and zinc blend (ZB) phases, and only few are purely ZB. On the latter, High Resolution Electron Microscopy (HREM) evidences the presence of twins near the surface of the NW showing limited concordance with the calculations of Yuan (2013) [1], where {111} twin planes in a <111>-oriented GaAs NW attain attractive interactions mediated by surface strain. In addition, such twins allow slight strain relaxation and are probably induced by the local huge elastic strain observed by HREM in the lattice between the twin and the surface. The latter is attributed to some slight bending of the NW as shown by the inversion of the strain from one side to the other side of the NW.

  2. Energy transfer in nanowire solar cells with photon-harvesting shells

    KAUST Repository

    Peters, C. H.

    2009-01-01

    The concept of a nanowire solar cell with photon-harvesting shells is presented. In this architecture, organic molecules which absorb strongly in the near infrared where silicon absorbs weakly are coupled to silicon nanowires (SiNWs). This enables an array of 7-μm -long nanowires with a diameter of 50 nm to absorb over 85% of the photons above the bandgap of silicon. The organic molecules are bonded to the surface of the SiNWs forming a thin shell. They absorb the low-energy photons and subsequently transfer the energy to the SiNWs via Förster resonant energy transfer, creating free electrons and holes within the SiNWs. The carriers are then separated at a radial p-n junction in a nanowire and extracted at the respective electrodes. The shortness of the nanowires is expected to lower the dark current due to the decrease in p-n junction surface area, which scales linearly with wire length. The theoretical power conversion efficiency is 15%. To demonstrate this concept, we measure a 60% increase in photocurrent from a planar silicon-on-insulator diode when a 5 nm layer of poly[2-methoxy-5-(2′ -ethyl-hexyloxy)-1,4-phenylene vinylene is applied to the surface of the silicon. This increase is in excellent agreement with theoretical predictions. © 2009 American Institute of Physics.

  3. Scaling theory put into practice: First-principles modeling of transport in doped silicon nanowires

    DEFF Research Database (Denmark)

    Markussen, Troels; Rurali, R.; Jauho, Antti-Pekka

    2007-01-01

    We combine the ideas of scaling theory and universal conductance fluctuations with density-functional theory to analyze the conductance properties of doped silicon nanowires. Specifically, we study the crossover from ballistic to diffusive transport in boron or phosphorus doped Si nanowires...

  4. Time-dependent optical response of three-dimensional Au nanoparticle arrays formed on silica nanowires

    Science.gov (United States)

    Di Mario, Lorenzo; Otomalo, Tadele Orbula; Catone, Daniele; O'Keeffe, Patrick; Tian, Lin; Turchini, Stefano; Palpant, Bruno; Martelli, Faustino

    2018-03-01

    We present stationary and transient absorption measurements on 3D Au nanoparticle (NP)-decorated Si O2 nanowire arrays. The 3D NP array has been produced by the dewetting of a thin Au film deposited on silica nanowires produced by oxidation of silicon nanowires. The experimental behaviors of the spectral and temporal dynamics observed in the experiment are accurately described by a two-step, three-temperature model. Using an arbitrary set of Au NPs with different aspect ratios, we demonstrate that the width of the experimental spectra, the energy shift of their position with time, and the asymmetry between the two positive wings in the dynamical variation of absorption can all be attributed to the nonuniform shape distribution of the Au NPs in the sample.

  5. Functionalization of silicon nanowires by conductive and non-conductive polymers

    Science.gov (United States)

    Belhousse, S.; Tighilt, F.-Z.; Sam, S.; Lasmi, K.; Hamdani, K.; Tahanout, L.; Megherbi, F.; Gabouze, N.

    2017-11-01

    The work reports on the development of hybrid devices based on silicon nanowires (SiNW) with polymers and the difference obtained when using conductive and non-conductive polymers. SiNW have attracted much attention due to their importance in understanding the fundamental properties at low dimensionality as well as their potential application in nanoscale devices as in field effect transistors, chemical or biological sensors, battery electrodes and photovoltaics. SiNW arrays were formed using metal assisted chemical etching method. This process is simple, fast and allows obtaining a wide range of silicon nanostructures. Hydrogen-passivated SiNW surfaces show relatively poor stability. Surface modification with organic species confers the desired stability and enhances the surface properties. For this reason, this work proposes a covalent grafting of organic material onto SiNW surface. We have chosen a non-conductive polymer polyvinylpyrrolidone (PVP) and conductive polymers polythiophene (PTh) and polypyrrole (PPy), in order to evaluate the electric effect of the polymers on the obtained materials. The hybrid structures were elaborated by the polymerization of the corresponding conjugated monomers by electrochemical route; this electropolymerization offers several advantages such as simplicity and rapidity. SiNW functionalization by conductive polymers has shown to have a huge effect on the electrical mobility. Hybrid surface morphologies were characterized by scanning electron microscopy (SEM), infrared spectroscopy (FTIR-ATR) and contact angle measurements.

  6. Blue electroluminescence nanodevice prototype based on vertical ZnO nanowire/polymer film on silicon substrate

    International Nuclear Information System (INIS)

    He Ying; Wang Junan; Chen Xiaoban; Zhang Wenfei; Zeng Xuyu; Gu Qiuwen

    2010-01-01

    We present a polymer-complexing soft template technique to construct the ZnO-nanowire/polymer light emitting device prototype that exhibits blue electrically driven emission with a relatively low-threshold voltage at room temperature in ambient atmosphere, and the ZnO-nanowire-based LED's emission wavelength is easily tuned by controlling the applied-excitation voltage. The nearly vertically aligned ZnO-nanowires with polymer film were used as emissive layers in the devices. The method uses polymer as binder in the LED device and dispersion medium in the luminescence layer, which stabilizes the quasi-arrays of ZnO nanowires embedding in a thin polymer film on silicon substrate and passivates the surface of ZnO nanocrystals, to prevent the quenching of luminescence. Additionally, the measurements of electrical properties showed that ZnO-nanowire/polymer film could significantly improve the conductivity of the film, which could be attributed to an increase in both Hall mobility and carrier concentration. The results indicated that the novel technique is a low-cost process for ZnO-based UV or blue light emission and reduces the requirement for achieving robust p-doping of ZnO film. It suggests that such ZnO-nanowire/polymer-based LEDs will be suitable for the electro-optical application.

  7. Epitaxial III-V nanowires on silicon for vertical devices

    NARCIS (Netherlands)

    Bakkers, E.P.A.M.; Borgström, M.T.; Einden, Van Den W.; Weert, van M.H.M.; Helman, A.; Verheijen, M.A.

    2006-01-01

    We show the epitaxial integration of III-V semiconductor nanowires with silicon technology. The wires are grown by the Vapor-Liquid-Solid (VLS) mechanism with laser ablation as well as metal organic vapor phase epitaxy. The VLS growth enables the fabrication of complex axial and radial

  8. Growth and properties of In(Ga)As nanowires on silicon

    International Nuclear Information System (INIS)

    Hertenberger, Simon

    2012-01-01

    In this thesis the integration of III-V semiconductor nanowires on silicon (Si) platform by molecular beam epitaxy (MBE) is investigated. All nanowires are grown without the use of foreign catalysts such as Au to achieve high purity material. First, InAs nanowires are grown in a self-assembled manner on SiO x -masked Si(111) where pinholes in the silicon oxide serve as nucleation spots for the nanowires. This leads to the growth of vertically aligned, (111)-oriented nanowires with hexagonal cross-section. Based on this simple process, the entire growth parameter window is investigated for InAs nanowires, revealing an extremely large growth temperature range from 380 C to 580 C and growth rates as large as 6 μ/h. Complex quantitative in-situ line-of-sight quadrupole mass spectrometry experiments during nanowire growth and post-growth thermal decomposition studies support these findings and indicate a very high thermal stability up to >540 C for InAs nanowires. Furthermore, the influence of the As/In ratio on the nanowire growth is studied revealing two distinct growth regimes, i.e., an In-rich regime for lower As fluxes and an As-rich regime for larger As fluxes, where the latter shows characteristic saturation of the nanowire aspect ratio. For the catalyst-free growth, detailed investigation of the growth mechanism is performed via a combination of in-situ reflection high-energy electron diffraction (RHEED) and ex-situ scanning and transmission electron microscopy (SEM,TEM). An abrupt onset of nanowire growth is observed in RHEED intensity and in-plane lattice parameter evolution. Furthermore, completely droplet-free nanowires, continuous radial growth, constant vertical growth rate and growth interruption experiments suggest a vapor-solid growth mode for all investigated nanowire samples. Moreover, site-selective (positioned) growth of InAs nanowires on pre-patterned SiO 2 masked Si(111) substrates is demonstrated which is needed for ultimate control of nanowire

  9. Growth and properties of In(Ga)As nanowires on silicon

    Energy Technology Data Exchange (ETDEWEB)

    Hertenberger, Simon

    2012-10-15

    In this thesis the integration of III-V semiconductor nanowires on silicon (Si) platform by molecular beam epitaxy (MBE) is investigated. All nanowires are grown without the use of foreign catalysts such as Au to achieve high purity material. First, InAs nanowires are grown in a self-assembled manner on SiO{sub x}-masked Si(111) where pinholes in the silicon oxide serve as nucleation spots for the nanowires. This leads to the growth of vertically aligned, (111)-oriented nanowires with hexagonal cross-section. Based on this simple process, the entire growth parameter window is investigated for InAs nanowires, revealing an extremely large growth temperature range from 380 C to 580 C and growth rates as large as 6 μ/h. Complex quantitative in-situ line-of-sight quadrupole mass spectrometry experiments during nanowire growth and post-growth thermal decomposition studies support these findings and indicate a very high thermal stability up to >540 C for InAs nanowires. Furthermore, the influence of the As/In ratio on the nanowire growth is studied revealing two distinct growth regimes, i.e., an In-rich regime for lower As fluxes and an As-rich regime for larger As fluxes, where the latter shows characteristic saturation of the nanowire aspect ratio. For the catalyst-free growth, detailed investigation of the growth mechanism is performed via a combination of in-situ reflection high-energy electron diffraction (RHEED) and ex-situ scanning and transmission electron microscopy (SEM,TEM). An abrupt onset of nanowire growth is observed in RHEED intensity and in-plane lattice parameter evolution. Furthermore, completely droplet-free nanowires, continuous radial growth, constant vertical growth rate and growth interruption experiments suggest a vapor-solid growth mode for all investigated nanowire samples. Moreover, site-selective (positioned) growth of InAs nanowires on pre-patterned SiO{sub 2} masked Si(111) substrates is demonstrated which is needed for ultimate control of

  10. Organophosphonate-based PNA-functionalization of silicon nanowires for label-free DNA detection.

    Science.gov (United States)

    Cattani-Scholz, Anna; Pedone, Daniel; Dubey, Manish; Neppl, Stefan; Nickel, Bert; Feulner, Peter; Schwartz, Jeffrey; Abstreiter, Gerhard; Tornow, Marc

    2008-08-01

    We investigated hydroxyalkylphosphonate monolayers as a novel platform for the biofunctionalization of silicon-based field effect sensor devices. This included a detailed study of the thin film properties of organophosphonate films on Si substrates using several surface analysis techniques, including AFM, ellipsometry, contact angle, X-ray photoelectron spectroscopy (XPS), X-ray reflectivity, and current-voltage characteristics in electrolyte solution. Our results indicate the formation of a dense monolayer on the native silicon oxide that has excellent passivation properties. The monolayer was biofunctionalized with 12 mer peptide nucleic acid (PNA) receptor molecules in a two-step procedure using the heterobifunctional linker, 3-maleimidopropionic-acid-N-hydroxysuccinimidester. Successful surface modification with the probe PNA was verified by XPS and contact angle measurements, and hybridization with DNA was determined by fluorescence measurements. Finally, the PNA functionalization protocol was translated to 2 microm long, 100 nm wide Si nanowire field effect devices, which were successfully used for label-free DNA/PNA hybridization detection.

  11. Modulation of surface wettability of superhydrophobic substrates using Si nanowire arrays and capillary-force-induced nanocohesion

    NARCIS (Netherlands)

    Dawood, M.K.; Zheng, H.; Kurniawan, N.A.; Leong, K.C.; Foo, Y.L.; Rajagopalan, Raj; Khan, S.A.; Choi, W.K.

    2012-01-01

    We describe a new scalable method to fabricate large-area hybrid superhydrophobic surfaces with selective adhesion properties on silicon (Si) nanowire array substrates by exploiting liquid-medium-dependent capillary-force-induced nanocohesion. Gold (Au) nanoparticles were deposited on Si by glancing

  12. Crystalline-Amorphous Core−Shell Silicon Nanowires for High Capacity and High Current Battery Electrodes

    KAUST Repository

    Cui, Li-Feng

    2009-01-14

    Silicon is an attractive alloy-type anode material for lithium ion batteries because of its highest known capacity (4200 mAh/g). However silicon\\'s large volume change upon lithium insertion and extraction, which causes pulverization and capacity fading, has limited its applications. Designing nanoscale hierarchical structures is a novel approach to address the issues associated with the large volume changes. In this letter, we introduce a core-shell design of silicon nanowires for highpower and long-life lithium battery electrodes. Silicon crystalline- amorphous core-shell nanowires were grown directly on stainless steel current collectors by a simple one-step synthesis. Amorphous Si shells instead of crystalline Si cores can be selected to be electrochemically active due to the difference of their lithiation potentials. Therefore, crystalline Si cores function as a stable mechanical support and an efficient electrical conducting pathway while amorphous shells store Li ions. We demonstrate here that these core-shell nanowires have high charge storage capacity (̃1000 mAh/g, 3 times of carbon) with ̃90% capacity retention over 100 cycles. They also show excellent electrochemical performance at high rate charging and discharging (6.8 A/g, ̃20 times of carbon at 1 h rate). © 2009 American Chemical Society.

  13. High-performance lithium battery anodes using silicon nanowires.

    Science.gov (United States)

    Chan, Candace K; Peng, Hailin; Liu, Gao; McIlwrath, Kevin; Zhang, Xiao Feng; Huggins, Robert A; Cui, Yi

    2008-01-01

    There is great interest in developing rechargeable lithium batteries with higher energy capacity and longer cycle life for applications in portable electronic devices, electric vehicles and implantable medical devices. Silicon is an attractive anode material for lithium batteries because it has a low discharge potential and the highest known theoretical charge capacity (4,200 mAh g(-1); ref. 2). Although this is more than ten times higher than existing graphite anodes and much larger than various nitride and oxide materials, silicon anodes have limited applications because silicon's volume changes by 400% upon insertion and extraction of lithium which results in pulverization and capacity fading. Here, we show that silicon nanowire battery electrodes circumvent these issues as they can accommodate large strain without pulverization, provide good electronic contact and conduction, and display short lithium insertion distances. We achieved the theoretical charge capacity for silicon anodes and maintained a discharge capacity close to 75% of this maximum, with little fading during cycling.

  14. Monolithic electrically injected nanowire array edge-emitting laser on (001) silicon

    KAUST Repository

    Frost, Thomas; Jahangir, Shafat; Stark, Ethan; Deshpande, Saniya; Hazari, Arnab Shashi; Zhao, Chao; Ooi, Boon S.; Bhattacharya, Pallab K.

    2014-01-01

    A silicon-based laser, preferably electrically pumped, has long been a scientific and engineering goal. We demonstrate here, for the first time, an edge-emitting InGaN/GaN disk-in-nanowire array electrically pumped laser emitting in the green (λ = 533 nm) on (001) silicon substrate. The devices display excellent dc and dynamic characteristics with values of threshold current density, differential gain, T0 and small signal modulation bandwidth equal to 1.76 kA/cm2, 3 × 10-17 cm2, 232 K, and 5.8 GHz respectively under continuous wave operation. Preliminary reliability measurements indicate a lifetime of 7000 h. The emission wavelength can be tuned by varying the alloy composition in the quantum disks. The monolithic nanowire laser on (001)Si can therefore address wide-ranging applications such as solid state lighting, displays, plastic fiber communication, medical diagnostics, and silicon photonics. © 2014 American Chemical Society.

  15. Monolithic electrically injected nanowire array edge-emitting laser on (001) silicon

    KAUST Repository

    Frost, Thomas

    2014-08-13

    A silicon-based laser, preferably electrically pumped, has long been a scientific and engineering goal. We demonstrate here, for the first time, an edge-emitting InGaN/GaN disk-in-nanowire array electrically pumped laser emitting in the green (λ = 533 nm) on (001) silicon substrate. The devices display excellent dc and dynamic characteristics with values of threshold current density, differential gain, T0 and small signal modulation bandwidth equal to 1.76 kA/cm2, 3 × 10-17 cm2, 232 K, and 5.8 GHz respectively under continuous wave operation. Preliminary reliability measurements indicate a lifetime of 7000 h. The emission wavelength can be tuned by varying the alloy composition in the quantum disks. The monolithic nanowire laser on (001)Si can therefore address wide-ranging applications such as solid state lighting, displays, plastic fiber communication, medical diagnostics, and silicon photonics. © 2014 American Chemical Society.

  16. Electronic transport mechanisms in scaled gate-all-around silicon nanowire transistor arrays

    Energy Technology Data Exchange (ETDEWEB)

    Clément, N., E-mail: nicolas.clement@iemn.univ-lille1.fr, E-mail: guilhem.larrieu@laas.fr; Han, X. L. [Institute of Electronics, Microelectronics and Nanotechnology, CNRS, Avenue Poincaré, 59652 Villeneuve d' Ascq (France); Larrieu, G., E-mail: nicolas.clement@iemn.univ-lille1.fr, E-mail: guilhem.larrieu@laas.fr [Laboratory for Analysis and Architecture of Systems (LAAS), CNRS, Universite de Toulouse, 7 Avenue Colonel Roche, 31077 Toulouse (France)

    2013-12-23

    Low-frequency noise is used to study the electronic transport in arrays of 14 nm gate length vertical silicon nanowire devices. We demonstrate that, even at such scaling, the electrostatic control of the gate-all-around is sufficient in the sub-threshold voltage region to confine charges in the heart of the wire, and the extremely low noise level is comparable to that of high quality epitaxial layers. Although contact noise can already be a source of poor transistor operation above threshold voltage for few nanowires, nanowire parallelization drastically reduces its impact.

  17. Fluorinion transfer in silver-assisted chemical etching for silicon nanowires arrays

    Science.gov (United States)

    Feng, Tianyu; Xu, Youlong; Zhang, Zhengwei; Mao, Shengchun

    2015-08-01

    Uniform silicon nanowires arrays (SiNWAs) were fabricated on unpolished rough silicon wafers through KOH pretreatment followed by silver-assisted chemical etching (SACE). Density functional theory (DFT) calculations were used to investigate the function of silver (Ag) at atomic scale in the etching process. Among three adsorption sites of Ag atom on Si(1 0 0) surface, Ag(T4) above the fourth-layer surface Si atoms could transfer fluorinion (F-) to adjacent Si successfully due to its stronger electrostatic attraction force between Ag(T4) and F-, smaller azimuth angle of Fsbnd Ag(T4)sbnd Si, shorter bond length of Fsbnd Si compared with Fsbnd Ag. As F- was transferred to adjacent Si by Ag(T4) one by one, the Si got away from the wafer in the form of SiF4 when it bonded with enough F- while Ag(T4) was still attached onto the Si wafer ready for next transfer. Cyclic voltammetry tests confirmed that Ag can improve the etching rate by transferring F- to Si.

  18. Layered structure in core–shell silicon nanowires

    Energy Technology Data Exchange (ETDEWEB)

    Van Tuan, Pham [Advanced Institute for Science and Technology (AIST) and International Training Institute for Materials Science Hanoi University of Science and Technology, 01 Dai Co Viet Street,Hanoi 10000,Vietnam (Viet Nam); Anh Tuan, Chu; Thanh Thuy, Tran; Binh Nam, Vu [Institute of Materials Science (IMS), Vietnamese Academy of Science and Technology (VAST), 18 Hoang Quoc Viet Street, Hanoi 10000 (Viet Nam); Toan Thang, Pham [Advanced Institute for Science and Technology (AIST) and International Training Institute for Materials Science Hanoi University of Science and Technology, 01 Dai Co Viet Street,Hanoi 10000,Vietnam (Viet Nam); Hong Duong, Pham, E-mail: duongphamhong@yahoo.com [Institute of Materials Science (IMS), Vietnamese Academy of Science and Technology (VAST), 18 Hoang Quoc Viet Street, Hanoi 10000 (Viet Nam); Thanh Huy, Pham, E-mail: huy.phamthanh@hust.edu.vn [Advanced Institute for Science and Technology (AIST) and International Training Institute for Materials Science Hanoi University of Science and Technology, 01 Dai Co Viet Street,Hanoi 10000,Vietnam (Viet Nam)

    2014-10-15

    Silicon nanowires (NWs) with core–shell structures were prepared using the Vapor–Liquid–Solid (VLS) method. The wires have lengths of several hundreds of nanometers and diameters in the range of 30–50 nm. Generally, these wires are too large to exhibit the quantum confinement effect of excitons in Si nanocrystals. However, the photoluminescence (PL) and Raman spectra are similar to those of nanocrystalline silicon embedded in a SiO{sub 2} matrix, in which the recombination of quantum-confined excitons plays an important role. This effect occurs only when the average size of the silicon nanocrystals is smaller than 5 nm. To understand this discrepancy, TEM images of nanowires were obtained and analyzed. The results revealed that the cores of wires have a layered Si/SiO{sub 2} structure, in which the thickness of each layer is much smaller than its diameter. The temperature dependence of the PL intensity was recorded from 11 to 300 K; the result is in good agreement with a model that takes into account the energy splitting between the excitonic singlet and triplet levels. - Highlights: • The cores of the Si NWs have a layered Si/SiO{sub 2} structure. • The Si NWs were formed due to the phase separation of Si and SiO{sub 2} and the partial oxidization by residual oxygen. • Two processes, the reaction of Si and oxygen atoms and the combination between Si atoms, occur simultaneously. • The formation of the layered structures is associated with the self-limiting oxidation phenomenon in Si nanostructures.

  19. Effective antireflection properties of porous silicon nanowires for photovoltaic applications

    KAUST Repository

    Najar, Adel; Al-Jabr, Ahmad; Alsunaidi, Mohammad; Anjum, Dalaver H.; Ng, Tien Khee; Ooi, Boon S.; Ben Slimane, Ahmed; Sougrat, Rachid

    2013-01-01

    Porous silicon nanowires (PSiNWs) have been prepared by metal-assisted chemical etching method on the n-Si substrate. The presence of nano-pores with pore size ranging between 10-50nm in SiNWs was confirmed by electron tomography (ET

  20. Potential of silicon nanowires structures as nanoscale piezoresistors in mechanical sensors

    International Nuclear Information System (INIS)

    Messina, M; Njuguna, J

    2012-01-01

    This paper presents the design of a single square millimeter 3-axial accelerometer for bio-mechanics measurements that exploit the potential of silicon nanowires structures as nanoscale piezoresistors. The main requirements of this application are miniaturization and high measurement accuracy. Nanowires as nanoscale piezoresistive devices have been chosen as sensing element, due to their high sensitivity and miniaturization achievable. By exploiting the electro-mechanical features of nanowires as nanoscale piezoresistors, the nominal sensor sensitivity is overall boosted by more than 30 times. This approach allows significant higher accuracy and resolution with smaller sensing element in comparison with conventional devices without the need of signal amplification.

  1. Wavelength conversion of 80 Gb/s RZ-DPSK Pol-MUX signals in a silicon nanowire

    DEFF Research Database (Denmark)

    Vukovic, Dragana; Peucheret, Christophe; Oxenløwe, Leif Katsuo

    2014-01-01

    All-optical wavelength conversion of 80 Gb/s RZ-DPSK polarization multiplexed signals is demonstrated in a silicon nanowire using an angled-pump scheme. The quality of the converted signal is characterized through BER measurements for the first time.......All-optical wavelength conversion of 80 Gb/s RZ-DPSK polarization multiplexed signals is demonstrated in a silicon nanowire using an angled-pump scheme. The quality of the converted signal is characterized through BER measurements for the first time....

  2. All-Optical Wavelength Conversion of a High-Speed RZ-OOK Signal in a Silicon Nanowire

    DEFF Research Database (Denmark)

    Hu, Hao; Ji, Hua; Galili, Michael

    2011-01-01

    All-optical wavelength conversion of a 320 Gb/s line-rate RZ-OOK signal is demonstrated based on four-wave mixing in a 3.6 mm long silicon nanowire. Bit error rate measurements validate the performance within FEC limits.......All-optical wavelength conversion of a 320 Gb/s line-rate RZ-OOK signal is demonstrated based on four-wave mixing in a 3.6 mm long silicon nanowire. Bit error rate measurements validate the performance within FEC limits....

  3. Crosstalk analysis of silicon-on-insulator nanowire-arrayed waveguide grating

    International Nuclear Information System (INIS)

    Li Kai-Li; An Jun-Ming; Zhang Jia-Shun; Wang Yue; Wang Liang-Liang; Li Jian-Guang; Wu Yuan-Da; Yin Xiao-Jie; Hu Xiong-Wei

    2016-01-01

    The factors influencing the crosstalk of silicon-on-insulator (SOI) nanowire arrayed waveguide grating (AWG) are analyzed using the transfer function method. The analysis shows that wider and thicker arrayed waveguides, outsider fracture of arrayed waveguide, and larger channel space, could mitigate the deterioration of crosstalk. The SOI nanowire AWGs with different arrayed waveguide widths are fabricated by using deep ultraviolet lithography (DUV) and inductively coupled plasma etching (ICP) technology. The measurement results show that the crosstalk performance is improved by about 7 dB through adopting 800 nm arrayed waveguide width. (paper)

  4. Broadband Polarization-Insensitive Wavelength Conversion Based on Non-Degenerate Four-Wave Mixing in a Silicon Nanowire

    DEFF Research Database (Denmark)

    Pu, Minhao; Hu, Hao; Ji, Hua

    2012-01-01

    We experimentally demonstrate broadband polarization-insensitive one-to-two wavelength conversion of a 10-Gb/s DPSK data signal based on non-degenerate four-wave mixing in a silicon nanowire with bit-error rate measurements.......We experimentally demonstrate broadband polarization-insensitive one-to-two wavelength conversion of a 10-Gb/s DPSK data signal based on non-degenerate four-wave mixing in a silicon nanowire with bit-error rate measurements....

  5. An effective surface-enhanced Raman scattering template based on a Ag nanocluster-ZnO nanowire array

    International Nuclear Information System (INIS)

    Deng, S; Zhang, X; Loh, K P; Fan, H M; Sow, C H; Cheng, C-L; Foo, Y L

    2009-01-01

    An effective surface-enhanced Raman scattering (SERS) template based on a 3D hybrid Ag nanocluster (NC)-decorated ZnO nanowire array was fabricated through a simple process of depositing Ag NCs on ZnO nanowire arrays. The effects of particle size and excitation energy on the Raman scattering in these hybrid systems have been investigated using rhodamine 6G as a standard analyte. The results indicate that the hybrid nanosystem with 150 nm Ag NCs produces a larger SERS enhancement factor of 3.2 x 10 8 , which is much higher than that of 10 nm Ag NCs (6.0 x 10 6 ) under 532 nm excitation energy. The hybrid nanowire arrays were further applied to obtain SERS spectra of the two-photon absorption (TPA) chromophore T7. Finite-difference time-domain simulations reveal the presence of an enhanced field associated with inter-wire plasmon coupling of the 150 nm Ag NCs on adjacent ZnO nanowires; such a field was absent in the case of the 10 nm Ag NC-coated ZnO nanowire. Such hybrid nanosystems could be used as SERS substrates more effectively than assembled Ag NC film due to the enhanced light-scattering local field and the inter-wire plasmon-enhanced electromagnetic field.

  6. An analytic model for gate-all-around silicon nanowire tunneling field effect transistors

    International Nuclear Information System (INIS)

    Liu Ying; He Jin; Chan Mansun; Ye Yun; Zhao Wei; Wu Wen; Deng Wan-Ling; Wang Wen-Ping; Du Cai-Xia

    2014-01-01

    An analytical model of gate-all-around (GAA) silicon nanowire tunneling field effect transistors (NW-TFETs) is developted based on the surface potential solutions in the channel direction and considering the band to band tunneling (BTBT) efficiency. The three-dimensional Poisson equation is solved to obtain the surface potential distributions in the partition regions along the channel direction for the NW-TFET, and a tunneling current model using Kane's expression is developed. The validity of the developed model is shown by the good agreement between the model predictions and the TCAD simulation results. (condensed matter: electronic structure, electrical, magnetic, and optical properties)

  7. Hybrid heterojunction solar cell based on organic-inorganic silicon nanowire array architecture.

    Science.gov (United States)

    Shen, Xiaojuan; Sun, Baoquan; Liu, Dong; Lee, Shuit-Tong

    2011-12-07

    Silicon nanowire arrays (SiNWs) on a planar silicon wafer can be fabricated by a simple metal-assisted wet chemical etching method. They can offer an excellent light harvesting capability through light scattering and trapping. In this work, we demonstrated that the organic-inorganic solar cell based on hybrid composites of conjugated molecules and SiNWs on a planar substrate yielded an excellent power conversion efficiency (PCE) of 9.70%. The high efficiency was ascribed to two aspects: one was the improvement of the light absorption by SiNWs structure on the planar components; the other was the enhancement of charge extraction efficiency, resulting from the novel top contact by forming a thin organic layer shell around the individual silicon nanowire. On the contrary, the sole planar junction solar cell only exhibited a PCE of 6.01%, due to the lower light trapping capability and the less hole extraction efficiency. It indicated that both the SiNWs structure and the thin organic layer top contact were critical to achieve a high performance organic/silicon solar cell. © 2011 American Chemical Society

  8. Synthesis and properties of silicon nanowire devices

    Science.gov (United States)

    Byon, Kumhyo

    Silicon nanowire (SiNW) is a very attractive one-dimensional material for future nanoelectronic applications. Reliable control of key field effect transistor (FET) parameters such as conductance, mobility, threshold voltage and on/off ratio is crucial to the applications of SiNW to working logic devices and integrated circuits. In this thesis, we fabricated silicon nanowire field effect transistors (SiNW FETs) and studied the dependence of their electrical transport properties upon various parameters including SiNW growth conditions, post-growth doping, and contact annealing. From these studies, we found how different processes control important FET characteristics. Key accomplishments of this thesis include p-channel enhancement mode FETs, n-channel FETs by post-growth vapor doping and high performance ambipolar devices. In the first part of this work, single crystalline SiNWs were synthesized by thermal evaporation without gold catalysts. FETs were fabricated using both as-grown SiNWs and post-growth n-doped SiNWs. FET from p-type source materials behaves as a p-channel enhancement mode FET which is predominant in logic devices due to its fast operation and low power consumption. Using bismuth vapor, the as-grown SiNWs were doped into n-type materials. The majority carriers in SiNWs can therefore be controlled by proper choice of the vapor phase dopant species. Post-growth doping using vapor phase is applicable to other nanowire systems. In the second part, high performance ambipolar FETs were fabricated. A two step annealing process was used to control the Schottky barrier between SiNW and metal contacts in order to enhance device performance. Initial p-channel SiNW FETs were converted into ambipolar SiNW FETs after contact annealing. Furthermore, significant increases in both on/off ratio and channel mobilities were achieved after contact annealing. Promising device structures to implement ambipolar devices into large scale integrated circuits were proposed

  9. Ultra-low reflection porous silicon nanowires for solar cell applications

    KAUST Repository

    Najar, Adel; Charrier, Joë l; Pirasteh, Parastesh; Sougrat, Rachid

    2012-01-01

    % reflectivity of the starting silicon wafer drops to 0.1% recorded for more than 10 μm long PSiNWs. Models based on cone shape of nanowires located in a circular and rectangular bases were used to calculate the reflectance employing the Transfert Matrix

  10. Carrier dynamics in silicon nanowires studied using optical-pump terahertz-probe spectroscopy

    Science.gov (United States)

    Beaudoin, Alexandre; Salem, Bassem; Baron, Thierry; Gentile, Pascal; Morris, Denis

    2014-03-01

    The advance of non-contact measurements involving pulsed terahertz radiation presents great interests for characterizing electrical properties of a large ensemble of nanowires. In this work, N-doped and undoped silicon nanowires (SiNWs) grown by chemical vapour deposition (CVD) on quartz substrate were characterized using optical-pump terahertz probe (OPTP) transmission experiments. Our results show that defects and ionized impurities introduced by N-doping the CVD-grown SiNWs tend to reduce the photoexcited carrier lifetime and degrade their conductivity properties. Capture mechanisms by the surface trap states play a key role on the photocarrier dynamics in theses small diameters' (~100 nm) SiNWs and the doping level is found to alter this dynamics. We propose convincing capture and recombination scenarios that explain our OPTP measurements. Fits of our photoconductivity data curves, from 0.5 to 2 THz, using a Drude-plasmon conductivity model allow determining photocarrier mobility values of 190 and 70 cm2/V .s, for the undoped and N-doped NWs samples, respectively.

  11. Localized synthesis, assembly and integration of silicon nanowires

    Science.gov (United States)

    Englander, Ongi

    Localized synthesis, assembly and integration of one-dimensional silicon nanowires with MEMS structures is demonstrated and characterized in terms of local synthesis processes, electric-field assisted self-assembly, and a proof-of-concept nanoelectromechanical system (HEMS) demonstration. Emphasis is placed on the ease of integration, process control strategies, characterization techniques and the pursuit of integrated devices. A top-down followed by a bottom-up integration approach is utilized. Simple MEMS heater structures are utilized as the microscale platforms for the localized, bottom-up synthesis of one-dimensional nanostructures. Localized heating confines the high temperature region permitting only localized nanostructure synthesis and allowing the surroundings to remain at room temperature thus enabling CMOS compatible post-processing. The vapor-liquid-solid (VLS) process in the presence of a catalytic nanoparticle, a vapor phase reactant, and a specific temperature environment is successfully employed locally. Experimentally, a 5nm thick gold-palladium layer is used as the catalyst while silane is the vapor phase reactant. The current-voltage behavior of the MEMS structures can be correlated to the approximate temperature range required for the VLS reaction to take place. Silicon nanowires averaging 45nm in diameter and up to 29mum in length synthesized at growth rates of up to 1.5mum/min result. By placing two MEMS structures in close proximity, 4--10mum apart, localized silicon nanowire growth can be used to link together MEMS structures to yield a two-terminal, self-assembled micro-to-nano system. Here, one MEMS structure is designated as the hot growth structure while a nearby structure is designated as the cold secondary structure, whose role is to provide a natural stopping point for the VLS reaction. The application of a localized electric-field, 5 to 13V/mum in strength, during the synthesis process, has been shown to improve nanowire

  12. Local sensor based on nanowire field effect transistor from inhomogeneously doped silicon on insulator

    Science.gov (United States)

    Presnov, Denis E.; Bozhev, Ivan V.; Miakonkikh, Andrew V.; Simakin, Sergey G.; Trifonov, Artem S.; Krupenin, Vladimir A.

    2018-02-01

    We present the original method for fabricating a sensitive field/charge sensor based on field effect transistor (FET) with a nanowire channel that uses CMOS-compatible processes only. A FET with a kink-like silicon nanowire channel was fabricated from the inhomogeneously doped silicon on insulator wafer very close (˜100 nm) to the extremely sharp corner of a silicon chip forming local probe. The single e-beam lithographic process with a shadow deposition technique, followed by separate two reactive ion etching processes, was used to define the narrow semiconductor nanowire channel. The sensors charge sensitivity was evaluated to be in the range of 0.1-0.2 e /√{Hz } from the analysis of their transport and noise characteristics. The proposed method provides a good opportunity for the relatively simple manufacture of a local field sensor for measuring the electrical field distribution, potential profiles, and charge dynamics for a wide range of mesoscopic objects. Diagnostic systems and devices based on such sensors can be used in various fields of physics, chemistry, material science, biology, electronics, medicine, etc.

  13. Preparation of silicon carbide nanowires via a rapid heating process

    International Nuclear Information System (INIS)

    Li Xintong; Chen Xiaohong; Song Huaihe

    2011-01-01

    Silicon carbide (SiC) nanowires were fabricated in a large quantity by a rapid heating carbothermal reduction of a novel resorcinol-formaldehyde (RF)/SiO 2 hybrid aerogel in this study. SiC nanowires were grown at 1500 deg. C for 2 h in an argon atmosphere without any catalyst via vapor-solid (V-S) process. The β-SiC nanowires were characterized by field-emission scanning electron microscope (FE-SEM), X-ray diffraction (XRD), transmission electron microscope (TEM), high-resolution transmission electron microscope (HRTEM) equipped with energy dispersive X-ray (EDX) facility, Fourier transformed infrared spectroscopy (FTIR), and thermogravimetric analysis (TGA). The analysis results show that the aspect ratio of the SiC nanowires via the rapid heating process is much larger than that of the sample produced via gradual heating process. The SiC nanowires are single crystalline β-SiC phase with diameters of about 20-80 nm and lengths of about several tens of micrometers, growing along the [1 1 1] direction with a fringe spacing of 0.25 nm. The role of the interpenetrating network of RF/SiO 2 hybrid aerogel in the carbothermal reduction was discussed and the possible growth mechanism of the nanowires is analyzed.

  14. Oxidative fabrication of patterned, large, non-flaking CuO nanowire arrays

    International Nuclear Information System (INIS)

    Mumm, F; Sikorski, P

    2011-01-01

    We report a simple and fast approach to fabricate large, non-flaking arrays of CuO nanowires by oxidizing thin copper substrates in air. Oxidative CuO nanowire growth is commonly accompanied by oxide layer flaking due to stress at the copper-copper oxide interface. Using thin substrates is shown to prevent this flaking by introducing favourable material thickness ratios in the samples after oxidation. Additionally, thin foils allow larger scale topographic patterns to be transferred from an underlying mould to realize non-flat, nanowire-decorated surfaces. Further patterning is possible by electrodeposition of a nickel layer, which restricts nanowire growth to specific areas of the sample.

  15. Structural and photoluminescence investigation on the hot-wire assisted plasma enhanced chemical vapor deposition growth silicon nanowires

    International Nuclear Information System (INIS)

    Chong, Su Kong; Goh, Boon Tong; Wong, Yuen-Yee; Nguyen, Hong-Quan; Do, Hien; Ahmad, Ishaq; Aspanut, Zarina; Muhamad, Muhamad Rasat; Dee, Chang Fu; Rahman, Saadah Abdul

    2012-01-01

    High density of silicon nanowires (SiNWs) were synthesized by a hot-wire assisted plasma enhanced chemical vapor deposition technique. The structural and optical properties of the as-grown SiNWs prepared at different rf power of 40 and 80 W were analyzed in this study. The SiNWs prepared at rf power of 40 W exhibited highly crystalline structure with a high crystal volume fraction, X C of ∼82% and are surrounded by a thin layer of SiO x . The NWs show high absorption in the high energy region (E>1.8 eV) and strong photoluminescence at 1.73 to 2.05 eV (red–orange region) with a weak shoulder at 1.65 to 1.73 eV (near IR region). An increase in rf power to 80 W reduced the X C to ∼65% and led to the formation of nanocrystalline Si structures with a crystallite size of <4 nm within the SiNWs. These NWs are covered by a mixture of uncatalyzed amorphous Si layer. The SiNWs prepared at 80 W exhibited a high optical absorption ability above 99% in the broadband range between 220 and ∼1500 nm and red emission between 1.65 and 1.95 eV. The interesting light absorption and photoluminescence properties from both SiNWs are discussed in the text. - Highlights: ► Growth of random oriented silicon nanowires using hot-wire assisted plasma enhanced chemical vapor deposition. ► Increase in rf power reduces the crystallinity of silicon nanowires. ► High density and nanocrystalline structure in silicon nanowires significant enhance the near IR light absorption. ► Oxide defects and silicon nanocrystallites in silicon nanowires reveal photoluminescence in red–orange and red regions.

  16. Fabrication of a Silicon Nanowire on a Bulk Substrate by Use of a Plasma Etching and Total Ionizing Dose Effects on a Gate-All-Around Field-Effect Transistor

    Science.gov (United States)

    Moon, Dong-Il; Han, Jin-Woo; Meyyappan, Meyya

    2016-01-01

    The gate all around transistor is investigated through experiment. The suspended silicon nanowire for the next generation is fabricated on bulk substrate by plasma etching method. The scallop pattern generated by Bosch process is utilized to form a floating silicon nanowire. By combining anisotropic and istropic silicon etch process, the shape of nanowire is accurately controlled. From the suspended nanowire, the gate all around transistor is demonstrated. As the silicon nanowire is fully surrounded by the gate, the device shows excellent electrostatic characteristics.

  17. Fluorinion transfer in silver-assisted chemical etching for silicon nanowires arrays

    International Nuclear Information System (INIS)

    Feng, Tianyu; Xu, Youlong; Zhang, Zhengwei; Mao, Shengchun

    2015-01-01

    Graphical abstract: - Highlights: • How Ag transfers F − to the adjacent Si atom was investigated and deduced by DFT at atomic scale. • Three-electrode CV tests proved the transferring function of Ag in the etching reaction. • Uniform SiNWAs were fabricated on unpolished silicon wafers with KOH pretreatment. - Abstract: Uniform silicon nanowires arrays (SiNWAs) were fabricated on unpolished rough silicon wafers through KOH pretreatment followed by silver-assisted chemical etching (SACE). Density functional theory (DFT) calculations were used to investigate the function of silver (Ag) at atomic scale in the etching process. Among three adsorption sites of Ag atom on Si(1 0 0) surface, Ag(T4) above the fourth-layer surface Si atoms could transfer fluorinion (F − ) to adjacent Si successfully due to its stronger electrostatic attraction force between Ag(T4) and F − , smaller azimuth angle of F−Ag(T4)−Si, shorter bond length of F−Si compared with F−Ag. As F − was transferred to adjacent Si by Ag(T4) one by one, the Si got away from the wafer in the form of SiF 4 when it bonded with enough F − while Ag(T4) was still attached onto the Si wafer ready for next transfer. Cyclic voltammetry tests confirmed that Ag can improve the etching rate by transferring F − to Si

  18. A comprehensive study of thermoelectric and transport properties of β-silicon carbide nanowires

    Energy Technology Data Exchange (ETDEWEB)

    Valentín, L. A.; Betancourt, J.; Fonseca, L. F., E-mail: luis.fonseca@upr.edu [Department of Physics University of Puerto Rico, Rio Piedras (Puerto Rico); Pettes, M. T.; Shi, L. [Department of Mechanical Engineering, The University of Texas at Austin, Texas 78712 (United States); Soszyński, M.; Huczko, A. [Department of Chemistry, Warsaw University, Pasteur 1 Str., 02-093 Warsaw (Poland)

    2013-11-14

    The temperature dependence of the Seebeck coefficient, the electrical and thermal conductivities of individual β-silicon carbide nanowires produced by combustion in a calorimetric bomb were studied using a suspended micro-resistance thermometry device that allows four-point probe measurements to be conducted on each nanowire. Additionally, crystal structure and growth direction for each measured nanowire was directly obtained by transmission electron microscopy analysis. The Fermi level, the carrier concentration, and mobility of each nanostructure were determined using a combination of Seebeck coefficient and electrical conductivity measurements, energy band structure and transport theory calculations. The temperature dependence of the thermal and electrical conductivities of the nanowires was explained in terms of contributions from boundary, impurity, and defect scattering.

  19. Silicon nanowires enhanced proliferation and neuronal differentiation of neural stem cell with vertically surface microenvironment.

    Science.gov (United States)

    Yan, Qiuting; Fang, Lipao; Wei, Jiyu; Xiao, Guipeng; Lv, Meihong; Ma, Quanhong; Liu, Chunfeng; Wang, Wang

    2017-09-01

    Owing to its biocompatibility, noncytotoxicity, biodegradability and three-dimensional structure, vertically silicon nanowires (SiNWs) arrays are a promising scaffold material for tissue engineering, regenerative medicine and relevant medical applications. Recently, its osteogenic differentiation effects, reorganization of cytoskeleton and regulation of the fate on stem cells have been demonstrated. However, it still remains unknown whether SiNWs arrays could affect the proliferation and neuronal differentiation of neural stem cells (NSCs) or not. In the present study, we have employed vertically aligned SiNWs arrays as culture systems for NSCs and proved that the scaffold material could promote the proliferation and neuronal differentiation of NSCs while maintaining excellent cell viability and stemness. Immunofluorescence imaging analysis, Western blot and RT-PCR results reveal that NSCs proliferation and neuronal differentiation efficiency on SiNWs arrays are significant greater than that on silicon wafers. These results implicate SiNWs arrays could offer a powerful platform for NSCs research and NSCs-based therapy in the field of neural tissue engineering.

  20. Intermediate Bandgap Solar Cells From Nanostructured Silicon

    Energy Technology Data Exchange (ETDEWEB)

    Black, Marcie [Bandgap Engineering, Lincoln, MA (United States)

    2014-10-30

    This project aimed to demonstrate increased electronic coupling in silicon nanostructures relative to bulk silicon for the purpose of making high efficiency intermediate bandgap solar cells using silicon. To this end, we formed nanowires with controlled crystallographic orientation, small diameter, <111> sidewall faceting, and passivated surfaces to modify the electronic band structure in silicon by breaking down the symmetry of the crystal lattice. We grew and tested these silicon nanowires with <110>-growth axes, which is an orientation that should produce the coupling enhancement.

  1. Tunable electronic transport properties of silicon-fullerene-linked nanowires: Semiconductor, conducting wire, and tunnel diode

    OpenAIRE

    Nishio, Kengo; Ozaki, Taisuke; Morishita, Tetsuya; Mikami, Masuhiro

    2010-01-01

    We explore the possibility of controllable tuning of the electronic transport properties of silicon-fullerene-linked nanowires by encapsulating guest atoms into their cages. Our first-principles calculations demonstrate that the guest-free nanowires are semiconductors, and do not conduct electricity. The iodine or sodium doping improves the transport properties, and makes the nanowires metallic. In the junctions of I-doped and Na-doped NWs, the current travels through the boundary by quantum ...

  2. Thermal conductivity engineering in width-modulated silicon nanowires and thermoelectric efficiency enhancement

    Science.gov (United States)

    Zianni, Xanthippi

    2018-03-01

    Width-modulated nanowires have been proposed as efficient thermoelectric materials. Here, the electron and phonon transport properties and the thermoelectric efficiency are discussed for dimensions above the quantum confinement regime. The thermal conductivity decreases dramatically in the presence of thin constrictions due to their ballistic thermal resistance. It shows a scaling behavior upon the width-modulation rate that allows for thermal conductivity engineering. The electron conductivity also decreases due to enhanced boundary scattering by the constrictions. The effect of boundary scattering is weaker for electrons than for phonons and the overall thermoelectric efficiency is enhanced. A ZT enhancement by a factor of 20-30 is predicted for width-modulated nanowires compared to bulk silicon. Our findings indicate that width-modulated nanostructures are promising for developing silicon nanostructures with high thermoelectric efficiency.

  3. Effect of electroless etching parameters on the growth and reflection properties of silicon nanowires

    International Nuclear Information System (INIS)

    Ozdemir, Baris; Unalan, Husnu Emrah; Kulakci, Mustafa; Turan, Rasit

    2011-01-01

    Vertically aligned silicon nanowire (Si NW) arrays have been fabricated over large areas using an electroless etching (EE) method, which involves etching of silicon wafers in a silver nitrate and hydrofluoric acid based solution. A detailed parametric study determining the relationship between nanowire morphology and time, temperature, solution concentration and starting wafer characteristics (doping type, resistivity, crystallographic orientation) is presented. The as-fabricated Si NW arrays were analyzed by field emission scanning electron microscope (FE-SEM) and a linear dependency of nanowire length to both temperature and time was obtained and the change in the growth rate of Si NWs at increased etching durations was shown. Furthermore, the effects of EE parameters on the optical reflectivity of the Si NWs were investigated in this study. Reflectivity measurements show that the 42.8% reflectivity of the starting silicon wafer drops to 1.3%, recorded for 10 μm long Si NW arrays. The remarkable decrease in optical reflectivity indicates that Si NWs have a great potential to be utilized in radial or coaxial p-n heterojunction solar cells that could provide orthogonal photon absorption and enhanced carrier collection.

  4. Effect of electroless etching parameters on the growth and reflection properties of silicon nanowires.

    Science.gov (United States)

    Ozdemir, Baris; Kulakci, Mustafa; Turan, Rasit; Unalan, Husnu Emrah

    2011-04-15

    Vertically aligned silicon nanowire (Si NW) arrays have been fabricated over large areas using an electroless etching (EE) method, which involves etching of silicon wafers in a silver nitrate and hydrofluoric acid based solution. A detailed parametric study determining the relationship between nanowire morphology and time, temperature, solution concentration and starting wafer characteristics (doping type, resistivity, crystallographic orientation) is presented. The as-fabricated Si NW arrays were analyzed by field emission scanning electron microscope (FE-SEM) and a linear dependency of nanowire length to both temperature and time was obtained and the change in the growth rate of Si NWs at increased etching durations was shown. Furthermore, the effects of EE parameters on the optical reflectivity of the Si NWs were investigated in this study. Reflectivity measurements show that the 42.8% reflectivity of the starting silicon wafer drops to 1.3%, recorded for 10 µm long Si NW arrays. The remarkable decrease in optical reflectivity indicates that Si NWs have a great potential to be utilized in radial or coaxial p-n heterojunction solar cells that could provide orthogonal photon absorption and enhanced carrier collection.

  5. Effect of electroless etching parameters on the growth and reflection properties of silicon nanowires

    Science.gov (United States)

    Ozdemir, Baris; Kulakci, Mustafa; Turan, Rasit; Emrah Unalan, Husnu

    2011-04-01

    Vertically aligned silicon nanowire (Si NW) arrays have been fabricated over large areas using an electroless etching (EE) method, which involves etching of silicon wafers in a silver nitrate and hydrofluoric acid based solution. A detailed parametric study determining the relationship between nanowire morphology and time, temperature, solution concentration and starting wafer characteristics (doping type, resistivity, crystallographic orientation) is presented. The as-fabricated Si NW arrays were analyzed by field emission scanning electron microscope (FE-SEM) and a linear dependency of nanowire length to both temperature and time was obtained and the change in the growth rate of Si NWs at increased etching durations was shown. Furthermore, the effects of EE parameters on the optical reflectivity of the Si NWs were investigated in this study. Reflectivity measurements show that the 42.8% reflectivity of the starting silicon wafer drops to 1.3%, recorded for 10 µm long Si NW arrays. The remarkable decrease in optical reflectivity indicates that Si NWs have a great potential to be utilized in radial or coaxial p-n heterojunction solar cells that could provide orthogonal photon absorption and enhanced carrier collection.

  6. Fabrication of three-dimensional MIS nano-capacitor based on nano-imprinted single crystal silicon nanowire arrays

    KAUST Repository

    Zhai, Yujia

    2012-11-26

    We report fabrication of single crystalline silicon nanowire based-three-dimensional MIS nano-capacitors for potential analog and mixed signal applications. The array of nanowires is patterned by Step and Flash Imprint Lithography (S-FIL). Deep silicon etching (DSE) is used to form the nanowires with high aspect ratio, increase the electrode area and thus significantly enhance the capacitance. High-! dielectric is deposited by highly conformal atomic layer deposition (ALD) Al2O3 over the Si nanowires, and sputtered metal TaN serves as the electrode. Electrical measurements of fabricated capacitors show the expected increase of capacitance with greater nanowire height and decreasing dielectric thickness, consistent with calculations. Leakage current and time-dependent dielectric breakdown (TDDB) are also measured and compared with planar MIS capacitors. In view of greater interest in 3D transistor architectures, such as FinFETs, 3D high density MIS capacitors offer an attractive device technology for analog and mixed signal applications. - See more at: http://www.eurekaselect.com/105099/article#sthash.EzeJxk6j.dpuf

  7. Fabrication of three-dimensional MIS nano-capacitor based on nano-imprinted single crystal silicon nanowire arrays

    KAUST Repository

    Zhai, Yujia; Palard, Marylene; Mathew, Leo; Hussain, Muhammad Mustafa; Willson, Grant Grant; Tutuc, Emanuel; Banerjee, Sanjay Kumar

    2012-01-01

    We report fabrication of single crystalline silicon nanowire based-three-dimensional MIS nano-capacitors for potential analog and mixed signal applications. The array of nanowires is patterned by Step and Flash Imprint Lithography (S-FIL). Deep silicon etching (DSE) is used to form the nanowires with high aspect ratio, increase the electrode area and thus significantly enhance the capacitance. High-! dielectric is deposited by highly conformal atomic layer deposition (ALD) Al2O3 over the Si nanowires, and sputtered metal TaN serves as the electrode. Electrical measurements of fabricated capacitors show the expected increase of capacitance with greater nanowire height and decreasing dielectric thickness, consistent with calculations. Leakage current and time-dependent dielectric breakdown (TDDB) are also measured and compared with planar MIS capacitors. In view of greater interest in 3D transistor architectures, such as FinFETs, 3D high density MIS capacitors offer an attractive device technology for analog and mixed signal applications. - See more at: http://www.eurekaselect.com/105099/article#sthash.EzeJxk6j.dpuf

  8. Fabrication of amorphous silica nanowires via oxygen plasma treatment of polymers on silicon

    Science.gov (United States)

    Chen, Zhuojie; She, Didi; Chen, Qinghua; Li, Yanmei; Wu, Wengang

    2018-02-01

    We demonstrate a facile non-catalytic method of fabricating silica nanowires at room temperature. Different polymers including photoresists, parylene C and polystyrene are patterned into pedestals on the silicon substrates. The silica nanowires are obtained via the oxygen plasma treatment on those pedestals. Compared to traditional strategies of silica nanowire fabrication, this method is much simpler and low-cost. Through designing the proper initial patterns and plasma process parameters, the method can be used to fabricate various regiment nano-scale silica structure arrays in any laboratory with a regular oxygen-plasma-based cleaner or reactive-ion-etching equipment.

  9. Improving the cycling stability of silicon nanowire anodes with conducting polymer coatings

    KAUST Repository

    Yao, Yan; Liu, Nian; McDowell, Matthew T.; Pasta, Mauro; Cui, Yi

    2012-01-01

    For silicon nanowires (Si NWs) to be used as a successful high capacity lithium-ion battery anode material, improvements in cycling stability are required. Here we show that a conductive polymer surface coating on the Si NWs improves cycling stability; coating with PEDOT causes the capacity retention after 100 charge-discharge cycles to increase from 30% to 80% over bare NWs. The improvement in cycling stability is attributed to the conductive coating maintaining the mechanical integrity of the cycled Si material, along with preserving electrical connections between NWs that would otherwise have become electrically isolated during volume changes. © 2012 The Royal Society of Chemistry.

  10. InGaN/GaN Nanowire LEDs and Lasers

    KAUST Repository

    Zhao, Chao

    2016-01-01

    The large specific surface, and the associated high density of surface states was found to limit the light output power and quantum efficiency of nanowire-array devices, despite their potential for addressing the “green-gap” and efficiency-droop issues. The phonon and carrier confinement in nanowires also led to junction heating, and reduced heat dissipation. In this paper, we will present our studies on effective surface states passivation in InGaN/GaN quantum-disks (Qdisks)-in-nanowire light-emitting diodes (LEDs) and lasers grown on silicon (Si), as well as our recent work on nanowires LEDs grown on bulk-metal, a non-conventional substrate.

  11. AC surface photovoltage of indium phosphide nanowire networks

    Energy Technology Data Exchange (ETDEWEB)

    Lohn, Andrew J.; Kobayashi, Nobuhiko P. [California Univ., Santa Cruz, CA (United States). Baskin School of Engineering; California Univ., Santa Cruz, CA (US). Nanostructured Energy Conversion Technology and Research (NECTAR); NASA Ames Research Center, Moffett Field, CA (United States). Advanced Studies Laboratories

    2012-06-15

    Surface photovoltage is used to study the dynamics of photogenerated carriers which are transported through a highly interconnected three-dimensional network of indium phosphide nanowires. Through the nanowire network charge transport is possible over distances far in excess of the nanowire lengths. Surface photovoltage was measured within a region 10.5-14.5 mm from the focus of the illumination, which was chopped at a range of frequencies from 15 Hz to 30 kHz. Carrier dynamics were modeled by approximating the nanowire network as a thin film, then fitted to experiment suggesting diffusion of electrons and holes at approximately 75% of the bulk value in InP but with significantly reduced built-in fields, presumably due to screening by nanowire surfaces. (orig.)

  12. All-Optical 40 Gbit/s Regenerative Wavelength Conversion Based on Cross-Phase Modulation in a Silicon Nanowire

    DEFF Research Database (Denmark)

    Jensen, Asger Sellerup; Hu, Hao; Ji, Hua

    2013-01-01

    We successfully demonstrate all-optical regeneration of a 40 Gbit/s signal based on cross-phase modulation in a silicon nanowire. Bit-error-rate measurements show an average of 1.7dB improvement in receiver sensitivity after the regeneration.......We successfully demonstrate all-optical regeneration of a 40 Gbit/s signal based on cross-phase modulation in a silicon nanowire. Bit-error-rate measurements show an average of 1.7dB improvement in receiver sensitivity after the regeneration....

  13. Critical Role of Diels-Adler Adducts to Realise Stretchable Transparent Electrodes Based on Silver Nanowires and Silicone Elastomer

    Science.gov (United States)

    Heo, Gaeun; Pyo, Kyoung-Hee; Lee, Da Hee; Kim, Youngmin; Kim, Jong-Woong

    2016-05-01

    This paper presents the successful fabrication of a transparent electrode comprising a sandwich structure of silicone/Ag nanowires (AgNWs)/silicone equipped with Diels-Alder (DA) adducts as crosslinkers to realise highly stable stretchability. Because of the reversible DA reaction, the crosslinked silicone successfully bonds with the silicone overcoat, which should completely seal the electrode. Thus, any surrounding liquid cannot leak through the interfaces among the constituents. Furthermore, the nanowires are protected by the silicone cover when they are stressed by mechanical loads such as bending, folding, and stretching. After delicate optimisation of the layered silicone/AgNW/silicone sandwich structure, a stretchable transparent electrode which can withstand 1000 cycles of 50% stretching-releasing with an exceptionally high stability and reversibility was fabricated. This structure can be used as a transparent strain sensor; it possesses a strong piezoresistivity with a gauge factor greater than 11.

  14. Resonant tunnelling features in a suspended silicon nanowire single-hole transistor

    Energy Technology Data Exchange (ETDEWEB)

    Llobet, Jordi; Pérez-Murano, Francesc, E-mail: francesc.perez@csic.es, E-mail: z.durrani@imperial.ac.uk [Institut de Microelectrònica de Barcelona (IMB-CNM CSIC), Campus UAB, E-08193 Bellaterra, Catalonia (Spain); Krali, Emiljana; Wang, Chen; Jones, Mervyn E.; Durrani, Zahid A. K., E-mail: francesc.perez@csic.es, E-mail: z.durrani@imperial.ac.uk [Department of Electrical and Electronic Engineering, Imperial College London, South Kensington, London SW7 2AZ (United Kingdom); Arbiol, Jordi [Institució Catalana de Recerca i Estudis Avançats (ICREA) and Institut Català de Nanociència i Nanotecnologia (ICN2), Campus UAB, 08193 Bellaterra, Catalonia (Spain); CELLS-ALBA Synchrotron Light Facility, 08290 Cerdanyola, Catalonia (Spain)

    2015-11-30

    Suspended silicon nanowires have significant potential for a broad spectrum of device applications. A suspended p-type Si nanowire incorporating Si nanocrystal quantum dots has been used to form a single-hole transistor. Transistor fabrication uses a novel and rapid process, based on focused gallium ion beam exposure and anisotropic wet etching, generating <10 nm nanocrystals inside suspended Si nanowires. Electrical characteristics at 10 K show Coulomb diamonds with charging energy ∼27 meV, associated with a single dominant nanocrystal. Resonant tunnelling features with energy spacing ∼10 meV are observed, parallel to both diamond edges. These may be associated either with excited states or hole–acoustic phonon interactions, in the nanocrystal. In the latter case, the energy spacing corresponds well with reported Raman spectroscopy results and phonon spectra calculations.

  15. Resonant tunnelling features in a suspended silicon nanowire single-hole transistor

    International Nuclear Information System (INIS)

    Llobet, Jordi; Pérez-Murano, Francesc; Krali, Emiljana; Wang, Chen; Jones, Mervyn E.; Durrani, Zahid A. K.; Arbiol, Jordi

    2015-01-01

    Suspended silicon nanowires have significant potential for a broad spectrum of device applications. A suspended p-type Si nanowire incorporating Si nanocrystal quantum dots has been used to form a single-hole transistor. Transistor fabrication uses a novel and rapid process, based on focused gallium ion beam exposure and anisotropic wet etching, generating <10 nm nanocrystals inside suspended Si nanowires. Electrical characteristics at 10 K show Coulomb diamonds with charging energy ∼27 meV, associated with a single dominant nanocrystal. Resonant tunnelling features with energy spacing ∼10 meV are observed, parallel to both diamond edges. These may be associated either with excited states or hole–acoustic phonon interactions, in the nanocrystal. In the latter case, the energy spacing corresponds well with reported Raman spectroscopy results and phonon spectra calculations

  16. Growth and applicability of radiation-responsive silica nanowires

    Science.gov (United States)

    Bettge, Martin

    Surface energetics play an important role in processes on the nanoscale. Nanowire growth via vapor-liquid-solid (VLS) mechanism is no exception in this regard. Interfacial and line energies are found to impose some fundamental limits during three-phase nanowire growth and lead to formation of stranded nanowires with fascinating characteristics such as high responsiveness towards ion irradiation. By using two materials with a relatively low surface energy (indium and silicon oxide) this is experimentally and theoretically demonstrated in this doctoral thesis. The augmentation of VLS nanowire growth with ion bombardment enables fabrication of vertically aligned silica nanowires over large areas. Synthesis of their arrays begins with a thin indium film deposited on a Si or SiO 2 surface. At temperatures below 200ºC, the indium film becomes a self-organized seed layer of molten droplets, receiving a flux of atomic silicon by DC magnetron sputtering. Simultaneous vigorous ion bombardment through substrate biasing aligns the growing nanowires vertically and expedites mixing of oxygen and silicon into the indium. The vertical growth rate can reach up to 1000 nm-min-1 in an environment containing only argon and traces of water vapor. Silicon oxide precipitates from each indium seed in the form of multiple thin strands having diameters less than 9 nm and practically independent of droplet size. The strands form a single loose bundle, eventually consolidating to form one vertically aligned nanowire. These observations are in stark contrast to conventional VLS growth in which one liquid droplet precipitates a single solid nanowire and in which the precipitated wire diameter is directly proportional to the droplet diameter. The origin of these differences is revealed through a detailed force balance analysis, analogous to Young's relation, at the three-phase line. The liquid-solid interfacial energy of indium/silica is found to be the largest energy contribution at the three

  17. Fabricating a silicon nanowire by using the proximity effect in electron beam lithography for investigation of the Coulomb blockade effect

    International Nuclear Information System (INIS)

    Zhang Xiangao; Fang Zhonghui; Chen Kunji; Xu Jun; Huang Xinfan

    2011-01-01

    We present an approach to fabricate a silicon nanowire relying on the proximity effect in electron beam lithography with a low acceleration voltage system by designing the exposure patterns with a rhombus sandwiched between two symmetric wedges. The reproducibility is investigated by changing the number of rhombuses. A device with a silicon nanowire is constructed on a highly doped silicon-on-insulator wafer to measure the electronic transport characteristics. Significant nonlinear behavior of current-voltage curves is observed at up to 150 K. The dependence of current on the drain voltage and back-gate voltage shows Coulomb blockade oscillations at 5.4 K, revealing a Coulomb island naturally formed in the nanowire. The mechanism of formation of the Coulomb island is discussed.

  18. ZrTiO4 nanowire growth using membrane-assisted Pechini route

    Directory of Open Access Journals (Sweden)

    P. R. de Lucena

    2014-11-01

    Full Text Available The high surface-to-volume ratio of nanowires makes them natural competitors as new device components. In this regard, a current major challenge is to produce quasi-one-dimensional nanostructures composed of well established oxide-based materials. This article reports the synthesis of ZrTiO4 nanowires on a silicon (100 wafer in a single-step deposition/thermal treatment. The template-directed membrane synthesis strategy was associated with the Pechini route and spin-coating deposition technique. ZrTiO4 nanowires were obtained at 700 ˚C with diameters in the range of 80-100 nm. FEG- SEM images were obtained to investigate ZrTiO4 nanowire formation on the silicon surface and energy dispersive x-ray detection (EDS and x-ray diffraction (XRD analyses were performed to confirm the oxide composition and structure.

  19. ZrTiO4 Nanowire Growth Using Membrane-assisted Pechini Route

    Directory of Open Access Journals (Sweden)

    Poty Rodrigues de Lucena

    2016-02-01

    Full Text Available The high surface-to-volume ratio of nanowires makes them natural competitors as newer device components. In this regard, a current major challenge is to produce quasi-one-dimensional nanostructures composed of well-established oxide-based materials. This article reports the synthesis of ZrTiO4 nanowires on a silicon (100 wafer in a single-step deposition/thermal treatment. The template-directed membrane synthesis strategy was associated with the Pechini route and spin-coating deposition technique. ZrTiO4 nanowires were obtained at 700 °C with diameters in the range of 80-100 nm. FEGSEM images were obtained to investigate ZrTiO4 nanowire formation on the silicon surface and energy dispersive X-ray detection (EDS and X-ray diffraction (XRD analyses were performed to confirm the oxide composition and structure. 

  20. Influence of the doping level on the porosity of silicon nanowires prepared by metal-assisted chemical etching

    International Nuclear Information System (INIS)

    Geyer, Nadine; Wollschläger, Nicole; Tonkikh, Alexander; Berger, Andreas; Werner, Peter; Fuhrmann, Bodo; Leipner, Hartmut S; Jungmann, Marco; Krause-Rehberg, Reinhard

    2015-01-01

    A systematic method to control the porosity of silicon nanowires is presented. This method is based on metal-assisted chemical etching (MACE) and takes advantage of an HF/H_2O_2 etching solution and a silver catalyst in the form of a thin patterned film deposited on a doped silicon wafer. It is found that the porosity of the etched nanowires can be controlled by the doping level of the wafer. For low doping concentrations, the wires are primarily crystalline and surrounded by only a very thin layer of porous silicon (pSi) layer, while for highly doped silicon, they are porous in their entire volume. We performed a series of controlled experiments to conclude that there exists a well-defined critical doping concentration separating the crystalline and porous regimes. Furthermore, transmission electron microscopy investigations showed that the pSi has also a crystalline morphology on a length scale smaller than the pore size, determined from positron annihilation lifetime spectroscopy to be mesoscopic. Based on the experimental evidence, we devise a theoretical model of the pSi formation during MACE and apply it for better control of the nanowire morphology. (paper)

  1. Diagnosis of phosphorus monolayer doping in silicon based on nanowire electrical characterisation

    Science.gov (United States)

    Duffy, Ray; Ricchio, Alessio; Murphy, Ruaidhrí; Maxwell, Graeme; Murphy, Richard; Piaszenski, Guido; Petkov, Nikolay; Hydes, Alan; O'Connell, Dan; Lyons, Colin; Kennedy, Noel; Sheehan, Brendan; Schmidt, Michael; Crupi, Felice; Holmes, Justin D.; Hurley, Paul K.; Connolly, James; Hatem, Chris; Long, Brenda

    2018-03-01

    The advent of high surface-to-volume ratio devices has necessitated a revised approach to parameter extraction and process evaluation in field-effect transistor technologies. In this work, active doping concentrations are extracted from the electrical analysis of Si nanowire devices with high surface-to-volume ratios. Nanowire resistance and Si resistivity are extracted, by first extracting and subtracting out the contact resistance. Resistivity (ρ) is selected as the benchmark parameter to compare different doping processes with each other. The impacts of nanowire diameter scaling to 10 nm and of nanowire spacing scaling to resistivity and higher dopant activation, with dependencies on the nanowire width greater than on nanowire spacing. Limitations in ADP P monolayer doping with a SiO2 cap are due to the difficulties in dopant incorporation, as it is based on in-diffusion, and P atoms must overcome a potential barrier on the Si surface.

  2. Fullerene C70 decorated TiO2 nanowires for visible-light-responsive photocatalyst

    International Nuclear Information System (INIS)

    Cho, Er-Chieh; Ciou, Jing-Hao; Zheng, Jia-Huei; Pan, Job; Hsiao, Yu-Sheng; Lee, Kuen-Chan; Huang, Jen-Hsien

    2015-01-01

    Graphical abstract: - Highlights: • TiO 2 nanowire decorated with C 60 and C 70 derivatives has been synthesized. • The fullerenes impede the charge recombination due to its high electron affinity. • The fullerenes expand the utilization of solar light from UV to visible light. • The modified-TiO 2 has great biocompatibility. - Abstract: In this study, we have synthesized C 60 and C 70 -modified TiO 2 nanowire (NW) through interfacial chemical bonding. The results indicate that the fullerenes (C 60 and C 70 derivatives) can act as sinks for photogenerated electrons in TiO 2 , while the fullerene/TiO 2 is illuminated under ultraviolet (UV) light. Therefore, in comparison to the pure TiO 2 NWs, the modified TiO 2 NWs display a higher photocatalytic activity under UV irradiation. Moreover, the fullerenes also can function as a sensitizer to TiO 2 which expand the utilization of solar light from UV to visible light. The results reveal that the C 70 /TiO 2 NWs show a significant photocatalytic activity for degradation of methylene blue (MB) in visible light region. To better understand the mechanism responsible for the effect of fullerenes on the photocatalytic properties of TiO 2 , the electron only devices and photoelectrochemical cells based on fullerenes/TiO 2 are also fabricated and evaluated.

  3. Fabrication and Photovoltaic Characteristics of Coaxial Silicon Nanowire Solar Cells Prepared by Wet Chemical Etching

    Directory of Open Access Journals (Sweden)

    Chien-Wei Liu

    2012-01-01

    Full Text Available Nanostructured solar cells with coaxial p-n junction structures have strong potential to enhance the performances of the silicon-based solar cells. This study demonstrates a radial junction silicon nanowire (RJSNW solar cell that was fabricated simply and at low cost using wet chemical etching. Experimental results reveal that the reflectance of the silicon nanowires (SNWs declines as their length increases. The excellent light trapping was mainly associated with high aspect ratio of the SNW arrays. A conversion efficiency of ∼7.1% and an external quantum efficiency of ∼64.6% at 700 nm were demonstrated. Control of etching time and diffusion conditions holds great promise for the development of future RJSNW solar cells. Improving the electrode/RJSNW contact will promote the collection of carries in coaxial core-shell SNW array solar cells.

  4. Attachment chemistry of aromatic compounds on a Silicon(100) surface

    Science.gov (United States)

    Henriksson, Anders; Nishiori, Daiki; Maeda, Hiroaki; Miyachi, Mariko; Yamanoi, Yoshinori; Nishihara, Hiroshi

    2018-03-01

    A mild method was developed for the chemical attachment of aromatic compounds directly onto a hydrogen-terminated Si(100) (H-Si(100)) surface. In the presence of palladium catalyst and base, 4-iodophenylferrocene and a π-conjugated iron complex were attached to H-Si(100) electrodes and hydrogen-terminated silicon nanowires (H-SiNWs), both of which have predominant dihydride species on their surfaces. The reactions were conducted in 1,4-dioxane at 100 °C and the immobilization of both 4-ferrocenylphenyl group and π-conjugated molecular wires were confirmed and quantified by XPS and electrochemical measurements. We reported densely packed monolayer whose surface coverage (Γ), estimated from the electrochemical measurements are in analogue to similar monolayers prepared via thermal or light induced hydrosilylation reactions with alkenes or alkynes. The increase in electrochemical response observed on nanostructured silicon surfaces corresponds well to the increase in surface area, those strongly indicating that this method may be applied for the functionalization of electrodes with a variety of surface topographies.

  5. Silicon nanowires used as the anode of a lithium-ion battery

    International Nuclear Information System (INIS)

    Prosini, Pier Paolo; Rufoloni, Alessandro; Rondino, Flaminia; Santoni, Antonino

    2014-01-01

    In this paper the synthesis and characterization of silicon nanowires to be used as the anode of a lithium-ion battery cell are reported. The nanowires were synthesized by CVD and characterized by SEM. The nanostructured material was used as an electrode in a lithium cell and its electrochemical properties were investigated by galvanostatic charge/discharge cycles at C/10 rate as a function of the cycle number and at various rates as a function of the charge current. The electrode was then coupled with a LiFePO 4 cathode to fabricate a lithium-ion battery cell and the cell performance evaluated by galvanostatic charge/discharge cycles

  6. Sputtered gold-coated ITO nanowires by alternating depositions from Indium and ITO targets for application in surface-enhanced Raman scattering

    Science.gov (United States)

    Setti, Grazielle O.; Mamián-López, Mónica B.; Pessoa, Priscila R.; Poppi, Ronei J.; Joanni, Ednan; Jesus, Dosil P.

    2015-08-01

    Indium Tin oxide (ITO) nanowires were deposited by RF sputtering over oxidized silicon using ITO and Indium targets. The nanowires grew on the substrate with a catalyst layer of Indium by the vapor-liquid-solid (VLS) mechanism. Modifications in the deposition conditions affected the morphology and dimensions of the nanowires. The samples, after being covered with gold, were evaluated as surface-enhanced Raman scattering (SERS) substrates for detection of dye solutions and very good intensifications of the Raman signal were obtained. The SERS performance of the samples was also compared to that of a commercial SERS substrate and the results achieved were similar. To the best of our knowledge, this is the first time ITO nanowires were grown by the sputtering technique using oxide and metal targets.

  7. Magnetic and optical properties of carbon and silicon decorated free standing buckled germanene: A DFT approach

    Science.gov (United States)

    Dhar, Namrata; Jana, Debnarayan

    2018-04-01

    Ab initio magnetic and optical properties of group IV elements (carbon (C) and silicon (Si)) decorated free standing (FS) buckled germanene systems have been employed theoretically. Our study elucidates that, decoration of these elements in proper sites with suitable concentrations form dynamically stable configurations. Band structure is modified due to decoration of these atoms in Ge-nanosheet and pristine semi-metallic germanene undergoes to semiconductors with a finite amount of bandgap. Interestingly, this bandgap value meets closely the requirement of gap for field effect transistor (FET) applications. Moreover, significant magnetic moment is induced in non-magnetic germanene for C decorated structure and ground state in anti-ferromagnetic in nature for this structure. Along with magnetic property, optical properties like dielectric functions, optical absorption, electron energy loss spectra (EELS), refractive index and reflectivity of these systems have also been investigated. Maximum number of plasma frequencies appear for Si decorated configuration considering both parallel and perpendicular polarizations. In addition, birefringence characteristics of these configurations have also been studied as it is an important parameter in various applications of optical devices, liquid crystal displays, light modulators etc.

  8. Production of nanopoints and nanowires of silver at the surface of Si(557)

    International Nuclear Information System (INIS)

    Zhachuk, R.A.; Tijs, S.A.; Ol'shanetskij, B.Z.

    2004-01-01

    Formation of the silver nanostructures at the room temperature on the Si(557) surface containing the regular atomic stages of three interplanar distances in the height is studied through the methods of the scanning tunnel microscopy and electron Auger-spectroscopy. It is established that the oxygen adsorbed by the silicon surface from the residual atmosphere in the vacuum chamber effects the shape of the formed silver islands. The silver nanostructures of the nanowire-type, extended along the stage edges or nanopoints ordered in lines parallel to the stage edges may be formed depending on the quantity of the oxygen adsorbed on the surface [ru

  9. The SERS and TERS effects obtained by gold droplets on top of Si nanowires.

    Science.gov (United States)

    Becker, M; Sivakov, V; Andrä, G; Geiger, R; Schreiber, J; Hoffmann, S; Michler, J; Milenin, A P; Werner, P; Christiansen, S H

    2007-01-01

    We show that hemispherical gold droplets on top of silicon nanowires when grown by the vapor-liquid-solid (VLS) mechanism, can produce a significant enhancement of Raman scattered signals. Signal enhancement for a few or even just single gold droplets is demonstrated by analyzing the enhanced Raman signature of malachite green molecules. For this experiment, trenches (approximately 800 nm wide) were etched in a silicon-on-insulator (SOI) wafer along crystallographic directions that constitute sidewalls ({110} surfaces) suitable for the growth of silicon nanowires in directions with the intention that the gold droplets on the silicon nanowires can meet somewhere in the trench when growth time is carefully selected. Another way to realize gold nanostructures in close vicinity is to attach a silicon nanowire with a gold droplet onto an atomic force microscopy (AFM) tip and to bring this tip toward another gold-coated AFM tip where malachite green molecules were deposited prior to the measurements. In both experiments, signal enhancement of characteristic Raman bands of malachite green molecules was observed. This indicates that silicon nanowires with gold droplets atop can act as efficient probes for tip-enhanced Raman spectroscopy (TERS). In our article, we show that a nanowire TERS probe can be fabricated by welding nanowires with gold droplets to AFM tips in a scanning electron microscope (SEM). TERS tips made from nanowires could improve the spatial resolution of Raman spectroscopy so that measurements on the nanometer scale are possible.

  10. Surface roughness induced electron mobility degradation in InAs nanowires

    International Nuclear Information System (INIS)

    Wang Fengyun; Yip, Sen Po; Han, Ning; Fok, KitWa; Lin, Hao; Hou, Jared J; Dong, Guofa; Hung, Tak Fu; Chan, K S; Ho, Johnny C

    2013-01-01

    In this work, we present a study of the surface roughness dependent electron mobility in InAs nanowires grown by the nickel-catalyzed chemical vapor deposition method. These nanowires have good crystallinity, well-controlled surface morphology without any surface coating or tapering and an excellent peak field-effect mobility up to 15 000 cm 2 V −1 s −1 when configured into back-gated field-effect nanowire transistors. Detailed electrical characterizations reveal that the electron mobility degrades monotonically with increasing surface roughness and diameter scaling, while low-temperature measurements further decouple the effects of surface/interface traps and phonon scattering, highlighting the dominant impact of surface roughness scattering on the electron mobility for miniaturized and surface disordered nanowires. All these factors suggest that careful consideration of nanowire geometries and surface condition is required for designing devices with optimal performance. (paper)

  11. Functionalization and microfluidic integration of silicon nanowire biologically gated field effect transistors

    DEFF Research Database (Denmark)

    Pfreundt, Andrea

    This thesis deals with the development of a novel biosensor for the detection of biomolecules based on a silicon nanowire biologically gated field-effect transistor and its integration into a point-of-care device. The sensor and electrical on-chip integration was developed in a different project...

  12. Functionalization and microfluidic integration of silicon nanowire biologically gated field effect transistors

    DEFF Research Database (Denmark)

    Pfreundt, Andrea; Svendsen, Winnie Edith; Dimaki, Maria

    2016-01-01

    This thesis deals with the development of a novel biosensor for the detection of biomolecules based on a silicon nanowire biologically gated field-effect transistor and its integration into a point-of-care device. The sensor and electrical on-chip integration was developed in a different project...

  13. Specific and reversible immobilization of histidine-tagged proteins on functionalized silicon nanowires

    DEFF Research Database (Denmark)

    Liu, Yi-Chi; Rieben, Nathalie Ines; Iversen, Lars

    2010-01-01

    Silicon nanowire (Si NW)-based field effect transistors (FETs) have shown great potential as biosensors (bioFETs) for ultra-sensitive and label-free detection of biomolecular interactions. Their sensitivity depends not only on the device properties, but also on the function of the biological reco...

  14. Electron transport characteristics of silicon nanowires by metal-assisted chemical etching

    Energy Technology Data Exchange (ETDEWEB)

    Qi, Yangyang; Wang, Zhen; Zhang, Mingliang; Wang, Xiaodong, E-mail: xdwang@semi.ac.cn; Ji, An; Yang, Fuhua [Engineering Research Center for Semiconductor Integrated Technology, Institute of Semiconductors, Chinese Academy of Sciences, Beijing, 100083 (China)

    2014-03-15

    The electron transport characteristics of silicon nanowires (SiNWs) fabricated by metal-assisted chemical etching with different doping concentrations were studied. By increasing the doping concentration of the starting Si wafer, the resulting SiNWs were prone to have a rough surface, which had important effects on the contact and the electron transport. A metal-semiconductor-metal model and a thermionic field emission theory were used to analyse the current-voltage (I-V) characteristics. Asymmetric, rectifying and symmetric I-V curves were obtained. The diversity of the I-V curves originated from the different barrier heights at the two sides of the SiNWs. For heavily doped SiNWs, the critical voltage was one order of magnitude larger than that of the lightly doped, and the resistance obtained by differentiating the I-V curves at large bias was also higher. These were attributed to the lower electron tunnelling possibility and higher contact barrier, due to the rough surface and the reduced doping concentration during the etching process.

  15. Electron transport characteristics of silicon nanowires by metal-assisted chemical etching

    Science.gov (United States)

    Qi, Yangyang; Wang, Zhen; Zhang, Mingliang; Wang, Xiaodong; Ji, An; Yang, Fuhua

    2014-03-01

    The electron transport characteristics of silicon nanowires (SiNWs) fabricated by metal-assisted chemical etching with different doping concentrations were studied. By increasing the doping concentration of the starting Si wafer, the resulting SiNWs were prone to have a rough surface, which had important effects on the contact and the electron transport. A metal-semiconductor-metal model and a thermionic field emission theory were used to analyse the current-voltage (I-V) characteristics. Asymmetric, rectifying and symmetric I-V curves were obtained. The diversity of the I-V curves originated from the different barrier heights at the two sides of the SiNWs. For heavily doped SiNWs, the critical voltage was one order of magnitude larger than that of the lightly doped, and the resistance obtained by differentiating the I-V curves at large bias was also higher. These were attributed to the lower electron tunnelling possibility and higher contact barrier, due to the rough surface and the reduced doping concentration during the etching process.

  16. Quantum-confined nanowires as vehicles for enhanced electrical transport

    International Nuclear Information System (INIS)

    Mohammad, S Noor

    2012-01-01

    Electrical transport in semiconductor nanowires taking quantum confinement and dielectric confinement into account has been studied. A distinctly new route has been employed for the study. The fundamental science underlying the model is based on a relationship between the quantum confinement and the structural disorder of the nanowire surface. The role of surface energy and thermodynamic imbalance in nanowire structural disorder has been described. A model for the diameter dependence of energy bandgap of nanowires has been developed. Ionized impurity scattering, dislocation scattering and acoustic phonon scattering have been taken into account to study carrier mobility. A series of calculations on silicon nanowires show that carrier mobility in nanowires can be greatly enhanced by quantum confinement and dielectric confinement. The electron mobility can, for example, be a factor of 2–10 higher at room temperature than the mobility in a free-standing silicon nanowire. The calculated results agree well with almost all experimental and theoretical results available in the literature. They successfully explain experimental observations not understood before. The model is general and applicable to nanowires from all possible semiconductors. It is perhaps the first physical model highlighting the impact of both quantum confinement and dielectric confinement on carrier transport. It underscores the basic causes of thin, lowly doped nanowires in the temperature range 200 K ≤ T ≤ 500 K yielding very high carrier mobility. It suggests that the scattering by dislocations (stacking faults) can be very detrimental for carrier mobility. (paper)

  17. Fabrication and evaluation of series-triple quantum dots by thermal oxidation of silicon nanowire

    International Nuclear Information System (INIS)

    Uchida, Takafumi; Jo, Mingyu; Tsurumaki-Fukuchi, Atsushi; Arita, Masashi; Takahashi, Yasuo; Fujiwara, Akira

    2015-01-01

    Series-connected triple quantum dots were fabricated by a simple two-step oxidation technique using the pattern-dependent oxidation of a silicon nanowire and an additional oxidation of the nanowire through the gap of the fine gates attached to the nanowire. The characteristics of multi-dot single-electron devices are obtained. The formation of each quantum dot beneath an attached gate is confirmed by analyzing the electrical characteristics and by evaluating the gate capacitances between all pairings of gates and quantum dots. Because the gate electrode is automatically attached to each dot, the device structure benefits from scalability. This technique promises integrability of multiple quantum dots with individual control gates

  18. Infrared spectroscopy of one-dimensional metallic nanostructures on silicon vicinal surfaces

    Energy Technology Data Exchange (ETDEWEB)

    Hoang, Chung Vu

    2010-06-23

    Vicinal silicon(111) surfaces are used as templates for the growth of lead nanowires as well as gold and indium atom chains. The morphology of the Au atom chains was studied by use of Scanning Tunneling Microscopy (STM) and Reflection High Energy Electron Diffraction (RHEED). The In chains were investigated by infrared spectroscopy with the electrical field component of the IR light polarized either parallel or perpendicular to the wires. It is shown that at room temperature, In atom-chains display a plasmonic absorption feature along the chain but not in the perpendicular direction. Furthermore, upon cooling down to liquid nitrogen temperature, a metal to insulator transition is observed. A structural distortion is also confirmed by RHEED. As for the result of Pb nanowires, by means of infrared spectroscopy, it is now possible to control the average length of parallel nanowire arrays by monitoring four experimental parameters that influence on the nucleation density; namely: Pb coverage, evaporation rate, substrate temperature and the surface itself. The system shows an enhancement of the absorption at the antenna frequency in the low temperature regime. This scenario is assigned to the reduction of electron-phonon scattering due to low temperature. (orig.)

  19. Infrared spectroscopy of one-dimensional metallic nanostructures on silicon vicinal surfaces

    International Nuclear Information System (INIS)

    Hoang, Chung Vu

    2010-01-01

    Vicinal silicon(111) surfaces are used as templates for the growth of lead nanowires as well as gold and indium atom chains. The morphology of the Au atom chains was studied by use of Scanning Tunneling Microscopy (STM) and Reflection High Energy Electron Diffraction (RHEED). The In chains were investigated by infrared spectroscopy with the electrical field component of the IR light polarized either parallel or perpendicular to the wires. It is shown that at room temperature, In atom-chains display a plasmonic absorption feature along the chain but not in the perpendicular direction. Furthermore, upon cooling down to liquid nitrogen temperature, a metal to insulator transition is observed. A structural distortion is also confirmed by RHEED. As for the result of Pb nanowires, by means of infrared spectroscopy, it is now possible to control the average length of parallel nanowire arrays by monitoring four experimental parameters that influence on the nucleation density; namely: Pb coverage, evaporation rate, substrate temperature and the surface itself. The system shows an enhancement of the absorption at the antenna frequency in the low temperature regime. This scenario is assigned to the reduction of electron-phonon scattering due to low temperature. (orig.)

  20. Electrical Control of g-Factor in a Few-Hole Silicon Nanowire MOSFET.

    Science.gov (United States)

    Voisin, B; Maurand, R; Barraud, S; Vinet, M; Jehl, X; Sanquer, M; Renard, J; De Franceschi, S

    2016-01-13

    Hole spins in silicon represent a promising yet barely explored direction for solid-state quantum computation, possibly combining long spin coherence, resulting from a reduced hyperfine interaction, and fast electrically driven qubit manipulation. Here we show that a silicon-nanowire field-effect transistor based on state-of-the-art silicon-on-insulator technology can be operated as a few-hole quantum dot. A detailed magnetotransport study of the first accessible hole reveals a g-factor with unexpectedly strong anisotropy and gate dependence. We infer that these two characteristics could enable an electrically driven g-tensor-modulation spin resonance with Rabi frequencies exceeding several hundred mega-Hertz.

  1. A Highly Responsive Silicon Nanowire/Amplifier MOSFET Hybrid Biosensor

    Science.gov (United States)

    2015-07-21

    Hybrid Biosensor Jieun Lee1,2, Jaeman Jang1, Bongsik Choi1, Jinsu Yoon1, Jee-Yeon Kim3, Yang-Kyu Choi3, Dong Myong Kim1, Dae Hwan Kim1 & Sung-Jin Choi1...This study demonstrates a hybrid biosensor comprised of a silicon nanowire (SiNW) integrated with an amplifier MOSFET to improve the current response...of field-effect-transistor (FET)-based biosensors . The hybrid biosensor is fabricated using conventional CMOS technology, which has the potential

  2. Unusual electrochemical response of ZnO nanowires-decorated multiwalled carbon nanotubes

    International Nuclear Information System (INIS)

    Mo Guangquan; Ye Jianshan; Zhang Weide

    2009-01-01

    A novel type of ZnO nanowires-modified multiwalled carbon nanotubes (MWCNTs) nanocomposite (ZnO-NWs/MWCNTs) has been prepared by a hydrothermal process. The ZnO-NWs/MWCNTs nanocomposite has a uniform surface distribution and large coverage of ZnO nanowires onto MWCNTs with 3D configuration, which was characterized by scanning electron microscopy. Cyclic voltammetry and electrochemical impedance spectroscopy methods were applied to investigate the electrochemical properties of ZnO-NWs/MWCNTs nanocomposite. Surprisingly, unlike the conventional n-type semiconducting ZnO nanowires grown on Ta substrate, the ZnO-NWs/MWCNTs nanocomposite exhibits excellent electron transfer capability and gives a pair of well-defined symmetric redox peaks towards ferricyanide probe. What's more, the ZnO-NWs/MWCNTs nanocomposite shows remarkable electrocatalytic activity (current response increased 4 folds at 0.3 V) towards H 2 O 2 by comparing with bare MWCNTs. The ZnO-NWs/MWCNTs nanocomposite could find applications in novel biosensors and other electronic devices.

  3. Monolithic integration of a silicon nanowire field-effect transistors array on a complementary metal-oxide semiconductor chip for biochemical sensor applications.

    Science.gov (United States)

    Livi, Paolo; Kwiat, Moria; Shadmani, Amir; Pevzner, Alexander; Navarra, Giulio; Rothe, Jörg; Stettler, Alexander; Chen, Yihui; Patolsky, Fernando; Hierlemann, Andreas

    2015-10-06

    We present a monolithic complementary metal-oxide semiconductor (CMOS)-based sensor system comprising an array of silicon nanowire field-effect transistors (FETs) and the signal-conditioning circuitry on the same chip. The silicon nanowires were fabricated by chemical vapor deposition methods and then transferred to the CMOS chip, where Ti/Pd/Ti contacts had been patterned via e-beam lithography. The on-chip circuitry measures the current flowing through each nanowire FET upon applying a constant source-drain voltage. The analog signal is digitized on chip and then transmitted to a receiving unit. The system has been successfully fabricated and tested by acquiring I-V curves of the bare nanowire-based FETs. Furthermore, the sensing capabilities of the complete system have been demonstrated by recording current changes upon nanowire exposure to solutions of different pHs, as well as by detecting different concentrations of Troponin T biomarkers (cTnT) through antibody-functionalized nanowire FETs.

  4. High surface area silicon materials: fundamentals and new technology.

    Science.gov (United States)

    Buriak, Jillian M

    2006-01-15

    Crystalline silicon forms the basis of just about all computing technologies on the planet, in the form of microelectronics. An enormous amount of research infrastructure and knowledge has been developed over the past half-century to construct complex functional microelectronic structures in silicon. As a result, it is highly probable that silicon will remain central to computing and related technologies as a platform for integration of, for instance, molecular electronics, sensing elements and micro- and nanoelectromechanical systems. Porous nanocrystalline silicon is a fascinating variant of the same single crystal silicon wafers used to make computer chips. Its synthesis, a straightforward electrochemical, chemical or photochemical etch, is compatible with existing silicon-based fabrication techniques. Porous silicon literally adds an entirely new dimension to the realm of silicon-based technologies as it has a complex, three-dimensional architecture made up of silicon nanoparticles, nanowires, and channel structures. The intrinsic material is photoluminescent at room temperature in the visible region due to quantum confinement effects, and thus provides an optical element to electronic applications. Our group has been developing new organic surface reactions on porous and nanocrystalline silicon to tailor it for a myriad of applications, including molecular electronics and sensing. Integration of organic and biological molecules with porous silicon is critical to harness the properties of this material. The construction and use of complex, hierarchical molecular synthetic strategies on porous silicon will be described.

  5. APPLIED OPTICS. Voltage-tunable circular photogalvanic effect in silicon nanowires.

    Science.gov (United States)

    Dhara, Sajal; Mele, Eugene J; Agarwal, Ritesh

    2015-08-14

    Electronic bands in crystals can support nontrivial topological textures arising from spin-orbit interactions, but purely orbital mechanisms can realize closely related dynamics without breaking spin degeneracies, opening up applications in materials containing only light elements. One such application is the circular photogalvanic effect (CPGE), which is the generation of photocurrents whose magnitude and polarity depend on the chirality of optical excitation. We show that the CPGE can arise from interband transitions at the metal contacts to silicon nanowires, where inversion symmetry is locally broken by an electric field. Bias voltage that modulates this field further controls the sign and magnitude of the CPGE. The generation of chirality-dependent photocurrents in silicon with a purely orbital-based mechanism will enable new functionalities in silicon that can be integrated with conventional electronics. Copyright © 2015, American Association for the Advancement of Science.

  6. Vertical Silicon Nanowire Field Effect Transistors with Nanoscale Gate-All-Around

    Science.gov (United States)

    Guerfi, Youssouf; Larrieu, Guilhem

    2016-04-01

    Nanowires are considered building blocks for the ultimate scaling of MOS transistors, capable of pushing devices until the most extreme boundaries of miniaturization thanks to their physical and geometrical properties. In particular, nanowires' suitability for forming a gate-all-around (GAA) configuration confers to the device an optimum electrostatic control of the gate over the conduction channel and then a better immunity against the short channel effects (SCE). In this letter, a large-scale process of GAA vertical silicon nanowire (VNW) MOSFETs is presented. A top-down approach is adopted for the realization of VNWs with an optimum reproducibility followed by thin layer engineering at nanoscale. Good overall electrical performances were obtained, with excellent electrostatic behavior (a subthreshold slope (SS) of 95 mV/dec and a drain induced barrier lowering (DIBL) of 25 mV/V) for a 15-nm gate length. Finally, a first demonstration of dual integration of n-type and p-type VNW transistors for the realization of CMOS inverter is proposed.

  7. Surface enhanced infrared spectroscopy using interacting gold nanowires

    Energy Technology Data Exchange (ETDEWEB)

    Neubrech, Frank; Weber, Daniel; Pucci, Annemarie [Kirchhoff-Institut fuer Physik, Heidelberg (Germany); Shen, Hong [Universite Troyes, Troyes (France); Lamy de la Chapelle, Marc [Universite Paris 13, Bobigny (France)

    2009-07-01

    We performed surface enhanced infrared spectroscopy (SEIRS) of molecules adsorbed on gold nanowires using synchrotron light of the ANKA IR-beamline at the Forschungszentrum Karlsruhe (Germany). Arrays of gold nanowires with interparticle spacings down to 30nm were prepared by electron beam lithography. The interparticle distance was reduced further by wet-chemically increasing the size of the gold nanowires. The growth of the wires was proofed using IR spectroscopy as well as scanning electron microscopy. After this preparation step, appropriate arrays of nanowires with an interparticle distance down to a few nanometers were selected to demonstrate the surface enhanced infrared spectroscopy of one monolayer octadecanthiol (ODT). As know from SEIRS studies using single gold nanowires, the spectral position of the antenna-like resonance in relation to the absorption bands of ODT (2850cm-1 and 2919cm-1) is crucial for both, the lineshape of the molecular vibration and the signal enhancement. In contrast to single nanowires studies, a further increase of the enhanced signals is expected due to the interaction of the electromagnetic fields of the close-by nanowires.

  8. Crystalline-Amorphous Core−Shell Silicon Nanowires for High Capacity and High Current Battery Electrodes

    KAUST Repository

    Cui, Li-Feng; Ruffo, Riccardo; Chan, Candace K.; Peng, Hailin; Cui, Yi

    2009-01-01

    fading, has limited its applications. Designing nanoscale hierarchical structures is a novel approach to address the issues associated with the large volume changes. In this letter, we introduce a core-shell design of silicon nanowires for highpower

  9. Effects of surface atomistic modification on mechanical properties of gold nanowires

    International Nuclear Information System (INIS)

    Sun, Xiao-Yu; Xu, Yuanjie; Wang, Gang-Feng; Gu, Yuantong; Feng, Xi-Qiao

    2015-01-01

    Highlights: • Molecular dynamics simulations of surface modification effect of Au nanowires. • Surface modification can greatly affect the mechanical properties of nanowires. • Core–shell model is used to elucidate the effect of residual surface stress. - Abstract: Modulation of the physical and mechanical properties of nanowires is a challenging issue for their technological applications. In this paper, we investigate the effects of surface modification on the mechanical properties of gold nanowires by performing molecular dynamics simulations. It is found that by modifying a small density of silver atoms to the surface of a gold nanowire, the residual surface stress state can be altered, rendering a great improvement of its plastic yield strength. This finding is in good agreement with experimental measurements. The underlying physical mechanisms are analyzed by a core–shell nanowire model. The results are helpful for the design and optimization of advanced nanomaterial with superior mechanical properties

  10. Dynamic characterization of silicon nanowires using a terahertz optical asymmetric demultiplexer-based pump-probe scheme

    DEFF Research Database (Denmark)

    Ji, Hua; Cleary, C. S.; Dailey, J. M.

    2012-01-01

    Dynamic phase and amplitude all-optical responses of silicon nanowires are characterized using a terahertz optical asymmetric demultiplexer (TOAD) based pump-probe scheme. Ultra-fast recovery is observed for moderate pump powers....

  11. High-Temperature Performance of Stacked Silicon Nanowires for Thermoelectric Power Generation

    Science.gov (United States)

    Stranz, Andrej; Waag, Andreas; Peiner, Erwin

    2013-07-01

    Deep reactive-ion etching at cryogenic temperatures (cryo-DRIE) has been used to produce arrays of silicon nanowires (NWs) for thermoelectric (TE) power generation devices. Using cryo-DRIE, we were able to fabricate NWs of large aspect ratios (up to 32) using a photoresist mask. Roughening of the NW sidewalls occurred, which has been recognized as beneficial for low thermal conductivity. Generated NWs, which were 7 μm in length and 220 nm to 270 nm in diameter, were robust enough to be stacked with a bulk silicon chip as a common top contact to the NWs. Mechanical support of the NW array, which can be created by filling the free space between the NWs using silicon oxide or polyimide, was not required. The Seebeck voltage, measured across multiple stacks of up to 16 bulk silicon dies, revealed negligible thermal interface resistance. With stacked silicon NWs, we observed Seebeck voltages that were an order of magnitude higher than those observed for bulk silicon. Degradation of the TE performance of silicon NWs was not observed for temperatures up to 470°C and temperature gradients up to 170 K.

  12. Nonlocal continuum-based modeling of breathing mode of nanowires including surface stress and surface inertia effects

    Science.gov (United States)

    Ghavanloo, Esmaeal; Fazelzadeh, S. Ahmad; Rafii-Tabar, Hashem

    2014-05-01

    Nonlocal and surface effects significantly influence the mechanical response of nanomaterials and nanostructures. In this work, the breathing mode of a circular nanowire is studied on the basis of the nonlocal continuum model. Both the surface elastic properties and surface inertia effect are included. Nanowires can be modeled as long cylindrical solid objects. The classical model is reformulated using the nonlocal differential constitutive relations of Eringen and Gurtin-Murdoch surface continuum elasticity formalism. A new frequency equation for the breathing mode of nanowires, including small scale effect, surface stress and surface inertia is presented by employing the Bessel functions. Numerical results are computed, and are compared to confirm the validity and accuracy of the proposed method. Furthermore, the model is used to elucidate the effect of nonlocal parameter, the surface stress, the surface inertia and the nanowire orientation on the breathing mode of several types of nanowires with size ranging from 0.5 to 4 nm. Our results reveal that the combined surface and small scale effects are significant for nanowires with diameter smaller than 4 nm.

  13. Nonlocal continuum-based modeling of breathing mode of nanowires including surface stress and surface inertia effects

    International Nuclear Information System (INIS)

    Ghavanloo, Esmaeal; Fazelzadeh, S. Ahmad; Rafii-Tabar, Hashem

    2014-01-01

    Nonlocal and surface effects significantly influence the mechanical response of nanomaterials and nanostructures. In this work, the breathing mode of a circular nanowire is studied on the basis of the nonlocal continuum model. Both the surface elastic properties and surface inertia effect are included. Nanowires can be modeled as long cylindrical solid objects. The classical model is reformulated using the nonlocal differential constitutive relations of Eringen and Gurtin–Murdoch surface continuum elasticity formalism. A new frequency equation for the breathing mode of nanowires, including small scale effect, surface stress and surface inertia is presented by employing the Bessel functions. Numerical results are computed, and are compared to confirm the validity and accuracy of the proposed method. Furthermore, the model is used to elucidate the effect of nonlocal parameter, the surface stress, the surface inertia and the nanowire orientation on the breathing mode of several types of nanowires with size ranging from 0.5 to 4 nm. Our results reveal that the combined surface and small scale effects are significant for nanowires with diameter smaller than 4 nm.

  14. Nonlocal continuum-based modeling of breathing mode of nanowires including surface stress and surface inertia effects

    Energy Technology Data Exchange (ETDEWEB)

    Ghavanloo, Esmaeal, E-mail: ghavanloo@shirazu.ac.ir [School of Mechanical Engineering, Shiraz University, Shiraz 71963-16548 (Iran, Islamic Republic of); Fazelzadeh, S. Ahmad [School of Mechanical Engineering, Shiraz University, Shiraz 71963-16548 (Iran, Islamic Republic of); Rafii-Tabar, Hashem [Department of Medical Physics and Biomedical Engineering, Research Center for Medical Nanotechnology and Tissue Engineering, Shahid Beheshti University of Medical Sciences, Evin, Tehran (Iran, Islamic Republic of); Computational Physical Sciences Research Laboratory, School of Nano-Science, Institute for Research in Fundamental Sciences (IPM), Tehran (Iran, Islamic Republic of)

    2014-05-01

    Nonlocal and surface effects significantly influence the mechanical response of nanomaterials and nanostructures. In this work, the breathing mode of a circular nanowire is studied on the basis of the nonlocal continuum model. Both the surface elastic properties and surface inertia effect are included. Nanowires can be modeled as long cylindrical solid objects. The classical model is reformulated using the nonlocal differential constitutive relations of Eringen and Gurtin–Murdoch surface continuum elasticity formalism. A new frequency equation for the breathing mode of nanowires, including small scale effect, surface stress and surface inertia is presented by employing the Bessel functions. Numerical results are computed, and are compared to confirm the validity and accuracy of the proposed method. Furthermore, the model is used to elucidate the effect of nonlocal parameter, the surface stress, the surface inertia and the nanowire orientation on the breathing mode of several types of nanowires with size ranging from 0.5 to 4 nm. Our results reveal that the combined surface and small scale effects are significant for nanowires with diameter smaller than 4 nm.

  15. Nanowire size dependence on sensitivity of silicon nanowire field-effect transistor-based pH sensor

    Science.gov (United States)

    Lee, Ryoongbin; Kwon, Dae Woong; Kim, Sihyun; Kim, Sangwan; Mo, Hyun-Sun; Kim, Dae Hwan; Park, Byung-Gook

    2017-12-01

    In this study, we investigated the effects of nanowire size on the current sensitivity of silicon nanowire (SiNW) ion-sensitive field-effect transistors (ISFETs). The changes in on-current (I on) and resistance according to pH were measured in fabricated SiNW ISFETs of various lengths and widths. As a result, it was revealed that the sensitivity expressed as relative I on change improves as the width decreases. Through technology computer-aided design (TCAD) simulation analysis, the width dependence on the relative I on change can be explained by the observation that the target molecules located at the edge region along the channel width have a stronger effect on the sensitivity as the SiNW width is reduced. Additionally, the length dependence on the sensitivity can be understood in terms of the resistance ratio of the fixed parasitic resistance, including source/drain resistance, to the varying channel resistance as a function of channel length.

  16. Photonic Torque Microscopy of the Nonconservative Force Field for Optically Trapped Silicon Nanowires

    Czech Academy of Sciences Publication Activity Database

    Irrera, A.; Maggazu, A.; Artoni, P.; Simpson, Stephen Hugh; Hanna, S.; Jones, P.H.; Priolo, F.; Gucciardi, P. G.; Marago, O.M.

    2016-01-01

    Roč. 16, č. 7 (2016), s. 4181-4188 ISSN 1530-6984 R&D Projects: GA ČR GB14-36681G Institutional support: RVO:68081731 Keywords : optical tweezers * silicon nanowires * nonequilibrium dynamics * Brownian motion Subject RIV: BH - Optics, Masers, Lasers Impact factor: 12.712, year: 2016

  17. Enhanced oxygen reduction activity on surface-decorated perovskite thin films for solid oxide fuel cells

    KAUST Repository

    Mutoro, Eva; Crumlin, Ethan J.; Biegalski, Michael D.; Christen, Hans M.; Shao-Horn, Yang

    2011-01-01

    Surface-decoration of perovskites can strongly affect the oxygen reduction activity, and therefore is a new and promising approach to improve SOFC cathode materials. In this study, we demonstrate that a small amount of secondary phase on a (001) La 0.8Sr 0.2CoO 3-δ (LSC) surface can either significantly activate or passivate the electrode. LSC (001) microelectrodes prepared by pulsed laser deposition on a (001)-oriented yttria-stabilized zirconia (YSZ) substrate were decorated with La-, Co-, and Sr-(hydr)oxides/carbonates. "Sr"-decoration with nanoparticle coverage in the range from 50% to 80% of the LSC surface enhanced the surface exchange coefficient, k q, by an order of magnitude while "La"- decoration and "Co"-decoration led to no change and reduction in k q, respectively. Although the physical origin for the enhancement is not fully understood, results from atomic force microscopy, X-ray diffraction, and X-ray photoelectron spectroscopy suggest that the observed k q enhancement for "Sr"-decorated surfaces can be attributed largely to catalytically active interface regions between surface Sr-enriched particles and the LSC surface. © 2011 The Royal Society of Chemistry.

  18. Optical characteristics of silicon nanowires grown from tin catalyst layers on silicon coated glass

    KAUST Repository

    Ball, Jeremy

    2012-08-20

    The optical characteristics of silicon nanowires grown on Si layers on glass have been modeled using the FDTD (Finite Difference Time Domain) technique and compared with experimental results. The wires were grown by the VLS (vapour-liquid-solid) method using Sn catalyst layers and exhibit a conical shape. The resulting measured and modeled absorption, reflectance and transmittance spectra have been investigated as a function of the thickness of the underlying Si layer and the initial catalyst layer, the latter having a strong influence on wire density. High levels of absorption (>90% in the visible wavelength range) and good agreement between the modeling and experiment have been observed when the nanowires have a relatively high density of ∼4 wires/μ m2. The experimental and modeled results diverge for samples with a lower density of wire growth. The results are discussed along with some implications for solar cell fabrication. © 2012 Optical Society of America.

  19. Optical characteristics of silicon nanowires grown from tin catalyst layers on silicon coated glass

    KAUST Repository

    Ball, Jeremy; Centeno, Anthony; Mendis, Budhika G.; Reehal, H. S.; Alford, Neil

    2012-01-01

    The optical characteristics of silicon nanowires grown on Si layers on glass have been modeled using the FDTD (Finite Difference Time Domain) technique and compared with experimental results. The wires were grown by the VLS (vapour-liquid-solid) method using Sn catalyst layers and exhibit a conical shape. The resulting measured and modeled absorption, reflectance and transmittance spectra have been investigated as a function of the thickness of the underlying Si layer and the initial catalyst layer, the latter having a strong influence on wire density. High levels of absorption (>90% in the visible wavelength range) and good agreement between the modeling and experiment have been observed when the nanowires have a relatively high density of ∼4 wires/μ m2. The experimental and modeled results diverge for samples with a lower density of wire growth. The results are discussed along with some implications for solar cell fabrication. © 2012 Optical Society of America.

  20. Structure and field emission of graphene layers on top of silicon nanowire arrays

    International Nuclear Information System (INIS)

    Huang, Bohr-Ran; Chan, Hui-Wen; Jou, Shyankay; Chen, Guan-Yu; Kuo, Hsiu-An; Song, Wan-Jhen

    2016-01-01

    Graphical abstract: - Highlights: • We prepared graphene on top of silicon nanowires by transfer-print technique. • Graphene changed from discrete flakes to a continuous by repeated transfer-print. • The triple-layer graphene had high electron field emission due to large edge ratio. - Abstract: Monolayer graphene was grown on copper foils and then transferred on planar silicon substrates and on top of silicon nanowire (SiNW) arrays to form single- to quadruple-layer graphene films. The morphology, structure, and electron field emission (FE) of these graphene films were investigated. The graphene films on the planar silicon substrates were continuous. The single- to triple-layer graphene films on the SiNW arrays were discontinuous and while the quadruple-layer graphene film featured a mostly continuous area. The Raman spectra of the graphene films on the SiNW arrays showed G and G′ bands with a singular-Lorentzian shape together with a weak D band. The D band intensity decreased as the number of graphene layers increased. The FE efficiency of the graphene films on the planar silicon substrates and the SiNW arrays varied with the number of graphene layers. The turn-on field for the single- to quadruple-layer graphene films on planar silicon substrates were 4.3, 3.7, 3.5 and 3.4 V/μm, respectively. The turn-on field for the single- to quadruple-layer graphene films on SiNW arrays decreased to 3.9, 3.3, 3.0 and 3.3 V/μm, respectively. Correlation of the FE with structure and morphology of the graphene films is discussed.

  1. Structure and field emission of graphene layers on top of silicon nanowire arrays

    Energy Technology Data Exchange (ETDEWEB)

    Huang, Bohr-Ran; Chan, Hui-Wen [Graduate Institute of Electro-Optical Engineering and Department of Electronic Engineering, National Taiwan University of Science and Technology, Taipei 106, Taiwan (China); Jou, Shyankay, E-mail: sjou@mail.ntust.edu.tw [Department of Materials Science and Engineering, National Taiwan University of Science and Technology, Taipei 106, Taiwan (China); Chen, Guan-Yu [Graduate Institute of Electro-Optical Engineering and Department of Electronic Engineering, National Taiwan University of Science and Technology, Taipei 106, Taiwan (China); Kuo, Hsiu-An; Song, Wan-Jhen [Department of Materials Science and Engineering, National Taiwan University of Science and Technology, Taipei 106, Taiwan (China)

    2016-01-30

    Graphical abstract: - Highlights: • We prepared graphene on top of silicon nanowires by transfer-print technique. • Graphene changed from discrete flakes to a continuous by repeated transfer-print. • The triple-layer graphene had high electron field emission due to large edge ratio. - Abstract: Monolayer graphene was grown on copper foils and then transferred on planar silicon substrates and on top of silicon nanowire (SiNW) arrays to form single- to quadruple-layer graphene films. The morphology, structure, and electron field emission (FE) of these graphene films were investigated. The graphene films on the planar silicon substrates were continuous. The single- to triple-layer graphene films on the SiNW arrays were discontinuous and while the quadruple-layer graphene film featured a mostly continuous area. The Raman spectra of the graphene films on the SiNW arrays showed G and G′ bands with a singular-Lorentzian shape together with a weak D band. The D band intensity decreased as the number of graphene layers increased. The FE efficiency of the graphene films on the planar silicon substrates and the SiNW arrays varied with the number of graphene layers. The turn-on field for the single- to quadruple-layer graphene films on planar silicon substrates were 4.3, 3.7, 3.5 and 3.4 V/μm, respectively. The turn-on field for the single- to quadruple-layer graphene films on SiNW arrays decreased to 3.9, 3.3, 3.0 and 3.3 V/μm, respectively. Correlation of the FE with structure and morphology of the graphene films is discussed.

  2. Microanalytical characterization of surface decoration in Majolica pottery

    International Nuclear Information System (INIS)

    Padilla, R.; Schalm, O.; Janssens, K.; Arrazcaeta, R.; Espen, P. van

    2005-01-01

    This paper presents the results of the characterization of the surface finishing works in archaeological pottery fragments belonging to several Majolica types. The homogeneity, thickness and inclusions of both ground glaze and color decorations were, among other characteristics, inspected by scanning electron microscopy X-ray analysis (SEM-EDX). The identification of the main constituents in the decoration motifs was performed by means of scanning micro X-ray fluorescence analysis. Additionally, compositional classification based on non-destructive quantitative analysis of the ground glaze was performed

  3. Nonlinear Dynamics of Silicon Nanowire Resonator Considering Nonlocal Effect.

    Science.gov (United States)

    Jin, Leisheng; Li, Lijie

    2017-12-01

    In this work, nonlinear dynamics of silicon nanowire resonator considering nonlocal effect has been investigated. For the first time, dynamical parameters (e.g., resonant frequency, Duffing coefficient, and the damping ratio) that directly influence the nonlinear dynamics of the nanostructure have been derived. Subsequently, by calculating their response with the varied nonlocal coefficient, it is unveiled that the nonlocal effect makes more obvious impacts at the starting range (from zero to a small value), while the impact of nonlocal effect becomes weaker when the nonlocal term reaches to a certain threshold value. Furthermore, to characterize the role played by nonlocal effect in exerting influence on nonlinear behaviors such as bifurcation and chaos (typical phenomena in nonlinear dynamics of nanoscale devices), we have calculated the Lyapunov exponents and bifurcation diagram with and without nonlocal effect, and results shows the nonlocal effect causes the most significant effect as the device is at resonance. This work advances the development of nanowire resonators that are working beyond linear regime.

  4. Optical waveform sampling and error-free demultiplexing of 1.28 Tbit/s serial data in a silicon nanowire

    DEFF Research Database (Denmark)

    Ji, Hua; Hu, Hao; Galili, Michael

    2010-01-01

    We experimentally demonstrate 640 Gbit/s and 1.28 Tbit/s serial data optical waveform sampling and 640-to-10 Gbit/s and 1.28 Tbit/s-to-10 Gbit/s error-free demultiplexing using four-wave mixing in a 300nm$$450nm$$5mm silicon nanowire.......We experimentally demonstrate 640 Gbit/s and 1.28 Tbit/s serial data optical waveform sampling and 640-to-10 Gbit/s and 1.28 Tbit/s-to-10 Gbit/s error-free demultiplexing using four-wave mixing in a 300nm$$450nm$$5mm silicon nanowire....

  5. Three-dimensional hybrid silicon nanostructures for surface enhanced Raman spectroscopy based molecular detection

    Science.gov (United States)

    Vendamani, V. S.; Nageswara Rao, S. V. S.; Venugopal Rao, S.; Kanjilal, D.; Pathak, A. P.

    2018-01-01

    Three-dimensional silver nanoparticles decorated vertically aligned Si nanowires (Si NWs) are effective surface-enhanced Raman spectroscopy (SERS) substrates for molecular detection at low concentration levels. The length of Si NWs prepared by silver assisted electroless etching is increased with an increase in etching time, which resulted in the reduced optical reflection in the visible region. These substrates were tested and optimized by measuring the Raman spectrum of standard dye Rhodamine 6G (R6G) of 10 nM concentration. Further, effective SERS enhancements of ˜105 and ˜104 were observed for the cytosine protein (concentration of 50 μM) and ammonium perchlorate (oxidizer used in explosives composition with a concentration of 10 μM), respectively. It is established that these three-dimensional SERS substrates yielded considerably higher enhancement factors for the detection of R6G when compared to previous reports. The sensitivity can further be increased and optimized since the Raman enhancement was found to increase with an increase in the density of silver nanoparticles decorated on the walls of Si NWs.

  6. Synthesis of the cactus-like silicon nanowires/tungsten oxide nanowires composite for room-temperature NO{sub 2} gas sensor

    Energy Technology Data Exchange (ETDEWEB)

    Zhang, Weiyi, E-mail: zhangweiyi@tju.edu.cn [School of Electronic Information Engineering, Tianjin University, Tianjin, 300072 (China); Hu, Ming [School of Electronic Information Engineering, Tianjin University, Tianjin, 300072 (China); Key Laboratory for Advanced Ceramics and Machining Technology, Ministry of Education, School of Materials Science and Engineering, Tianjin University, Tianjin 300072 (China); Liu, Xing; Wei, Yulong; Li, Na [School of Electronic Information Engineering, Tianjin University, Tianjin, 300072 (China); Qin, Yuxiang, E-mail: qinyuxiang@tju.edu.cn [School of Electronic Information Engineering, Tianjin University, Tianjin, 300072 (China); Key Laboratory for Advanced Ceramics and Machining Technology, Ministry of Education, School of Materials Science and Engineering, Tianjin University, Tianjin 300072 (China)

    2016-09-15

    In the present work, the tungsten oxide (WO{sub 3}) nanowires functionalized silicon nanowires (SiNWs) with cactus-like structure has been successfully synthesized for room-temperature NO{sub 2} detection. The novel nanocomposite was fabricated by metal-assisted chemical etching (MACE) and thermal annealing of tungsten film. The WO{sub 3} nanowires were evenly distributed from the upper to the lower part of the SiNWs, indicating excellent uniformity which is conducive to adsorption and desorption of gas molecules. The gas-sensing properties have been examined by measuring the resistance change towards 0.25–5 ppm NO{sub 2} gas. At room temperature, which is the optimum working temperature, the SiNWs/WO{sub 3} nanowires composite showed two-times higher NO{sub 2} response than that of the bare SiNWs at 2 ppm NO{sub 2}. On the contrary, the responses of composite sensors to high concentrations of other reducing gases were very low, indicating excellent selectivity. Simultaneously, the composite sensors exhibited good sensing repeatability and stability. The enhancement in gas sensing properties may be attributed to the change in width of the space charge region, which is similar to the behavior of p-n junctions under forward bias, in the high-density p-n heterojunction structure formed between SiNWs and WO{sub 3} nanowires. - Highlights: • SiNWs/WO{sub 3} nanowires composite with cactus-like structure is synthesized. • The morphology of WO{sub 3} nanowires depends on the thermal annealing temperature. • The nanocomposite sensor exhibit better gas response than that of bare SiNWs. • The gas sensing mechanism is discussed using p-n heterojunction theory.

  7. Ultralow surface recombination velocity in InP nanowires probed by terahertz spectroscopy.

    Science.gov (United States)

    Joyce, Hannah J; Wong-Leung, Jennifer; Yong, Chaw-Keong; Docherty, Callum J; Paiman, Suriati; Gao, Qiang; Tan, H Hoe; Jagadish, Chennupati; Lloyd-Hughes, James; Herz, Laura M; Johnston, Michael B

    2012-10-10

    Using transient terahertz photoconductivity measurements, we have made noncontact, room temperature measurements of the ultrafast charge carrier dynamics in InP nanowires. InP nanowires exhibited a very long photoconductivity lifetime of over 1 ns, and carrier lifetimes were remarkably insensitive to surface states despite the large nanowire surface area-to-volume ratio. An exceptionally low surface recombination velocity (170 cm/s) was recorded at room temperature. These results suggest that InP nanowires are prime candidates for optoelectronic devices, particularly photovoltaic devices, without the need for surface passivation. We found that the carrier mobility is not limited by nanowire diameter but is strongly limited by the presence of planar crystallographic defects such as stacking faults in these predominantly wurtzite nanowires. These findings show the great potential of very narrow InP nanowires for electronic devices but indicate that improvements in the crystallographic uniformity of InP nanowires will be critical for future nanowire device engineering.

  8. The effects of surface modification on the electrical properties of p–n+ junction silicon nanowires grown by an aqueous electroless etching method

    International Nuclear Information System (INIS)

    Lee, Seulah; Koo, Ja Hoon; Seo, Jungmok; Kim, Sung-Dae; Lee, Kwang Hyun; Im, Seongil; Kim, Young-Woon; Lee, Taeyoon

    2012-01-01

    Although the aqueous electroless etching (AEE) method has received significant attention for the fabrication of silicon nanowires (SiNWs) due to its simplicity and effectiveness, SiNWs grown via the AEE method have a drawback in that their surface roughness is considerably high. Thus, we fabricated surface-modified p–n + junction SiNWs grown by AEE, wherein the surface roughness was reduced by a sequential processes of oxide growth using the rapid thermal oxidation (RTO) cycling process and oxide removal with a hydrofluoric acid solution. High-resolution transmission electron microscopy analysis confirmed that the surface roughness of the modified SiNWs was significantly decreased compared with that of the as-fabricated SiNWs. After RTO treatment, the wettability of the SiNWs had dramatically changed from superhydrophilic to superhydrophobic, which can be attributed to the formation of siloxane groups on the native oxide/SiNW surfaces and the effect of the nanoscale structure. Due to the enhancement in surface carrier mobility, the current density of the surface-modified p–n + junction SiNWs was approximately 6.3-fold greater than that of the as-fabricated sample at a forward bias of 4 V. Meanwhile, the photocurrent density of the surface-modified p–n + junction SiNWs was considerably decreased as a result of the decreases in the light absorption area, light absorption volume, and light scattering.

  9. Electron transport characteristics of silicon nanowires by metal-assisted chemical etching

    Directory of Open Access Journals (Sweden)

    Yangyang Qi

    2014-02-01

    Full Text Available The electron transport characteristics of silicon nanowires (SiNWs fabricated by metal-assisted chemical etching with different doping concentrations were studied. By increasing the doping concentration of the starting Si wafer, the resulting SiNWs were prone to have a rough surface, which had important effects on the contact and the electron transport. A metal-semiconductor-metal model and a thermionic field emission theory were used to analyse the current-voltage (I-V characteristics. Asymmetric, rectifying and symmetric I-V curves were obtained. The diversity of the I-V curves originated from the different barrier heights at the two sides of the SiNWs. For heavily doped SiNWs, the critical voltage was one order of magnitude larger than that of the lightly doped, and the resistance obtained by differentiating the I-V curves at large bias was also higher. These were attributed to the lower electron tunnelling possibility and higher contact barrier, due to the rough surface and the reduced doping concentration during the etching process.

  10. Functionalised zinc oxide nanowire gas sensors: Enhanced NO(2) gas sensor response by chemical modification of nanowire surfaces.

    Science.gov (United States)

    Waclawik, Eric R; Chang, Jin; Ponzoni, Andrea; Concina, Isabella; Zappa, Dario; Comini, Elisabetta; Motta, Nunzio; Faglia, Guido; Sberveglieri, Giorgio

    2012-01-01

    Surface coating with an organic self-assembled monolayer (SAM) can enhance surface reactions or the absorption of specific gases and hence improve the response of a metal oxide (MOx) sensor toward particular target gases in the environment. In this study the effect of an adsorbed organic layer on the dynamic response of zinc oxide nanowire gas sensors was investigated. The effect of ZnO surface functionalisation by two different organic molecules, tris(hydroxymethyl)aminomethane (THMA) and dodecanethiol (DT), was studied. The response towards ammonia, nitrous oxide and nitrogen dioxide was investigated for three sensor configurations, namely pure ZnO nanowires, organic-coated ZnO nanowires and ZnO nanowires covered with a sparse layer of organic-coated ZnO nanoparticles. Exposure of the nanowire sensors to the oxidising gas NO(2) produced a significant and reproducible response. ZnO and THMA-coated ZnO nanowire sensors both readily detected NO(2) down to a concentration in the very low ppm range. Notably, the THMA-coated nanowires consistently displayed a small, enhanced response to NO(2) compared to uncoated ZnO nanowire sensors. At the lower concentration levels tested, ZnO nanowire sensors that were coated with THMA-capped ZnO nanoparticles were found to exhibit the greatest enhanced response. ΔR/R was two times greater than that for the as-prepared ZnO nanowire sensors. It is proposed that the ΔR/R enhancement in this case originates from the changes induced in the depletion-layer width of the ZnO nanoparticles that bridge ZnO nanowires resulting from THMA ligand binding to the surface of the particle coating. The heightened response and selectivity to the NO(2) target are positive results arising from the coating of these ZnO nanowire sensors with organic-SAM-functionalised ZnO nanoparticles.

  11. Optimal design of aperiodic, vertical silicon nanowire structures for photovoltaics.

    Science.gov (United States)

    Lin, Chenxi; Povinelli, Michelle L

    2011-09-12

    We design a partially aperiodic, vertically-aligned silicon nanowire array that maximizes photovoltaic absorption. The optimal structure is obtained using a random walk algorithm with transfer matrix method based electromagnetic forward solver. The optimal, aperiodic structure exhibits a 2.35 times enhancement in ultimate efficiency compared to its periodic counterpart. The spectral behavior mimics that of a periodic array with larger lattice constant. For our system, we find that randomly-selected, aperiodic structures invariably outperform the periodic array.

  12. The influence of passivation and photovoltaic properties of α-Si:H coverage on silicon nanowire array solar cells

    Science.gov (United States)

    2013-01-01

    Silicon nanowire (SiNW) arrays for radial p-n junction solar cells offer potential advantages of light trapping effects and quick charge collection. Nevertheless, lower open circuit voltages (Voc) lead to lower energy conversion efficiencies. In such cases, the performance of the solar cells depends critically on the quality of the SiNW interfaces. In this study, SiNW core-shell solar cells have been fabricated by growing crystalline silicon (c-Si) nanowires via the metal-assisted chemical etching method and by depositing hydrogenated amorphous silicon (α-Si:H) via the plasma-enhanced chemical vapor deposition (PECVD) method. The influence of deposition parameters on the coverage and, consequently, the passivation and photovoltaic properties of α-Si:H layers on SiNW solar cells have been analyzed. PMID:24059343

  13. High-performance silicon nanowire bipolar phototransistors

    Science.gov (United States)

    Tan, Siew Li; Zhao, Xingyan; Chen, Kaixiang; Crozier, Kenneth B.; Dan, Yaping

    2016-07-01

    Silicon nanowires (SiNWs) have emerged as sensitive absorbing materials for photodetection at wavelengths ranging from ultraviolet (UV) to the near infrared. Most of the reports on SiNW photodetectors are based on photoconductor, photodiode, or field-effect transistor device structures. These SiNW devices each have their own advantages and trade-offs in optical gain, response time, operating voltage, and dark current noise. Here, we report on the experimental realization of single SiNW bipolar phototransistors on silicon-on-insulator substrates. Our SiNW devices are based on bipolar transistor structures with an optically injected base region and are fabricated using CMOS-compatible processes. The experimentally measured optoelectronic characteristics of the SiNW phototransistors are in good agreement with simulation results. The SiNW phototransistors exhibit significantly enhanced response to UV and visible light, compared with typical Si p-i-n photodiodes. The near infrared responsivities of the SiNW phototransistors are comparable to those of Si avalanche photodiodes but are achieved at much lower operating voltages. Compared with other reported SiNW photodetectors as well as conventional bulk Si photodiodes and phototransistors, the SiNW phototransistors in this work demonstrate the combined advantages of high gain, high photoresponse, low dark current, and low operating voltage.

  14. Static friction between silicon nanowires and elastomeric substrates.

    Science.gov (United States)

    Qin, Qingquan; Zhu, Yong

    2011-09-27

    This paper reports the first direct measurements of static friction force and interfacial shear strength between silicon (Si) nanowires (NWs) and poly(dimethylsiloxane) (PDMS). A micromanipulator is used to manipulate and deform the NWs under a high-magnification optical microscope in real time. The static friction force is measured based on "the most-bent state" of the NWs. The static friction and interface shear strength are found to depend on the ultraviolet/ozone (UVO) treatment of PDMS. The shear strength starts at 0.30 MPa without UVO treatment, increases rapidly up to 10.57 MPa at 60 min of treatment and decreases for longer treatment. Water contact angle measurements suggest that the UVO-induced hydrophobic-to-hydrophilic conversion of PDMS surface is responsible for the increase in the static friction, while the hydrophobic recovery effect contributes to the decrease. The static friction between NWs and PDMS is of critical relevance to many device applications of NWs including NW-based flexible/stretchable electronics, NW assembly and nanocomposites (e.g., supercapacitors). Our results will enable quantitative interface design and control for such applications. © 2011 American Chemical Society

  15. Molecular dynamics study of the thermal expansion coefficient of silicon

    Energy Technology Data Exchange (ETDEWEB)

    Nejat Pishkenari, Hossein, E-mail: nejat@sharif.edu; Mohagheghian, Erfan; Rasouli, Ali

    2016-12-16

    Due to the growing applications of silicon in nano-scale systems, a molecular dynamics approach is employed to investigate thermal properties of silicon. Since simulation results rely upon interatomic potentials, thermal expansion coefficient (TEC) and lattice constant of bulk silicon have been obtained using different potentials (SW, Tersoff, MEAM, and EDIP) and results indicate that SW has a better agreement with the experimental observations. To investigate effect of size on TEC of silicon nanowires, further simulations are performed using SW potential. To this end, silicon nanowires of different sizes are examined and their TEC is calculated by averaging in different directions ([100], [110], [111], and [112]) and various temperatures. Results show that as the size increases, due to the decrease of the surface effects, TEC approaches its bulk value. - Highlights: • MD simulations of TEC and lattice constant of bulk silicon. • Effects of four potentials on the results. • Comparison to experimental data. • Investigating size effect on TEC of silicon nanowires.

  16. InGaN/GaN disk-in-nanowire white light emitting diodes on (001) silicon

    KAUST Repository

    Guo, Wei; Banerjee, Animesh; Bhattacharya, Pallab K.; Ooi, Boon S.

    2011-01-01

    High density (? 1011 cm-2) GaN nanowires and InGaN/GaN disk-in-nanowire heterostructures have been grown on (001) silicon substrates by plasma-assisted molecular beam epitaxy. The nanowires exhibit excellent uniformity in length and diameter and a broad emission is obtained by incorporating InGaN disks of varying composition along the length of the nanowires. Monolithic lighting emitting diodes were fabricated with appropriate n- and p-doping of contact layers. White light emission with chromaticity coordinates of x=0.29 and y=0.37 and a correlated color temperature of 5500-6500 K at an injection current of 50 A/ cm2 is measured. The measured external quantum efficiency of the devices do not exhibit any rollover (droop) up to an injection current density of 400 A/ cm2. © 2011 American Institute of Physics.

  17. The Role of Surface Passivation in Controlling Ge Nanowire Faceting.

    Science.gov (United States)

    Gamalski, A D; Tersoff, J; Kodambaka, S; Zakharov, D N; Ross, F M; Stach, E A

    2015-12-09

    In situ transmission electron microscopy observations of nanowire morphologies indicate that during Au-catalyzed Ge nanowire growth, Ge facets can rapidly form along the nanowire sidewalls when the source gas (here, digermane) flux is decreased or the temperature is increased. This sidewall faceting is accompanied by continuous catalyst loss as Au diffuses from the droplet to the wire surface. We suggest that high digermane flux and low temperatures promote effective surface passivation of Ge nanowires with H or other digermane fragments inhibiting diffusion and attachment of Au and Ge on the sidewalls. These results illustrate the essential roles of the precursor gas and substrate temperature in maintaining nanowire sidewall passivation, necessary to ensure the growth of straight, untapered, ⟨111⟩-oriented nanowires.

  18. Timoshenko beam model for buckling of piezoelectric nanowires with surface effects

    Science.gov (United States)

    2012-01-01

    This paper investigates the buckling behavior of piezoelectric nanowires under distributed transverse loading, within the framework of the Timoshenko beam theory, and in the presence of surface effects. Analytical relations are given for the critical force of axial buckling of nanowires by accounting for the effects of surface elasticity, residual surface tension, and transverse shear deformation. Through an example, it is shown that the critical electric potential of buckling depends on both the surface stresses and piezoelectricity. This study may be helpful in the characterization of the mechanical properties of nanowires and in the calibration of the nanowire-based force sensors. PMID:22453063

  19. Facile synthesis of cuprous oxide nanowires decorated graphene oxide nanosheets nanocomposites and its application in label-free electrochemical immunosensor.

    Science.gov (United States)

    Wang, Huan; Zhang, Yong; Wang, Yulan; Ma, Hongmin; Du, Bin; Wei, Qin

    2017-01-15

    In this work, the assembly between one-dimensional (1D) nanomaterials and two-dimensional (2D) nanomaterials was achieved by a simple method. Cuprous oxide nanowires decorated graphene oxide nanosheets (Cu 2 O@GO) nanocomposites were synthesized for the first time by a simple electrostatic self-assembly process. The nanostructure was well confirmed by scanning electron microscope (SEM) and transmission electron microscope (TEM) images. Taking advantages of good electrocatalytic activity and high specific surface area of Cu 2 O@GO nanocomposites, a label-free electrochemical immunosensor was developed by employing Cu 2 O@GO as signal amplification platform for the quantitative detection of alpha fetoprotein (AFP). In addition, toluidine blue (TB) was used as the electron transfer mediator to provide the electrochemical signal, which was adsorbed on graphene oxide nanosheets (GO NSs) by electrostatic attraction. The detection mechanism was based on the monitoring of the electrochemical current response change of TB by the square wave voltammetry (SWV) when immunoreaction occurred on the surface of electrode. Under optimal conditions, the proposed immunosensor displayed a high sensitivity and a low detection limit. This designed method may provide an effective method in the clinical diagnosis of AFP and other tumor markers. Copyright © 2016 Elsevier B.V. All rights reserved.

  20. Catalytic growth of carbon nanowires on composite diamond/silicon substrates

    Energy Technology Data Exchange (ETDEWEB)

    Sellam, Amine [Université de Lorraine, Institut Jean Lamour, Département CP2S (UMR CNRS 7198), Parc de Saurupt, F-54042 Nancy Cedex (France); Miska, Patrice [Université de Lorraine, Institut Jean Lamour, Département P2M (UMR CNRS 7198), Parc de Saurupt, F-54042 Nancy Cedex (France); Ghanbaja, Jaafar [Université de Lorraine, Institut Jean Lamour, Département CP2S (UMR CNRS 7198), Parc de Saurupt, F-54042 Nancy Cedex (France); Barrat, Silvère, E-mail: Silvere.Barrat@ijl.nancy-universite.fr [Université de Lorraine, Institut Jean Lamour, Département CP2S (UMR CNRS 7198), Parc de Saurupt, F-54042 Nancy Cedex (France)

    2014-01-01

    Polycrystalline diamond (PCD) films and carbon nanowires (CNWs) provide individually highly attractive properties for science and technology applications. The possibility of carbon composite materials made from a combination of these materials remains a potential approach widely discussed in literature but modestly investigated. We report in this work an early attempt to explore this opportunity in the light of some specific experimental considerations. Carbon nanowires (CNWs) are grown at low temperature without the conventional use of external hydrocarbon vapor source on silicon substrates partially covered by a thin film of coalesced micrometric CVD diamond. Composite substrates constituted by PCD on silicon were first cleaned with H{sub 2} plasma then used for the PVD deposition of 5 nm Ni thin films. Then, samples were heat treated in a CVD reactor at 580 °C in the presence of pure H{sub 2} pressure of 60 hPa at different annealing times. Comparative effect of annealing time on the dewetting of Ni thin films and the subsequent CNWs growth process was considered in this work using systematic observations by SEM. Possible mechanisms underlying CNWs growth in pure H{sub 2} gas were proposed. The nature and structure of these CNWs have been investigated by TEM microscopy and by Raman spectroscopy on the sample showing the highest CNWs density.

  1. Efficiency enhancement of silicon nanowire solar cells by using UV/Ozone treatments and micro-grid electrodes

    Science.gov (United States)

    Chen, Junyi; Subramani, Thiyagu; Sun, Yonglie; Jevasuwan, Wipakorn; Fukata, Naoki

    2018-05-01

    Silicon nanowire solar cells were fabricated by metal catalyzed electroless etching (MCEE) followed by thermal chemical vapor deposition (CVD). In this study, we investigated two effects, a UV/ozone treatment and the use of a micro-grid electrodes, to enhance light absorption and reduce the optic losses in the solar cell device. The UV/ozone treatment successfully improved the conversion efficiency. The micro-grid electrodes were then applied in solar cell devices subjected to a back surface field (BSF) treatment and rapid thermal annealing (RTA). These effects improved the conversion efficiency from 9.4% to 10.9%. Moreover, to reduce surface recombination and improve the continuity of front electrodes, we optimized the etching time of the MCEE process, giving a high efficiency of 12.3%.

  2. The Development of High-Density Vertical Silicon Nanowires and Their Application in a Heterojunction Diode

    Directory of Open Access Journals (Sweden)

    Wen-Chung Chang

    2016-06-01

    Full Text Available Vertically aligned p-type silicon nanowire (SiNW arrays were fabricated through metal-assisted chemical etching (MACE of Si wafers. An indium tin oxide/indium zinc oxide/silicon nanowire (ITO/IZO/SiNW heterojunction diode was formed by depositing ITO and IZO thin films on the vertically aligned SiNW arrays. The structural and electrical properties of the resulting ITO/IZO/SiNW heterojunction diode were characterized by field emission scanning electron microscopy (FE-SEM, X-ray diffraction (XRD, and current−voltage (I−V measurements. Nonlinear and rectifying I−V properties confirmed that a heterojunction diode was successfully formed in the ITO/IZO/SiNW structure. The diode had a well-defined rectifying behavior, with a rectification ratio of 550.7 at 3 V and a turn-on voltage of 2.53 V under dark conditions.

  3. Optical analysis of a III-V-nanowire-array-on-Si dual junction solar cell.

    Science.gov (United States)

    Chen, Yang; Höhn, Oliver; Tucher, Nico; Pistol, Mats-Erik; Anttu, Nicklas

    2017-08-07

    A tandem solar cell consisting of a III-V nanowire subcell on top of a planar Si subcell is a promising candidate for next generation photovoltaics due to the potential for high efficiency. However, for success with such applications, the geometry of the system must be optimized for absorption of sunlight. Here, we consider this absorption through optics modeling. Similarly, as for a bulk dual-junction tandem system on a silicon bottom cell, a bandgap of approximately 1.7 eV is optimum for the nanowire top cell. First, we consider a simplified system of bare, uncoated III-V nanowires on the silicon substrate and optimize the absorption in the nanowires. We find that an optimum absorption in 2000 nm long nanowires is reached for a dense array of approximately 15 nanowires per square micrometer. However, when we coat such an array with a conformal indium tin oxide (ITO) top contact layer, a substantial absorption loss occurs in the ITO. This ITO could absorb 37% of the low energy photons intended for the silicon subcell. By moving to a design with a 50 nm thick, planarized ITO top layer, we can reduce this ITO absorption to 5%. However, such a planarized design introduces additional reflection losses. We show that these reflection losses can be reduced with a 100 nm thick SiO 2 anti-reflection coating on top of the ITO layer. When we at the same time include a Si 3 N 4 layer with a thickness of 90 nm on the silicon surface between the nanowires, we can reduce the average reflection loss of the silicon cell from 17% to 4%. Finally, we show that different approximate models for the absorption in the silicon substrate can lead to a 15% variation in the estimated photocurrent density in the silicon subcell.

  4. Electrochemical Fabrication of Nanostructures on Porous Silicon for Biochemical Sensing Platforms.

    Science.gov (United States)

    Ko, Euna; Hwang, Joonki; Kim, Ji Hye; Lee, Joo Heon; Lee, Sung Hwan; Tran, Van-Khue; Chung, Woo Sung; Park, Chan Ho; Choo, Jaebum; Seong, Gi Hun

    2016-01-01

    We present a method for the electrochemical patterning of gold nanoparticles (AuNPs) or silver nanoparticles (AgNPs) on porous silicon, and explore their applications in: (1) the quantitative analysis of hydroxylamine as a chemical sensing electrode and (2) as a highly sensitive surface-enhanced Raman spectroscopy (SERS) substrate for Rhodamine 6G. For hydroxylamine detection, AuNPs-porous silicon can enhance the electrochemical oxidation of hydroxylamine. The current changed linearly for concentrations ranging from 100 μM to 1.32 mM (R(2) = 0.995), and the detection limit was determined to be as low as 55 μM. When used as SERS substrates, these materials also showed that nanoparticles decorated on porous silicon substrates have more SERS hot spots than those decorated on crystalline silicon substrates, resulting in a larger SERS signal. Moreover, AgNPs-porous silicon provided five-times higher signal compared to AuNPs-porous silicon. From these results, we expect that nanoparticles decorated on porous silicon substrates can be used in various types of biochemical sensing platforms.

  5. IC Compatible Wafer Level Fabrication of Silicon Nanowire Field Effect Transistors for Biosensing Applications

    NARCIS (Netherlands)

    Moh, T.S.Y.

    2013-01-01

    In biosensing, nano-devices such as Silicon Nanowire Field Effect Transistors (SiNW FETs) are promising components/sensors for ultra-high sensitive detection, especially when samples are low in concentration or a limited volume is available. Current processing of SiNW FETs often relies on expensive

  6. Dynamic Characterization and Impulse Response Modeling of Amplitude and Phase Response of Silicon Nanowires

    DEFF Research Database (Denmark)

    Cleary, Ciaran S.; Ji, Hua; Dailey, James M.

    2013-01-01

    Amplitude and phase dynamics of silicon nanowires were measured using time-resolved spectroscopy. Time shifts of the maximum phase change and minimum amplitude as a function of pump power due to saturation of the free-carrier density were observed. A phenomenological impulse response model used t...

  7. Charging effects and surface potential variations of Cu-based nanowires

    Energy Technology Data Exchange (ETDEWEB)

    Nunes, D., E-mail: daniela.gomes@fct.unl.pt [i3N/CENIMAT, Department of Materials Science, Faculty of Science and Technology, Universidade NOVA de Lisboa, Campus de Caparica, 2829-516 Caparica (Portugal); Calmeiro, T.R.; Nandy, S.; Pinto, J.V.; Pimentel, A.; Barquinha, P. [i3N/CENIMAT, Department of Materials Science, Faculty of Science and Technology, Universidade NOVA de Lisboa, Campus de Caparica, 2829-516 Caparica (Portugal); Carvalho, P.A. [SINTEF Materials and Chemistry, PB 124 Blindern, NO-0314, Oslo (Norway); CeFEMA, Instituto Superior Técnico, Universidade de Lisboa, 1049-001, Lisboa (Portugal); Walmsley, J.C. [SINTEF Materials and Chemistry, Materials and Nanotechnology, Høgskoleringen 5, 7034 Trondheim (Norway); Fortunato, E., E-mail: emf@fct.unl.pt [i3N/CENIMAT, Department of Materials Science, Faculty of Science and Technology, Universidade NOVA de Lisboa, Campus de Caparica, 2829-516 Caparica (Portugal); Martins, R., E-mail: rm@uninova.pt [i3N/CENIMAT, Department of Materials Science, Faculty of Science and Technology, Universidade NOVA de Lisboa, Campus de Caparica, 2829-516 Caparica (Portugal)

    2016-02-29

    The present work reports charging effects and surface potential variations in pure copper, cuprous oxide and cupric oxide nanowires observed by electrostatic force microscopy (EFM) and Kelvin probe force microscopy (KPFM). The copper nanowires were produced by wet synthesis, oxidation into cuprous oxide nanowires was achieved through microwave irradiation and cupric oxide nanowires were obtained via furnace annealing in atmospheric conditions. Structural characterization of the nanowires was carried out by X-ray diffraction, scanning electron microscopy, transmission electron microscopy and energy dispersive X-ray spectroscopy. During the EFM experiments the electrostatic field of the positive probe charged negatively the Cu-based nanowires, which in turn polarized the SiO{sub 2} dielectric substrate. Both the probe/nanowire capacitance as well as the substrate polarization increased with the applied bias. Cu{sub 2}O and CuO nanowires behaved distinctively during the EFM measurements in accordance with their band gap energies. The work functions (WF) of the Cu-based nanowires, obtained by KPFM measurements, yielded WF{sub CuO} > WF{sub Cu} > WF{sub Cu{sub 2O}}. - Highlights: • Charge distribution study in Cu, Cu{sub 2}O and CuO nanowires through electrostatic force microscopy • Structural/surface defect role on the charge distribution along the Cu nanowires • Determination of the nanowire work functions by Kelvin probe force microscopy • Three types of nanowires give a broad idea of charge behavior on Cu based-nanowires.

  8. Controlled surface diffusion in plasma-enhanced chemical vapor deposition of GaN nanowires

    International Nuclear Information System (INIS)

    Hou, W C; Hong, Franklin Chau-Nan

    2009-01-01

    This study investigates the growth of GaN nanowires by controlling the surface diffusion of Ga species on sapphire in a plasma-enhanced chemical vapor deposition (CVD) system. Under nitrogen-rich growth conditions, Ga has a tendency to adsorb on the substrate surface diffusing to nanowires to contribute to their growth. The significance of surface diffusion on the growth of nanowires is dependent on the environment of the nanowire on the substrate surface as well as the gas phase species and compositions. Under nitrogen-rich growth conditions, the growth rate is strongly dependent on the surface diffusion of gallium, but the addition of 5% hydrogen in nitrogen plasma instantly diminishes the surface diffusion effect. Gallium desorbs easily from the surface by reaction with hydrogen. On the other hand, under gallium-rich growth conditions, nanowire growth is shown to be dominated by the gas phase deposition, with negligible contribution from surface diffusion. This is the first study reporting the inhibition of surface diffusion effects by hydrogen addition, which can be useful in tailoring the growth and characteristics of nanowires. Without any evidence of direct deposition on the nanowire surface, gallium and nitrogen are shown to dissolve into the catalyst for growing the nanowires at 900 deg. C.

  9. Development of nanostructured silver vanadates decorated with silver nanoparticles as a novel antibacterial agent

    Energy Technology Data Exchange (ETDEWEB)

    Holtz, R D; Souza Filho, A G; Alves, O L [Laboratorio de Quimica do Estado Solido (LQES), Instituto de Quimica, Universidade Estadual de Campinas, CP 6154, 13081-970, Campinas-SP (Brazil); Brocchi, M; Martins, D [Departamento de Genetica, Evolucao and Bioagentes, Instituto de Biologia, Universidade Estadual de Campinas, Campinas-SP (Brazil); Duran, N, E-mail: rholtz@iqm.unicamp.br, E-mail: agsf@fisica.ufc.br, E-mail: oalves@iqm.unicamp.br [Laboratorio de Quimica Biologica, Instituto de Quimica, Universidade Estadual de Campinas, Campinas-SP (Brazil)

    2010-05-07

    In this work we report the synthesis, characterization and application of silver vanadate nanowires decorated with silver nanoparticles as a novel antibacterial agent. These hybrid materials were synthesized by a precipitation reaction of ammonium vanadate and silver nitrate followed by hydrothermal treatment. The silver vanadate nanowires have lengths of the order of microns and diameters around 60 nm. The silver nanoparticles decorating the nanowires present a diameter distribution varying from 1 to 20 nm. The influence of the pH of the reaction medium on the chemical structure and morphology of silver vanadates was studied and we found that synthesis performed at pH 5.5-6.0 led to silver vanadate nanowires with a higher morphological yield. The antimicrobial activity of these materials was evaluated against three strains of Staphylococcus aureus and very promising results were found. The minimum growth inhibiting concentration value against a MRSA strain was found to be ten folds lower than for the antibiotic oxacillin.

  10. A p-silicon nanowire/n-ZnO thin film heterojunction diode prepared by thermal evaporation

    International Nuclear Information System (INIS)

    Hazra, Purnima; Jit, S.

    2014-01-01

    This paper represents the electrical and optical characteristics of a SiNW/ZnO heterojunction diode and subsequent studies on the photodetection properties of the diode in the ultraviolet (UV) wavelength region. In this work, silicon nanowire arrays were prepared on p-type (100)-oriented Si substrate by an electroless metal deposition and etching method with the help of ultrasonication. After that, catalyst-free deposition of zinc oxide (ZnO) nanowires on a silicon nanowire (SiNW) array substrate was done by utilizing a simple and cost-effective thermal evaporation technique without using a buffer layer. The SEM and XRD techniques are used to show the quality of the as-grown ZnO nanowire film. The junction properties of the diode are evaluated by measuring current—voltage and capacitance—voltage characteristics. The diode has a well-defined rectifying behavior with a rectification ratio of 190 at ±2 V, turn-on voltage of 0.5 V, and barrier height is 0.727 eV at room temperature under dark conditions. The photodetection parameters of the diode are investigated in the bias voltage range of ±2 V. The diode shows responsivity of 0.8 A/W at a bias voltage of 2 V under UV illumination (wavelength = 365 nm). The characteristics of the device indicate that it can be used for UV detection applications in nano-optoelectronic and photonic devices. (semiconductor devices)

  11. Effects of Nanowire Length and Surface Roughness on the Electrochemical Sensor Properties of Nafion-Free, Vertically Aligned Pt Nanowire Array Electrodes

    Directory of Open Access Journals (Sweden)

    Zhiyang Li

    2015-09-01

    Full Text Available In this paper, vertically aligned Pt nanowire arrays (PtNWA with different lengths and surface roughnesses were fabricated and their electrochemical performance toward hydrogen peroxide (H2O2 detection was studied. The nanowire arrays were synthesized by electroplating Pt in nanopores of anodic aluminum oxide (AAO template. Different parameters, such as current density and deposition time, were precisely controlled to synthesize nanowires with different surface roughnesses and various lengths from 3 μm to 12 μm. The PtNWA electrodes showed better performance than the conventional electrodes modified by Pt nanowires randomly dispersed on the electrode surface. The results indicate that both the length and surface roughness can affect the sensing performance of vertically aligned Pt nanowire array electrodes. Generally, longer nanowires with rougher surfaces showed better electrochemical sensing performance. The 12 μm rough surface PtNWA presented the largest sensitivity (654 μA·mM−1·cm−2 among all the nanowires studied, and showed a limit of detection of 2.4 μM. The 12 μm rough surface PtNWA electrode also showed good anti-interference property from chemicals that are typically present in the biological samples such as ascorbic, uric acid, citric acid, and glucose. The sensing performance in real samples (river water was tested and good recovery was observed. These Nafion-free, vertically aligned Pt nanowires with surface roughness control show great promise as versatile electrochemical sensors and biosensors.

  12. Self-assembled ZnO agave-like nanowires and anomalous superhydrophobicity

    Energy Technology Data Exchange (ETDEWEB)

    Yang, Y H; Li, Z Y; Wang, B; Wang, C X; Chen, D H; Yang, G W [State Key Laboratory of Optoelectronic Materials and Technologies, School of Physics Science and Engineering, Zhongshan University, Guangzhou 510275 (China)

    2005-09-07

    Thin films of ZnO agave-like nanowires were prepared on amorphous carbon thin layers on silicon substrates using thermal chemical vapour transport and condensation without any metal catalysts. The unusual superhydrophobicity of the fabricated surface was measured; the water contact angle reaches 151.1 deg. On the basis of experimental and theoretical analyses, it appears likely that the biomimetic microcomposite and nanocomposite surfaces of the prepared thin films of ZnO agave-like nanowires are responsible for the excellent superhydrophobicity.

  13. Silicon nanowires in polymer nanocomposites for photovoltaic hybrid thin films

    International Nuclear Information System (INIS)

    Ben Dkhil, S.; Bourguiga, R.; Davenas, J.; Cornu, D.

    2012-01-01

    Highlights: ► Hybrid solar cells based on blends of poly(N-vinylcarbazole) and silicon nanowires have been fabricated. ► We have investigated the charge transfer between PVK and SiNWs by the way of the quenching of the PVK photoluminescence. ► The relation between the morphology of the composite thin films and the charge transfer between SiNWs and PVK has been examined. ► We have investigated the effects of SiNWs concentration on the photovoltaic characteristics leading to the optimization of a critical SiNWs concentration. - Abstract: Hybrid thin films combining the high optical absorption of a semiconducting polymer film and the electronic properties of silicon fillers have been investigated in the perspective of the development of low cost solar cells. Bulk heterojunction photovoltaic materials based on blends of a semiconductor polymer poly(N-vinylcarbazole) (PVK) as electron donor and silicon nanowires (SiNWs) as electron acceptor have been studied. Composite PVK/SiNWs films were cast from a common solvent mixture. UV–visible spectrometry and photoluminescence of the composites have been studied as a function of the SiNWs concentration. Photoluminescence spectroscopy (PL) shows the existence of a critical SiNWs concentration of about 10 wt % for PL quenching corresponding to the most efficient charge pair separation. The photovoltaic (PV) effect has been studied under illumination. The optimum open-circuit voltage V oc and short-circuit current density J sc are obtained for 10 wt % SiNWs whereas a degradation of these parameters is observed at higher SiNWs concentrations. These results are correlated to the formation of aggregates in the composite leading to recombination of the photogenerated charge pairs competing with the dissociation mechanism.

  14. Electron transport in silicon nanowires having different cross-sections

    Directory of Open Access Journals (Sweden)

    Muscato Orazio

    2016-06-01

    Full Text Available Transport phenomena in silicon nanowires with different cross-section are investigated using an Extended Hydrodynamic model, coupled to the Schrödinger-Poisson system. The model has been formulated by closing the moment system derived from the Boltzmann equation on the basis of the maximum entropy principle of Extended Thermodynamics, obtaining explicit closure relations for the high-order fluxes and the production terms. Scattering of electrons with acoustic and non polar optical phonons have been taken into account. The bulk mobility is evaluated for square and equilateral triangle cross-sections of the wire.

  15. Terahertz plasmon and surface-plasmon modes in cylindrical metallic nanowires

    International Nuclear Information System (INIS)

    Wu Ping; Xu Wen; Li Long-Long; Lu Tie-Cheng; Wu Wei-Dong

    2014-01-01

    We present a theoretical study on collective excitation modes associated with plasmon and surface-plasmon oscillations in cylindrical metallic nanowires. Based on a two-subband model, the dynamical dielectric function matrix is derived under the random-phase approximation. An optic-like branch and an acoustic-like branch, which are free of Landau damping, are observed for both plasmon and surface-plasmon modes. Interestingly, for surface-plasmon modes, we find that two branches of the dispersion relation curves converge at a wavevector q z = q max beyond which no surface-plasmon mode exists. Moreover, we examine the dependence of these excitation modes on sample parameters such as the radius of the nanowires. It is found that in metallic nanowires realized by state-of-the-art nanotechnology the intra- and inter-subband plasmon and surface-plasmon frequencies are in the terahertz bandwidth. The frequency of the optic-like modes decreases with increasing radius of the nanowires, whereas that of the acoustic-like modes is not sensitive to the variation of the radius. This study is pertinent to the application of metallic nanowires as frequency-tunable terahertz plasmonic devices. (condensed matter: electronic structure, electrical, magnetic, and optical properties)

  16. Sputtered gold-coated ITO nanowires by alternating depositions from Indium and ITO targets for application in surface-enhanced Raman scattering

    International Nuclear Information System (INIS)

    Setti, Grazielle O.; Mamián-López, Mónica B.; Pessoa, Priscila R.; Poppi, Ronei J.; Joanni, Ednan; Jesus, Dosil P.

    2015-01-01

    Graphical abstract: - Highlights: • ITO nanowires were grown by the sputtering method using a new synthesis procedure. • By changing the deposition parameters the morphology and dimensions of the nanostructures were modified. • Seed layer thickness was an important factor for obtaining branched nanowires. • SERS substrates having good performance and a high application potential were produced. • The first Raman results for our substrates are already comparable to commercial substrates. - Abstract: Indium Tin oxide (ITO) nanowires were deposited by RF sputtering over oxidized silicon using ITO and Indium targets. The nanowires grew on the substrate with a catalyst layer of Indium by the vapor–liquid–solid (VLS) mechanism. Modifications in the deposition conditions affected the morphology and dimensions of the nanowires. The samples, after being covered with gold, were evaluated as surface-enhanced Raman scattering (SERS) substrates for detection of dye solutions and very good intensifications of the Raman signal were obtained. The SERS performance of the samples was also compared to that of a commercial SERS substrate and the results achieved were similar. To the best of our knowledge, this is the first time ITO nanowires were grown by the sputtering technique using oxide and metal targets

  17. Sputtered gold-coated ITO nanowires by alternating depositions from Indium and ITO targets for application in surface-enhanced Raman scattering

    Energy Technology Data Exchange (ETDEWEB)

    Setti, Grazielle O. [Institute of Chemistry, University of Campinas, Campinas, P.O. Box 6154, 13083-970 Campinas, SP (Brazil); Renato Archer Information Technology Center, Rodovia Dom Pedro I (SP-65), Km 143,6 – Amarais, 13069-901 Campinas, SP (Brazil); Mamián-López, Mónica B.; Pessoa, Priscila R.; Poppi, Ronei J. [Institute of Chemistry, University of Campinas, Campinas, P.O. Box 6154, 13083-970 Campinas, SP (Brazil); Joanni, Ednan, E-mail: ednan.joanni@cti.gov.br [Renato Archer Information Technology Center, Rodovia Dom Pedro I (SP-65), Km 143,6 – Amarais, 13069-901 Campinas, SP (Brazil); Jesus, Dosil P. [Institute of Chemistry, University of Campinas, Campinas, P.O. Box 6154, 13083-970 Campinas, SP (Brazil)

    2015-08-30

    Graphical abstract: - Highlights: • ITO nanowires were grown by the sputtering method using a new synthesis procedure. • By changing the deposition parameters the morphology and dimensions of the nanostructures were modified. • Seed layer thickness was an important factor for obtaining branched nanowires. • SERS substrates having good performance and a high application potential were produced. • The first Raman results for our substrates are already comparable to commercial substrates. - Abstract: Indium Tin oxide (ITO) nanowires were deposited by RF sputtering over oxidized silicon using ITO and Indium targets. The nanowires grew on the substrate with a catalyst layer of Indium by the vapor–liquid–solid (VLS) mechanism. Modifications in the deposition conditions affected the morphology and dimensions of the nanowires. The samples, after being covered with gold, were evaluated as surface-enhanced Raman scattering (SERS) substrates for detection of dye solutions and very good intensifications of the Raman signal were obtained. The SERS performance of the samples was also compared to that of a commercial SERS substrate and the results achieved were similar. To the best of our knowledge, this is the first time ITO nanowires were grown by the sputtering technique using oxide and metal targets.

  18. Au nanowire junction breakup through surface atom diffusion

    Science.gov (United States)

    Vigonski, Simon; Jansson, Ville; Vlassov, Sergei; Polyakov, Boris; Baibuz, Ekaterina; Oras, Sven; Aabloo, Alvo; Djurabekova, Flyura; Zadin, Vahur

    2018-01-01

    Metallic nanowires are known to break into shorter fragments due to the Rayleigh instability mechanism. This process is strongly accelerated at elevated temperatures and can completely hinder the functioning of nanowire-based devices like e.g. transparent conductive and flexible coatings. At the same time, arranged gold nanodots have important applications in electrochemical sensors. In this paper we perform a series of annealing experiments of gold and silver nanowires and nanowire junctions at fixed temperatures 473, 673, 873 and 973 K (200 °C, 400 °C, 600 °C and 700 °C) during a time period of 10 min. We show that nanowires are especially prone to fragmentation around junctions and crossing points even at comparatively low temperatures. The fragmentation process is highly temperature dependent and the junction region breaks up at a lower temperature than a single nanowire. We develop a gold parametrization for kinetic Monte Carlo simulations and demonstrate the surface diffusion origin of the nanowire junction fragmentation. We show that nanowire fragmentation starts at the junctions with high reliability and propose that aligning nanowires in a regular grid could be used as a technique for fabricating arrays of nanodots.

  19. Electronic transport through Si nanowires: Role of bulk and surface disorder

    DEFF Research Database (Denmark)

    Markussen, Troels; Rurali, R.; Brandbyge, Mads

    2006-01-01

    We calculate the resistance and mean free path in long metallic and semiconducting silicon nanowires (SiNW's) using two different numerical approaches: a real-space Kubo method and a recursive Green's-function method. We compare the two approaches and find that they are complementary: depending...

  20. Tunable electronic properties of silicon nanowires under strain and electric bias

    Directory of Open Access Journals (Sweden)

    Alexis Nduwimana

    2014-07-01

    Full Text Available The electronic structure characteristics of silicon nanowires under strain and electric bias are studied using first-principles density functional theory. The unique wire-like structure leads to distinct spatial distribution of carriers, which can be tailored by applying tensile and compressive strains, as well as by an electric bias. Our results indicate that the combined effect of strain and electric bias leads to tunable electronic structures that can be used for piezo-electric devices.

  1. Surface sensitization mechanism on negative electron affinity p-GaN nanowires

    Science.gov (United States)

    Diao, Yu; Liu, Lei; Xia, Sihao; Feng, Shu; Lu, Feifei

    2018-03-01

    The surface sensitization is the key to prepare negative electron affinity photocathode. The thesis emphasizes on the study of surface sensitization mechanism of p-type doping GaN nanowires utilizing first principles based on density function theory. The adsorption energy, work function, dipole moment, geometry structure, electronic structure and optical properties of Mg-doped GaN nanowires surfaces with various coverages of Cs atoms are investigated. The GaN nanowire with Mg doped in core position is taken as the sensitization base. At the initial stage of sensitization, the best adsorption site for Cs atom on GaN nanowire surface is BN, the bridge site of two adjacent N atoms. Surface sensitization generates a p-type internal surface with an n-type surface state, introducing a band bending region which can help reduce surface barrier and work function. With increasing Cs coverage, work functions decrease monotonously and the "Cs-kill" phenomenon disappears. For Cs coverage of 0.75 ML and 1 ML, the corresponding sensitization systems reach negative electron affinity state. Through surface sensitization, the absorption curves are red shifted and the absorption coefficient is cut down. All theoretical calculations can guide the design of negative electron affinity Mg doped GaN nanowires photocathode.

  2. Fullerene C{sub 70} decorated TiO{sub 2} nanowires for visible-light-responsive photocatalyst

    Energy Technology Data Exchange (ETDEWEB)

    Cho, Er-Chieh [Department of Clinical Pharmacy, School of Pharmacy, College of Pharmacy, Taipei Medical University, Taipei 110, Taiwan (China); Ciou, Jing-Hao [Department of Fragrance and Cosmetic Science, Kaohsiung Medical University, Kaohsiung 80708, Taiwan (China); Zheng, Jia-Huei; Pan, Job [Department of Clinical Pharmacy, School of Pharmacy, College of Pharmacy, Taipei Medical University, Taipei 110, Taiwan (China); Hsiao, Yu-Sheng, E-mail: yshsiao@mail.mcut.edu.tw [Department of Materials Engineering, Ming Chi University of Technology, New Taipei City 24301, Taiwan (China); Lee, Kuen-Chan, E-mail: kclee@kmu.edu.tw [Department of Fragrance and Cosmetic Science, Kaohsiung Medical University, Kaohsiung 80708, Taiwan (China); Huang, Jen-Hsien, E-mail: 295604@cpc.com.tw [Department of Green Material Technology, Green Technology Research Institute, CPC Corporation, Kaohsiung 30010, Taiwan (China)

    2015-11-15

    Graphical abstract: - Highlights: • TiO{sub 2} nanowire decorated with C{sub 60} and C{sub 70} derivatives has been synthesized. • The fullerenes impede the charge recombination due to its high electron affinity. • The fullerenes expand the utilization of solar light from UV to visible light. • The modified-TiO{sub 2} has great biocompatibility. - Abstract: In this study, we have synthesized C{sub 60} and C{sub 70}-modified TiO{sub 2} nanowire (NW) through interfacial chemical bonding. The results indicate that the fullerenes (C{sub 60} and C{sub 70} derivatives) can act as sinks for photogenerated electrons in TiO{sub 2}, while the fullerene/TiO{sub 2} is illuminated under ultraviolet (UV) light. Therefore, in comparison to the pure TiO{sub 2} NWs, the modified TiO{sub 2} NWs display a higher photocatalytic activity under UV irradiation. Moreover, the fullerenes also can function as a sensitizer to TiO{sub 2} which expand the utilization of solar light from UV to visible light. The results reveal that the C{sub 70}/TiO{sub 2} NWs show a significant photocatalytic activity for degradation of methylene blue (MB) in visible light region. To better understand the mechanism responsible for the effect of fullerenes on the photocatalytic properties of TiO{sub 2}, the electron only devices and photoelectrochemical cells based on fullerenes/TiO{sub 2} are also fabricated and evaluated.

  3. Atomic Layer Deposition Alumina-Passivated Silicon Nanowires: Probing the Transition from Electrochemical Double-Layer Capacitor to Electrolytic Capacitor.

    Science.gov (United States)

    Gaboriau, Dorian; Boniface, Maxime; Valero, Anthony; Aldakov, Dmitry; Brousse, Thierry; Gentile, Pascal; Sadki, Said

    2017-04-19

    Silicon nanowires were coated by a 1-5 nm thin alumina layer by atomic layer deposition (ALD) in order to replace poorly reproducible and unstable native silicon oxide by a highly conformal passivating alumina layer. The surface coating enabled probing the behavior of symmetric devices using such electrodes in the EMI-TFSI electrolyte, allowing us to attain a large cell voltage up to 6 V in ionic liquid, together with very high cyclability with less than 4% capacitance fade after 10 6 charge/discharge cycles. These results yielded fruitful insights into the transition between an electrochemical double-layer capacitor behavior and an electrolytic capacitor behavior. Ultimately, thin ALD dielectric coatings can be used to obtain hybrid devices exhibiting large cell voltage and excellent cycle life of dielectric capacitors, while retaining energy and power densities close to the ones displayed by supercapacitors.

  4. In situ nanoscale refinement by highly controllable etching of the (111) silicon crystal plane and its influence on the enhanced electrical property of a silicon nanowire

    International Nuclear Information System (INIS)

    Gong Yibin; Dai Pengfei; Gao Anran; Li Tie; Zhou Ping; Wang Yuelin

    2011-01-01

    Nanoscale refinement on a (100) oriented silicon-on-insulator (SOI) wafer was introduced by using tetra-methyl-ammonium hydroxide (TMAH, 25 wt%) anisotropic silicon etchant, with temperature kept at 50 °C to achieve precise etching of the (111) crystal plane. Specifically for a silicon nanowire (SiNW) with oxide sidewall protection, the in situ TMAH process enabled effective size reduction in both lateral (2.3 nm/min) and vertical (1.7 nm/min) dimensions. A sub-50 nm SiNW with a length of microns with uniform triangular cross-section was achieved accordingly, yielding enhanced field effect transistor (FET) characteristics in comparison with its 100 nm-wide pre-refining counterpart, which demonstrated the feasibility of this highly controllable refinement process. Detailed examination revealed that the high surface quality of the (111) plane, as well as the bulk depletion property should be the causes of this electrical enhancement, which implies the great potential of the as-made cost-effective SiNW FET device in many fields. (semiconductor materials)

  5. Au nanoparticles decorated SiO2 nanowires by dewetting on curved surfaces: facile synthesis and nanoparticles–nanowires sizes correlation

    International Nuclear Information System (INIS)

    Ruffino, F.; Grimaldi, M. G.

    2013-01-01

    We report a solid-state synthesis for SiO 2 nanowires (NWs) (up to 20 microns in length and from about 40 to about 150 nm in diameter) coated by Au nanoparticles (NPs) (from about 20 to about 80 nm in diameter). This protocol is based on three steps: (1) large area production of very long SiO 2 NWs on a Si surface exploiting a simple Au/Si solid-state reaction at high temperature; (2) coating of the SiO 2 NWs by a Au film of desired thickness using sputtering depositions; and (3) a thermal process to induce a dewetting process of the Au-film coating the SiO 2 NWs to obtain Au NPs on the curved surface of the NWs. The morphology evolution of the SiO 2 NWs was followed, in each step, by scanning electron microscopy analyses. They allowed to correlate the evolution of the NPs size with the NWs sizes for different thicknesses of the starting Au-film coating the NWs and different annealing temperatures of the dewetting process. Some theoretical concepts, related to the dewetting process of a film on a curved surface were used to describe the experimental data. The main advantages of the proposed protocols include: (i) simplicity and low-cost (it is based only on sputtering depositions and thermal processes), and (ii) versatility based on the possibility of tuning Au-film thickness and annealing temperature to tune the NPs–NWs sizes ratio. These advantages can make this technique suitable for the mass production of Au NPs-coated SiO 2 NWs toward applications in electronic devices, biosensors, and nanoscale optical devices

  6. Effect of growth temperature on photoluminescence and piezoelectric characteristics of ZnO nanowires

    Energy Technology Data Exchange (ETDEWEB)

    Water, Walter [Institute of Electro-Optical and Materials Science, National Formosa University, Yunlin 632, Taiwan (China); Fang, T.-H. [Institute of Electro-Optical and Materials Science, National Formosa University, Yunlin 632, Taiwan (China); Institute of Mechanical and Electromechanical Engineering, National Formosa University, Yunlin 632, Taiwan (China)], E-mail: fang.tehua@msa.hinet.net; Ji, L.-W.; Lee, C.-C. [Institute of Electro-Optical and Materials Science, National Formosa University, Yunlin 632, Taiwan (China)

    2009-02-25

    ZnO nanowire arrays were synthesized on Au-coated silicon (1 0 0) substrates by using vapour-liquid-solid process in this work. The effect of growth temperatures on the crystal structure and the surface morphology of ZnO nanowires were investigated by X-ray diffraction and scanning electron microscope. The absorption and optical characteristics of the nanowires were examined by Ultraviolet/Visible spectroscopy, and photoluminescence, respectively. The photoluminescence results exhibited ZnO nanowires had an ultraviolet and blue emission at 383 and 492 nm. Then a nanogenerator with ZnO nanowire arrays was fabricated and demonstrated Schottky-like current-voltage characteristics.

  7. Effect of hydrofluoric acid concentration on the evolution of photoluminescence characteristics in porous silicon nanowires prepared by Ag-assisted electroless etching method

    KAUST Repository

    Najar, Adel; Anjum, Dalaver H.; Hedhili, Mohamed N.; Ng, Tien Khee; Ooi, Boon S.; Ben Slimane, Ahmed; Sougrat, Rachid

    2012-01-01

    We report on the structural and optical properties of porous silicon nanowires (PSiNWs) fabricated using silver (Ag) ions assisted electroless etching method. Silicon nanocrystallites with sizes <5 nm embedded in amorphous silica have been

  8. Characteristics of AlN/GaN nanowire Bragg mirror grown on (001) silicon by molecular beam epitaxy

    KAUST Repository

    Heo, Junseok

    2013-10-01

    GaN nanowires containing AlN/GaN distributed Bragg reflector (DBR) heterostructures have been grown on (001) silicon substrate by molecular beam epitaxy. A peak reflectance of 70% with normal incidence at 560 nm is derived from angle resolved reflectance measurements on the as-grown nanowire DBR array. The measured peak reflectance wavelength is significantly blue-shifted from the ideal calculated value. The discrepancy is explained by investigating the reflectance of the nanoscale DBRs with a finite difference time domain technique. Ensemble nanowire microcavities with In0.3Ga 0.7N nanowires clad by AlN/GaN DBRs have also been characterized. Room temperature emission from the microcavity exhibits considerable linewidth narrowing compared to that measured for unclad In0.3Ga0.7N nanowires. The resonant emission is characterized by a peak wavelength and linewidth of 575 nm and 39 nm, respectively. © 2013 AIP Publishing LLC.

  9. Fabrication of Nano-Micro Hybrid Structures by Replication and Surface Treatment of Nanowires

    Directory of Open Access Journals (Sweden)

    Yeonho Jeong

    2017-07-01

    Full Text Available Nanowire structures have attracted attention in various fields, since new characteristics could be acquired in minute regions. Especially, Anodic Aluminum Oxide (AAO is widely used in the fabrication of nanostructures, which has many nanosized pores and well-organized nano pattern. Using AAO as a template for replication, nanowires with a very high aspect ratio can be fabricated. Herein, we propose a facile method to fabricate a nano-micro hybrid structure using nanowires replicated from AAO, and surface treatment. A polymer resin was coated between Polyethylene terephthalate (PET and the AAO filter, roller pressed, and UV-cured. After the removal of aluminum by using NaOH solution, the nanowires aggregated to form a micropattern. The resulting structure was subjected to various surface treatments to investigate the surface behavior and wettability. As opposed to reported data, UV-ozone treatment can enhance surface hydrophobicity because the UV energy affects the nanowire surface, thus altering the shape of the aggregated nanowires. The hydrophobicity of the surface could be further improved by octadecyltrichlorosilane (OTS coating immediately after UV-ozone treatment. We thus demonstrated that the nano-micro hybrid structure could be formed in the middle of nanowire replication, and then, the shape and surface characteristics could be controlled by surface treatment.

  10. Effect of rapid oxidation on optical and electrical properties of silicon nanowires obtained by chemical etching

    Science.gov (United States)

    Karyaoui, M.; Bardaoui, A.; Ben Rabha, M.; Harmand, J. C.; Amlouk, M.

    2012-05-01

    In the present work, we report the investigation of passivated silicon nanowires (SiNWs) having an average radius of 3.7 μm, obtained by chemical etching of p-type silicon (p-Si). The surface passivation of the SiNWs was performed through a rapid oxidation conducted under a controlled atmosphere at different temperatures and durations. The morphology of the SiNWs was examined using a scanning electron microscope (SEM) that revealed a wave-like structure of dense and vertically aligned one-dimensional silicon nanostructures. On the other hand, optical and electrical characterizations of the SiNWs were studied using a UV-Vis-NIR spectrometer, the Fourier transform infrared spectroscopy (FTIR) and I-V measurements. The reflectance of SiNWs has been dropped to approximately 2% in comparison to that of bare p-Si. This low reflectance slightly increased after carrying out the rapid thermal annealing. The observed behavior was attributed to the formation of a SiO2 layer, as confirmed by FTIR measurements. Finally, the electrical measurements have shown that the rapid oxidation, at certain conditions, contributes to the improvement of the electrical responses of the SiNWs, which can be of great interest for photovoltaic applications.

  11. Charge pumping in InAs nanowires by surface acoustic waves

    NARCIS (Netherlands)

    Roddaro, Stefano; Strambini, Elia; Romeo, Lorenzo; Piazza, Vincenzo; Nilsson, Kristian; Samuelson, Lars; Beltram, Fabio

    2010-01-01

    We investigate the interaction between surface acoustic waves on a piezoelectric LiNbO3 substrate and charge carriers in InAs nanowire transistors. Interdigital transducers are used to excite electromechanical waves on the chip surface and their influence on the transport in the nanowire devices is

  12. Piezoresistance of top-down suspended Si nanowires

    International Nuclear Information System (INIS)

    Koumela, A; Mercier, D; Dupre, C; Jourdan, G; Marcoux, C; Ollier, E; Duraffourg, L; Purcell, S T

    2011-01-01

    Measurements of the gauge factor of suspended, top-down silicon nanowires are presented. The nanowires are fabricated with a CMOS compatible process and with doping concentrations ranging from 2 x 10 20 down to 5 x 10 17 cm -3 . The extracted gauge factors are compared with results on identical non-suspended nanowires and with state-of-the-art results. An increase of the gauge factor after suspension is demonstrated. For the low doped nanowires a value of 235 is measured. Particular attention was paid throughout the experiments to distinguishing real resistance change due to strain modulation from resistance fluctuations due to charge trapping. Furthermore, a numerical model correlating surface charge density with the gauge factor is presented. Comparison of the simulations with experimental measurements shows the validity of this approach. These results contribute to a deeper understanding of the piezoresistive effect in Si nanowires.

  13. Translating silicon nanowire BioFET sensor-technology to embedded point-of-care medical diagnostics

    DEFF Research Database (Denmark)

    Pfreundt, Andrea; Zulfiqar, Azeem; Patou, François

    2013-01-01

    Silicon nanowire and nanoribbon biosensors have shown great promise in the detection of biomarkers at very low concentrations. Their high sensitivity makes them ideal candidates for use in early-stage medical diagnostics and further disease monitoring where low amounts of biomarkers need to be de......Silicon nanowire and nanoribbon biosensors have shown great promise in the detection of biomarkers at very low concentrations. Their high sensitivity makes them ideal candidates for use in early-stage medical diagnostics and further disease monitoring where low amounts of biomarkers need...... to be detected. However, in order to translate this technology from the bench to the bedside, a number of key issues need to be taken into consideration: Integrating nanobiosensors-based technology requires to overcome the difficult tradeoff between imperatives for high device reproducibilty and associated...... rising fabrication costs. Also the translation of nano-scale sensor technology into daily-use point-of-care devices requires acknowledgement of the end-user requirements, making device portability and human-interfacing a focus point in device development. Sample handling or purification for instance...

  14. Facile fabrication of a silicon nanowire sensor by two size reduction steps for detection of alpha-fetoprotein biomarker of liver cancer

    International Nuclear Information System (INIS)

    Pham, Van Binh; Pham, Xuan ThanhTung; Phan, Thanh Nhat Khoa; Le, Thi Thanh Tuyen; Dang, Mau Chien

    2015-01-01

    We present a facile technique that only uses conventional micro-techniques and two size-reduction steps to fabricate wafer-scale silicon nanowire (SiNW) with widths of 200 nm. Initially, conventional lithography was used to pattern SiNW with 2 μm width. Then the nanowire width was decreased to 200 nm by two size-reduction steps with isotropic wet etching. The fabricated SiNW was further investigated when used with nanowire field-effect sensors. The electrical characteristics of the fabricated SiNW devices were characterized and pH sensitivity was investigated. Then a simple and effective surface modification process was carried out to modify SiNW for subsequent binding of a desired receptor. The complete SiNW-based biosensor was then used to detect alpha-fetoprotein (AFP), one of the medically approved biomarkers for liver cancer diagnosis. Electrical measurements showed that the developed SiNW biosensor could detect AFP with concentrations of about 100 ng mL"−"1. This concentration is lower than the necessary AFP concentration for liver cancer diagnosis. (paper)

  15. Facile fabrication of a silicon nanowire sensor by two size reduction steps for detection of alpha-fetoprotein biomarker of liver cancer

    Science.gov (United States)

    Binh Pham, Van; ThanhTung Pham, Xuan; Nhat Khoa Phan, Thanh; Thanh Tuyen Le, Thi; Chien Dang, Mau

    2015-12-01

    We present a facile technique that only uses conventional micro-techniques and two size-reduction steps to fabricate wafer-scale silicon nanowire (SiNW) with widths of 200 nm. Initially, conventional lithography was used to pattern SiNW with 2 μm width. Then the nanowire width was decreased to 200 nm by two size-reduction steps with isotropic wet etching. The fabricated SiNW was further investigated when used with nanowire field-effect sensors. The electrical characteristics of the fabricated SiNW devices were characterized and pH sensitivity was investigated. Then a simple and effective surface modification process was carried out to modify SiNW for subsequent binding of a desired receptor. The complete SiNW-based biosensor was then used to detect alpha-fetoprotein (AFP), one of the medically approved biomarkers for liver cancer diagnosis. Electrical measurements showed that the developed SiNW biosensor could detect AFP with concentrations of about 100 ng mL-1. This concentration is lower than the necessary AFP concentration for liver cancer diagnosis.

  16. Quantum efficiency of InAs/InP nanowire heterostructures grown on silicon substrates

    International Nuclear Information System (INIS)

    Anufriev, Roman; Chauvin, Nicolas; Bru-Chevallier, Catherine; Khmissi, Hammadi; Naji, Khalid; Gendry, Michel; Patriarche, Gilles

    2013-01-01

    Photoluminescence (PL) quantum efficiency (QE) is experimentally investigated, using an integrating sphere, as a function of excitation power on both InAs/InP quantum rod nanowires (QRod-NWs) and radial quantum well nanowires (QWell-NWs) grown on silicon substrates. The measured values of the QE are compared with those of the planar analogues such as quantum dash and quantum well samples, and found to be comparable for the quantum well structures at relatively low power density. Further studies reveal that the values of QE of the QRod-NWs and QWell-NWs are limited by the low quality of the InP NW structure and the quality of radial quantum well, respectively. (copyright 2013 WILEY-VCH Verlag GmbH and Co. KGaA, Weinheim) (orig.)

  17. Templated Control of Au nanospheres in Silica Nanowires

    Energy Technology Data Exchange (ETDEWEB)

    Tringe, J W; Vanamu, G; Zaidi, S H

    2007-03-15

    The formation of regularly-spaced metal nanostructures in selectively-placed insulating nanowires is an important step toward realization of a wide range of nano-scale electronic and opto-electronic devices. Here we report templated synthesis of Au nanospheres embedded in silica nanowires, with nanospheres consistently spaced with a period equal to three times their diameter. Under appropriate conditions, nanowires form exclusively on Si nanostructures because of enhanced local oxidation and reduced melting temperatures relative to templates with larger dimensions. We explain the spacing of the nanospheres with a general model based on a vapor-liquid-solid mechanism, in which an Au/Si alloy dendrite remains liquid in the nanotube until a critical Si concentration is achieved locally by silicon oxide-generated nanowire growth. Additional Si oxidation then locally reduces the surface energy of the Au-rich alloy by creating a new surface with minimum area inside of the nanotube. The isolated liquid domain subsequently evolves to become an Au nanosphere, and the process is repeated.

  18. Enhanced vapour sensing using silicon nanowire devices coated with Pt nanoparticle functionalized porous organic frameworks

    KAUST Repository

    Cao, Anping

    2018-03-09

    Recently various porous organic frameworks (POFs, crystalline or amorphous materials) have been discovered, and used for a wide range of applications, including molecular separations and catalysis. Silicon nanowires (SiNWs) have been extensively studied for diverse applications, including as transistors, solar cells, lithium ion batteries and sensors. Here we demonstrate the functionalization of SiNW surfaces with POFs and explore its effect on the electrical sensing properties of SiNW-based devices. The surface modification by POFs was easily achieved by polycondensation on amine-modified SiNWs. Platinum nanoparticles were formed in these POFs by impregnation with chloroplatinic acid followed by chemical reduction. The final hybrid system showed highly enhanced sensitivity for methanol vapour detection. We envisage that the integration of SiNWs with POF selector layers, loaded with different metal nanoparticles will open up new avenues, not only in chemical and biosensing, but also in separations and catalysis.

  19. Surface effects on static bending of nanowires based on non-local elasticity theory

    Directory of Open Access Journals (Sweden)

    Quan Wu

    2015-10-01

    Full Text Available The surface elasticity and non-local elasticity effects on the elastic behavior of statically bent nanowires are investigated in the present investigation. Explicit solutions are presented to evaluate the surface stress and non-local elasticity effects with various boundary conditions. Compared with the classical Euler beam, a nanowire with surface stress and/or non-local elasticity can be either stiffer or less stiff, depending on the boundary conditions. The concept of surface non-local elasticity was proposed and its physical interpretation discussed to explain the combined effect of surface elasticity and non-local elasticity. The effect of the nanowire size on its elastic bending behavior was investigated. The results obtained herein are helpful to characterize mechanical properties of nanowires and aid nanowire-based devices design.

  20. Control of the ZnO nanowires nucleation site using microfluidic channels.

    Science.gov (United States)

    Lee, Sang Hyun; Lee, Hyun Jung; Oh, Dongcheol; Lee, Seog Woo; Goto, Hiroki; Buckmaster, Ryan; Yasukawa, Tomoyuki; Matsue, Tomokazu; Hong, Soon-Ku; Ko, HyunChul; Cho, Meoung-Whan; Yao, Takafumi

    2006-03-09

    We report on the growth of uniquely shaped ZnO nanowires with high surface area and patterned over large areas by using a poly(dimethylsiloxane) (PDMS) microfluidic channel technique. The synthesis uses first a patterned seed template fabricated by zinc acetate solution flowing though a microfluidic channel and then growth of ZnO nanowire at the seed using thermal chemical vapor deposition on a silicon substrate. Variations the ZnO nanowire by seed pattern formed within the microfluidic channel were also observed for different substrates and concentrations of the zinc acetate solution. The photocurrent properties of the patterned ZnO nanowires with high surface area, due to their unique shape, were also investigated. These specialized shapes and patterning technique increase the possibility of realizing one-dimensional nanostructure devices such as sensors and optoelectric devices.

  1. Screening model for nanowire surface-charge sensors in liquid

    DEFF Research Database (Denmark)

    Sørensen, Martin Hedegård; Mortensen, Asger; Brandbyge, Mads

    2007-01-01

    The conductance change of nanowire field-effect transistors is considered a highly sensitive probe for surface charge. However, Debye screening of relevant physiological liquid environments challenge device performance due to competing screening from the ionic liquid and nanowire charge carriers....

  2. Surface study of platinum decorated graphene towards adsorption of NH_3 and CH_4

    International Nuclear Information System (INIS)

    Rad, Ali Shokuhi; Pazoki, Hossein; Mohseni, Soheil; Zareyee, Daryoush; Peyravi, Majid

    2016-01-01

    To distinguish the potential of graphene sensors, there is a need to recognize the interaction between graphene sheet and adsorbing molecules. We used density functional theory (DFT) calculations to study the properties of pristine as well as Pt-decorated graphene sheet upon adsorption of NH_3 and CH_4 on its surface to exploit its potential to be as gas sensors for them. We found much higher adsorption, higher charge transfer, lower intermolecular distance, and higher orbital hybridizing upon adsorption of NH_3 and CH_4 gas molecules on Pt-decorated graphene compared to pristine graphene. Also our calculations reveal that the adsorption energies on Pt-decorated graphene sheet are in order of NH_3 >CH_4 which could be corresponded to the order of their sensitivity on this modified surface. We used orbital analysis including density of states as well as frontier molecular orbital study for all analyte-surface systems to more understanding the kind of interaction (physisorption or chemisorption). Consequently, the Pt-decorated graphene can transform the existence of NH_3 and CH_4 molecules into electrical signal and it may be potentially used as an ideal sensor for detection of NH_3 and CH_4 in ambient situation. - Highlights: • Pt-decorated graphene was investigated as an adsorbent for NH_3 and CH_4. • Much higher adsorption of NH_3 and CH_4 on Pt-decorated graphene than pristine graphene. • Higher adsorption of NH_3 compared to CH_4 on Pt-decorated graphene. • Pt influences the electronic structure of graphene.

  3. Synthesis, characterization and application of electroless metal assisted silicon nanowire arrays

    Energy Technology Data Exchange (ETDEWEB)

    Sahoo, Sumanta Kumar [Centre for Nanoscience & Technology, Department of Mechanical Engineering, Mepco Schlenk Engineering College, Sivakasi 626 005, Tamilnadu (India); Marikani, Arumugam, E-mail: amari@mepcoeng.ac.in [Department of Physics, Mepco Schlenk Engineering College, Sivakasi 626 005, Tamilnadu (India)

    2015-12-01

    Highlights: • Preparation of Silicon nanowire arrays (SiNWs) by electroless metal deposition technique. • From analysis, it has been found that the as-prepared SiNWs are of 3.5–4.0 μm and 75 nm of length and diameter in average respectively. Further a characteristic Raman peak at 520 cm{sup −1} also has been observed. • It exhibits good electron field-emission properties with turn-on field (E{sub 0}) of about 8.26 V μm{sup −1} at current density (J) of 4.9 μA cm{sup −2}. • Functionalized SiNWs have been used for electrochemical detection bovine serum albumin protein bio-molecules. - Abstract: Vertically aligned silicon nanowire arrays (SiNWs) have been synthesized by electroless metal deposition process. The fabricated SiNWs have an average diameter of 75 nm and 3.5–4.0 μm length, as confirmed from scanning electron microscopy. A characteristic asymmetric peak broadening at 520 cm{sup −1} from Raman spectroscopy was obtained for the SiNWs as compared to the bulk silicon crystal due to phonon confinement. The as-prepared SiNWs exhibit good electron field-emission properties with turn-on field of about 8.26 V μm{sup −1} at a current density of 4.9 μA cm{sup −2}. The SiNWs was functionalized by coating with a thin gold metallic film for 60 s, and then used as bio-probe for the detection of bovine serum albumin (BSA) protein molecules. From the linear sweep voltammetry analysis, the Au coated SiNWs, exhibit linear response to the BSA analyte with increase in concentration. The minimum detection limit of the protein molecule was calculated of about 1.16 μM by the as-synthesized SiNWs probe.

  4. Binding of p-mercaptobenzoic acid and adenine to gold-coated electroless etched silicon nanowires studied by surface-enhanced Raman scattering.

    Science.gov (United States)

    Mohaček-Grošev, Vlasta; Gebavi, Hrvoje; Bonifacio, Alois; Sergo, Valter; Daković, Marko; Bajuk-Bogdanović, Danica

    2018-04-10

    Modern diagnostic tools ever aim to reduce the amount of analyte and the time needed for obtaining the result. Surface-enhanced Raman spectroscopy is a method that could satisfy both of these requirements, provided that for each analyte an adequate substrate is found. Here we demonstrate the ability of gold-sputtered silicon nanowires (SiNW) to bind p-mercaptobenzoic acid in 10 -3 , 10 -4 and 10 -5 M and adenine in 30 and 100μM concentrations. Based on the normal mode analysis, presented here for the first time, the binding of p-mercaptobenzoic acid is deduced. The intensity enhancement of the 1106cm -1 band is explained by involvement of the CS stretching deformation, and the appearance of the broad 300cm -1 band attributed to SAu stretching mode. Adenine SERS spectra demonstrate the existence of the 7H tautomer since the strongest band observed is at 736cm -1 . The adenine binding is likely to occur in several ways, because the number of observed bands in the 1200-1600cm -1 interval exceeds the number of observed bands in the normal Raman spectrum of the free molecule. Copyright © 2018 Elsevier B.V. All rights reserved.

  5. Fabrication of double-dot single-electron transistor in silicon nanowire

    International Nuclear Information System (INIS)

    Jo, Mingyu; Kaizawa, Takuya; Arita, Masashi; Fujiwara, Akira; Ono, Yukinori; Inokawa, Hiroshi; Choi, Jung-Bum; Takahashi, Yasuo

    2010-01-01

    We propose a simple method for fabricating Si single-electron transistors (SET) with coupled dots by means of a pattern-dependent-oxidation (PADOX) method. The PADOX method is known to convert a small one-dimensional Si wire formed on a silicon-on-insulator (SOI) substrate into a SET automatically. We fabricated a double-dot Si SET when we oxidized specially designed Si nanowires formed on SOI substrates. We analyzed the measured electrical characteristics by fitting the measurement and simulation results and confirmed the double-dot formation and the position of the two dots in the Si wire.

  6. Design of Indium Arsenide nanowire sensors for pH and biological sensing and low temperature transport through p-doped Indium Arsenide nanowires

    DEFF Research Database (Denmark)

    Upadhyay, Shivendra

    With the goal of real time electrical detection of chemical and biological species, nanowires have shown great promise with high sensitivity due to their large surface to volume ratio. While the focus of such electrical detection has shifted to one dimensional semiconductor nanostuctures, Silicon...

  7. Graded index and randomly oriented core-shell silicon nanowires for broadband and wide angle antireflection

    Directory of Open Access Journals (Sweden)

    P. Pignalosa

    2011-09-01

    Full Text Available Antireflection with broadband and wide angle properties is important for a wide range of applications on photovoltaic cells and display. The SiOx shell layer provides a natural antireflection from air to the Si core absorption layer. In this work, we have demonstrated the random core-shell silicon nanowires with both broadband (from 400nm to 900nm and wide angle (from normal incidence to 60º antireflection characteristics within AM1.5 solar spectrum. The graded index structure from the randomly oriented core-shell (Air/SiOx/Si nanowires may provide a potential avenue to realize a broadband and wide angle antireflection layer.

  8. Modeling of surface stress effects on bending behavior of nanowires: Incremental deformation theory

    International Nuclear Information System (INIS)

    Song, F.; Huang, G.L.

    2009-01-01

    The surface stress effects on bending behavior of nanowires have recently attracted a lot of attention. In this letter, the incremental deformation theory is first applied to study the surface stress effects upon the bending behavior of the nanowires. Different from other linear continuum approaches, the local geometrical nonlinearity of the Lagrangian strain is considered, therefore, the contribution of the surface stresses is naturally derived by applying the Hamilton's principle, and influence of the surface stresses along all surfaces of the nanowires is captured. It is first shown that the surface stresses along all surfaces have contribution not only on the effective Young's modulus of the nanowires but also on the loading term in the governing equation. The predictions of the effective Young's modulus and the resonance shift of the nanowires from the current method are compared with those from the experimental measurement and other existing approaches. The difference with other models is discussed. Finally, based on the current theory, the resonant shift predictions by using both the modified Euler-Bernoulli beam and the modified Timoshenko beam theories of the nanowires are investigated and compared. It is noticed that the higher vibration modes are less sensitive to the surface stresses than the lower vibration modes.

  9. UV irradiation assisted growth of ZnO nanowires on optical fiber surface

    Energy Technology Data Exchange (ETDEWEB)

    Gong, Bo; Shi, Tielin; Liao, Guanglan; Li, Xiaoping; Huang, Jie; Zhou, Temgyuan; Tang, Zirong, E-mail: zirong@mail.hust.edu.cn

    2017-06-01

    Highlights: • A new fabrication process combined a hydrothermal process with UV irradiation from optical fiber is developed. • The growth of ZnO nanowires is efficient in the utilization of UV light. • A novel hybrid structure which integrates ZnO nanowires on optical fiber surface is synthesized. • The UV assisted growth of ZnO nanowires shows preferred orientation and better quality. • A mechanism of growing ZnO nanowires under UV irradiation is proposed. - Abstract: In this paper, a novel approach was developed for the enhanced growth of ZnO nanowires on optical fiber surface. The method combined a hydrothermal process with the efficient UV irradiation from the fiber core, and the effects of UV irradiation on the growth behavior of ZnO nanowires were investigated. The results show that UV irradiation had great effects on the preferred growth orientation and the quality of the ZnO nanowires. The crystallization velocity along the c-axis would increase rapidly with the increase of the irradiation power, while the growth process in the lateral direction was marginally affected by the irradiation. The structure of ZnO nanowires also shows less oxygen vacancy with UV irradiation of higher power. The developed approach is applicable for the efficient growth of nanowires on the fiber surface, and the ZnO nanowires/optical fiber hybrid structures have great potentials for a wide variety of applications such as optical fiber sensors and probes.

  10. Ru-decorated Pt surfaces as model fuel cell electrocatalysts for CO electrooxidation.

    Science.gov (United States)

    Maillard, F; Lu, G-Q; Wieckowski, A; Stimming, U

    2005-09-01

    This feature article concerns Pt surfaces modified (decorated) by ruthenium as model fuel cell electrocatalysts for electrooxidation processes. This work reveals the role of ruthenium promoters in enhancing electrocatalytic activity toward organic fuels for fuel cells, and it particularly concerns the methanol decomposition product, surface CO. A special focus is on surface mobility of the CO as it is catalytically oxidized to CO(2). Different methods used to prepare Ru-decorated Pt single crystal surfaces as well as Ru-decorated Pt nanoparticles are reviewed, and the methods of characterization and testing of their activity are discussed. The focus is on the origin of peak splitting involved in the voltammetric electrooxidation of CO on Ru-decorated Pt surfaces, and on the interpretative consequences of the splitting for single crystal and nanoparticle Pt/Ru bimetallic surfaces. Apparently, screening through the literature allows formulating several models of the CO stripping reaction, and the validity of these models is discussed. Major efforts are made in this article to compare the results reported by the Urbana-Champaign group and the Munich group, but also by other groups. As electrocatalysis is progressively more and more driven by theory, our review of the experimental findings may serve to summarize the state of the art and clarify the roads ahead. Future studies will deal with highly dispersed and reactive nanoscale surfaces and other more advanced catalytic materials for fuel cell catalysis and related energy applications. It is expected that the metal/metal and metal/substrate interactions will be increasingly investigated on atomic and electronic levels, with likewise increasing participation of theory, and the structure and reactivity of various monolayer catalytic systems involving more than two metals (that is ternary and quaternary systems) will be interrogated.

  11. Pattern formation of nanoflowers during the vapor-liquid-solid growth of silicon nanowires

    International Nuclear Information System (INIS)

    Bae, Joonho; Thompson-Flagg, Rebecca; Ekerdt, John G.; Shih, C.-K.

    2008-01-01

    Pattern formation of nanoflowers during the vapor-liquid-solid growth of Si nanowires is reported. Using transmission electron microscopy, scanning electron microscopy, and energy dispersive spectrometer analysis, we show that the flower consists of an Au/SiO x core-shell structure. Moreover, the growth of flower starts at the interface between the gold catalyst and the silicon nanowire, presumably by enhanced oxidation at this interface. The pattern formation can be classified as dense branching morphology (DBM). It is the first observation of DBM in a spherical geometry and at the nanoscale. The analysis of the average branching distance of this pattern shows that the pattern is most likely formed during the growth process, not the cooling process, and that the curvature of the gold droplet plays a crucial role in the frequency of branching

  12. Fabrication and morphology of uniaxially aligned perylenediimide nanowires

    Science.gov (United States)

    Machida, Shinjiro; Tanikatsu, Makoto; Itaya, Akira; Ikeda, Noriaki

    2017-06-01

    Uniaxial alignment of crystalline nanowires consisting of N,N‧-dioctyl-3,4,9,10-perylenedicarboximide (PTCDI-C8) was achieved on poly(tetrafluoroethylene) (PTFE) layers prepared by friction transfer method on a glass substrate. The nanowires were formed by spin-coating a trifluoroacetic acid (TFA) solution of PTCDI-C8 on the PTFE layers and were further grown under TFA vapor atmosphere. The morphology of the PTCDI-C8 nanowires were characterized using atomic force microscope (AFM) and fluorescence optical microscope with changing the dye concentration in the spin coating solution, annealing time in the TFA vapor, and substrate materials. The nanowires prepared on the PTFE layer on a silica-coated silicon or a mica substrate did not grow so well as those on the glass substrate. This result suggests that the surface roughness would affect the PTFE layer and the growth of the PTCDI nanowires.

  13. M13 Bacteriophage/Silver Nanowire Surface-Enhanced Raman Scattering Sensor for Sensitive and Selective Pesticide Detection.

    Science.gov (United States)

    Koh, Eun Hye; Mun, ChaeWon; Kim, ChunTae; Park, Sung-Gyu; Choi, Eun Jung; Kim, Sun Ho; Dang, Jaejeung; Choo, Jaebum; Oh, Jin-Woo; Kim, Dong-Ho; Jung, Ho Sang

    2018-03-28

    A surface-enhanced Raman scattering (SERS) sensor comprising silver nanowires (AgNWs) and genetically engineered M13 bacteriophages expressing a tryptophan-histidine-tryptophan (WHW) peptide sequence (BPWHW) was fabricated by simple mixing of BPWHW and AgNW solutions, followed by vacuum filtration onto a glass-fiber filter paper (GFFP) membrane. The AgNWs stacked on the GFFP formed a high density of SERS-active hot spots at the points of nanowire intersections, and the surface-coated BPWHW functioned as a bioreceptor for selective pesticide detection. The BPWHW-functionalized AgNW (BPWHW/AgNW) sensor was characterized by scanning electron microscopy, confocal scanning fluorescence microscopy, atomic force microscopy, and Fourier transform infrared spectroscopy. The Raman signal enhancement and the selective pesticide SERS detection properties of the BPWHW/AgNW sensor were investigated in the presence of control substrates such as wild-type M13 bacteriophage-decorated AgNWs (BPWT/AgNW) and undecorated AgNWs (AgNW). The BPWHW/AgNW sensor exhibited a significantly higher capture capability for pesticides, especially paraquat (PQ), than the control SERS substrates, and it also showed a relatively higher selectivity for PQ than for other bipyridylium pesticides such as diquat and difenzoquat. Furthermore, as a field application test, PQ was detected on the surface of PQ-pretreated apple peels, and the results demonstrated the feasibility of using a paper-based SERS substrate for on-site residual pesticide detection. The developed M13 bacteriophage-functionalized AgNW SERS sensor might be applicable for the detection of various pesticides and chemicals through modification of the M13 bacteriophage surface peptide sequence.

  14. Prelithiated Silicon Nanowires as an Anode for Lithium Ion Batteries

    KAUST Repository

    Liu, Nian

    2011-08-23

    Silicon is one of the most promising anode materials for the next-generation high-energy lithium ion battery (LIB), while sulfur and some other lithium-free materials have recently shown high promise as cathode materials. To make a full battery out of them, either the cathode or the anode needs to be prelithiated. Here, we present a method for prelithiating a silicon nanowire (SiNW) anode by a facile self-discharge mechanism. Through a time dependence study, we found that 20 min of prelithiation loads ∼50% of the full capacity into the SiNWs. Scanning electron microscopy (SEM) and transmission electron microscopy (TEM) studies show that the nanostructure of SiNWs is maintained after prelithiation. We constructed a full battery using our prelithiated SiNW anode with a sulfur cathode. Our work provides a protocol for pairing lithium-free electrodes to make the next-generation high-energy LIB. © 2011 American Chemical Society.

  15. Vertical group III-V nanowires on si, heterostructures, flexible arrays and fabrication

    Science.gov (United States)

    Wang, Deli; Soci, Cesare; Bao, Xinyu; Wei, Wei; Jing, Yi; Sun, Ke

    2015-01-13

    Embodiments of the invention provide a method for direct heteroepitaxial growth of vertical III-V semiconductor nanowires on a silicon substrate. The silicon substrate is etched to substantially completely remove native oxide. It is promptly placed in a reaction chamber. The substrate is heated and maintained at a growth temperature. Group III-V precursors are flowed for a growth time. Preferred embodiment vertical Group III-V nanowires on silicon have a core-shell structure, which provides a radial homojunction or heterojunction. A doped nanowire core is surrounded by a shell with complementary doping. Such can provide high optical absorption due to the long optical path in the axial direction of the vertical nanowires, while reducing considerably the distance over which carriers must diffuse before being collected in the radial direction. Alloy composition can also be varied. Radial and axial homojunctions and heterojunctions can be realized. Embodiments provide for flexible Group III-V nanowire structures. An array of Group III-V nanowire structures is embedded in polymer. A fabrication method forms the vertical nanowires on a substrate, e.g., a silicon substrate. Preferably, the nanowires are formed by the preferred methods for fabrication of Group III-V nanowires on silicon. Devices can be formed with core/shell and core/multi-shell nanowires and the devices are released from the substrate upon which the nanowires were formed to create a flexible structure that includes an array of vertical nanowires embedded in polymer.

  16. Au nanoparticles decorated SiO{sub 2} nanowires by dewetting on curved surfaces: facile synthesis and nanoparticles-nanowires sizes correlation

    Energy Technology Data Exchange (ETDEWEB)

    Ruffino, F., E-mail: francesco.ruffino@ct.infn.it; Grimaldi, M. G. [Universita di Catania, Dipartimento di Fisica e Astronomia (Italy)

    2013-09-15

    We report a solid-state synthesis for SiO{sub 2} nanowires (NWs) (up to 20 microns in length and from about 40 to about 150 nm in diameter) coated by Au nanoparticles (NPs) (from about 20 to about 80 nm in diameter). This protocol is based on three steps: (1) large area production of very long SiO{sub 2} NWs on a Si surface exploiting a simple Au/Si solid-state reaction at high temperature; (2) coating of the SiO{sub 2} NWs by a Au film of desired thickness using sputtering depositions; and (3) a thermal process to induce a dewetting process of the Au-film coating the SiO{sub 2} NWs to obtain Au NPs on the curved surface of the NWs. The morphology evolution of the SiO{sub 2} NWs was followed, in each step, by scanning electron microscopy analyses. They allowed to correlate the evolution of the NPs size with the NWs sizes for different thicknesses of the starting Au-film coating the NWs and different annealing temperatures of the dewetting process. Some theoretical concepts, related to the dewetting process of a film on a curved surface were used to describe the experimental data. The main advantages of the proposed protocols include: (i) simplicity and low-cost (it is based only on sputtering depositions and thermal processes), and (ii) versatility based on the possibility of tuning Au-film thickness and annealing temperature to tune the NPs-NWs sizes ratio. These advantages can make this technique suitable for the mass production of Au NPs-coated SiO{sub 2} NWs toward applications in electronic devices, biosensors, and nanoscale optical devices.

  17. Nitride surface passivation of GaAs nanowires: impact on surface state density.

    Science.gov (United States)

    Alekseev, Prokhor A; Dunaevskiy, Mikhail S; Ulin, Vladimir P; Lvova, Tatiana V; Filatov, Dmitriy O; Nezhdanov, Alexey V; Mashin, Aleksander I; Berkovits, Vladimir L

    2015-01-14

    Surface nitridation by hydrazine-sulfide solution, which is known to produce surface passivation of GaAs crystals, was applied to GaAs nanowires (NWs). We studied the effect of nitridation on conductivity and microphotoluminescence (μ-PL) of individual GaAs NWs using conductive atomic force microscopy (CAFM) and confocal luminescent microscopy (CLM), respectively. Nitridation is found to produce an essential increase in the NW conductivity and the μ-PL intensity as well evidence of surface passivation. Estimations show that the nitride passivation reduces the surface state density by a factor of 6, which is of the same order as that found for GaAs/AlGaAs nanowires. The effects of the nitride passivation are also stable under atmospheric ambient conditions for six months.

  18. Relaxing the electrostatic screening effect by patterning vertically-aligned silicon nanowire arrays into bundles for field emission application

    Energy Technology Data Exchange (ETDEWEB)

    Hung, Yung-Jr, E-mail: yungjrhung@gmail.com [Department of Electronic Engineering, National Taiwan University of Science and Technology, No. 43, Sec. 4, Keelung Rd., Taipei 106, Taiwan, ROC (China); Department of Photonics, National Sun Yat-sen University, No. 70, Lienhai Rd., Kaohsiung 80424, Taiwan, ROC (China); Graduate Institute of Electro-Optical Engineering, National Taiwan University of Science and Technology, No. 43, Sec. 4, Keelung Rd., Taipei 106, Taiwan, ROC (China); Lee, San-Liang [Department of Electronic Engineering, National Taiwan University of Science and Technology, No. 43, Sec. 4, Keelung Rd., Taipei 106, Taiwan, ROC (China); Graduate Institute of Electro-Optical Engineering, National Taiwan University of Science and Technology, No. 43, Sec. 4, Keelung Rd., Taipei 106, Taiwan, ROC (China); Beng, Looi Choon [Faculty of Engineering, Multimedia University, Jalan Multimedia, 63100 Cyberjaya, Selangor (Malaysia); Chang, Hsuan-Chen [Department of Electronic Engineering, National Taiwan University of Science and Technology, No. 43, Sec. 4, Keelung Rd., Taipei 106, Taiwan, ROC (China); Graduate Institute of Electro-Optical Engineering, National Taiwan University of Science and Technology, No. 43, Sec. 4, Keelung Rd., Taipei 106, Taiwan, ROC (China); Huang, Yung-Jui [Graduate Institute of Electro-Optical Engineering, National Taiwan University of Science and Technology, No. 43, Sec. 4, Keelung Rd., Taipei 106, Taiwan, ROC (China); Lee, Kuei-Yi; Huang, Ying-Sheng [Department of Electronic Engineering, National Taiwan University of Science and Technology, No. 43, Sec. 4, Keelung Rd., Taipei 106, Taiwan, ROC (China); Graduate Institute of Electro-Optical Engineering, National Taiwan University of Science and Technology, No. 43, Sec. 4, Keelung Rd., Taipei 106, Taiwan, ROC (China)

    2014-04-01

    Top-down fabrication strategies are proposed and demonstrated to realize arrays of vertically-aligned silicon nanowire bundles and bundle arrays of carbon nanotube–silicon nanowire (CNT–SiNW) heterojunctions, aiming for releasing the electrostatic screening effect and improving the field emission characteristics. The trade-off between the reduction in the electrostatic screening effect and the decrease of emission sites leads to an optimal SiNW bundle arrangement which enables the lowest turn-on electric field of 1.4 V/μm and highest emission current density of 191 μA/cm{sup 2} among all testing SiNW samples. Benefiting from the superior thermal and electrical properties of CNTs and the flexible patterning technologies available for SiNWs, bundle arrays of CNT–SiNW heterojunctions show improved and highly-uniform field emission with a lower turn-on electric field of 0.9 V/μm and higher emission current density of 5.86 mA/cm{sup 2}. The application of these materials and their corresponding fabrication approaches is not limited to the field emission but can be used for a variety of emerging fields like nanoelectronics, lithium-ion batteries, and solar cells. - Highlights: • Aligned silicon nanowire (SiNW) bundle arrays are realized with top-down methods. • Growing carbon nanotubes atop SiNW bundle arrays enable uniform field emission. • A turn-on field of 0.9 V/μm and an emission current of > 5 mA/cm{sup 2} are achieved.

  19. Optical biosensor based on a silicon nanowire ridge waveguide for lab on chip applications

    International Nuclear Information System (INIS)

    Gamal, Rania; Ismail, Yehea; Swillam, Mohamed A

    2015-01-01

    We propose a novel sensor using a silicon nanowire ridge waveguide (SNRW). This waveguide is comprised of an array of silicon nanowires on an insulator substrate that has the envelope of a ridge waveguide. The SNRW inherently maximizes the overlap between the material-under-test and the incident light wave by introducing voids to the otherwise bulk structure. When a sensing sample is injected, the voids within the SNRW adopt the refractive index of the material-under-test. Hence, the strong contribution of the material-under-test to the overall modal effective index will greatly augment the sensitivity. Additionally, the ridge structure provides a fabrication convenience as it covers the entire substrate, ensuring that the etching process would not damage the substrate. Finite-difference time-domain simulations are conducted and showed that the percentage change in the effective index due to a 1% change in the surrounding environment is more than 170 times the change perceived in an evanescent-detection based bulk silicon ridge waveguide. Moreover, the SNRW proves to be more sensitive than recent other, non-evanescent sensors. In addition, the detection limit for this structure was revealed to be as small as 10 −8 . A compact bimodal waveguide based on SNRW is designed and tested. It delivers high sensitivity values that offer comparable performance to similar low-index light-guiding sensing configurations; however, our proposed structure has much smaller footprints and allows high dense integration for lab-on-chip applications. (paper)

  20. CdO necklace like nanobeads decorated with PbS nanoparticles: Room temperature LPG sensor

    Energy Technology Data Exchange (ETDEWEB)

    Sonawane, N.B. [Department of Physics, School of Physical Sciences, North Maharashtra University, Jalgaon, 425001 M.S. (India); K.A.M.P. & N.K.P. Science College, Pimpalner, Sakri, Dhule, M.S. (India); Baviskar, P.K. [Department of Physics, School of Physical Sciences, North Maharashtra University, Jalgaon, 425001 M.S. (India); Ahire, R.R. [S.G. Patil Science, Sakri, Dhule, M.S. (India); Sankapal, B.R., E-mail: brsankapal@gmail.com [Nano Materials and Device Laboratory, Department of Applied Physics, Visvesvaraya National Institute of Technology, South Ambazari Road, Nagpur, 440010 M.S. (India)

    2017-04-15

    Simple chemical route has been employed to grow interconnected nanobeads of CdO having necklace like structure through air annealing of cadmium hydroxide nanowires. This nanobeads of n-CdO with high surface area has been decorated with p-PbS nanoparticles resulting in the formation of nano-heterojunction which has been utilized effectively as room temperature liquefied petroleum gas (LPG) sensor. The room temperature gas response towards C{sub 2}H{sub 5}OH, Cl{sub 2}, NH{sub 3}, CO{sub 2} and LPG was investigated, among which LPG exhibits significant response. The maximum gas response of 51.10% is achieved with 94.54% stability upon exposure of 1176 ppm concentration of LPG at room temperature (27 °C). The resulting parameters like gas response, response and recovery time along with stability studies has been studied and results are discussed herein. - Highlights: • Conversion of Cd(OH){sub 2} nanowires to CdO nanonecklace by air annealing at 290 °C. • Decoration of PbS nanoparticles over CdO nanobeads by SILAR method. • Formation of n-CdO/p-PbS nano-heterojunction as room temperature LPG sensor. • Maximum gas response of 51.10% with 94.54% stability.

  1. Probing Stress States in Silicon Nanowires During Electrochemical Lithiation Using In Situ Synchrotron X-Ray Microdiffraction

    Directory of Open Access Journals (Sweden)

    Imran Ali

    2018-04-01

    Full Text Available Silicon is considered as a promising anode material for the next-generation lithium-ion battery (LIB due to its high capacity at nanoscale. However, silicon expands up to 300% during lithiation, which induces high stresses and leads to fractures. To design silicon nanostructures that could minimize fracture, it is important to understand and characterize stress states in the silicon nanostructures during lithiation. Synchrotron X-ray microdiffraction has proven to be effective in revealing insights of mechanical stress and other mechanics considerations in small-scale crystalline structures used in many important technological applications, such as microelectronics, nanotechnology, and energy systems. In the present study, an in situ synchrotron X-ray microdiffraction experiment was conducted to elucidate the mechanical stress states during the first electrochemical cycle of lithiation in single-crystalline silicon nanowires (SiNWs in an LIB test cell. Morphological changes in the SiNWs at different levels of lithiation were also studied using scanning electron microscope (SEM. It was found from SEM observation that lithiation commenced predominantly at the top surface of SiNWs followed by further progression toward the bottom of the SiNWs gradually. The hydrostatic stress of the crystalline core of the SiNWs at different levels of electrochemical lithiation was determined using the in situ synchrotron X-ray microdiffraction technique. We found that the crystalline core of the SiNWs became highly compressive (up to -325.5 MPa once lithiation started. This finding helps unravel insights about mechanical stress states in the SiNWs during the electrochemical lithiation, which could potentially pave the path toward the fracture-free design of silicon nanostructure anode materials in the next-generation LIB.

  2. Surface Passivation of GaN Nanowires for Enhanced Photoelectrochemical Water-Splitting.

    Science.gov (United States)

    Varadhan, Purushothaman; Fu, Hui-Chun; Priante, Davide; Retamal, Jose Ramon Duran; Zhao, Chao; Ebaid, Mohamed; Ng, Tien Khee; Ajia, Idirs; Mitra, Somak; Roqan, Iman S; Ooi, Boon S; He, Jr-Hau

    2017-03-08

    Hydrogen production via photoelectrochemical water-splitting is a key source of clean and sustainable energy. The use of one-dimensional nanostructures as photoelectrodes is desirable for photoelectrochemical water-splitting applications due to the ultralarge surface areas, lateral carrier extraction schemes, and superior light-harvesting capabilities. However, the unavoidable surface states of nanostructured materials create additional charge carrier trapping centers and energy barriers at the semiconductor-electrolyte interface, which severely reduce the solar-to-hydrogen conversion efficiency. In this work, we address the issue of surface states in GaN nanowire photoelectrodes by employing a simple and low-cost surface treatment method, which utilizes an organic thiol compound (i.e., 1,2-ethanedithiol). The surface-treated photocathode showed an enhanced photocurrent density of -31 mA/cm 2 at -0.2 V versus RHE with an incident photon-to-current conversion efficiency of 18.3%, whereas untreated nanowires yielded only 8.1% efficiency. Furthermore, the surface passivation provides enhanced photoelectrochemical stability as surface-treated nanowires retained ∼80% of their initial photocurrent value and produced 8000 μmol of gas molecules over 55 h at acidic conditions (pH ∼ 0), whereas the untreated nanowires demonstrated only passivation of nanostructured photoelectrodes for photoelectrochemical applications.

  3. Analytical Model of Subthreshold Drain Current Characteristics of Ballistic Silicon Nanowire Transistors

    Directory of Open Access Journals (Sweden)

    Wanjie Xu

    2015-01-01

    Full Text Available A physically based subthreshold current model for silicon nanowire transistors working in the ballistic regime is developed. Based on the electric potential distribution obtained from a 2D Poisson equation and by performing some perturbation approximations for subband energy levels, an analytical model for the subthreshold drain current is obtained. The model is further used for predicting the subthreshold slopes and threshold voltages of the transistors. Our results agree well with TCAD simulation with different geometries and under different biasing conditions.

  4. Au nanoparticle-decorated silicon pyramids for plasmon-enhanced hot electron near-infrared photodetection

    Science.gov (United States)

    Qi, Zhiyang; Zhai, Yusheng; Wen, Long; Wang, Qilong; Chen, Qin; Iqbal, Sami; Chen, Guangdian; Xu, Ji; Tu, Yan

    2017-07-01

    The heterojunction between metal and silicon (Si) is an attractive route to extend the response of Si-based photodiodes into the near-infrared (NIR) region, so-called Schottky barrier diodes. Photons absorbed into a metallic nanostructure excite the surface plasmon resonances (SPRs), which can be damped non-radiatively through the creation of hot electrons. Unfortunately, the quantum efficiency of hot electron detectors remains low due to low optical absorption and poor electron injection efficiency. In this study, we propose an efficient and low-cost plasmonic hot electron NIR photodetector based on a Au nanoparticle (Au NP)-decorated Si pyramid Schottky junction. The large-area and lithography-free photodetector is realized by using an anisotropic chemical wet etching and rapid thermal annealing (RTA) of a thin Au film. We experimentally demonstrate that these hot electron detectors have broad photoresponsivity spectra in the NIR region of 1200-1475 nm, with a low dark current on the order of 10-5 A cm-2. The observed responsivities enable these devices to be competitive with other reported Si-based NIR hot electron photodetectors using perfectly periodic nanostructures. The improved performance is attributed to the pyramid surface which can enhance light trapping and the localized electric field, and the nano-sized Au NPs which are beneficial for the tunneling of hot electrons. The simple and large-area preparation processes make them suitable for large-scale thermophotovoltaic cell and low-cost NIR detection applications.

  5. In-surface confinement of topological insulator nanowire surface states

    International Nuclear Information System (INIS)

    Chen, Fan W.; Jauregui, Luis A.; Tan, Yaohua; Manfra, Michael; Klimeck, Gerhard; Chen, Yong P.; Kubis, Tillmann

    2015-01-01

    The bandstructures of [110] and [001] Bi 2 Te 3 nanowires are solved with the atomistic 20 band tight binding functionality of NEMO5. The theoretical results reveal: The popular assumption that all topological insulator (TI) wire surfaces are equivalent is inappropriate. The Fermi velocity of chemically distinct wire surfaces differs significantly which creates an effective in-surface confinement potential. As a result, topological insulator surface states prefer specific surfaces. Therefore, experiments have to be designed carefully not to probe surfaces unfavorable to the surface states (low density of states) and thereby be insensitive to the TI-effects

  6. In-surface confinement of topological insulator nanowire surface states

    Energy Technology Data Exchange (ETDEWEB)

    Chen, Fan W., E-mail: fanchen@purdue.edu [Department of Physics and Astronomy, Purdue, West Lafayette, Indiana 47907 (United States); Network for Computational Nanotechnology, Purdue, West Lafayette, Indiana 47907 (United States); Jauregui, Luis A. [School of Electrical and Computer Engineering, Purdue University, West Lafayette, Indiana 47907 (United States); Birck Nanotechnology Center, Purdue University, West Lafayette, Indiana 47907 (United States); Tan, Yaohua [Network for Computational Nanotechnology, Purdue, West Lafayette, Indiana 47907 (United States); School of Electrical and Computer Engineering, Purdue University, West Lafayette, Indiana 47907 (United States); Manfra, Michael [Department of Physics and Astronomy, Purdue, West Lafayette, Indiana 47907 (United States); School of Electrical and Computer Engineering, Purdue University, West Lafayette, Indiana 47907 (United States); Birck Nanotechnology Center, Purdue University, West Lafayette, Indiana 47907 (United States); School of Materials Engineering, Purdue University, West Lafayette, Indiana 47907 (United States); Klimeck, Gerhard [Network for Computational Nanotechnology, Purdue, West Lafayette, Indiana 47907 (United States); School of Electrical and Computer Engineering, Purdue University, West Lafayette, Indiana 47907 (United States); Birck Nanotechnology Center, Purdue University, West Lafayette, Indiana 47907 (United States); Chen, Yong P. [Department of Physics and Astronomy, Purdue, West Lafayette, Indiana 47907 (United States); School of Electrical and Computer Engineering, Purdue University, West Lafayette, Indiana 47907 (United States); Birck Nanotechnology Center, Purdue University, West Lafayette, Indiana 47907 (United States); Kubis, Tillmann [Network for Computational Nanotechnology, Purdue, West Lafayette, Indiana 47907 (United States)

    2015-09-21

    The bandstructures of [110] and [001] Bi{sub 2}Te{sub 3} nanowires are solved with the atomistic 20 band tight binding functionality of NEMO5. The theoretical results reveal: The popular assumption that all topological insulator (TI) wire surfaces are equivalent is inappropriate. The Fermi velocity of chemically distinct wire surfaces differs significantly which creates an effective in-surface confinement potential. As a result, topological insulator surface states prefer specific surfaces. Therefore, experiments have to be designed carefully not to probe surfaces unfavorable to the surface states (low density of states) and thereby be insensitive to the TI-effects.

  7. In-surface confinement of topological insulator nanowire surface states

    Science.gov (United States)

    Chen, Fan W.; Jauregui, Luis A.; Tan, Yaohua; Manfra, Michael; Klimeck, Gerhard; Chen, Yong P.; Kubis, Tillmann

    2015-09-01

    The bandstructures of [110] and [001] Bi2Te3 nanowires are solved with the atomistic 20 band tight binding functionality of NEMO5. The theoretical results reveal: The popular assumption that all topological insulator (TI) wire surfaces are equivalent is inappropriate. The Fermi velocity of chemically distinct wire surfaces differs significantly which creates an effective in-surface confinement potential. As a result, topological insulator surface states prefer specific surfaces. Therefore, experiments have to be designed carefully not to probe surfaces unfavorable to the surface states (low density of states) and thereby be insensitive to the TI-effects.

  8. Arsenic Sulfide Nanowire Formation on Fused Quartz Surfaces

    Energy Technology Data Exchange (ETDEWEB)

    Olmstead, J.; Riley, B.J.; Johnson, B.R.; Sundaram, S.K.

    2005-01-01

    Arsenic sulfide (AsxSy) nanowires were synthesized by an evaporation-condensation process in evacuated fused quartz ampoules. During the deposition process, a thin, colored film of AsxSy was deposited along the upper, cooler portion of the ampoule. The ampoule was sectioned and the deposited film analyzed using scanning electron microscopy (SEM) to characterize and semi-quantitatively evaluate the microstructural features of the deposited film. A variety of microstructures were observed that ranged from a continuous thin film (warmer portion of the ampoule), to isolated micron- and nano-scale droplets (in the intermediate portion), as well as nanowires (colder portion of the ampoule). Experiments were conducted to evaluate the effects of ampoule cleaning methods (e.g. modify surface chemistry) and quantity of source material on nanowire formation. The evolution of these microstructures in the thin film was determined to be a function of initial pressure, substrate temperature, substrate surface treatment, and initial volume of As2S3 glass. In a set of two experiments where the initial pressure, substrate thermal gradient, and surface treatment were the same, the initial quantity of As2S3 glass per internal ampoule volume was doubled from one test to the other. The results showed that AsxSy nanowires were only formed in the test with the greater initial quantity of As2S3 per internal ampoule volume. The growth data for variation in diameter (e.g. nanowire or droplet) as a function of substrate temperature was fit to an exponential trendline with the form y = Aekx, where y is the structure diameter, A = 1.25×10-3, k = 3.96×10-2, and x is the temperature with correlation coefficient, R2 = 0.979, indicating a thermally-activated process.

  9. Uniformly sized gold nanoparticles derived from PS-b-P2VP block copolymer templates for the controllable synthesis of Si nanowires.

    Science.gov (United States)

    Lu, Jennifer Q; Yi, Sung Soo

    2006-04-25

    A monolayer of gold-containing surface micelles has been produced by spin-coating solution micelles formed by the self-assembly of the gold-modified polystyrene-b-poly(2-vinylpyridine) block copolymer in toluene. After oxygen plasma removed the block copolymer template, highly ordered and uniformly sized nanoparticles have been generated. Unlike other published methods that require reduction treatments to form gold nanoparticles in the zero-valent state, these as-synthesized nanoparticles are in form of metallic gold. These gold nanoparticles have been demonstrated to be an excellent catalyst system for growing small-diameter silicon nanowires. The uniformly sized gold nanoparticles have promoted the controllable synthesis of silicon nanowires with a narrow diameter distribution. Because of the ability to form a monolayer of surface micelles with a high degree of order, evenly distributed gold nanoparticles have been produced on a surface. As a result, uniformly distributed, high-density silicon nanowires have been generated. The process described herein is fully compatible with existing semiconductor processing techniques and can be readily integrated into device fabrication.

  10. Surface Passivation of GaN Nanowires for Enhanced Photoelectrochemical Water-Splitting

    KAUST Repository

    Varadhan, Purushothaman; Fu, Hui-chun; Priante, Davide; Duran Retamal, Jose Ramon; Zhao, Chao; Ebaid, Mohamed; Ng, Tien Khee; Ajia, Idris A.; Mitra, Somak; Roqan, Iman S.; Ooi, Boon S.; He, Jr-Hau

    2017-01-01

    Hydrogen production via photoelectrochemical water-splitting is a key source of clean and sustainable energy. The use of one-dimensional nanostructures as photoelectrodes is desirable for photoelectrochemical water-splitting applications due to the ultralarge surface areas, lateral carrier extraction schemes, and superior light-harvesting capabilities. However, the unavoidable surface states of nanostructured materials create additional charge carrier trapping centers and energy barriers at the semiconductor-electrolyte interface, which severely reduce the solar-to-hydrogen conversion efficiency. In this work, we address the issue of surface states in GaN nanowire photoelectrodes by employing a simple and low-cost surface treatment method, which utilizes an organic thiol compound (i.e., 1,2-ethanedithiol). The surface-treated photocathode showed an enhanced photocurrent density of −31 mA/cm at −0.2 V versus RHE with an incident photon-to-current conversion efficiency of 18.3%, whereas untreated nanowires yielded only 8.1% efficiency. Furthermore, the surface passivation provides enhanced photoelectrochemical stability as surface-treated nanowires retained ∼80% of their initial photocurrent value and produced 8000 μmol of gas molecules over 55 h at acidic conditions (pH ∼ 0), whereas the untreated nanowires demonstrated only <4 h of photoelectrochemical stability. These findings shed new light on the importance of surface passivation of nanostructured photoelectrodes for photoelectrochemical applications.

  11. Surface Passivation of GaN Nanowires for Enhanced Photoelectrochemical Water-Splitting

    KAUST Repository

    Varadhan, Purushothaman

    2017-02-08

    Hydrogen production via photoelectrochemical water-splitting is a key source of clean and sustainable energy. The use of one-dimensional nanostructures as photoelectrodes is desirable for photoelectrochemical water-splitting applications due to the ultralarge surface areas, lateral carrier extraction schemes, and superior light-harvesting capabilities. However, the unavoidable surface states of nanostructured materials create additional charge carrier trapping centers and energy barriers at the semiconductor-electrolyte interface, which severely reduce the solar-to-hydrogen conversion efficiency. In this work, we address the issue of surface states in GaN nanowire photoelectrodes by employing a simple and low-cost surface treatment method, which utilizes an organic thiol compound (i.e., 1,2-ethanedithiol). The surface-treated photocathode showed an enhanced photocurrent density of −31 mA/cm at −0.2 V versus RHE with an incident photon-to-current conversion efficiency of 18.3%, whereas untreated nanowires yielded only 8.1% efficiency. Furthermore, the surface passivation provides enhanced photoelectrochemical stability as surface-treated nanowires retained ∼80% of their initial photocurrent value and produced 8000 μmol of gas molecules over 55 h at acidic conditions (pH ∼ 0), whereas the untreated nanowires demonstrated only <4 h of photoelectrochemical stability. These findings shed new light on the importance of surface passivation of nanostructured photoelectrodes for photoelectrochemical applications.

  12. Detection of electrically neutral and nonpolar molecules in ionic solutions using silicon nanowires

    Science.gov (United States)

    Wu, Ying-Pin; Chu, Chia-Jung; Tsai, Li-Chu; Su, Ya-Wen; Chen, Pei-Hua; Moodley, Mathew K.; Huang, Ding; Chen, Yit-Tsong; Yang, Ying-Jay; Chen, Chii-Dong

    2017-04-01

    We report on a technique that can extend the use of nanowire sensors to the detection of interactions involving nonpolar and neutral molecules in an ionic solution environment. This technique makes use of the fact that molecular interactions result in a change in the permittivity of the molecules involved. For the interactions taking place at the surface of nanowires, this permittivity change can be determined from the analysis of the measured complex impedance of the nanowire. To demonstrate this technique, histidine was detected using different charge polarities controlled by the pH value of the solution. This included the detection of electrically neutral histidine at a sensitivity of 1 pM. Furthermore, it is shown that nonpolar molecules, such as hexane, can also be detected. The technique is applicable to the use of nanowires with and without a surface-insulating oxide. We show that information about the changes in amplitude and the phase of the complex impedance reveals the fundamental characteristics of the molecular interactions, including the molecular field and the permittivity.

  13. Formation of Ag nanowires on graphite stepped surfaces. A DFT study

    Science.gov (United States)

    Ambrusi, Rubén E.; García, Silvana G.; Pronsato, María E.

    2015-01-01

    We investigate the feasibility of obtaining silver nanowires on graphite stepped surfaces theoretically, using density functional theory calculations. Three layer slabs are used to model graphite surfaces with and without defects. Adsorption energies for Ag atoms on graphite surfaces were calculated showing the preference of Ag adatoms to locate on the steps, forming linear structures like nanowires. An analysis of the charge densities and projected densities of states for different structures is also performed.

  14. Polarity Control of Heteroepitaxial GaN Nanowires on Diamond.

    Science.gov (United States)

    Hetzl, Martin; Kraut, Max; Hoffmann, Theresa; Stutzmann, Martin

    2017-06-14

    Group III-nitride materials such as GaN nanowires are characterized by a spontaneous polarization within the crystal. The sign of the resulting sheet charge at the top and bottom facet of a GaN nanowire is determined by the orientation of the wurtzite bilayer of the different atomic species, called N and Ga polarity. We investigate the polarity distribution of heteroepitaxial GaN nanowires on different substrates and demonstrate polarity control of GaN nanowires on diamond. Kelvin Probe Force Microscopy is used to determine the polarity of individual selective area-grown and self-assembled nanowires over a large scale. At standard growth conditions, mixed polarity occurs for selective GaN nanowires on various substrates, namely on silicon, on sapphire and on diamond. To obtain control over the growth orientation on diamond, the substrate surface is modified by nitrogen and oxygen plasma exposure prior to growth, and the growth parameters are adjusted simultaneously. We find that the surface chemistry and the substrate temperature are the decisive factors for obtaining control of up to 93% for both polarity types, whereas the growth mode, namely selective area or self-assembled growth, does not influence the polarity distribution significantly. The experimental results are discussed by a model based on the interfacial bonds between the GaN nanowires, the termination layer, and the substrate.

  15. Energy transfer in nanowire solar cells with photon-harvesting shells

    KAUST Repository

    Peters, C. H.; Guichard, A. R.; Hryciw, A. C.; Brongersma, M. L.; McGehee, M. D.

    2009-01-01

    The concept of a nanowire solar cell with photon-harvesting shells is presented. In this architecture, organic molecules which absorb strongly in the near infrared where silicon absorbs weakly are coupled to silicon nanowires (SiNWs). This enables

  16. Optical absorption enhancement in silicon nanowire arrays with a large lattice constant for photovoltaic applications.

    Science.gov (United States)

    Lin, Chenxi; Povinelli, Michelle L

    2009-10-26

    In this paper, we use the transfer matrix method to calculate the optical absorptance of vertically-aligned silicon nanowire (SiNW) arrays. For fixed filling ratio, significant optical absorption enhancement occurs when the lattice constant is increased from 100 nm to 600 nm. The enhancement arises from an increase in field concentration within the nanowire as well as excitation of guided resonance modes. We quantify the absorption enhancement in terms of ultimate efficiency. Results show that an optimized SiNW array with lattice constant of 600 nm and wire diameter of 540 nm has a 72.4% higher ultimate efficiency than a Si thin film of equal thickness. The enhancement effect can be maintained over a large range of incidence angles.

  17. Enhancement in the photodetection of ZnO nanowires by introducing surface-roughness-induced traps

    International Nuclear Information System (INIS)

    Park, Woojin; Jo, Gunho; Hong, Woong-Ki; Yoon, Jongwon; Choe, Minhyeok; Ji, Yongsung; Kim, Geunjin; Kahng, Yung Ho; Lee, Kwanghee; Lee, Takhee; Lee, Sangchul; Wang, Deli

    2011-01-01

    We investigated the enhanced photoresponse of ZnO nanowire transistors that was introduced with surface-roughness-induced traps by a simple chemical treatment with isopropyl alcohol (IPA). The enhanced photoresponse of IPA-treated ZnO nanowire devices is attributed to an increase in adsorbed oxygen on IPA-induced surface traps. The results of this study revealed that IPA-treated ZnO nanowire devices displayed higher photocurrent gains and faster photoswitching speed than transistors containing unmodified ZnO nanowires. Thus, chemical treatment with IPA can be a useful method for improving the photoresponse of ZnO nanowire devices.

  18. Effects of chirality and surface stresses on the bending and buckling of chiral nanowires

    International Nuclear Information System (INIS)

    Wang, Jian-Shan; Shimada, Takahiro; Kitamura, Takayuki; Wang, Gang-Feng

    2014-01-01

    Due to their superior optical, elastic and electrical properties, chiral nanowires have many applications as sensors, probes, and building blocks of nanoelectromechanical systems. In this paper, we develop a refined Euler–Bernoulli beam model for chiral nanowires with surface effects and material chirality incorporated. This refined model is employed to investigate the bending and buckling of chiral nanowires. It is found that surface effects and material chirality significantly affect the elastic behaviour of chiral nanowires. This study is helpful not only for understanding the size-dependent behaviour of chiral nanowires, but also for characterizing their mechanical properties. (paper)

  19. Silicon based ultrafast optical waveform sampling

    DEFF Research Database (Denmark)

    Ji, Hua; Galili, Michael; Pu, Minhao

    2010-01-01

    A 300 nmx450 nmx5 mm silicon nanowire is designed and fabricated for a four wave mixing based non-linear optical gate. Based on this silicon nanowire, an ultra-fast optical sampling system is successfully demonstrated using a free-running fiber laser with a carbon nanotube-based mode-locker as th......A 300 nmx450 nmx5 mm silicon nanowire is designed and fabricated for a four wave mixing based non-linear optical gate. Based on this silicon nanowire, an ultra-fast optical sampling system is successfully demonstrated using a free-running fiber laser with a carbon nanotube-based mode......-locker as the sampling source. A clear eye-diagram of a 320 Gbit/s data signal is obtained. The temporal resolution of the sampling system is estimated to 360 fs....

  20. Facile method for preparing superoleophobic surfaces with hierarchical microcubic/nanowire structures

    Science.gov (United States)

    Kwak, Wonshik; Hwang, Woonbong

    2016-02-01

    To facilitate the fabrication of superoleophobic surfaces having hierarchical microcubic/nanowire structures (HMNS), even for low surface tension liquids including octane (surface tension = 21.1 mN m-1), and to understand the influences of surface structures on the oleophobicity, we developed a convenient method to achieve superoleophobic surfaces on aluminum substrates using chemical acid etching, anodization and fluorination treatment. The liquid repellency of the structured surface was validated through observable experimental results the contact and sliding angle measurements. The etching condition required to ensure high surface roughness was established, and an optimal anodizing condition was determined, as a critical parameter in building the superoleophobicity. The microcubic structures formed by acid etching are essential for achieving the formation of the hierarchical structure, and therefore, the nanowire structures formed by anodization lead to an enhancement of the superoleophobicity for low surface tension liquids. Under optimized morphology by microcubic/nanowire structures with fluorination treatment, the contact angle over 150° and the sliding angle less than 10° are achieved even for octane.

  1. Carapace surface architecture facilitates camouflage of the decorator crab Tiarinia cornigera.

    Science.gov (United States)

    Sanka, Immanuel; Suyono, Eko Agus; Rivero-Müller, Adolfo; Alam, Parvez

    2016-09-01

    This paper elucidates the unique setal morphology of the decorator crab Tiarinia cornigera, and further presents evidence to that setal morphology promotes micro-organism nucleation and adhesion. The carapace of this crab is covered by clusters of setae, each comprising a hollow acicular stem that is enveloped by a haystack-like structure. Using computational fluid dynamics, we find that these setae are responsible for manipulating water flow over the carapace surface. Micro-organisms in the sea water, nest in areas of flow stagnation and as a result, nucleate to and biofoul the setae by means of chemical adhesion. Attached micro-organisms secrete extracellular polymeric substances, which we deduce must also provide an additional element of chemical adhesion to mechanically interlocked mesoscopic and macroscopic biomatter. By coupling physical and chemical methods for adhesion, T. cornigera is able to hierarchically decorate its carapace. Our paper brings to light the unique decorator crab carapace morphology of T. cornigera; and furthermore evidences its function in micro-organism nucleation and adhesion. We show how this special carapace morphology directs and guides water flow to form nesting regions of water stagnation where micro-organisms can nucleate and adhere. In the literature, decorator crab carapaces are presumed to be able to mechanically interlock biomatter as camouflage using hook-like setal outgrowths. T. cornigera contrarily exhibits clusters of hay-stack like structures. By encouraging micro-organism adhesion to the carapace setae, T. cornigera is able to effectively attach biomatter using both chemical and physical principles of adhesion. T. cornigera essentially has a super-biofouling carapace surface, for at least micro-organisms. Our work will have an impact on researchers interested in biofouling, adhesion, biomedical and purification filter systems, and in the development of novel biomimetic surfaces with tailored properties. Copyright

  2. Hierarchical 3C-SiC nanowires as stable photocatalyst for organic dye degradation under visible light irradiation

    International Nuclear Information System (INIS)

    Zhang, Judong; Chen, Jianjun; Xin, Lipeng; Wang, Mingming

    2014-01-01

    Graphical abstract: The photocatalytic performance was enhanced by hierarchical nanostructural SiC nanowires due to the increased specific surface areas and efficient incident light scattering. The positive effect of SiO 2 layer growth on the surface of nanowires during the catalytic process on the high decolorization efficiency of SiC nanowires was attributed to SiO 2 surface oxygen vacancies. -- Highlights: • High decolorization rate of methylene blue using hierarchical 3C-SiC nanowires was obtained. • The effect of methylene blue with different concentration to catalytic result was investigated. • The photocatalytic reaction mechanism of degrading methylene blue was explained. • The SiO 2 layer generating on nanowire surface in the catalytic process was analyzed. -- Abstract: 3C-SiC nanowires with hierarchical structure were synthesized by sol–gel carbothermal reduction method. The photocatalytic property of SiC nanowires was investigated. 3C-SiC hierarchical nanowires exhibited an enhanced photocatalytic activity by accelerating the photocatalytic degradation of methylene blue solution under visible light irradiation. Methylene blue was degraded efficiently after 5 h irradiation over the photocatalyst. The photocatalytic activity was affected by the initial concentration of the methylene blue solution. Silicon dioxide layer was observed on the surface of nanowires after the catalytic process. The positive effect of SiO 2 surface oxygen vacancies and 3C-SiC hierarchical nanostructures on the high decolorization efficiency of SiC nanowires was discussed. The detailed photocatalytic redox processes were also explained

  3. Fabrication of porous silicon nanowires by MACE method in HF/H2O2/AgNO3 system at room temperature

    Science.gov (United States)

    2014-01-01

    In this paper, the moderately and lightly doped porous silicon nanowires (PSiNWs) were fabricated by the ‘one-pot procedure’ metal-assisted chemical etching (MACE) method in the HF/H2O2/AgNO3 system at room temperature. The effects of H2O2 concentration on the nanostructure of silicon nanowires (SiNWs) were investigated. The experimental results indicate that porous structure can be introduced by the addition of H2O2 and the pore structure could be controlled by adjusting the concentration of H2O2. The H2O2 species replaces Ag+ as the oxidant and the Ag nanoparticles work as catalyst during the etching. And the concentration of H2O2 influences the nucleation and motility of Ag particles, which leads to formation of different porous structure within the nanowires. A mechanism based on the lateral etching which is catalyzed by Ag particles under the motivation by H2O2 reduction is proposed to explain the PSiNWs formation. PMID:24910568

  4. Dimensional effects in semiconductor nanowires; Dimensionseffekte in Halbleiternanodraehten

    Energy Technology Data Exchange (ETDEWEB)

    Stichtenoth, Daniel

    2008-06-23

    Nanomaterials show new physical properties, which are determined by their size and morphology. These new properties can be ascribed to the higher surface to volume ratio, to quantum size effects or to a form anisotropy. They may enable new technologies. The nanowires studied in this work have a diameter of 4 to 400 nm and a length up to 100 {mu}m. The semiconductor material used is mainly zinc oxide (ZnO), zinc sulfide (ZnS) and gallium arsenide (GaAs). All nanowires were synthesized according to the vapor liquid solid mechanism, which was originally postulated for the growth of silicon whiskers. Respective modifications for the growth of compound semiconductor nanowires are discussed. Detailed luminescence studies on ZnO nanowires with different diameters show pronounced size effects which can be attributed to the origins given above. Similar to bulk material, a tuning of the material properties is often essential for a further functionalization of the nanowires. This is typical realized by doping the source material. It becomes apparent, that a controlled doping of nanowires during the growth process is not successful. Here an alternative method is chosen: the doping after the growth by ion implantation. However, the doping by ion implantation goes always along with the creation of crystal defects. The defects have to be annihilated in order to reach an activation of th introduced dopants. At high ion fluences and ion masses the sputtering of surface atoms becomes more important. This results in a characteristic change in the morphology of the nanowires. In detail, the doping of ZnO and ZnS nanowires with color centers (manganese and rare earth elements) is demonstrated. Especially, the intra 3d luminescence of manganese implanted ZnS nanostructures shows a strong dependence of the nanowire diameter and morphology. This dependence can be described by expanding Foersters model (which describes an energy transfer to the color centers) by a dimensional parameter

  5. Effect of substrate temperature on the microstructural properties of titanium nitride nanowires grown by pulsed laser deposition

    International Nuclear Information System (INIS)

    Gbordzoe, S.; Kotoka, R.; Craven, Eric; Kumar, D.; Wu, F.; Narayan, J.

    2014-01-01

    The current work reports on the growth and microstructural characterization of titanium nitride (TiN) nanowires on single crystal silicon substrates using a pulsed laser deposition method. The physical and microstructural properties of the nanowires were characterized using field emission scanning electron microscopy (FESEM) and transmission electron microscopy (TEM). The corrosion properties of the TiN nanowires compared to TiN thin film were evaluated using Direct Current potentiodynamic and electrochemical impedance spectroscopy. The nanowires corroded faster than the TiN thin film, because the nanowires have a larger surface area which makes them more reactive in a corrosive environment. It was observed from the FESEM image analyses that as the substrate temperature increases from 600 °C to 800 °C, there was an increase in both diameter (25 nm–50 nm) and length (150 nm–250 nm) of the nanowire growth. There was also an increase in spatial density with an increase of substrate temperature. The TEM results showed that the TiN nanowires grow epitaxially with the silicon substrate via domain matching epitaxy paradigm, despite a large misfit

  6. Microcantilever equipped with nanowire template electrodes for multiprobe measurement on fragile nanostructures

    DEFF Research Database (Denmark)

    Lin, Rong; Bøggild, Peter; Hansen, Ole

    2004-01-01

    cantilevers. By subsequently covering these nanowires with a metallic coating, they are made conducting and at the same time fixed to the cantilevers. These silicon nanowire four-point probes were tested on 7 and 35 nm thick Au films as well as poorly adhering 16 nm thin Au nanowires deposited on a silicon...

  7. Tunneling magnetoresistance in Si nanowires

    KAUST Repository

    Montes Muñoz, Enrique

    2016-11-09

    We investigate the tunneling magnetoresistance of small diameter semiconducting Si nanowires attached to ferromagnetic Fe electrodes, using first principles density functional theory combined with the non-equilibrium Green\\'s functions method for quantum transport. Silicon nanowires represent an interesting platform for spin devices. They are compatible with mature silicon technology and their intrinsic electronic properties can be controlled by modifying the diameter and length. Here we systematically study the spin transport properties for neutral nanowires and both n and p doping conditions. We find a substantial low bias magnetoresistance for the neutral case, which halves for an applied voltage of about 0.35 V and persists up to 1 V. Doping in general decreases the magnetoresistance, as soon as the conductance is no longer dominated by tunneling.

  8. Discrete impurity band from surface danging bonds in nitrogen and phosphorus doped SiC nanowires

    Science.gov (United States)

    Li, Yan-Jing; Li, Shu-Long; Gong, Pei; Li, Ya-Lin; Cao, Mao-Sheng; Fang, Xiao-Yong

    2018-04-01

    The electronic structure and optical properties of the nitrogen and phosphorus doped silicon carbide nanowires (SiCNWs) are investigated using first-principle calculations based on density functional theory. The results show doping can change the type of the band gap and improve the conductivity. However, the doped SiCNWs form a discrete impurity levels at the Fermi energy, and the dispersion degree decreases with the diameter increasing. In order to reveal the root of this phenomenon, we hydrogenated the doped SiCNWs, found that the surface dangling bonds were saturated, and the discrete impurity levels are degeneracy, which indicates that the discrete impurity band of the doped SiCNWs is derived from the dangling bonds. The surface passivation can degenerate the impurity levels. Therefore, both doping and surface passivation can better improve the photoelectric properties of the SiCNWs. The result can provide additional candidates in producing nano-optoelectronic devices.

  9. Tunneling magnetoresistance in Si nanowires

    KAUST Repository

    Montes Muñ oz, Enrique; Rungger, I.; Sanvito, S.; Schwingenschlö gl, Udo

    2016-01-01

    for quantum transport. Silicon nanowires represent an interesting platform for spin devices. They are compatible with mature silicon technology and their intrinsic electronic properties can be controlled by modifying the diameter and length. Here we

  10. Surface study of platinum decorated graphene towards adsorption of NH{sub 3} and CH{sub 4}

    Energy Technology Data Exchange (ETDEWEB)

    Rad, Ali Shokuhi, E-mail: a.shokuhi@gmail.com [Department of Chemical Engineering, Qaemshahr Branch, Islamic Azad University, Qaemshahr (Iran, Islamic Republic of); Pazoki, Hossein; Mohseni, Soheil [Department of Chemical Engineering, Qaemshahr Branch, Islamic Azad University, Qaemshahr (Iran, Islamic Republic of); Zareyee, Daryoush [Department of Chemistry, Qaemshahr Branch, Islamic Azad University, Qaemshahr (Iran, Islamic Republic of); Peyravi, Majid [Faculty of Chemical Engineering, Babol University of Technology, Babol (Iran, Islamic Republic of)

    2016-10-01

    To distinguish the potential of graphene sensors, there is a need to recognize the interaction between graphene sheet and adsorbing molecules. We used density functional theory (DFT) calculations to study the properties of pristine as well as Pt-decorated graphene sheet upon adsorption of NH{sub 3} and CH{sub 4} on its surface to exploit its potential to be as gas sensors for them. We found much higher adsorption, higher charge transfer, lower intermolecular distance, and higher orbital hybridizing upon adsorption of NH{sub 3} and CH{sub 4} gas molecules on Pt-decorated graphene compared to pristine graphene. Also our calculations reveal that the adsorption energies on Pt-decorated graphene sheet are in order of NH{sub 3} >CH{sub 4} which could be corresponded to the order of their sensitivity on this modified surface. We used orbital analysis including density of states as well as frontier molecular orbital study for all analyte-surface systems to more understanding the kind of interaction (physisorption or chemisorption). Consequently, the Pt-decorated graphene can transform the existence of NH{sub 3} and CH{sub 4} molecules into electrical signal and it may be potentially used as an ideal sensor for detection of NH{sub 3} and CH{sub 4} in ambient situation. - Highlights: • Pt-decorated graphene was investigated as an adsorbent for NH{sub 3} and CH{sub 4}. • Much higher adsorption of NH{sub 3} and CH{sub 4} on Pt-decorated graphene than pristine graphene. • Higher adsorption of NH{sub 3} compared to CH{sub 4} on Pt-decorated graphene. • Pt influences the electronic structure of graphene.

  11. Extended vapor-liquid-solid growth of silicon carbide nanowires.

    Science.gov (United States)

    Rajesh, John Anthuvan; Pandurangan, Arumugam

    2014-04-01

    We developed an alloy catalytic method to explain extended vapor-liquid-solid (VLS) growth of silicon carbide nanowires (SiC NWs) by a simple thermal evaporation of silicon and activated carbon mixture using lanthanum nickel (LaNi5) alloy as catalyst in a chemical vapor deposition process. The LaNi5 alloy binary phase diagram and the phase relationships in the La-Ni-Si ternary system were play a key role to determine the growth parameters in this VLS mechanism. Different reaction temperatures (1300, 1350 and 1400 degrees C) were applied to prove the established growth process by experimentally. Scanning electron microscopy and transmission electron microscopy studies show that the crystalline quality of the SiC NWs increases with the temperature at which they have been synthesized. La-Ni alloyed catalyst particles observed on the top of the SiC NWs confirms that the growth process follows this extended VLS mechanism. The X-ray diffraction and confocal Raman spectroscopy analyses demonstrate that the crystalline structure of the SiC NWs was zinc blende 3C-SiC. Optical property of the SiC NWs was investigated by photoluminescence technique at room temperature. Such a new alloy catalytic method may be extended to synthesis other one-dimensional nanostructures.

  12. Shear-driven phase transformation in silicon nanowires.

    Science.gov (United States)

    Vincent, L; Djomani, D; Fakfakh, M; Renard, C; Belier, B; Bouchier, D; Patriarche, G

    2018-03-23

    We report on an unprecedented formation of allotrope heterostructured Si nanowires by plastic deformation based on applied radial compressive stresses inside a surrounding matrix. Si nanowires with a standard diamond structure (3C) undergo a phase transformation toward the hexagonal 2H-allotrope. The transformation is thermally activated above 500 °C and is clearly driven by a shear-stress relief occurring in parallel shear bands lying on {115} planes. We have studied the influence of temperature and axial orientation of nanowires. The observations are consistent with a martensitic phase transformation, but the finding leads to clear evidence of a different mechanism of deformation-induced phase transformation in Si nanowires with respect to their bulk counterpart. Our process provides a route to study shear-driven phase transformation at the nanoscale in Si.

  13. Large-Scale Fabrication of Silicon Nanowires for Solar Energy Applications.

    Science.gov (United States)

    Zhang, Bingchang; Jie, Jiansheng; Zhang, Xiujuan; Ou, Xuemei; Zhang, Xiaohong

    2017-10-11

    The development of silicon (Si) materials during past decades has boosted up the prosperity of the modern semiconductor industry. In comparison with the bulk-Si materials, Si nanowires (SiNWs) possess superior structural, optical, and electrical properties and have attracted increasing attention in solar energy applications. To achieve the practical applications of SiNWs, both large-scale synthesis of SiNWs at low cost and rational design of energy conversion devices with high efficiency are the prerequisite. This review focuses on the recent progresses in large-scale production of SiNWs, as well as the construction of high-efficiency SiNW-based solar energy conversion devices, including photovoltaic devices and photo-electrochemical cells. Finally, the outlook and challenges in this emerging field are presented.

  14. A simulation of laser energy absorption by nanowired surface

    Energy Technology Data Exchange (ETDEWEB)

    Vasconcelos, Miguel F.S.; Ramos, Alexandre F., E-mail: miguel.vasconcelos@usp.br, E-mail: alex.ramos@usp.br [Universidade de São Paulo (USP), SP (Brazil). Escola de Artes, Ciências e Humanidades

    2017-07-01

    Despite recent advances on research about laser inertial fusion energy, to increase the portion of energy absorbed by the target's surface from lasers remains as an important challenge. The plasma formed during the initial instants of laser arrival shields the target and prevents the absorption of laser energy by the deeper layers of the material. One strategy to circumvent that effect is the construction of targets whose surfaces are populated with nanowires. The nanowired surfaces have increased absorption of laser energy and constitutes a promising pathway for enhancing laser-matter coupling. In our work we present the results of simulations aiming to investigate how target's geometrical properties might contribute for maximizing laser energy absorption by material. Simulations have been carried out using the software FLASH, a multi-physics platform developed by researchers from the University of Chicago, written in FORTRAN 90 and Python. Different tools for generating target's geometry and analysis of results were developed using Python. Our results show that a nanowired surfaces has an increased energy absorption when compared with non wired surface. The software for visualization developed in this work also allowed an analysis of the spatial dynamics of the target's temperature, electron density, ionization levels and temperature of the radiation emitted by it. (author)

  15. A simulation of laser energy absorption by nanowired surface

    International Nuclear Information System (INIS)

    Vasconcelos, Miguel F.S.; Ramos, Alexandre F.

    2017-01-01

    Despite recent advances on research about laser inertial fusion energy, to increase the portion of energy absorbed by the target's surface from lasers remains as an important challenge. The plasma formed during the initial instants of laser arrival shields the target and prevents the absorption of laser energy by the deeper layers of the material. One strategy to circumvent that effect is the construction of targets whose surfaces are populated with nanowires. The nanowired surfaces have increased absorption of laser energy and constitutes a promising pathway for enhancing laser-matter coupling. In our work we present the results of simulations aiming to investigate how target's geometrical properties might contribute for maximizing laser energy absorption by material. Simulations have been carried out using the software FLASH, a multi-physics platform developed by researchers from the University of Chicago, written in FORTRAN 90 and Python. Different tools for generating target's geometry and analysis of results were developed using Python. Our results show that a nanowired surfaces has an increased energy absorption when compared with non wired surface. The software for visualization developed in this work also allowed an analysis of the spatial dynamics of the target's temperature, electron density, ionization levels and temperature of the radiation emitted by it. (author)

  16. Mechanical transfer of ZnO nanowires for a flexible and conformal piezotronic strain sensor

    Science.gov (United States)

    Jenkins, Kory; Yang, Rusen

    2017-07-01

    We demonstrate a truly conformal and flexible piezotronic strain sensor using zinc oxide (ZnO) nanowires. Well-aligned, vertical ZnO nanowires are grown by chemical vapor deposition on a silicon wafer with a hydrothermally grown ZnO seed layer. The nanowires are infiltrated with polydimethylsiloxane and mechanically transferred from the silicon substrate. Plasma etching exposes the top surface of the nanowires before deposition of a gold (Au) top electrode. The bottom electrode is formed by silver paint which also adheres the sensor to the measured structure. To demonstrate the sensor’s ability to conform to complex surfaces, a stepped shaft with a shoulder fillet is used. The sensor is attached to the shoulder fillet of the stepped shaft, conforming to both the circumference of the shaft, and the radius of the fillet. A periodic bending displacement is applied to the end of the shaft. The strain induces a piezoelectric potential in the ZnO nanowires which controls the barrier height and conductivity at the gold/ZnO interface, by what is known as the piezotronic effect. The conductivity change is measured for periodically applied strains. The nonlinear current-voltage (I-V) response of the device is due to the Schottky contact between the ZnO nanowires and gold electrode. The geometry of the stepped shaft corresponds to a known stress concentration factor, and the strain experienced by the shaft is estimated with a COMSOL FEA study. The conformal nature of the strain sensor makes it suitable for structural monitoring applications involving complex geometries and stress concentrators.

  17. Small signal modulation characteristics of red-emitting (λ = 610 nm) III-nitride nanowire array lasers on (001) silicon

    KAUST Repository

    Jahangir, Shafat; Frost, Thomas; Hazari, Arnab; Yan, Lifan; Stark, Ethan; LaMountain, Trevor; Millunchick, Joanna M.; Ooi, Boon S.; Bhattacharya, Pallab

    2015-01-01

    The small signal modulation characteristics of an InGaN/GaN nanowire array edge- emitting laser on (001) silicon are reported. The emission wavelength is 610 nm. Lattice matched InAlN cladding layers were incorporated in the laser heterostructure for better mode confinement. The suitability of the nanowire lasers for use in plastic fiber communication systems with direct modulation is demonstrated through their modulation bandwidth of f-3dB,max = 3.1 GHz, very low values of chirp (0.8 Å) and α-parameter, and large differential gain (3.1 × 10-17 cm2).

  18. Small signal modulation characteristics of red-emitting (λ = 610 nm) III-nitride nanowire array lasers on (001) silicon

    KAUST Repository

    Jahangir, Shafat

    2015-02-16

    The small signal modulation characteristics of an InGaN/GaN nanowire array edge- emitting laser on (001) silicon are reported. The emission wavelength is 610 nm. Lattice matched InAlN cladding layers were incorporated in the laser heterostructure for better mode confinement. The suitability of the nanowire lasers for use in plastic fiber communication systems with direct modulation is demonstrated through their modulation bandwidth of f-3dB,max = 3.1 GHz, very low values of chirp (0.8 Å) and α-parameter, and large differential gain (3.1 × 10-17 cm2).

  19. Geometric effects on surface states in topological insulator Bi2Te3 nanowire

    Science.gov (United States)

    Sengupta, Parijat; Kubis, Tillman; Povolotskyi, Michael; Klimeck, Gerhard

    2012-02-01

    Bismuth Telluride (BT) is a 3D topological insulator (TI) with surface states that have energy dispersion linear in momentum and forms a Dirac cone at low energy. In this work we investigate the surface properties of a BT nanowire and demonstrate the existence of TI states. We also show how such states vanish under certain geometric conditions. An atomistic model (sp3d5s* TB) is used to compute the energy dispersion in a BT nanowire. Penetration depth of the surface states is estimated by ratio of Fermi velocity and band-gap. BT possesses a tiny band-gap, which creates small localization of surface states and greater penetration in to the bulk. To offset this large spatial penetration, which is undesirable to avoid a direct coupling between surfaces, we expect that bigger cross-sections of BT nanowires would be needed to obtain stable TI states. Our numerical work validates this prediction. Furthermore, geometry of the nanowire is shown to influence the TI states. Using a combined analytical and numerical approach our results reveal that surface roughness impact electronic structure leading to Rashba type splits along z-direction. Cylindrical and square cross-sections are given as illustrative examples.

  20. Generation of Reactive Oxygen Species from Silicon Nanowires

    Directory of Open Access Journals (Sweden)

    Stephen S. Leonard

    2014-01-01

    Full Text Available Processing and synthesis of purified nanomaterials of diverse composition, size, and properties is an evolving process. Studies have demonstrated that some nanomaterials have potential toxic effects and have led to toxicity research focusing on nanotoxicology. About two million workers will be employed in the field of nanotechnology over the next 10 years. The unknown effects of nanomaterials create a need for research and development of techniques to identify possible toxicity. Through a cooperative effort between National Institute for Occupational Safety and Health and IBM to address possible occupational exposures, silicon-based nanowires (SiNWs were obtained for our study. These SiNWs are anisotropic filamentary crystals of silicon, synthesized by the vapor-liquid-solid method and used in bio-sensors, gas sensors, and field effect transistors. Reactive oxygen species (ROS can be generated when organisms are exposed to a material causing cellular responses, such as lipid peroxidation, H 2 O 2 production, and DNA damage. SiNWs were assessed using three different in vitro environments (H 2 O 2 , RAW 264.7 cells, and rat alveolar macrophages for ROS generation and possible toxicity identification. We used electron spin resonance, analysis of lipid peroxidation, measurement of H 2 O 2 production, and the comet assay to assess generation of ROS from SiNW and define possible mechanisms. Our results demonstrate that SiNWs do not appear to be significant generators of free radicals.

  1. Focused ion beam patterning to dielectrophoretically assemble single nanowire based devices

    International Nuclear Information System (INIS)

    La Ferrara, V; Massera, E; Francia, G Di; Alfano, B

    2010-01-01

    Direct-write processing is increasingly taking place in nanodevice fabrication. In this work, Focused Ion Beam (FIB), a powerful tool in maskless micromachining, is used for electrode patterning onto a silicon/silicon nitride substrate. Then a single palladium nanowire is assembled between electrodes by means of dielectrophoresis (DEP). The nanowire morphology depends on the electrode pattern when DEP conditions are fixed. FIB/DEP combination overcomes the problem of nanowire electrical contamination due to gallium ion bombardment and the as-grown nanowire retains its basic electrical properties. Single nanowire based devices have been fabricated with this novel approach and have been tested as hydrogen sensors, confirming the reliability of this technology.

  2. In Situ X-ray Diffraction Studies of (De)lithiation Mechanism in Silicon Nanowire Anodes

    KAUST Repository

    Misra, Sumohan

    2012-06-26

    Figure Persented: Silicon is a promising anode material for Li-ion batteries due to its high theoretical specific capacity. From previous work, silicon nanowires (SiNWs) are known to undergo amorphorization during lithiation, and no crystalline Li-Si product has been observed. In this work, we use an X-ray transparent battery cell to perform in situ synchrotron X-ray diffraction on SiNWs in real time during electrochemical cycling. At deep lithiation voltages the known metastable Li 15Si 4 phase forms, and we show that avoiding the formation of this phase, by modifying the SiNW growth temperature, improves the cycling performance of SiNW anodes. Our results provide insight on the (de)lithiation mechanism and a correlation between phase evolution and electrochemical performance for SiNW anodes. © 2012 American Chemical Society.

  3. Decoupling single nanowire mobilities limited by surface scattering and bulk impurity scattering

    International Nuclear Information System (INIS)

    Khanal, D. R.; Levander, A. X.; Wu, J.; Yu, K. M.; Liliental-Weber, Z.; Walukiewicz, W.; Grandal, J.; Sanchez-Garcia, M. A.; Calleja, E.

    2011-01-01

    We demonstrate the isolation of two free carrier scattering mechanisms as a function of radial band bending in InN nanowires via universal mobility analysis, where effective carrier mobility is measured as a function of effective electric field in a nanowire field-effect transistor. Our results show that Coulomb scattering limits effective mobility at most effective fields, while surface roughness scattering only limits mobility under very high internal electric fields. High-energy α particle irradiation is used to vary the ionized donor concentration, and the observed decrease in mobility and increase in donor concentration are compared to Hall effect results of high-quality InN thin films. Our results show that for nanowires with relatively high doping and large diameters, controlling Coulomb scattering from ionized dopants should be given precedence over surface engineering when seeking to maximize nanowire mobility.

  4. Anisotropic surface strain in single crystalline cobalt nanowires and its impact on the diameter-dependent Young's modulus

    KAUST Repository

    Huang, Xiaohu

    2013-01-01

    Understanding and measuring the size-dependent surface strain of nanowires are essential to their applications in various emerging devices. Here, we report on the diameter-dependent surface strain and Young\\'s modulus of single-crystalline Co nanowires investigated by in situ X-ray diffraction measurements. Diameter-dependent initial longitudinal elongation of the nanowires is observed and ascribed to the anisotropic surface stress due to the Poisson effect, which serves as the basis for mechanical measurements. As the nanowire diameter decreases, a transition from the "smaller is softer" regime to the "smaller is tougher" regime is observed in the Young\\'s modulus of the nanowires, which is attributed to the competition between the elongation softening and the surface stiffening effects. Our work demonstrates a new nondestructive method capable of measuring the initial surface strain and estimating the Young\\'s modulus of single crystalline nanowires, and provides new insights on the size effect. © 2013 The Royal Society of Chemistry.

  5. Controlling the plasmonic surface waves of metallic nanowires by transformation optics

    Energy Technology Data Exchange (ETDEWEB)

    Liu, Yichao; Yuan, Jun; Yin, Ge; Ma, Yungui, E-mail: yungui@zju.edu.cn [State Key Laboratory of Modern Optical Instrumentation, Centre for Optical and Electromagnetic Research, College of Optical Science and Engineering, Zhejiang University, Hangzhou 310058 (China); He, Sailing [State Key Laboratory of Modern Optical Instrumentation, Centre for Optical and Electromagnetic Research, College of Optical Science and Engineering, Zhejiang University, Hangzhou 310058 (China); Department of Electromagnetic Engineering, School of Electrical Engineering, Royal Institute of Technology, S-100 44 Stockholm (Sweden)

    2015-07-06

    In this letter, we introduce the technique of using transformation optics to manipulate the mode states of surface plasmonic waves of metallic nanowire waveguides. As examples we apply this technique to design two optical components: a three-dimensional (3D) electromagnetic mode rotator and a mode convertor. The rotator can rotate the polarization state of the surface wave around plasmonic nanowires by arbitrarily desired angles, and the convertor can transform the surface wave modes from one to another. Full-wave simulation is performed to verify the design and efficiency of our devices. Their potential application in photonic circuits is envisioned.

  6. The influence of surfaces on the transient terahertz conductivity and electron mobility of GaAs nanowires

    International Nuclear Information System (INIS)

    Joyce, Hannah J; Baig, Sarwat A; Parkinson, Patrick; Davies, Christopher L; Boland, Jessica L; Herz, Laura M; Johnston, Michael B; Tan, H Hoe; Jagadish, Chennupati

    2017-01-01

    Bare unpassivated GaAs nanowires feature relatively high electron mobilities (400–2100 cm 2 V −1 s −1 ) and ultrashort charge carrier lifetimes (1–5 ps) at room temperature. These two properties are highly desirable for high speed optoelectronic devices, including photoreceivers, modulators and switches operating at microwave and terahertz frequencies. When engineering these GaAs nanowire-based devices, it is important to have a quantitative understanding of how the charge carrier mobility and lifetime can be tuned. Here we use optical-pump–terahertz-probe spectroscopy to quantify how mobility and lifetime depend on the nanowire surfaces and on carrier density in unpassivated GaAs nanowires. We also present two alternative frameworks for the analysis of nanowire photoconductivity: one based on plasmon resonance and the other based on Maxwell–Garnett effective medium theory with the nanowires modelled as prolate ellipsoids. We find the electron mobility decreases significantly with decreasing nanowire diameter, as charge carriers experience increased scattering at nanowire surfaces. Reducing the diameter from 50 nm to 30 nm degrades the electron mobility by up to 47%. Photoconductivity dynamics were dominated by trapping at saturable states existing at the nanowire surface, and the trapping rate was highest for the nanowires of narrowest diameter. The maximum surface recombination velocity, which occurs in the limit of all traps being empty, was calculated as 1.3  ×  10 6 cm s −1 . We note that when selecting the optimum nanowire diameter for an ultrafast device, there is a trade-off between achieving a short lifetime and a high carrier mobility. To achieve high speed GaAs nanowire devices featuring the highest charge carrier mobilities and shortest lifetimes, we recommend operating the devices at low charge carrier densities. (paper)

  7. Ultra-sensitive and selective detection of mercury ion (Hg2+) using free-standing silicon nanowire sensors

    Science.gov (United States)

    Jin, Yan; Gao, Anran; Jin, Qinghui; Li, Tie; Wang, Yuelin; Zhao, Jianlong

    2018-04-01

    In this paper, ultra-sensitive and highly selective Hg2+ detection in aqueous solutions was studied by free-standing silicon nanowire (SiNW) sensors. The all-around surface of SiNW arrays was functionalized with (3-Mercaptopropyl)trimethoxysilane serving as Hg2+ sensitive layer. Due to effective electrostatic control provided by the free-standing structure, a detection limit as low as 1 ppt was obtained. A linear relationship (R 2 = 0.9838) between log(CHg2+ ) and a device current change from 1 ppt to 5 ppm was observed. Furthermore, the developed SiNW sensor exhibited great selectivity for Hg2+ over other heavy metal ions, including Cd2+. Given the extraordinary ability for real-time Hg2+ detection, the small size and low cost of the SiNW device, it is expected to be a potential candidate in field detection of environmentally toxic mercury.

  8. Recovery of hexagonal Si-IV nanowires from extreme GPa pressure

    Energy Technology Data Exchange (ETDEWEB)

    Smith, Bennett E. [Department of Chemistry, University of Washington, Seattle, Washington 98195 (United States); Zhou, Xuezhe; Roder, Paden B. [Department of Materials Science and Engineering, University of Washington, Seattle, Washington 98195 (United States); Abramson, Evan H. [Department of Earth and Space Sciences, University of Washington, Seattle, Washington 98195 (United States); Pauzauskie, Peter J., E-mail: peterpz@uw.edu [Department of Materials Science and Engineering, University of Washington, Seattle, Washington 98195 (United States); Fundamental and Computational Sciences Directorate, Pacific Northwest National Laboratory, Richland, Washington 99352 (United States)

    2016-05-14

    We use Raman spectroscopy in tandem with transmission electron microscopy and density functional theory simulations to show that extreme (GPa) pressure converts the phase of silicon nanowires from cubic (Si-I) to hexagonal (Si-IV) while preserving the nanowire's cylindrical morphology. In situ Raman scattering of the longitudinal transverse optical (LTO) mode demonstrates the high-pressure Si-I to Si-II phase transition near 9 GPa. Raman signal of the LTO phonon shows a decrease in intensity in the range of 9–14 GPa. Then, at 17 GPa, it is no longer detectable, indicating a second phase change (Si-II to Si-V) in the 14–17 GPa range. Recovery of exotic phases in individual silicon nanowires from diamond anvil cell experiments reaching 17 GPa is also shown. Raman measurements indicate Si-IV as the dominant phase in pressurized nanowires after decompression. Transmission electron microscopy and electron diffraction confirm crystalline Si-IV domains in individual nanowires. Computational electromagnetic simulations suggest that heating from the Raman laser probe is negligible and that near-hydrostatic pressure is the primary driving force for the formation of hexagonal silicon nanowires.

  9. Origin of photoluminescence from silicon nanowires prepared by metal induced etching (MIE)

    International Nuclear Information System (INIS)

    Saxena, Shailendra K.; Rai, Hari. M.; Late, Ravikiran; Sagdeo, Pankaj R.; Kumar, Rajesh

    2015-01-01

    In this present study the origin of luminescence from silicon nanowires (SiNws) has been studied. SiNWs are fabricated on Si substrate by metal induced chemical etching (MIE). Here it is found that the band gap of SiNWs is higher than the gap of luminescent states in SiNWs which leads to the effect of Si=O bond. The band gap is estimated from diffuse reflectance analysis. Here we observe that band gap can be tailored depending on size (quantum confinement) but photoluminescence (PL) from all the sample is found to be fixed at 1.91 eV. This study is important for the understanding of origin of photoluminescence

  10. Effect of diffusion from a lateral surface on the rate of GaN nanowire growth

    International Nuclear Information System (INIS)

    Sibirev, N. V.; Tchernycheva, M.; Cirlin, G. E.; Patriarche, G.; Harmand, J. C.; Dubrovskii, V. G.

    2012-01-01

    The kinetics of the growth of GaN crystalline nanowires on a Si (111) surface with no catalyst is studied experimentally and theoretically. Noncatalytic GaN nanowires were grown by molecular-beam epitaxy with AlN inserts, which makes it possible to determine the rate of the vertical growth of nanowires. A model for the formation of GaN nanowires is developed, and an expression for their rate of growth is derived. It is shown that, in the general case, the dependence of the rate of growth on the nanowire diameter has a minimum. The diameter corresponding to the experimentally observed minimum of the rate of growth steadily increases with increasing diffusion flux from the lateral surface.

  11. Selective-area growth of GaN nanowires on SiO{sub 2}-masked Si (111) substrates by molecular beam epitaxy

    Energy Technology Data Exchange (ETDEWEB)

    Kruse, J. E.; Doundoulakis, G. [Department of Physics, University of Crete, P. O. Box 2208, 71003 Heraklion (Greece); Institute of Electronic Structure and Laser, Foundation for Research and Technology–Hellas, N. Plastira 100, 70013 Heraklion (Greece); Lymperakis, L. [Max-Planck-Institut für Eisenforschung, Max-Planck-Straße 1, 40237 Düsseldorf (Germany); Eftychis, S.; Georgakilas, A., E-mail: alexandr@physics.uoc.gr [Department of Physics, University of Crete, P. O. Box 2208, 71003 Heraklion (Greece); Adikimenakis, A.; Tsagaraki, K.; Androulidaki, M.; Konstantinidis, G. [Institute of Electronic Structure and Laser, Foundation for Research and Technology–Hellas, N. Plastira 100, 70013 Heraklion (Greece); Olziersky, A.; Dimitrakis, P.; Ioannou-Sougleridis, V.; Normand, P. [Institute of Nanoscience and Nanotechnology, NCSR Demokritos, Patriarchou Grigoriou and Neapoleos 27, 15310 Aghia Paraskevi, Athens (Greece); Koukoula, T.; Kehagias, Th.; Komninou, Ph. [Department of Physics, Aristotle University of Thessaloniki, 54124 Thessaloniki (Greece)

    2016-06-14

    We analyze a method to selectively grow straight, vertical gallium nitride nanowires by plasma-assisted molecular beam epitaxy (MBE) at sites specified by a silicon oxide mask, which is thermally grown on silicon (111) substrates and patterned by electron-beam lithography and reactive-ion etching. The investigated method requires only one single molecular beam epitaxy MBE growth process, i.e., the SiO{sub 2} mask is formed on silicon instead of on a previously grown GaN or AlN buffer layer. We present a systematic and analytical study involving various mask patterns, characterization by scanning electron microscopy, transmission electron microscopy, and photoluminescence spectroscopy, as well as numerical simulations, to evaluate how the dimensions (window diameter and spacing) of the mask affect the distribution of the nanowires, their morphology, and alignment, as well as their photonic properties. Capabilities and limitations for this method of selective-area growth of nanowires have been identified. A window diameter less than 50 nm and a window spacing larger than 500 nm can provide single nanowire nucleation in nearly all mask windows. The results are consistent with a Ga diffusion length on the silicon dioxide surface in the order of approximately 1 μm.

  12. Density functional theory study of elemental mercury adsorption on boron doped graphene surface decorated by transition metals

    Energy Technology Data Exchange (ETDEWEB)

    Jungsuttiwong, Siriporn, E-mail: siriporn.j@ubu.ac.th [Department of Chemistry and Center of Excellence for Innovation in Chemistry, Faculty of Science, Ubon Ratchathani University, Ubon Ratchathani 34190 (Thailand); Wongnongwa, Yutthana [Department of Chemistry and Center of Excellence for Innovation in Chemistry, Faculty of Science, Ubon Ratchathani University, Ubon Ratchathani 34190 (Thailand); Namuangruk, Supawadee [National Nanotechnology Center (NANOTEC), National Science and Technology Development Agency (NSTDA), Klong Luang, Pathum Thani 12120 (Thailand); Kungwan, Nawee [Department of Chemistry, Faculty of Science, Chiang Mai University, Chiang Mai 50200 (Thailand); Promarak, Vinich [Department of Material Science and Engineering, School of Molecular Science and Engineering, Vidyasirimedhi Institute of Science and Technology, Rayong 21210 (Thailand); Kunaseth, Manaschai, E-mail: manaschai@nanotec.or.th [National Nanotechnology Center (NANOTEC), National Science and Technology Development Agency (NSTDA), Klong Luang, Pathum Thani 12120 (Thailand)

    2016-01-30

    Graphical abstract: Decoration of Pd{sub 4}-A (square planar) on B-doped graphene significantly promotes Hg{sup 0} adsorption, a single site of Pd{sub 4} cluster on BDG could strongly adsorb up to six Hg atoms. - Highlights: • Transition metal atom and cluster binds strongly on B-doped graphene surface. • Decoration of transition metal on B-doped graphene significantly promotes Hg{sup 0} adsorption. • Adsorption strength of Hg{sup 0} atom on metal decorated B-doped graphene: Pd > Pt > Ru > W > Cu. • One site decorated Pd4 cluster adsorbed Hg{sup 0} strongly up to six atoms.

  13. Inhibition of quantum size effects from surface dangling bonds: The first principles study on different morphology SiC nanowires

    Science.gov (United States)

    Li, Yan-Jing; Li, Shu-Long; Gong, Pei; Li, Ya-Lin; Fang, Xiao-Yong; Jia, Ya-Hui; Cao, Mao-Sheng

    2018-06-01

    In recent years, we investigated the structure and photoelectric properties of Silicon carbide nanowires (SiCNWs) with different morphologies and sizes by using the first-principle in density functional theory, and found a phenomenon that is opposite to quantum size effect, namely, the band gap of nanowires increases with the increase of the diameter. To reveal the nature of this phenomenon, we further carry out the passivation of SiCNWs. The results show that the hydrogenated SiCNWs are direct band gap semiconductors, and the band gap decreases with the diameter increasing, which indicates the dangling bonds of the SiCNWs suppress its quantum size effect. The optical properties of SiCNWs with different diameters before and after hydrogenated are compared, we found that these surface dangling bonds lead to spectral shift which is different with quantum size effect of SiCNWs. These results have potential scientific value to deepen the understanding of the photoelectric properties of SiCNWs and to promote the development of optoelectronic devices.

  14. Electrical and optical characterization of surface passivation in GaAs nanowires.

    Science.gov (United States)

    Chang, Chia-Chi; Chi, Chun-Yung; Yao, Maoqing; Huang, Ningfeng; Chen, Chun-Chung; Theiss, Jesse; Bushmaker, Adam W; Lalumondiere, Stephen; Yeh, Ting-Wei; Povinelli, Michelle L; Zhou, Chongwu; Dapkus, P Daniel; Cronin, Stephen B

    2012-09-12

    We report a systematic study of carrier dynamics in Al(x)Ga(1-x)As-passivated GaAs nanowires. With passivation, the minority carrier diffusion length (L(diff)) increases from 30 to 180 nm, as measured by electron beam induced current (EBIC) mapping, and the photoluminescence (PL) lifetime increases from sub-60 ps to 1.3 ns. A 48-fold enhancement in the continuous-wave PL intensity is observed on the same individual nanowire with and without the Al(x)Ga(1-x)As passivation layer, indicating a significant reduction in surface recombination. These results indicate that, in passivated nanowires, the minority carrier lifetime is not limited by twin stacking faults. From the PL lifetime and minority carrier diffusion length, we estimate the surface recombination velocity (SRV) to range from 1.7 × 10(3) to 1.1 × 10(4) cm·s(-1), and the minority carrier mobility μ is estimated to lie in the range from 10.3 to 67.5 cm(2) V(-1) s(-1) for the passivated nanowires.

  15. Surface decoration of polyimide fiber with carbon nanotubes and its application for mechanical enhancement of phosphoric acid-based geopolymers

    Science.gov (United States)

    Yang, Tao; Han, Enlin; Wang, Xiaodong; Wu, Dezhen

    2017-09-01

    A new methodology to decorate the surface of polyimide (PI) fiber with carbon nanotubes (CNTs) has been developed in this study. This surface decoration was carried out through a surface alkali treatment, a carboxylation modification, surface functionalization with acyl chloride groups and then with amino groups, and a surface graft of CNTs onto PI fiber. Fourier-transform infrared and X-ray photoelectron spectroscopic characterizations confirmed that CNTs were chemically grafted onto the surface of PI fiber, and scanning electron microscopic observation demonstrated the fiber surface was uniformly and densely covered with CNTs. The surface energy and wettability of PI fiber were improved in the presence of CNTs on the fiber surface, which made a contribution to enhance the interfacial adhesion of PI fiber with other inorganic matrices when used as a reinforcing fiber. The application of CNTs-decorated PI fiber for the reinforcement of phosphoric acid-based geopolymers was investigated, and the results indicated that the geopolymeric composites gained a noticeable reinforcement. Compared to unreinforced geopolymer, the geopolymeric composites achieved a remarkable increase in compressive strength by 120% and in flexural strength by 283%. Fractography investigation demonstrated that the interaction adhesion between the fibers and matrix was enhanced due to the surface decoration of PI fiber with CNTs, which contributed to an improvement in fracture-energy dissipation by fiber pullout and fiber debonding from the matrix. As a result, a significant reinforcement effect on geopolymeric composites was achieved through a fiber-bridging mechanism. This study provided an effective methodology to improve the interracial bonding force for PI fiber and also proves a highly efficient application of CNTs-decorated PI fiber for the mechanical enhancement of geopolymeric composites.

  16. Conductive Au nanowires regulated by silk fibroin nanofibers

    Science.gov (United States)

    Dong, Bo-Ju; Lu, Qiang

    2014-03-01

    Conductive Au-biopolymer composites have promising applications in tissue engineering such as nerve tissue regeneration. In this study, silk fibroin nanofibers were formed in aqueous solution by regulating silk self-assembly process and then used as template for Au nanowire fabrication. We performed the synthesis of Au seeds by repeating the seeding cycles for several times in order to increase the density of Au seeds on the nanofibers. After electroless plating, densely decorated Au seeds grew into irregularly shaped particles following silk nanofiber to fill the gaps between particles and finally form uniform continuous nanowires. The conductive property of the Au-silk fibroin nanowires was studied with current-voltage ( I-V) measurement. A typical ohmic behavior was observed, which highlighted their potential applications in nerve tissue regeneration.

  17. Probing spin helical surface states in topological HgTe nanowires

    Science.gov (United States)

    Ziegler, J.; Kozlovsky, R.; Gorini, C.; Liu, M.-H.; Weishäupl, S.; Maier, H.; Fischer, R.; Kozlov, D. A.; Kvon, Z. D.; Mikhailov, N.; Dvoretsky, S. A.; Richter, K.; Weiss, D.

    2018-01-01

    Nanowires with helical surface states represent key prerequisites for observing and exploiting phase-coherent topological conductance phenomena, such as spin-momentum locked quantum transport or topological superconductivity. We demonstrate in a joint experimental and theoretical study that gated nanowires fabricated from high-mobility strained HgTe, known as a bulk topological insulator, indeed preserve the topological nature of the surface states, that moreover extend phase-coherently across the entire wire geometry. The phase-coherence lengths are enhanced up to 5 μ m when tuning the wires into the bulk gap, so as to single out topological transport. The nanowires exhibit distinct conductance oscillations, both as a function of the flux due to an axial magnetic field and of a gate voltage. The observed h /e -periodic Aharonov-Bohm-type modulations indicate surface-mediated quasiballistic transport. Furthermore, an in-depth analysis of the scaling of the observed gate-dependent conductance oscillations reveals the topological nature of these surface states. To this end we combined numerical tight-binding calculations of the quantum magnetoconductance with simulations of the electrostatics, accounting for the gate-induced inhomogeneous charge carrier densities around the wires. We find that helical transport prevails even for strongly inhomogeneous gating and is governed by flux-sensitive high-angular momentum surface states that extend around the entire wire circumference.

  18. Application of silicon nanowires and indium tin oxide surfaces in desorption electrospray ionization

    Czech Academy of Sciences Publication Activity Database

    Pól, Jaroslav; Novák, Petr; Volný, Michael; Kruppa, G. H.; Kostiainen, R.; Lemr, Karel; Havlíček, Vladimír

    2008-01-01

    Roč. 14, č. 6 (2008), s. 391-399 ISSN 1469-0667 R&D Projects: GA MŠk LC07017 Institutional research plan: CEZ:AV0Z50200510 Keywords : mass spectrometry * desorption electrospray ionization * nanowires Subject RIV: CE - Biochemistry Impact factor: 1.167, year: 2008

  19. VLS-grown diffusion doped ZnO nanowires and their luminescence properties

    International Nuclear Information System (INIS)

    Roy, Pushan Guha; Dutta, Amartya; Das, Arpita; Bhattacharyya, Anirban; Sen, Sayantani; Pramanik, Pallabi

    2015-01-01

    Zinc Oxide (ZnO) nanowires were deposited by vapor–liquid–solid (VLS) method on to aluminum doped ZnO (AZO) thin films grown by sol-gel technique. For various device applications, current injection into such nanowires is critical. This is expected to be more efficient for ZnO nanowires deposited on to AZO compared to those deposited on to a foreign substrate such as silicon. In this work we compare the morphological and optical properties of nanowires grown on AZO with those grown under similar conditions on silicon (Si) wafers. For nanowires grown on silicon, diameters around 44 nm with heights around 2.2 μm were obtained. For the growth on to AZO, the diameters were around 90 nm while the heights were around 520 nm. Room temperature photoluminescence (RT-PL) measurements show improved near band-edge emission for nanowires grown on to AZO, indicating higher material quality. This is further established by low temperature photoluminescence (LT-PL) measurements where excitonic transitions with width as small as 14 meV have been obtained at 4 K for such structures. Electron energy loss spectroscopy (EELS) studies indicate the presence of Al in the nanowires, indicating a new technique for introduction of dopants into these structures. These results indicate that ZnO nanowires on sol-gel grown AZO thin films show promise in the development of various optoelectronic devices. (paper)

  20. ZnO quantum dots–decorated ZnO nanowires for the enhancement of antibacterial and photocatalytic performances

    International Nuclear Information System (INIS)

    Wu, Jyh Ming; Tsay, Li-Yi

    2015-01-01

    We demonstrate highly antibacterial activities for killing off Staphylococcus aureus and Escherichia coli using ZnO nanowires decorated with ZnO quantum dots (so-called ZnO QDs/NWs) under visible-light irradiation and dark conditions. The average size of the ZnO QDs is in the range of 3–5 nm; these were uniformly dispersed on the ZnO nanowires’ surface to form the ZnO QDs/NWs. A significant blue-shift effect was observed using photoluminescence (PL) spectra. The size of the ZnO QDs is strongly dependent on the material’s synthesis time. The ZnO QDs/NWs exhibited an excellent photocatalytic activity under visible-light irradiation. The ZnO QDs’ active sites (i.e. the O–H bond and Zn"2"+) accelerate the photogenerated-carrier migration from the QDs to the NWs. As a consequence, the electrons reacted with the dissolved oxygen to form oxygen ions and produced hydroperoxyl radicals to enhance photocatalytic activity. The antibacterial activities (as indicated by R-factor-inhibiting activity) of the ZnO QDs/NWs for killing off Staphylococcus aureus and Escherichia coli is around 4.9 and 5.5 under visible-light irradiation and dark conditions, respectively. The hydroxyl radicals served as an efficient oxidized agent for decomposing the organic dye and microorganism species. The antibacterial activities of the ZnO QDs/NWs in the dark may be attributed to the Zn"2"+ ions that were released from the ZnO QDs and infused into the microbial solution against the growth of bacteria thus disrupting the microorganism. The highly antibacterial and photocatalytic activity of the ZnO QDs/NWs can be well implanted on a screen window, thus offering a promising solution to inhibit the spread of germs under visible-light and dark conditions. (paper)

  1. A Highly Responsive Silicon Nanowire/Amplifier MOSFET Hybrid Biosensor.

    Science.gov (United States)

    Lee, Jieun; Jang, Jaeman; Choi, Bongsik; Yoon, Jinsu; Kim, Jee-Yeon; Choi, Yang-Kyu; Kim, Dong Myong; Kim, Dae Hwan; Choi, Sung-Jin

    2015-07-21

    This study demonstrates a hybrid biosensor comprised of a silicon nanowire (SiNW) integrated with an amplifier MOSFET to improve the current response of field-effect-transistor (FET)-based biosensors. The hybrid biosensor is fabricated using conventional CMOS technology, which has the potential advantage of high density and low noise performance. The biosensor shows a current response of 5.74 decades per pH for pH detection, which is 2.5 × 10(5) times larger than that of a single SiNW sensor. In addition, we demonstrate charged polymer detection using the biosensor, with a high current change of 4.5 × 10(5) with a 500 nM concentration of poly(allylamine hydrochloride). In addition, we demonstrate a wide dynamic range can be obtained by adjusting the liquid gate voltage. We expect that this biosensor will be advantageous and practical for biosensor applications which requires lower noise, high speed, and high density.

  2. Directional and dynamic modulation of the optical emission of an individual GaAs nanowire using surface acoustic waves.

    Science.gov (United States)

    Kinzel, Jörg B; Rudolph, Daniel; Bichler, Max; Abstreiter, Gerhard; Finley, Jonathan J; Koblmüller, Gregor; Wixforth, Achim; Krenner, Hubert J

    2011-04-13

    We report on optical experiments performed on individual GaAs nanowires and the manipulation of their temporal emission characteristics using a surface acoustic wave. We find a pronounced, characteristic suppression of the emission intensity for the surface acoustic wave propagation aligned with the axis of the nanowire. Furthermore, we demonstrate that this quenching is dynamical as it shows a pronounced modulation as the local phase of the surface acoustic wave is tuned. These effects are strongly reduced for a surface acoustic wave applied in the direction perpendicular to the axis of the nanowire due to their inherent one-dimensional geometry. We resolve a fully dynamic modulation of the nanowire emission up to 678 MHz not limited by the physical properties of the nanowires.

  3. Gas Sensors Based on Semiconducting Nanowire Field-Effect Transistors

    Directory of Open Access Journals (Sweden)

    Ping Feng

    2014-09-01

    Full Text Available One-dimensional semiconductor nanostructures are unique sensing materials for the fabrication of gas sensors. In this article, gas sensors based on semiconducting nanowire field-effect transistors (FETs are comprehensively reviewed. Individual nanowires or nanowire network films are usually used as the active detecting channels. In these sensors, a third electrode, which serves as the gate, is used to tune the carrier concentration of the nanowires to realize better sensing performance, including sensitivity, selectivity and response time, etc. The FET parameters can be modulated by the presence of the target gases and their change relate closely to the type and concentration of the gas molecules. In addition, extra controls such as metal decoration, local heating and light irradiation can be combined with the gate electrode to tune the nanowire channel and realize more effective gas sensing. With the help of micro-fabrication techniques, these sensors can be integrated into smart systems. Finally, some challenges for the future investigation and application of nanowire field-effect gas sensors are discussed.

  4. Wurtzite-Phased InP Micropillars Grown on Silicon with Low Surface Recombination Velocity.

    Science.gov (United States)

    Li, Kun; Ng, Kar Wei; Tran, Thai-Truong D; Sun, Hao; Lu, Fanglu; Chang-Hasnain, Connie J

    2015-11-11

    The direct growth of III-V nanostructures on silicon has shown great promise in the integration of optoelectronics with silicon-based technologies. Our previous work showed that scaling up nanostructures to microsize while maintaining high quality heterogeneous integration opens a pathway toward a complete photonic integrated circuit and high-efficiency cost-effective solar cells. In this paper, we present a thorough material study of novel metastable InP micropillars monolithically grown on silicon, focusing on two enabling aspects of this technology-the stress relaxation mechanism at the heterogeneous interface and the microstructure surface quality. Aberration-corrected transmission electron microscopy studies show that InP grows directly on silicon without any amorphous layer in between. A set of periodic dislocations was found at the heterointerface, relaxing the 8% lattice mismatch between InP and Si. Single crystalline InP therefore can grow on top of the fully relaxed template, yielding high-quality micropillars with diameters expanding beyond 1 μm. An interesting power-dependence trend of carrier recombination lifetimes was captured for these InP micropillars at room temperature, for the first time for micro/nanostructures. By simply combining internal quantum efficiency with carrier lifetime, we revealed the recombination dynamics of nonradiative and radiative portions separately. A very low surface recombination velocity of 1.1 × 10(3) cm/sec was obtained. In addition, we experimentally estimated the radiative recombination B coefficient of 2.0 × 10(-10) cm(3)/sec for pure wurtzite-phased InP. These values are comparable with those obtained from InP bulk. Exceeding the limits of conventional nanowires, our InP micropillars combine the strengths of both nanostructures and bulk materials and will provide an avenue in heterogeneous integration of III-V semiconductor materials onto silicon platforms.

  5. Controlled growth of single nanowires within a supported alumina template

    DEFF Research Database (Denmark)

    Vlad, A.; Mátéfi-Tempfli, M.; Faniel, S.

    2006-01-01

    A simple technique for fabricating single nanowires with well-defined position is presented. The process implies the use of a silicon nitride mask for selective electrochemical growth of the nanowires in a porous alumina template. We show that this method allows the realization of complex nanowire...

  6. Tailoring Thermal Radiative Properties with Doped-Silicon Nanowires

    Energy Technology Data Exchange (ETDEWEB)

    Zhang, Zhuomin [Georgia Inst. of Technology, Atlanta, GA (United States)

    2017-08-28

    Aligned doped-silicon nanowire (D-SiNW) arrays form a hyperbolic metamaterial in the mid-infrared and have unique thermal radiative properties, such as broadband omnidirectional absorption, low-loss negative refraction, etc. A combined theoretical and experimental investigation will be performed to characterize D-SiNW arrays and other metamaterials for tailoring thermal radiative properties. Near-field thermal radiation between anisotropic materials with hyperbolic dispersions will also be predicted for potential application in energy harvesting. A new kind of anisotropic metamaterial with a hyperbolic dispersion in a broad infrared region has been proposed and demonstrated based on aligned doped-silicon nanowire (D-SiNW) arrays. D-SiNW-based metamaterials have unique thermal radiative properties, such as broadband omnidirectional absorption whose width and location can be tuned by varying the filling ratio and/or doping level. Furthermore, high figure of merit (FOM) can be achieved in a wide spectral region, suggesting that D-SiNW arrays may be used as a negative refraction material with much less loss than other structured materials, such as layered semiconductor materials. We have also shown that D-SiNWs and other nanostructures can significantly enhance near-field thermal radiation. The study of near-field radiative heat transfer between closely spaced objects and the electromagnetic wave interactions with micro/nanostructured materials has become an emerging multidisciplinary field due to its importance in advanced energy systems, manufacturing, local thermal management, and high spatial resolution thermal sensing and mapping. We have performed extensive study on the energy streamlines involving anisotropic metamaterials and the applicability of the effective medium theory for near-field thermal radiation. Graphene as a 2D material has attracted great attention in nanoelectronics, plasmonics, and energy harvesting. We have shown that graphene can be used to

  7. Interfering Heralded Single Photons from Two Separate Silicon Nanowires Pumped at Different Wavelengths

    Directory of Open Access Journals (Sweden)

    Xiang Zhang

    2016-08-01

    Full Text Available Practical quantum photonic applications require on-demand single photon sources. As one possible solution, active temporal and wavelength multiplexing has been proposed to build an on-demand single photon source. In this scheme, heralded single photons are generated from different pump wavelengths in many temporal modes. However, the indistinguishability of these heralded single photons has not yet been experimentally confirmed. In this work, we achieve 88% ± 8% Hong–Ou–Mandel quantum interference visibility from heralded single photons generated from two separate silicon nanowires pumped at different wavelengths. This demonstrates that active temporal and wavelength multiplexing could generate indistinguishable heralded single photons.

  8. Atomic and electronic structures of novel silicon surface structures

    Energy Technology Data Exchange (ETDEWEB)

    Terry, J.H. Jr.

    1997-03-01

    The modification of silicon surfaces is presently of great interest to the semiconductor device community. Three distinct areas are the subject of inquiry: first, modification of the silicon electronic structure; second, passivation of the silicon surface; and third, functionalization of the silicon surface. It is believed that surface modification of these types will lead to useful electronic devices by pairing these modified surfaces with traditional silicon device technology. Therefore, silicon wafers with modified electronic structure (light-emitting porous silicon), passivated surfaces (H-Si(111), Cl-Si(111), Alkyl-Si(111)), and functionalized surfaces (Alkyl-Si(111)) have been studied in order to determine the fundamental properties of surface geometry and electronic structure using synchrotron radiation-based techniques.

  9. Highly ordered nanowire arrays on plastic substrates for ultrasensitive flexible chemical sensors.

    Science.gov (United States)

    McAlpine, Michael C; Ahmad, Habib; Wang, Dunwei; Heath, James R

    2007-05-01

    The development of a robust method for integrating high-performance semiconductors on flexible plastics could enable exciting avenues in fundamental research and novel applications. One area of vital relevance is chemical and biological sensing, which if implemented on biocompatible substrates, could yield breakthroughs in implantable or wearable monitoring systems. Semiconducting nanowires (and nanotubes) are particularly sensitive chemical sensors because of their high surface-to-volume ratios. Here, we present a scalable and parallel process for transferring hundreds of pre-aligned silicon nanowires onto plastic to yield highly ordered films for low-power sensor chips. The nanowires are excellent field-effect transistors, and, as sensors, exhibit parts-per-billion sensitivity to NO2, a hazardous pollutant. We also use SiO2 surface chemistries to construct a 'nano-electronic nose' library, which can distinguish acetone and hexane vapours via distributed responses. The excellent sensing performance coupled with bendable plastic could open up opportunities in portable, wearable or even implantable sensors.

  10. Highly ordered nanowire arrays on plastic substrates for ultrasensitive flexible chemical sensors

    Science.gov (United States)

    McAlpine, Michael C.; Ahmad, Habib; Wang, Dunwei; Heath, James R.

    2007-05-01

    The development of a robust method for integrating high-performance semiconductors on flexible plastics could enable exciting avenues in fundamental research and novel applications. One area of vital relevance is chemical and biological sensing, which if implemented on biocompatible substrates, could yield breakthroughs in implantable or wearable monitoring systems. Semiconducting nanowires (and nanotubes) are particularly sensitive chemical sensors because of their high surface-to-volume ratios. Here, we present a scalable and parallel process for transferring hundreds of pre-aligned silicon nanowires onto plastic to yield highly ordered films for low-power sensor chips. The nanowires are excellent field-effect transistors, and, as sensors, exhibit parts-per-billion sensitivity to NO2, a hazardous pollutant. We also use SiO2 surface chemistries to construct a `nano-electronic nose' library, which can distinguish acetone and hexane vapours via distributed responses. The excellent sensing performance coupled with bendable plastic could open up opportunities in portable, wearable or even implantable sensors.

  11. Si nanowires/Cu nanowires bilayer fabric as a lithium ion capacitor anode with excellent performance

    Science.gov (United States)

    Lai, Chien-Ming; Kao, Tzu-Lun; Tuan, Hsing-Yu

    2018-03-01

    A light and binder-free bilayer fabric electrode composed of silicon nanowires and copper nanowires for lithium-ion capacitors (LICs) is reported. A lithium ion capacitor is proposed employing pre-lithiated silicon/copper nanowire fabric and activated carbon as the anode and the cathode, respectively. These LICs show remarkable performance with a specific capacitance of 156 F g-1 at 0.1 A g-1, which is approximately twice of that of activated carbon in electric double-layer capacitors (EDLCs), and still exhibit a fine specific capacitance of 68 F g-1 even at a high current density of 20 A g-1. At a low power density of 193 W kg-1, the Si/Cu fabric//AC LIC can achieve high energy density of 210 W h kg-1. As the power density is increased to 99 kW kg-1, the energy density still remains at 43 W h kg-1, showing the prominent rate performance.

  12. Dissolution-Induced Nanowire Synthesis on Hot-Dip Galvanized Surface in Supercritical Carbon Dioxide

    Directory of Open Access Journals (Sweden)

    Aaretti Kaleva

    2017-07-01

    Full Text Available In this study, we demonstrate a rapid treatment method for producing a needle-like nanowire structure on a hot-dip galvanized sheet at a temperature of 50 °C. The processing method involved only supercritical carbon dioxide and water to induce a reaction on the zinc surface, which resulted in growth of zinc hydroxycarbonate nanowires into flower-like shapes. This artificial patina nanostructure predicts high surface area and offers interesting opportunities for its use in industrial high-end applications. The nanowires can significantly improve paint adhesion and promote electrochemical stability for organic coatings, or be converted to ZnO nanostructures by calcining to be used in various semiconductor applications.

  13. TiO2 nanowire-templated hierarchical nanowire network as water-repelling coating

    Science.gov (United States)

    Hang, Tian; Chen, Hui-Jiuan; Xiao, Shuai; Yang, Chengduan; Chen, Meiwan; Tao, Jun; Shieh, Han-ping; Yang, Bo-ru; Liu, Chuan; Xie, Xi

    2017-12-01

    Extraordinary water-repelling properties of superhydrophobic surfaces make them novel candidates for a great variety of potential applications. A general approach to achieve superhydrophobicity requires low-energy coating on the surface and roughness on nano- and micrometre scale. However, typical construction of superhydrophobic surfaces with micro-nano structure through top-down fabrication is restricted by sophisticated fabrication techniques and limited choices of substrate materials. Micro-nanoscale topographies templated by conventional microparticles through surface coating may produce large variations in roughness and uncontrollable defects, resulting in poorly controlled surface morphology and wettability. In this work, micro-nanoscale hierarchical nanowire network was fabricated to construct self-cleaning coating using one-dimensional TiO2 nanowires as microscale templates. Hierarchical structure with homogeneous morphology was achieved by branching ZnO nanowires on the TiO2 nanowire backbones through hydrothermal reaction. The hierarchical nanowire network displayed homogeneous micro/nano-topography, in contrast to hierarchical structure templated by traditional microparticles. This hierarchical nanowire network film exhibited high repellency to both water and cell culture medium after functionalization with fluorinated organic molecules. The hierarchical structure templated by TiO2 nanowire coating significantly increased the surface superhydrophobicity compared to vertical ZnO nanowires with nanotopography alone. Our results demonstrated a promising strategy of using nanowires as microscale templates for the rational design of hierarchical coatings with desired superhydrophobicity that can also be applied to various substrate materials.

  14. Quantifying the Traction Force of a Single Cell by Aligned Silicon Nanowire Array

    KAUST Repository

    Li, Zhou

    2009-10-14

    The physical behaviors of stationary cells, such as the morphology, motility, adhesion, anchorage, invasion and metastasis, are likely to be important for governing their biological characteristics. A change in the physical properties of mammalian cells could be an indication of disease. In this paper, we present a silicon-nanowire-array based technique for quantifying the mechanical behavior of single cells representing three distinct groups: normal mammalian cells, benign cells (L929), and malignant cells (HeLa). By culturing the cells on top of NW arrays, the maximum traction forces of two different tumor cells (HeLa, L929) have been measured by quantitatively analyzing the bending of the nanowires. The cancer cell exhibits a larger traction force than the normal cell by ∼20% for a HeLa cell and ∼50% for a L929 cell. The traction forces have been measured for the L929 cells and mechanocytes as a function of culture time. The relationship between cells extending area and their traction force has been investigated. Our study is likely important for studying the mechanical properties of single cells and their migration characteristics, possibly providing a new cellular level diagnostic technique. © 2009 American Chemical Society.

  15. Study of Cs adsorption on (100) surface of [001]-oriented GaN nanowires: A first principle research

    Energy Technology Data Exchange (ETDEWEB)

    Xia, Sihao [Department of Optoelectronic Technology, School of Electronic and Optical Engineering, Nanjing University of Science and Technology Nanjing, 210094 (China); Liu, Lei, E-mail: liu1133_cn@sina.com.cn [Department of Optoelectronic Technology, School of Electronic and Optical Engineering, Nanjing University of Science and Technology Nanjing, 210094 (China); Kong, Yike [Department of Optoelectronic Technology, School of Electronic and Optical Engineering, Nanjing University of Science and Technology Nanjing, 210094 (China); Wang, Honggang; Wang, Meishan [School of Information and Electrical Engineering, Ludong University, Yantai 264025 (China)

    2016-11-30

    Highlights: • B{sub N} is the most stable adsorption site. • Work function is reduced after Cs adsorption. • Surface atomic structures are reconstructed. • Surface states near fermi level is contributed to the hybridization of Cs 5s state with Ga 4p and N 2p state. • NEA surface is demonstrated after Cs adsorption on GaN nanowire surface. - Abstract: Based on first-principle study, the adsorption mechanism of Cs on (100) crystal plane of GaN nanowire surface with coverage of 1/12 monolayer is explored. It is discovered that the most stable adsorption site is B{sub N} because of its lowest adsorption energy. The work function of GaN nanowire surface is reduced by 1.69 eV and will be further reduced with increasing Cs adsorption, which promotes the development of negative electron affinity (NEA) state of the materials. Furthermore, Cs adatom will make a great influence on the surface atomic structure, oppositely, little influence on the center atomic structure. There appears a dipole moment valued −6.93 Debye on the nanowire surface contributed to the formation the heterojunction on the surface, which is beneficial to the photoelectrons liberation. After Cs adsorption, the valence band and conduction band both move to lower energy side. The surface states mainly result from the hybridization of Cs 5s state with Ga 4p state and N 2p state. This study can help us to further experiment on the Cs adsorption processing on GaN nanowire and improve the photoemission performance of GaN nanowire devices.

  16. Study of Cs adsorption on (100) surface of [001]-oriented GaN nanowires: A first principle research

    International Nuclear Information System (INIS)

    Xia, Sihao; Liu, Lei; Kong, Yike; Wang, Honggang; Wang, Meishan

    2016-01-01

    Highlights: • B N is the most stable adsorption site. • Work function is reduced after Cs adsorption. • Surface atomic structures are reconstructed. • Surface states near fermi level is contributed to the hybridization of Cs 5s state with Ga 4p and N 2p state. • NEA surface is demonstrated after Cs adsorption on GaN nanowire surface. - Abstract: Based on first-principle study, the adsorption mechanism of Cs on (100) crystal plane of GaN nanowire surface with coverage of 1/12 monolayer is explored. It is discovered that the most stable adsorption site is B N because of its lowest adsorption energy. The work function of GaN nanowire surface is reduced by 1.69 eV and will be further reduced with increasing Cs adsorption, which promotes the development of negative electron affinity (NEA) state of the materials. Furthermore, Cs adatom will make a great influence on the surface atomic structure, oppositely, little influence on the center atomic structure. There appears a dipole moment valued −6.93 Debye on the nanowire surface contributed to the formation the heterojunction on the surface, which is beneficial to the photoelectrons liberation. After Cs adsorption, the valence band and conduction band both move to lower energy side. The surface states mainly result from the hybridization of Cs 5s state with Ga 4p state and N 2p state. This study can help us to further experiment on the Cs adsorption processing on GaN nanowire and improve the photoemission performance of GaN nanowire devices.

  17. Polyimide as a versatile enabling material for microsystems fabrication: surface micromachining and electrodeposited nanowires integration

    Science.gov (United States)

    Walewyns, Thomas; Reckinger, Nicolas; Ryelandt, Sophie; Pardoen, Thomas; Raskin, Jean-Pierre; Francis, Laurent A.

    2013-09-01

    The interest of using polyimide as a sacrificial and anchoring layer is demonstrated for post-processing surface micromachining and for the incorporation of metallic nanowires into microsystems. In addition to properties like a high planarization factor, a good resistance to most non-oxidizing acids and bases, and CMOS compatibility, polyimide can also be used as a mold for nanostructures after ion track-etching. Moreover, specific polyimide grades, such as PI-2611 from HD Microsystems™, involve a thermal expansion coefficient similar to silicon and low internal stress. The process developed in this study permits higher gaps compared to the state-of-the-art, limits stiction problems with the substrate and is adapted to various top-layer materials. Most metals, semiconductors or ceramics will not be affected by the oxygen plasma required for polyimide etching. Released structures with vertical gaps from one to several tens of μm have been obtained, possibly using multiple layers of polyimide. Furthermore, patterned freestanding nanowires have been synthesized with diameters from 20 to 60 nm and up to 3 μm in length. These results have been applied to the fabrication of two specific devices: a generic nanomechanical testing lab-on-chip platform and a miniaturized ionization sensor.

  18. Patterned growth of carbon nanotubes over vertically aligned silicon nanowire bundles for achieving uniform field emission.

    Science.gov (United States)

    Hung, Yung-Jr; Huang, Yung-Jui; Chang, Hsuan-Chen; Lee, Kuei-Yi; Lee, San-Liang

    2014-01-01

    A fabrication strategy is proposed to enable precise coverage of as-grown carbon nanotube (CNT) mats atop vertically aligned silicon nanowire (VA-SiNW) bundles in order to realize a uniform bundle array of CNT-SiNW heterojunctions over a large sample area. No obvious electrical degradation of as-fabricated SiNWs is observed according to the measured current-voltage characteristic of a two-terminal single-nanowire device. Bundle arrangement of CNT-SiNW heterojunctions is optimized to relax the electrostatic screening effect and to maximize the field enhancement factor. As a result, superior field emission performance and relatively stable emission current over 12 h is obtained. A bright and uniform fluorescent radiation is observed from CNT-SiNW-based field emitters regardless of its bundle periodicity, verifying the existence of high-density and efficient field emitters on the proposed CNT-SiNW bundle arrays.

  19. Semiconductor Nanowires and Nanotubes for Energy Conversion

    Science.gov (United States)

    Fardy, Melissa Anne

    benefit from the high surface area of nanomaterials. Recently, (Ga1-xZnx)(N1-xOx) has gained widespread attention as a high efficiency material for visible-light-driven H2 and O2 generation. To improve the crystallinity of the material and reduce charge recombination (Ga1-xZnx)(N 1-xOx) nanotubes were synthesized by epitaxial coating of GaN onto ZnO nanowires. The nanotubes were single-crystalline, solid solutions of GaN and ZnO with ZnO compositions up to 10% and bandgaps as low as 2.6 eV. Incorporation of In into these nanotubes pushed the absorption even further into the visible. After decoration with Rh2-yCryO3 nanoparticle cocatalysts, (Ga1-xZnx)(N1-xOx) nanotubes spontaneously generated H2 in aqueous solutions under illumination. The photoanodic properties of these nanotubes are still under investigation. The significant reductions in thermal conductivity achieved using lead chalcogenide and In2-xGaxO3(ZnO) n nanowires highlight their use in thermoelectric power generation. The promise of 1-dimensional materials for energy conversion is further evident in the superior crystalline quality and high surface areas of the (Ga 1-xZnx)(N1-xOx) nanotubes. As research continues along these direction we move ever closer toward implementation of nanowires and nanotubes for clean, renewable, and more efficient energy use.

  20. Inclined-wall regular micro-pillar-arrayed surfaces covered entirely with an alumina nanowire forest and their improved superhydrophobicity

    International Nuclear Information System (INIS)

    Kim, Dae-Ho; Lee, Dongyun; Cho, Chae-Ryong; Kim, Soo-Hyung; Lee, Deug-Woo; Kim, Jong-Man; Kim, Yongsung; Kang, Jae-Wook; Hong, Suck Won

    2011-01-01

    This paper reports a multiple-scale hierarchically structured superhydrophobic surface that is composed of inclined-wall regular micro-pillar arrays covered entirely with an alumina nanowire forest (ANF) to improve the surface wettability. The multiple-scaled structures were fabricated stably using a simple batch process based on an anisotropic chemical silicon etching process and a subsequent time-controlled anodic aluminum oxide technique. The surface wetting properties of the mono-roughened surfaces with inclined-wall micro-pillar arrays, which are normally in the Wenzel wetting regime, could be transitioned perfectly to the slippery Cassie mode and enhanced greatly in the Wenzel regime in cases of a high- and low-density of the micro-pillars, respectively, by easily amplifying the intrinsic contact angle through the entire coverage of the ANF on the micro-roughened surfaces. The wettability of the proposed multiple-scaled surfaces could also be predicted using analytic surface models and the experimental results agreed greatly with the wetting trends estimated theoretically due to the geometrical regularity of the base micro-structures

  1. High-quality GaN nanowires grown on Si and porous silicon by thermal evaporation

    Energy Technology Data Exchange (ETDEWEB)

    Shekari, L., E-mail: lsg09_phy089@student.usm.my [Nano-Optoelectronics Research and Technology Laboratory, School of Physics, Universiti Sains Malaysia, 11800 USM, Penang (Malaysia); Ramizy, A.; Omar, K.; Hassan, H. Abu; Hassan, Z. [Nano-Optoelectronics Research and Technology Laboratory, School of Physics, Universiti Sains Malaysia, 11800 USM, Penang (Malaysia)

    2012-12-15

    Highlights: Black-Right-Pointing-Pointer A new kind of substrate (porous silicon) was used. Black-Right-Pointing-Pointer Also this research introduces an easy and safe method to grow high quality GaN NWs. Black-Right-Pointing-Pointer This is a new growth process to decrease the cost, complexity of growth of GaN NWs. Black-Right-Pointing-Pointer It is a controllable method to synthesize GaN NWs by thermal evaporation. - Abstract: Nanowires (NWs) of GaN thin films were prepared on as-grown Si (1 1 1) and porous silicon (PS) substrates using thermal evaporation method. The film growth produced high-quality wurtzite GaN NWs. The size, morphology, and nanostructures of the crystals were investigated through scanning electron microscopy, high-resolution X-ray diffraction and photoluminescence spectroscopy. The NWs grown on porous silicon were thinner, longer and denser compared with those on as-grown Si. The energy band gap of the NWs grown on PS was larger than that of NWs on as-grown Si. This is due to the greater quantum confinement effects of the crystalline structure of the NWs grown on PS.

  2. Silicon Alloying On Aluminium Based Alloy Surface

    International Nuclear Information System (INIS)

    Suryanto

    2002-01-01

    Silicon alloying on surface of aluminium based alloy was carried out using electron beam. This is performed in order to enhance tribological properties of the alloy. Silicon is considered most important alloying element in aluminium alloy, particularly for tribological components. Prior to silicon alloying. aluminium substrate were painted with binder and silicon powder and dried in a furnace. Silicon alloying were carried out in a vacuum chamber. The Silicon alloyed materials were assessed using some techniques. The results show that silicon alloying formed a composite metal-non metal system in which silicon particles are dispersed in the alloyed layer. Silicon content in the alloyed layer is about 40% while in other place is only 10.5 %. The hardness of layer changes significantly. The wear properties of the alloying alloys increase. Silicon surface alloying also reduced the coefficient of friction for sliding against a hardened steel counter face, which could otherwise be higher because of the strong adhesion of aluminium to steel. The hardness of the silicon surface alloyed material dropped when it underwent a heating cycle similar to the ion coating process. Hence, silicon alloying is not a suitable choice for use as an intermediate layer for duplex treatment

  3. Surface decoration of short-cut polyimide fibers with multi-walled carbon nanotubes and their application for reinforcement of lightweight PC/ABS composites

    Science.gov (United States)

    Zhang, Le; Han, Enlin; Wu, Yulun; Wang, Xiaodong; Wu, Dezhen

    2018-06-01

    The surface decoration of short-cut polyimide (PI) fibers with multi-walled carbon nanotubes (MWCNTs) was performed by fabricating a polydopamine (PDA) coating layer on the fiber surface and then immobilizing MWCNTs onto the coating layer via covalent bonding. This successful surface decoration was confirmed by scanning electron microscopy, X-ray photoelectron spectroscopy, Fourier-transform infrared microscopy and static water contact angle. The application of the surface-decorated PI fibers as reinforcing fibers for reinforcement of polycarbonate (PC)/acrylonitrile-butadiene-styrene copolymer (ABS) alloy was investigated, which indicated that the MWCNTs-decorated PI fibers not only could effectively reinforce the PC/ABS alloy but also generated a significant lightweighting effect on the resulting composites. The maximum mechanical properties were achieved for the composites at a fiber content of 20 wt.% and a fiber length of 3 mm. This significant reinforcement effect is attributed to the enhancement of interaction bonding strength between the fibers and matrix as a result of the surface decoration of PI fibers with MWCNTs. The morphological investigation suggested that fiber rupture was the major energy dissipation mechanism in the tensile and impact failures, whereas fiber debonding and pullout were partly involved in the fracture energy dissipation. In addition, the presence of surface-decorated PI fibers slightly enhanced the thermal stability and load bearing capability of composites. This work can provide a type of high-performance lightweight composite material for automobile and aviation industries.

  4. Solid-state diffusion as an efficient doping method for silicon nanowires and nanowire field effect transistors

    International Nuclear Information System (INIS)

    Moselund, K E; Ghoneim, H; Schmid, H; Bjoerk, M T; Loertscher, E; Karg, S; Signorello, G; Webb, D; Tschudy, M; Beyeler, R; Riel, H

    2010-01-01

    In this work we investigate doping by solid-state diffusion from a doped oxide layer, obtained by plasma-enhanced chemical vapor deposition (PECVD), as a means for selectively doping silicon nanowires (NWs). We demonstrate both n-type (phosphorous) and p-type (boron) doping up to concentrations of 10 20 cm -3 , and find that this doping mechanism is more efficient for NWs as opposed to planar substrates. We observe no diameter dependence in the range of 25 to 80 nm, which signifies that the NWs are uniformly doped. The drive-in temperature (800-950 deg. C) can be used to adjust the actual doping concentration in the range 2 x 10 18 to 10 20 cm -3 . Furthermore, we have fabricated NMOS and PMOS devices to show the versatility of this approach and the possibility of achieving segmented doping of NWs. The devices show high I on /I off ratios of around 10 7 and, especially for the PMOS, good saturation behavior and low hysteresis.

  5. „New approaches to atomic force microscope lithography on silicon"

    DEFF Research Database (Denmark)

    Birkelund, Karen; Thomsen, Erik Vilain; Rasmussen, Jan Pihl

    1997-01-01

    We have investigated new approaches to the formation of conducting nanowires on crystalline silicon surfaces using atomic force microscope (AFM) lithography. To increase processing speed and reduce wear of the AFM tip, large-scale structures are formed with a direct laser write setup, while the AFM...

  6. Enhanced ionized impurity scattering in nanowires

    Science.gov (United States)

    Oh, Jung Hyun; Lee, Seok-Hee; Shin, Mincheol

    2013-06-01

    The electronic resistivity in silicon nanowires is investigated by taking into account scattering as well as the donor deactivation from the dielectric mismatch. The effects of poorly screened dopant atoms from the dielectric mismatch and variable carrier density in nanowires are found to play a crucial role in determining the nanowire resistivity. Using Green's function method within the self-consistent Born approximation, it is shown that donor deactivation and ionized impurity scattering combined with the charged interface traps successfully to explain the increase in the resistivity of Si nanowires while reducing the radius, measured by Björk et al. [Nature Nanotech. 4, 103 (2009)].

  7. Silicon nanowires as field-effect transducers for biosensor development: A review

    Energy Technology Data Exchange (ETDEWEB)

    Noor, M. Omair; Krull, Ulrich J., E-mail: ulrich.krull@utoronto.ca

    2014-05-01

    Highlights: • Nanoscale field-effect transducers interrogate surface charge by conductivity changes. • The nanometer dimensions of SiNWs facilitate sensitive detection of biomolecules. • SiNWs can be fabricated by bottom–up or top–down approaches. • Device parameters and solution-phase conditions strongly influence analytical performance. - Abstract: The unique electronic properties and miniaturized dimensions of silicon nanowires (SiNWs) are attractive for label-free, real-time and sensitive detection of biomolecules. Sensors based on SiNWs operate as field effect transistors (FETs) and can be fabricated either by top–down or bottom–up approaches. Advances in fabrication methods have allowed for the control of physicochemical and electronic properties of SiNWs, providing opportunity for interfacing of SiNW-FET probes with intracellular environments. The Debye screening length is an important consideration that determines the performance and detection limits of SiNW-FET sensors, especially at physiologically relevant conditions of ionic strength (>100 mM). In this review, we discuss the construction and application of SiNW-FET sensors for detection of ions, nucleic acids and protein markers. Advantages and disadvantages of the top–down and bottom–up approaches for synthesis of SiNWs are discussed. An overview of various methods for surface functionalization of SiNWs for immobilization of selective chemistry is provided in the context of impact on the analytical performance of SiNW-FET sensors. In addition to in vitro examples, an overview of the progress of use of SiNW-FET sensors for ex vivo studies is also presented. This review concludes with a discussion of the future prospects of SiNW-FET sensors.

  8. Silicon nanowires as field-effect transducers for biosensor development: A review

    International Nuclear Information System (INIS)

    Noor, M. Omair; Krull, Ulrich J.

    2014-01-01

    Highlights: • Nanoscale field-effect transducers interrogate surface charge by conductivity changes. • The nanometer dimensions of SiNWs facilitate sensitive detection of biomolecules. • SiNWs can be fabricated by bottom–up or top–down approaches. • Device parameters and solution-phase conditions strongly influence analytical performance. - Abstract: The unique electronic properties and miniaturized dimensions of silicon nanowires (SiNWs) are attractive for label-free, real-time and sensitive detection of biomolecules. Sensors based on SiNWs operate as field effect transistors (FETs) and can be fabricated either by top–down or bottom–up approaches. Advances in fabrication methods have allowed for the control of physicochemical and electronic properties of SiNWs, providing opportunity for interfacing of SiNW-FET probes with intracellular environments. The Debye screening length is an important consideration that determines the performance and detection limits of SiNW-FET sensors, especially at physiologically relevant conditions of ionic strength (>100 mM). In this review, we discuss the construction and application of SiNW-FET sensors for detection of ions, nucleic acids and protein markers. Advantages and disadvantages of the top–down and bottom–up approaches for synthesis of SiNWs are discussed. An overview of various methods for surface functionalization of SiNWs for immobilization of selective chemistry is provided in the context of impact on the analytical performance of SiNW-FET sensors. In addition to in vitro examples, an overview of the progress of use of SiNW-FET sensors for ex vivo studies is also presented. This review concludes with a discussion of the future prospects of SiNW-FET sensors

  9. Nanotubes, nanobelts, nanowires, and nanorods of silicon carbide from the wheat husks

    Energy Technology Data Exchange (ETDEWEB)

    Qadri, S. B.; Rath, B. B.; Gorzkowski, E. P.; Feng, J.; Qadri, S. N.; Caldwell, J. D. [Materials Science and Component Technology Directorate, Naval Research Laboratory, Washington, District of Columbia 20375 (United States)

    2015-09-14

    Nanotubes, nanowires, nanobelts, and nanorods of SiC were synthesized from the thermal treatment of wheat husks at temperatures in excess of 1450 °C. From the analysis based on x-ray diffraction, Raman spectroscopy, scanning electron microscopy, and transmission electron microscopy, it has been found that the processed samples of wheat husk consisted of 2H and 3C polytypes of SiC exhibiting the nanostructure shapes. These nanostructures of silicon carbide formed from wheat husks are of technological importance for designing advance composites, applications in biotechnology, and electro-optics. The thermodynamics of the formation of SiC is discussed in terms of the rapid solid state reaction between hydrocarbons and silica on the molecular scale, which is inherently present in the wheat husks.

  10. Photoluminescence blue shift of indium phosphide nanowire networks with aluminum oxide coating

    International Nuclear Information System (INIS)

    Fryauf, David M.; Zhang, Junce; Norris, Kate J.; Diaz Leon, Juan J.; Oye, Michael M.; Kobayashi, Nobuhiko P.; Wei, Min

    2014-01-01

    This paper describes our finding that optical properties of semiconductor nanowires were modified by depositing a thin layer of metal oxide. Indium phosphide nanowires were grown by metal organic chemical vapor deposition on silicon substrates with gold catalyst resulting in three-dimensional nanowire networks, and optical properties were obtained from the collective nanowire networks. The networks were coated with an aluminum oxide thin film deposited by plasma-enhanced atomic layer deposition. We studied the dependence of the peak wavelength of photoluminescence spectra on the thickness of the oxide coatings. A continuous blue shift in photoluminescence spectra was observed when the thickness of the oxide coating was increased. The observed blue shift is attributed to the Burstein-Moss effect due to increased carrier concentration in the nanowire cores caused by repulsion from intrinsic negative fixed charges located at the inner oxide surface. Samples were further characterized by scanning electron microscopy, Raman spectroscopy, transmission electron microscopy, and selective area diffractometry to better understand the physical mechanisms for the blue shift. (copyright 2014 WILEY-VCH Verlag GmbH and Co. KGaA, Weinheim) (orig.)

  11. Photoluminescence blue shift of indium phosphide nanowire networks with aluminum oxide coating

    Energy Technology Data Exchange (ETDEWEB)

    Fryauf, David M.; Zhang, Junce; Norris, Kate J.; Diaz Leon, Juan J.; Oye, Michael M.; Kobayashi, Nobuhiko P. [Nanostructured Energy Conversion Technology and Research (NECTAR), Advanced Studies Laboratories, University of California, Santa Cruz, CA (United States); Baskin School of Engineering, University of California Santa Cruz, Santa Cruz, CA (United States); NASA Ames Research Center, Moffett Field, CA (United States); Wei, Min [Baskin School of Engineering, University of California Santa Cruz, Santa Cruz, CA (United States); School of Micro-Electronics and Solid-Electronics, University of Electronic Science and Technology of China, Chengdu (China)

    2014-07-15

    This paper describes our finding that optical properties of semiconductor nanowires were modified by depositing a thin layer of metal oxide. Indium phosphide nanowires were grown by metal organic chemical vapor deposition on silicon substrates with gold catalyst resulting in three-dimensional nanowire networks, and optical properties were obtained from the collective nanowire networks. The networks were coated with an aluminum oxide thin film deposited by plasma-enhanced atomic layer deposition. We studied the dependence of the peak wavelength of photoluminescence spectra on the thickness of the oxide coatings. A continuous blue shift in photoluminescence spectra was observed when the thickness of the oxide coating was increased. The observed blue shift is attributed to the Burstein-Moss effect due to increased carrier concentration in the nanowire cores caused by repulsion from intrinsic negative fixed charges located at the inner oxide surface. Samples were further characterized by scanning electron microscopy, Raman spectroscopy, transmission electron microscopy, and selective area diffractometry to better understand the physical mechanisms for the blue shift. (copyright 2014 WILEY-VCH Verlag GmbH and Co. KGaA, Weinheim) (orig.)

  12. Growth of Vertically Aligned ZnO Nanowire Arrays Using Bilayered Metal Catalysts

    Science.gov (United States)

    2012-01-01

    12] J. P. Liu, C. X. Guo, C. M. Li et al., “Carbon-decorated ZnO nanowire array: a novel platform for direct electrochemistry of enzymes and...cited. Vertically aligned, high-density ZnO nanowires (NWs) were grown for the first time on c-plane sapphire using binary alloys of Ni/Au or Cu/Au as...deleterious to the ZnO NW array growth. Significant improvement of the Au adhesion on the substrate was noted, opening the potential for direct

  13. In situ-growth of silica nanowires in ceramic carbon composites

    Directory of Open Access Journals (Sweden)

    Rahul Kumar

    2017-09-01

    Full Text Available An understanding of the processing and microstructure of ceramic–carbon composites is critical to development of these composites for applications needing electrically conducting, thermal shock resistant ceramic materials. In the present study green compacts of carbon ceramic composites were prepared either by slurry processing or dry powder blending of one or more of the three — clay, glass, alumina and carbon black or graphite. The dried green compacts were sintered at 1400 °C in flowing argon. The ceramic carbon composites except the ones without clay addition showed formation of silica nanowires. The silica nanowire formation was observed in both samples prepared by slip casting and dry powder compaction containing either carbon black or graphite. TEM micrographs showed presence of carbon at the core of the silica nanowires indicating that carbon served the role of a catalyst. Selected area electron diffraction (SAED suggested that the silica nanowires are amorphous. Prior studies have reported formation of silica nanowires from silicon, silica, silicon carbide but this is the first report ever on formation of silica nanowires from clay.

  14. Glucose oxidase immobilization on different modified surfaces of platinum nanowire for application in glucose detection

    International Nuclear Information System (INIS)

    Le, Thi Thanh Tuyen; Tran, Phu Duy; Pham, Xuan Tung; Tong, Duy Hien; Dang, Mau Chien

    2010-01-01

    In this work, the surface of platinum (Pt) nanowires was modified by using several chemicals, including a compound of gelatin gel with SiO 2 , polyvinyl alcohol (PVA) with Prussian blue (PB) mediator and cysteamine self-assembled monolayers (SAM). Then, glucose oxidase (GOD) enzyme was immobilized on the modified surfaces of Pt nanowire electrodes by using techniques of electrochemical adsorption and chemical binding. The GOD immobilized Pt nanowires were used for application in glucose detection by performing a cyclic voltammetry measurement. The detection results showed that GOD was immobilized on all of the tested surfaces and the highest glucose detection sensitivity of 60 μM was obtained when the Pt nanowires were modified by PVA with PB mediator. Moreover, the sensors showed very high current response when the Pt nanowires were modified with the cysteamine SAM. The stability and catalyst activity of GOD are also reported here. For instance, the catalyst activity of GOD retained about 60% of its initial value after it was stored at 4 °C in a 100 mM PBS buffer solution with a pH of 7.2 for a period of 30 days

  15. Glucose oxidase immobilization on different modified surfaces of platinum nanowire for application in glucose detection

    Science.gov (United States)

    Thanh Tuyen Le, Thi; Duy Tran, Phu; Pham, Xuan Tung; Hien Tong, Duy; Chien Dang, Mau

    2010-09-01

    In this work, the surface of platinum (Pt) nanowires was modified by using several chemicals, including a compound of gelatin gel with SiO2, polyvinyl alcohol (PVA) with Prussian blue (PB) mediator and cysteamine self-assembled monolayers (SAM). Then, glucose oxidase (GOD) enzyme was immobilized on the modified surfaces of Pt nanowire electrodes by using techniques of electrochemical adsorption and chemical binding. The GOD immobilized Pt nanowires were used for application in glucose detection by performing a cyclic voltammetry measurement. The detection results showed that GOD was immobilized on all of the tested surfaces and the highest glucose detection sensitivity of 60 μM was obtained when the Pt nanowires were modified by PVA with PB mediator. Moreover, the sensors showed very high current response when the Pt nanowires were modified with the cysteamine SAM. The stability and catalyst activity of GOD are also reported here. For instance, the catalyst activity of GOD retained about 60% of its initial value after it was stored at 4 °C in a 100 mM PBS buffer solution with a pH of 7.2 for a period of 30 days.

  16. High performance hybrid silicon micropillar solar cell based on light trapping characteristics of Cu nanoparticles

    Directory of Open Access Journals (Sweden)

    Yulong Zhang

    2018-05-01

    Full Text Available High performance silicon combined structure (micropillar with Cu nanoparticles solar cell has been synthesized from N-type silicon substrates based on the micropillar array. The combined structure solar cell exhibited higher short circuit current rather than the silicon miropillar solar cell, which the parameters of micropillar array are the same. Due to the Cu nanoparticles were decorated on the surface of silicon micropillar array, the photovoltaic properties of cells have been improved. In addition, the optimal efficiency of 11.5% was measured for the combined structure solar cell, which is better than the silicon micropillar cell.

  17. High performance hybrid silicon micropillar solar cell based on light trapping characteristics of Cu nanoparticles

    Science.gov (United States)

    Zhang, Yulong; Fan, Zhiqiang; Zhang, Weijia; Ma, Qiang; Jiang, Zhaoyi; Ma, Denghao

    2018-05-01

    High performance silicon combined structure (micropillar with Cu nanoparticles) solar cell has been synthesized from N-type silicon substrates based on the micropillar array. The combined structure solar cell exhibited higher short circuit current rather than the silicon miropillar solar cell, which the parameters of micropillar array are the same. Due to the Cu nanoparticles were decorated on the surface of silicon micropillar array, the photovoltaic properties of cells have been improved. In addition, the optimal efficiency of 11.5% was measured for the combined structure solar cell, which is better than the silicon micropillar cell.

  18. Defect-engineered GaN:Mg nanowire arrays for overall water splitting under violet light

    International Nuclear Information System (INIS)

    Kibria, M. G.; Chowdhury, F. A.; Zhao, S.; Mi, Z.; Trudeau, M. L.; Guo, H.

    2015-01-01

    We report that by engineering the intra-gap defect related energy states in GaN nanowire arrays using Mg dopants, efficient and stable overall neutral water splitting can be achieved under violet light. Overall neutral water splitting on Rh/Cr 2 O 3 co-catalyst decorated Mg doped GaN nanowires is demonstrated with intra-gap excitation up to 450 nm. Through optimized Mg doping, the absorbed photon conversion efficiency of GaN nanowires reaches ∼43% at 375–450 nm, providing a viable approach to extend the solar absorption of oxide and non-oxide photocatalysts

  19. Harmonics Generation by Surface Plasmon Polaritons on Single Nanowires.

    Science.gov (United States)

    de Hoogh, Anouk; Opheij, Aron; Wulf, Matthias; Rotenberg, Nir; Kuipers, L

    2016-08-17

    We present experimental observations of visible wavelength second- and third-harmonic generation on single plasmonic nanowires of variable widths. We identify that near-infrared surface plasmon polaritons, which are guided along the nanowire, act as the source of the harmonics generation. We discuss the underlying mechanism of this nonlinear process, using a combination of spatially resolved measurements and numerical simulations to show that the visible harmonics are generated via a combination of both local and propagating plasmonic modes. Our results provide the first demonstration of nanoscale nonlinear optics with guided, propagating plasmonic modes on a lithographically defined chip, opening up new routes toward integrated optical circuits for information processing.

  20. Tracking Ultrafast Carrier Dynamics in Single Semiconductor Nanowire Heterostructures

    Directory of Open Access Journals (Sweden)

    Taylor A.J.

    2013-03-01

    Full Text Available An understanding of non-equilibrium carrier dynamics in silicon (Si nanowires (NWs and NW heterostructures is very important due to their many nanophotonic and nanoelectronics applications. Here, we describe the first measurements of ultrafast carrier dynamics and diffusion in single heterostructured Si nanowires, obtained using ultrafast optical microscopy. By isolating individual nanowires, we avoid complications resulting from the broad size and alignment distribution in nanowire ensembles, allowing us to directly probe ultrafast carrier dynamics in these quasi-one-dimensional systems. Spatially-resolved pump-probe spectroscopy demonstrates the influence of surface-mediated mechanisms on carrier dynamics in a single NW, while polarization-resolved femtosecond pump-probe spectroscopy reveals a clear anisotropy in carrier lifetimes measured parallel and perpendicular to the NW axis, due to density-dependent Auger recombination. Furthermore, separating the pump and probe spots along the NW axis enabled us to track space and time dependent carrier diffusion in radial and axial NW heterostructures. These results enable us to reveal the influence of radial and axial interfaces on carrier dynamics and charge transport in these quasi-one-dimensional nanosystems, which can then be used to tailor carrier relaxation in a single nanowire heterostructure for a given application.

  1. Effect of hydrofluoric acid concentration on the evolution of photoluminescence characteristics in porous silicon nanowires prepared by Ag-assisted electroless etching method

    KAUST Repository

    Najar, Adel

    2012-01-01

    We report on the structural and optical properties of porous silicon nanowires (PSiNWs) fabricated using silver (Ag) ions assisted electroless etching method. Silicon nanocrystallites with sizes <5 nm embedded in amorphous silica have been observed from PSiNW samples etched using the optimum hydrofluoric acid (HF) concentration. The strongest photoluminescence (PL) signal has been measured from samples etched with 4.8 M of HF, beyond which a significant decreasing in PL emission intensity has been observed. A qualitative model is proposed for the formation of PSiNWs in the presence of Ag catalyst. This model affirms our observations in PL enhancement for samples etched using HF <4.8 M and the eventual PL reduction for samples etched beyond 4.8 M of HF concentration. The enhancement in PL signals has been associated to the formation of PSiNWs and the quantum confinement effect in the Si nanocrystallites. Compared to PSiNWs without Si-O x, the HF treated samples exhibited significant blue PL peak shift of 100 nm. This effect has been correlated to the formation of defect states in the surface oxide. PSiNWs fabricated using the electroless etching method can find useful applications in optical sensors and as anti-reflection layer in silicon-based solar cells. © 2012 American Institute of Physics.

  2. Copper-assisted, anti-reflection etching of silicon surfaces

    Science.gov (United States)

    Toor, Fatima; Branz, Howard

    2014-08-26

    A method (300) for etching a silicon surface (116) to reduce reflectivity. The method (300) includes electroless deposition of copper nanoparticles about 20 nanometers in size on the silicon surface (116), with a particle-to-particle spacing of 3 to 8 nanometers. The method (300) includes positioning (310) the substrate (112) with a silicon surface (116) into a vessel (122). The vessel (122) is filled (340) with a volume of an etching solution (124) so as to cover the silicon surface (116). The etching solution (124) includes an oxidant-etchant solution (146), e.g., an aqueous solution of hydrofluoric acid and hydrogen peroxide. The silicon surface (116) is etched (350) by agitating the etching solution (124) with, for example, ultrasonic agitation, and the etching may include heating (360) the etching solution (124) and directing light (365) onto the silicon surface (116). During the etching, copper nanoparticles enhance or drive the etching process.

  3. In-situ doped junctionless polysilicon nanowires field effect transistors for low-cost biosensors

    Directory of Open Access Journals (Sweden)

    Azeem Zulfiqar

    2017-04-01

    Full Text Available Silicon nanowire (SiNW field effect transistor based biosensors have already been proven to be a promising tool to detect biomolecules. However, the most commonly used fabrication techniques involve expensive Silicon-On-Insulator (SOI wafers, E-beam lithography and ion-implantation steps. In the work presented here, a top down approach to fabricate SiNW junctionless field effect biosensors using novel in-situ doped polysilicon is demonstrated. The p-type polysilicon is grown with an optimum boron concentration that gives a good metal-silicon electrical contact while maintaining the doping level at a low enough level to provide a good sensitivity for the biosensor. The silicon nanowires are patterned using standard photolithography and a wet etch method. The metal contacts are made from magnetron sputtered TiW and e-beam evaporation of gold. The passivation of electrodes has been done by sputtered Si3N4 which is patterned by a lift-off process. The characterization of the critical fabrication steps is done by Secondary Ion Mass Spectroscopy (SIMS and by statistical analysis of the measurements made on the width of the SiNWs. The electrical characterization of the SiNW in air is done by sweeping the back gate voltage while keeping the source drain potential to a constant value and surface characterization is done by applying liquid gate in phosphate buffered saline (PBS solution. The fabricated SiNWs sensors functionalized with (3-aminopropyltriethoxysilane (APTES have demonstrated good sensitivity in detecting different pH buffer solutions. Keywords: In-situ doped, Polysilicon nanowire, Field effect transistor, Biosensor

  4. Surface Patterning and Nanowire Biosensor Construction

    DEFF Research Database (Denmark)

    Iversen, Lars

    2008-01-01

    surface. A central limitation to this biosensor principle is the screening of analyte charge by mobile ions in electrolytes with physiological ionic strength. To overcome this problem, we propose to use as capture agents proteins which undergo large conformational changes. Using structure based protein...... charge prediction, we show how ligand induced changes in conformation of two model proteins, both being ligand binding domains from glutamate receptors, can lead to changes in electrostatic potential predicted to be sufficient for NW sensing. Finally we, demonstrate how InAs nanowires can....... In part I - “Surface Patterning” - glass and gold surfaces serve as spatially encoded immobilization supports for patterning of recombinant proteins and organic monolayers. First, we combine micro-contact printing with a reactive SNAP-tag protein to establish a general platform for templated protein...

  5. The role of substrate surface alteration in the fabrication of vertically aligned CdTe nanowires

    International Nuclear Information System (INIS)

    Neretina, S; Devenyi, G A; Preston, J S; Mascher, P; Hughes, R A; Sochinskii, N V

    2008-01-01

    Previously we have described the deposition of vertically aligned wurtzite CdTe nanowires derived from an unusual catalytically driven growth mode. This growth mode could only proceed when the surface of the substrate was corrupted with an alcohol layer, although the role of the corruption was not fully understood. Here, we present a study detailing the remarkable role that this substrate surface alteration plays in the development of CdTe nanowires; it dramatically improves the size uniformity and largely eliminates lateral growth. These effects are demonstrated to arise from the altered surface's ability to limit Ostwald ripening of the catalytic seed material and by providing a surface unable to promote the epitaxial relationship needed to sustain a lateral growth mode. The axial growth of the CdTe nanowires is found to be exclusively driven through the direct impingement of adatoms onto the catalytic seeds leading to a self-limiting wire height associated with the sublimation of material from the sidewall facets. The work presented furthers the development of the mechanisms needed to promote high quality substrate-based vertically aligned CdTe nanowires. With our present understanding of the growth mechanism being a combination of selective area epitaxy and a catalytically driven vapour-liquid-solid growth mode, these results also raise the intriguing possibility of employing this growth mode in other material systems in an effort to produce superior nanowires

  6. Stretchable Conductive Composites from Cu-Ag Nanowire Felt.

    Science.gov (United States)

    Catenacci, Matthew J; Reyes, Christopher; Cruz, Mutya A; Wiley, Benjamin J

    2018-04-24

    Materials that retain a high conductivity under strain are essential for wearable electronics. This article describes a conductive, stretchable composite consisting of a Cu-Ag core-shell nanowire felt infiltrated with a silicone elastomer. This composite exhibits a retention of conductivity under strain that is superior to any composite with a conductivity greater than 1000 S cm -1 . This work also shows how the mechanical properties, conductivity, and deformation mechanism of the composite changes as a function of the stiffness of the silicone matrix. The retention of conductivity under strain was found to decrease as the Young's modulus of the matrix increased. This was attributed to void formation as a result of debonding between the nanowire felt and the elastomer. The nanowire composite was also patterned to create serpentine circuits with a stretchability of 300%.

  7. Super-hydrophobic surfaces of SiO₂-coated SiC nanowires: fabrication, mechanism and ultraviolet-durable super-hydrophobicity.

    Science.gov (United States)

    Zhao, Jian; Li, Zhenjiang; Zhang, Meng; Meng, Alan

    2015-04-15

    The interest in highly water-repellent surfaces of SiO2-coated SiC nanowires has grown in recent years due to the desire for self-cleaning and anticorrosive surfaces. It is imperative that a simple chemical treatment with fluoroalkylsilane (FAS, CF3(CF2)7CH2CH2Si(OC2H5)3) in ethanol solution at room temperature resulted in super-hydrophobic surfaces of SiO2-coated SiC nanowires. The static water contact angle of SiO2-coated SiC nanowires surfaces was changed from 0° to 153° and the morphology, microstructure and crystal phase of the products were almost no transformation before and after super-hydrophobic treatment. Moreover, a mechanism was expounded reasonably, which could elucidate the reasons for their super-hydrophobic behavior. It is important that the super-hydrophobic surfaces of SiO2-coated SiC nanowires possessed ultraviolet-durable (UV-durable) super-hydrophobicity. Copyright © 2014 Elsevier Inc. All rights reserved.

  8. Self-assisted GaAs nanowires with selectable number density on Silicon without oxide layer

    International Nuclear Information System (INIS)

    Bietti, S; Somaschini, C; Esposito, L; Sanguinetti, S; Frigeri, C; Fedorov, A; Geelhaar, L

    2014-01-01

    We present the growth of self-assisted GaAs nanowires (NWs) with selectable number density on bare Si(1 1 1), not covered by the silicon oxide. We determine the number density of the NWs by initially self-assembling GaAs islands on whose top a single NW is nucleated. The number density of the initial GaAs base islands can be tuned by droplet epitaxy and the same degree of control is then transferred to the NWs. This procedure is completely performed during a single growth in an ultra-high vacuum environment and requires neither an oxide layer covering the substrate, nor any pre-patterning technique. (paper)

  9. An in-plane solid-liquid-solid growth mode for self-avoiding lateral silicon nanowires.

    Science.gov (United States)

    Yu, Linwei; Alet, Pierre-Jean; Picardi, Gennaro; Roca i Cabarrocas, Pere

    2009-03-27

    We report an in-plane solid-liquid-solid (IPSLS) mode for obtaining self-avoiding lateral silicon nanowires (SiNW) in a reacting-gas-free annealing process, where the growth of SiNWs is guided by liquid indium drops that transform the surrounding a-SiratioH matrix into crystalline SiNWs. The SiNWs can be approximately mm long, with the smallest diameter down to approximately 22 nm. A high growth rate of >10(2) nm/s and rich evolution dynamics are revealed in a real-time in situ scanning electron microscopy observation. A qualitative growth model is proposed to account for the major features of this IPSLS SiNW growth mode.

  10. Effects of polymer surface energy on morphology and properties of silver nanowire fabricated via nanoimprint and E-beam evaporation

    Science.gov (United States)

    Zhao, Zhi-Jun; Hwang, Soon Hyoung; Jeon, Sohee; Jung, Joo-Yun; Lee, Jihye; Choi, Dae-Geun; Choi, Jun-Hyuk; Park, Sang-Hu; Jeong, Jun-Ho

    2017-10-01

    In this paper, we demonstrate that use of different nanoimprint resins as a polymer pattern has a significant effect on the morphology of silver (Ag) nanowires deposited via an E-beam evaporator. RM-311 and Ormo-stamp resins are chosen as a polymer pattern to form a line with dimensions of width (100 nm) × space (100 nm) × height (120 nm) by using nanoimprint lithography (NIL). Their contact angles are then measured to evaluate their surface energies. In order to compare the properties of the Ag nanowires deposited on the various polymer patterns with different surface energies, hydrophobic surface treatment of the polymer pattern surface is implemented using self-assembled monolayers. In addition, gold and aluminum nanowires are fabricated for comparison with the Ag nanowires, with the differences in the nanowire morphologies being determined by the different atomic properties. The monocrystalline and polycrystalline structures of the various Ag nanowire formations are observed using transmission electron microscopy. In addition, the melting temperatures and optical properties of four kinds of Ag nanowire morphologies deposited on various polymer patterns are evaluated using a hot plate and an ultraviolet-visible (UV-vis) spectrometer, respectively. The results indicate that the morphology of the Ag nanowire determines the melting temperature and the transmission. We believe that these findings will greatly aid the development of NIL, along with physical evaporation and chemical deposition techniques, and will be widely employed in optics, biology, and surface wettability applications.

  11. Fusion bonding of silicon nitride surfaces

    DEFF Research Database (Denmark)

    Reck, Kasper; Østergaard, Christian; Thomsen, Erik Vilain

    2011-01-01

    While silicon nitride surfaces are widely used in many micro electrical mechanical system devices, e.g. for chemical passivation, electrical isolation or environmental protection, studies on fusion bonding of two silicon nitride surfaces (Si3N4–Si3N4 bonding) are very few and highly application...

  12. Electrochemically grown rough-textured nanowires

    International Nuclear Information System (INIS)

    Tyagi, Pawan; Postetter, David; Saragnese, Daniel; Papadakis, Stergios J.; Gracias, David H.

    2010-01-01

    Nanowires with a rough surface texture show unusual electronic, optical, and chemical properties; however, there are only a few existing methods for producing these nanowires. Here, we describe two methods for growing both free standing and lithographically patterned gold (Au) nanowires with a rough surface texture. The first strategy is based on the deposition of nanowires from a silver (Ag)-Au plating solution mixture that precipitates an Ag-Au cyanide complex during electrodeposition at low current densities. This complex disperses in the plating solution, thereby altering the nanowire growth to yield a rough surface texture. These nanowires are mass produced in alumina membranes. The second strategy produces long and rough Au nanowires on lithographically patternable nickel edge templates with corrugations formed by partial etching. These rough nanowires can be easily arrayed and integrated with microscale devices.

  13. Visualization of multipolar longitudinal and transversal surface plasmon modes in nanowire dimers.

    Science.gov (United States)

    Alber, Ina; Sigle, Wilfried; Müller, Sven; Neumann, Reinhard; Picht, Oliver; Rauber, Markus; van Aken, Peter A; Toimil-Molares, Maria Eugenia

    2011-12-27

    We study the transversal and longitudinal localized surface plasmon resonances in single nanowires and nanowire dimers excited by the fast traveling electron beam in a transmission electron microscope equipped with high-resolution electron energy-loss spectroscopy. Bright and dark longitudinal modes up to the fifth order are resolved on individual metallic nanowires. On nanowire dimers, mode splitting into bonding and antibonding is measured up to the third order for several dimers with various aspect ratio and controlled gap size. We observe that the electric field maxima of the bonding modes are shifted toward the gap, while the electric field maxima of the antibonding modes are shifted toward the dimer ends. Finally, we observe that the transversal mode is not detected in the region of the dimer gap and decays away from the rod more rapidly than the longitudinal modes.

  14. Functionalised Silver Nanowire Structures

    International Nuclear Information System (INIS)

    Andrew, Piers; Ilie, Adelina

    2007-01-01

    Crystalline silver nanowires 60-100 nm in diameter and tens of micrometres in length have been fabricated using a low temperature, solution synthesis technique. We explore the potential of this method to produce functional nanowire structures using two different strategies to attach active molecules to the nanowires: adsorption and displacement. Initially, as-produced silver nanowires capped with a uniaxial-growth-inducing polymer layer were functionalised by solution adsorption of a semiconducting conjugated polymer to generate fluorescent nanowire structures. The influence of nanowire surface chemistry was investigated by displacing the capping polymer with an alkanethiol self-assembled monolayer, followed by solution adsorption functionalisation. The success of molecular attachment was monitored by electron microscopy, absorption and fluorescence spectroscopy and confocal fluorescence microscopy. We examined how the optical properties of such adsorbed molecules are affected by the metallic nanowires, and observed transfer of excitation energy between dye molecules mediated by surface plasmons propagating on the nanowires. Non-contact dynamic force microscopy measurements were used to map the work-function of individual wires, revealing inhomogeneity of the polymer surface coverage

  15. Superacid Passivation of Crystalline Silicon Surfaces.

    Science.gov (United States)

    Bullock, James; Kiriya, Daisuke; Grant, Nicholas; Azcatl, Angelica; Hettick, Mark; Kho, Teng; Phang, Pheng; Sio, Hang C; Yan, Di; Macdonald, Daniel; Quevedo-Lopez, Manuel A; Wallace, Robert M; Cuevas, Andres; Javey, Ali

    2016-09-14

    The reduction of parasitic recombination processes commonly occurring within the silicon crystal and at its surfaces is of primary importance in crystalline silicon devices, particularly in photovoltaics. Here we explore a simple, room temperature treatment, involving a nonaqueous solution of the superacid bis(trifluoromethane)sulfonimide, to temporarily deactivate recombination centers at the surface. We show that this treatment leads to a significant enhancement in optoelectronic properties of the silicon wafer, attaining a level of surface passivation in line with state-of-the-art dielectric passivation films. Finally, we demonstrate its advantage as a bulk lifetime and process cleanliness monitor, establishing its compatibility with large area photoluminescence imaging in the process.

  16. Analysis of surface states in ZnO nanowire field effect transistors

    International Nuclear Information System (INIS)

    Shao, Ye; Yoon, Jongwon; Kim, Hyeongnam; Lee, Takhee; Lu, Wu

    2014-01-01

    Highlights: • The electron transport in ZnO nanowire FETs is space charged limited below a trap temperature. • Metallic contacts to ZnO nanowires exhibit non-linear behavior with a Schottky barrier height of ∼0.35 eV. • The surface state density is in the range of 1.04 × 10 10 –1.24 × 10 10 /cm 2 . • The trap activation energy is ∼0.26 eV. - Abstract: Nanowires (NWs) have attracted considerable interests for scaled electronic and optoelectronic device applications. However, NW based semiconductor devices normally suffer from surface states due to the existence of dangling bonds or surface reconstruction. Because of their large surface-to-volume ratio, surface states in NWs can easily affect the metallic contacts to NWs and electron transport in NW. Here, we present ZnO NW surface analysis by performing current–voltage characterization on ZnO NW Schottky barrier field effect transistors with different metal contacts (Ti, Al, Au) at both room temperature and cryogenic temperature. Our results show that three metal contacts are all Schottky contacts to ZnO NWs due to surface states. Our further study reveals: (a) the surface states related Schottky barrier height (SBH) can be extracted from a back to back Schottky diodes model and the SBH values are in the range of 0.34–0.37 eV for three metal contacts; (b) the trap activation energy determined from the Arrhenius plots of different Schottky metal contacts is in the range of 0.23–0.29 eV, which is oxygen vacancies related; and (c) based on the space-charge-limited model, the surface state density of ZnO NW is in the range of 1.04 × 10 10 –1.24 × 10 10 /cm 2

  17. Tuning Light Emission of a Pressure-Sensitive Silicon/ZnO Nanowires Heterostructure Matrix through Piezo-phototronic Effects.

    Science.gov (United States)

    Chen, Mengxiao; Pan, Caofeng; Zhang, Taiping; Li, Xiaoyi; Liang, Renrong; Wang, Zhong Lin

    2016-06-28

    Based on white light emission at silicon (Si)/ZnO hetrerojunction, a pressure-sensitive Si/ZnO nanowires heterostructure matrix light emitting diode (LED) array is developed. The light emission intensity of a single heterostructure LED is tuned by external strain: when the applied stress keeps increasing, the emission intensity first increases and then decreases with a maximum value at a compressive strain of 0.15-0.2%. This result is attributed to the piezo-phototronic effect, which can efficiently modulate the LED emission intensity by utilizing the strain-induced piezo-polarization charges. It could tune the energy band diagrams at the junction area and regulate the optoelectronic processes such as charge carriers generation, separation, recombination, and transport. This study achieves tuning silicon based devices through piezo-phototronic effect.

  18. Enhancing Catalyzed Decomposition of Na2CO3 with Co2MnO x Nanowire-Decorated Carbon Fibers for Advanced Na-CO2 Batteries.

    Science.gov (United States)

    Fang, Cong; Luo, Jianmin; Jin, Chengbin; Yuan, Huadong; Sheng, Ouwei; Huang, Hui; Gan, Yongping; Xia, Yang; Liang, Chu; Zhang, Jun; Zhang, Wenkui; Tao, Xinyong

    2018-05-23

    The metal-CO 2 batteries, especially Na-CO 2 , batteries come into sight owing to their high energy density, ability for CO 2 capture, and the abundance of sodium resource. Besides the sluggish electrochemical reactions at the gas cathodes and the instability of the electrolyte at a high voltage, the final discharge product Na 2 CO 3 is a solid and poor conductor of electricity, which may cause the high overpotential and poor cycle performance for the Na-CO 2 batteries. The promotion of decomposition of Na 2 CO 3 should be an efficient strategy to enhance the electrochemical performance. Here, we design a facile Na 2 CO 3 activation experiment to screen the efficient cathode catalyst for the Na-CO 2 batteries. It is found that the Co 2 MnO x nanowire-decorated carbon fibers (CMO@CF) can promote the Na 2 CO 3 decomposition at the lowest voltage among all these metal oxide-decorated carbon fiber structures. After assembling the Na-CO 2 batteries, the electrodes based on CMO@CF show lower overpotential and better cycling performance compared with the electrodes based on pristine carbon fibers and other metal oxide-modified carbon fibers. We believe this catalyst screening method and the freestanding structure of the CMO@CF electrode may provide an important reference for the development of advanced Na-CO 2 batteries.

  19. Microtextured Silicon Surfaces for Detectors, Sensors & Photovoltaics

    Energy Technology Data Exchange (ETDEWEB)

    Carey, JE; Mazur, E

    2005-05-19

    With support from this award we studied a novel silicon microtexturing process and its application in silicon-based infrared photodetectors. By irradiating the surface of a silicon wafer with intense femtosecond laser pulses in the presence of certain gases or liquids, the originally shiny, flat surface is transformed into a dark array of microstructures. The resulting microtextured surface has near-unity absorption from near-ultraviolet to infrared wavelengths well below the band gap. The high, broad absorption of microtextured silicon could enable the production of silicon-based photodiodes for use as inexpensive, room-temperature multi-spectral photodetectors. Such detectors would find use in numerous applications including environmental sensors, solar energy, and infrared imaging. The goals of this study were to learn about microtextured surfaces and then develop and test prototype silicon detectors for the visible and infrared. We were extremely successful in achieving our goals. During the first two years of this award, we learned a great deal about how microtextured surfaces form and what leads to their remarkable optical properties. We used this knowledge to build prototype detectors with high sensitivity in both the visible and in the near-infrared. We obtained room-temperature responsivities as high as 100 A/W at 1064 nm, two orders of magnitude higher than standard silicon photodiodes. For wavelengths below the band gap, we obtained responsivities as high as 50 mA/W at 1330 nm and 35 mA/W at 1550 nm, close to the responsivity of InGaAs photodiodes and five orders of magnitude higher than silicon devices in this wavelength region.

  20. Smooth-surface silver nanowire electrode with high conductivity and transparency on functional layer coated flexible film

    Energy Technology Data Exchange (ETDEWEB)

    Lee, So Hee; Lim, Sooman; Kim, Haekyoung, E-mail: hkkim@ynu.ac.kr

    2015-08-31

    Transparent conductive electrode (TCE) with silver nanowires has been widely studied as an alternative of indium tin oxide for flexible electronic or optical devices such as organic light-emitting diodes, and solar cells. However, it has an issue of surface roughness due to nanowire's intrinsic properties. Here, to achieve a smooth electrode with high conductivity and transmittance on polyethylene terephthalate (PET) substrates, a functional layer of poly(N-vinylpyrrolidone) (PVP) is utilized with a mechanical transfer process. The silver nanowire electrode on PVP-coated PET with low surface roughness of 9 nm exhibits the low sheet resistance of 18 Ω □{sup −1} and high transmittance of 87.6%. It is produced by transferring the silver nanowire electrode spin-coated on the glass to PVP-coated PET using a pressure of 10 MPa for 10 min. Silver nanowire electrode on PVP-coated PET demonstrates the stable sheet resistance of 18 Ω □{sup −1} after the mechanical taping test due to strong adhesion between PVP functional layer and silver nanowires. Smooth TCE with silver nanowires could be proposed as a transparent electrode for flexible electronic or optical devices, which consist of thin electrical active layers on TCE. - Highlights: • Silver nanowire (Ag NWs) transparent electrodes were fabricated on flexible film. • Flexible film was coated with poly N-vinylpyrrolidone (PVP). • PVP layer plays roles as an adhesive layer and matrix in electrode. • Ag NWs electrode exhibited with low surface roughness of 9 nm. • Ag NWs electrode has a low resistance (18 Ω ☐{sup −1}) and high transmittance (87.6%)

  1. Smooth-surface silver nanowire electrode with high conductivity and transparency on functional layer coated flexible film

    International Nuclear Information System (INIS)

    Lee, So Hee; Lim, Sooman; Kim, Haekyoung

    2015-01-01

    Transparent conductive electrode (TCE) with silver nanowires has been widely studied as an alternative of indium tin oxide for flexible electronic or optical devices such as organic light-emitting diodes, and solar cells. However, it has an issue of surface roughness due to nanowire's intrinsic properties. Here, to achieve a smooth electrode with high conductivity and transmittance on polyethylene terephthalate (PET) substrates, a functional layer of poly(N-vinylpyrrolidone) (PVP) is utilized with a mechanical transfer process. The silver nanowire electrode on PVP-coated PET with low surface roughness of 9 nm exhibits the low sheet resistance of 18 Ω □ −1 and high transmittance of 87.6%. It is produced by transferring the silver nanowire electrode spin-coated on the glass to PVP-coated PET using a pressure of 10 MPa for 10 min. Silver nanowire electrode on PVP-coated PET demonstrates the stable sheet resistance of 18 Ω □ −1 after the mechanical taping test due to strong adhesion between PVP functional layer and silver nanowires. Smooth TCE with silver nanowires could be proposed as a transparent electrode for flexible electronic or optical devices, which consist of thin electrical active layers on TCE. - Highlights: • Silver nanowire (Ag NWs) transparent electrodes were fabricated on flexible film. • Flexible film was coated with poly N-vinylpyrrolidone (PVP). • PVP layer plays roles as an adhesive layer and matrix in electrode. • Ag NWs electrode exhibited with low surface roughness of 9 nm. • Ag NWs electrode has a low resistance (18 Ω ☐ −1 ) and high transmittance (87.6%)

  2. Metrology of nanosize biopowders using porous silicon surface

    International Nuclear Information System (INIS)

    Zhuravel', L.V.; Latukhina, N.V.; Pisareva, E.V.; Vlasov, M.Yu.; Volkov, A.V.; Volodkin, B.O.

    2008-01-01

    Powders of hydroxyapatite deposited on porous silicon surface were investigated by TEM and STM methods. Thickness of porous lay was 1-100 micrometers; porous diameter was 0.01-10 micrometers. Images of porous silicon surface with deposited particles give possibility to estimate particles size and induce that only proportionate porous diameter particles have good adhesion to porous silicon surface.

  3. Facile synthesis of Co3O4 nanowires grown on hollow NiO microspheres with superior electrochemical performance

    International Nuclear Information System (INIS)

    Fan, Meiqing; Ren, Bo; Yu, Lei; Song, Dalei; Liu, Qi; Liu, Jingyuan; Wang, Jun; Jing, Xiaoyan; Liu, Lianhe

    2015-01-01

    Graphical abstract: Display Omitted - Highlights: • The NiO hollow spheres were decorated by Co 3 O 4 nanowires. • The NiO hollow spheres were comprised of many NiO particles. • The Co 3 O 4 nanowires were composed of nanoparticles. • The NiO/Co 3 O 4 core/shell nanocomposites have good electrochemical properties. - Abstract: The NiO/Co 3 O 4 core/shell composites as a promising supercapacitor material have been fabricated by facile hydrothermal process. The structure and morphology of the NiO/Co 3 O 4 core/shell composites were characterized by X-ray diffraction (XRD), scanning electron microscopy (SEM) and transmission electron microscopy (TEM). The results indicated that the NiO hollow spheres were decorated by Co 3 O 4 nanowires, and the nanowires were composed of nanoparticles. Electrochemical properties were characterized by cyclic voltammetry, galvanostatic charge/discharge measurements, and electrochemical impedance spectroscopy. The results suggested that the NiO/Co 3 O 4 core/shell composites had good electrochemical reversibility and displayed superior capacitive performance with large capacitance (510 F g −1 ). Moreover, NiO/Co 3 O 4 core/shell composites showed excellent cyclic performanceafter 1000 cycles

  4. Synthesis, structure and photoelectrochemical properties of single crystalline silicon nanowire arrays

    International Nuclear Information System (INIS)

    Dalchiele, E.A.; Martin, F.; Leinen, D.; Marotti, R.E.; Ramos-Barrado, J.R.

    2010-01-01

    In the present work, n-type silicon nanowire (n-SiNW) arrays have been synthesized by self-assembly electroless metal deposition (EMD) nanoelectrochemistry. The synthesized n-SiNW arrays have been submitted to scanning electron microscopy (SEM), transmission electron microscopy (TEM), high-resolution transmission electron microscopy (HRTEM), X-ray photoelectron spectroscopy (XPS), and optical studies. Initial probes of the solar device conversion properties and the photovoltaic parameters such as short-circuit current, open-circuit potential, and fill factor of the n-SiNW arrays have been explored using a liquid-junction in a photoelectrochemical (PEC) system under white light. Moreover, a direct comparison between the PEC performance of a polished n-Si(100) and the synthesized n-SiNW array photoelectrodes has been done. The PEC performance was significantly enhanced on the n-SiNWs photoelectrodes compared with that on polished n-Si(100).

  5. Fabrication and surface-modification of implantable microprobes for neuroscience studies

    International Nuclear Information System (INIS)

    Cao, H; Nguyen, C M; Chiao, J C

    2012-01-01

    In this work implantable micro-probes for central nervous system (CNS) studies were developed on silicon and polyimide substrates. The probes which contained micro-electrode arrays with different surface modifications were designed for implantation in the CNS. The electrode surfaces were modified with nano-scale structures that could greatly increase the active surface area in order to enhance the electrochemical current outputs while maintaining micro-scale dimensions of the electrodes and probes. The electrodes were made of gold or platinum, and designed with different sizes. The silicon probes were modified by silicon nanowires fabricated with the vapor–liquid–solid mechanism at high temperatures. With polyimide substrates, the nanostructure modification was carried out by applying concentrated gold or silver colloid solutions onto the micro-electrodes at room temperature. The surfaces of electrodes before and after modification were observed by scanning electron microscopy. The silicon nanowire-modified surface was characterized by cyclic voltammetry. Experiments were carried out to investigate the improvement in sensing performance. The modified electrodes were tested with H 2 O 2 , electrochemical L-glutamate and dopamine. Comparisons between electrodes with and without nanostructure modification were conducted showing that the modifications have enhanced the signal outputs of the electrochemical neurotransmitter sensors

  6. Fabrication and surface-modification of implantable microprobes for neuroscience studies

    Science.gov (United States)

    Cao, H.; Nguyen, C. M.; Chiao, J. C.

    2012-06-01

    In this work implantable micro-probes for central nervous system (CNS) studies were developed on silicon and polyimide substrates. The probes which contained micro-electrode arrays with different surface modifications were designed for implantation in the CNS. The electrode surfaces were modified with nano-scale structures that could greatly increase the active surface area in order to enhance the electrochemical current outputs while maintaining micro-scale dimensions of the electrodes and probes. The electrodes were made of gold or platinum, and designed with different sizes. The silicon probes were modified by silicon nanowires fabricated with the vapor-liquid-solid mechanism at high temperatures. With polyimide substrates, the nanostructure modification was carried out by applying concentrated gold or silver colloid solutions onto the micro-electrodes at room temperature. The surfaces of electrodes before and after modification were observed by scanning electron microscopy. The silicon nanowire-modified surface was characterized by cyclic voltammetry. Experiments were carried out to investigate the improvement in sensing performance. The modified electrodes were tested with H2O2, electrochemical L-glutamate and dopamine. Comparisons between electrodes with and without nanostructure modification were conducted showing that the modifications have enhanced the signal outputs of the electrochemical neurotransmitter sensors.

  7. Structural and electronic properties of hydrosilylated silicon surfaces

    Energy Technology Data Exchange (ETDEWEB)

    Baumer, A.

    2005-11-15

    The structural and electronic properties of alkyl-terminated Si surfaces prepared by thermallyinduced hydrosilylation have been studied in detail in the preceding chapters. Various surfaces have been used for the functionalization ranging from crystalline Si over amorphous hydrogenated Si to nanoscaled materials such as Si nanowires and nanoparticles. In each case, the alkyl-terminated surfaces have been compared to the native oxidized and H-terminated surfaces. (orig.)

  8. Low temperature synthesis of Zn nanowires by physical vapor deposition

    Energy Technology Data Exchange (ETDEWEB)

    Schroeder, Philipp; Kast, Michael; Brueckl, Hubert [Austrian Research Centers GmbH ARC, Nano- Systemtechnologies, Donau-City-Strasse 1, A-1220 Wien (Austria)

    2007-07-01

    We demonstrate catalytic growth of zinc nanowires by physical vapor deposition at modest temperatures of 125-175 C on various substrates. In contrast to conventional approaches using tube furnaces our home-built growth system allows to control the vapor sources and the substrate temperature separately. The silicon substrates were sputter coated with a thin gold layer as metal catalyst. The samples were heated to the growth temperature and subsequently exposed to the zinc vapor at high vacuum conditions. The work pressure was adjusted by the partial pressure of oxygen or argon flow gas. Scanning electron microscopy and atomic force microscopy characterizations revealed that the nanowires exhibit straight, uniform morphology and have diameters in the range of 50-350 nm and lengths up to 70 {mu}m. The Zn nanowires grow independently of the substrates crystal orientation via a catalytic vapor-solid growth mechanism. Since no nanowire formation was observed without gold coating, we expect that the onedimensional growth is initiated by a surface reactive Au seed. ZnO nanowires can be produced in the same preparation chamber by oxidation at 500 C in 1atm (80% Ar, 20% O{sub 2}) for 1 hour. ZnO is highly attractive for sensor applications.

  9. Rhodium Nanoparticle-mesoporous Silicon Nanowire Nanohybrids for Hydrogen Peroxide Detection with High Selectivity

    Science.gov (United States)

    Song, Zhiqian; Chang, Hucheng; Zhu, Weiqin; Xu, Chenlong; Feng, Xinjian

    2015-01-01

    Developing nanostructured electrocatalysts, with low overpotential, high selectivity and activity has fundamental and technical importance in many fields. We report here rhodium nanoparticle and mesoporous silicon nanowire (RhNP@mSiNW) hybrids for hydrogen peroxide (H2O2) detection with high electrocatalytic activity and selectivity. By employing electrodes that loaded with RhNP@mSiNW nanohybrids, interference caused from both many electroactive substances and dissolved oxygen were eliminated by electrochemical assaying at an optimal potential of +75 mV. Furthermore, the electrodes exhibited a high detection sensitivity of 0.53 μA/mM and fast response (< 5 s). This high-performance nanohybrid electrocatalyst has great potential for future practical application in various oxidase-base biosensors. PMID:25588953

  10. Chip-to-chip SnO2 nanowire network sensors for room temperature H2 detection

    Science.gov (United States)

    Köck, A.; Brunet, E.; Mutinati, G. C.; Maier, T.; Steinhauer, S.

    2012-06-01

    The employment of nanowires is a very powerful strategy to improve gas sensor performance. We demonstrate a gas sensor device, which is based on silicon chip-to-chip synthesis of ultralong tin oxide (SnO2) nanowires. The sensor device employs an interconnected SnO2 nanowire network configuration, which exhibits a huge surface-to-volume ratio and provides full access of the target gas to the nanowires. The chip-to-chip SnO2 nanowire device is able to detect a H2 concentration of only 20 ppm in synthetic air with ~ 60% relative humidity at room temperature. At an operating temperature of 300°C a concentration of 50 ppm H2 results in a sensitivity of 5%. At this elevated temperature the sensor shows a linear response in a concentration range between 10 ppm and 100 ppm H2. The SnO2-nanowire fabrication procedure based on spray pyrolysis and subsequent annealing is performed at atmospheric pressure, requires no vacuum and allows upscale of the substrate to a wafer size. 3D-integration with CMOS chips is proposed as viable way for practical realization of smart nanowire based gas sensor devices for the consumer market.

  11. Role of surface on the size-dependent mechanical properties of copper nanowire under tensile load: A molecular dynamics simulation

    International Nuclear Information System (INIS)

    Liu, Wei-Ting; Hsiao, Chun-I.; Hsu, Wen-Dung

    2014-01-01

    In this study we have used atomistic simulations to investigate the role of surface on the size-dependent mechanical properties of nanowires. In particular, we have performed computational investigation on single crystal face-centered cubic copper nano-wires with diameters ranging from 2 to 20 nm. The wire axis for all the nanowires are considered along the [0 0 1] direction. Characterization of the initial optimized structures revealed clear differences in interatomic spacing, stress, and potential energy in all the nanowires. The mechanical properties with respect to wire diameter are evaluated by applying tension along the [0 0 1] direction until yielding. We have discussed the stress–strain relationships, Young's modulus, and the variation in potential energy from surface to the center of the wire for all the cases. Our results indicate that the mechanical response (including yield strain, Young's modulus, and resilience) is directly related to the proportion of surface to bulk type atoms present in each nanowire. Thus the size-dependent mechanical properties of single crystal copper nanowire within elastic region are attributed to the surface to volume ratio (surface effect). Using the calculated response, we have formulated a mathematical relationship, which predicts the nonlinear correlation between the mechanical properties and the diameter of the wire.

  12. Role of surface on the size-dependent mechanical properties of copper nanowire under tensile load: A molecular dynamics simulation

    Energy Technology Data Exchange (ETDEWEB)

    Liu, Wei-Ting [Department of Materials Science and Engineering, National Cheng Kung University, Tainan City 70101 Taiwan (China); Hsiao, Chun-I. [Department of Materials Science and Engineering, National Cheng Kung University, Tainan City 70101 Taiwan (China); Promotion Center for Global Materials Research, National Cheng Kung University, Tainan City 70101 Taiwan (China); Hsu, Wen-Dung, E-mail: wendung@mail.ncku.edu.tw [Department of Materials Science and Engineering, National Cheng Kung University, Tainan City 70101 Taiwan (China); Research Center for Energy Technology and Strategy, National Cheng Kung University, Tainan City 70101 Taiwan (China); Promotion Center for Global Materials Research, National Cheng Kung University, Tainan City 70101 Taiwan (China)

    2014-01-15

    In this study we have used atomistic simulations to investigate the role of surface on the size-dependent mechanical properties of nanowires. In particular, we have performed computational investigation on single crystal face-centered cubic copper nano-wires with diameters ranging from 2 to 20 nm. The wire axis for all the nanowires are considered along the [0 0 1] direction. Characterization of the initial optimized structures revealed clear differences in interatomic spacing, stress, and potential energy in all the nanowires. The mechanical properties with respect to wire diameter are evaluated by applying tension along the [0 0 1] direction until yielding. We have discussed the stress–strain relationships, Young's modulus, and the variation in potential energy from surface to the center of the wire for all the cases. Our results indicate that the mechanical response (including yield strain, Young's modulus, and resilience) is directly related to the proportion of surface to bulk type atoms present in each nanowire. Thus the size-dependent mechanical properties of single crystal copper nanowire within elastic region are attributed to the surface to volume ratio (surface effect). Using the calculated response, we have formulated a mathematical relationship, which predicts the nonlinear correlation between the mechanical properties and the diameter of the wire.

  13. Effect of surface oxide on the melting behavior of lead-free solder nanowires and nanorods

    International Nuclear Information System (INIS)

    Gao Fan; Rajathurai, Karunaharan; Cui, Qingzhou; Zhou, Guangwen; NkengforAcha, Irene; Gu Zhiyong

    2012-01-01

    Lead-free nanosolders have shown promise in nanowire and nanoelectronics assembly. Among various important parameters, melting is the most fundamental property affecting the assembly process. Here we report that the melting behavior of tin and tin/silver nanowires and nanorods can be significantly affected by the surface oxide of nanosolders. By controlling the nanosolder reflow atmosphere using a flux, the surface oxide of the nanowires/nanorods can be effectively removed and complete nanosolder melting can be achieved. The complete melting of the nanosolders leads to the formation of nanoscale to microscale spherical solder balls, followed by Ostwald ripening phenomenon. The contact angle of the microscale solder balls formed on Si substrate was measured by direct electron microscopic imaging. These results provide new insights into micro- and nanoscale phase transition and liquid droplet coalescence from nanowires/nanorods to spheroids, and are relevant to nanoscale assembly and smaller ball grid array formation.

  14. A theoretical study on the effect of piezoelectric charges on the surface potential and surface depletion region of ZnO nanowires

    International Nuclear Information System (INIS)

    Purahmad, Mohsen; Stroscio, Michael A; Dutta, Mitra

    2013-01-01

    The electrostatic potential and depletion width in piezoelectric semiconductor nanowires are derived by considering a non-depleted region and a surface depleted region and solving the Poisson equation. By determining the piezoelectric-induced charge density, in terms of equivalent density of charges, the effect of piezoelectric charges on the surface depletion region and the distributed electric potential in nanowire have been investigated. The numerical results demonstrate that the ZnO NWs with a smaller radius have a larger surface depletion region which results in a stronger surface potential and depletion region perturbation by induced piezoelectric charges. (paper)

  15. Vibration of Piezoelectric Nanowires Including Surface Effects

    Directory of Open Access Journals (Sweden)

    R. Ansari

    2014-04-01

    Full Text Available In this paper, surface and piezoelectric effects on the vibration behavior of nanowires (NWs are investigated by using a Timoshenko beam model. The electric field equations and the governing equations of motion for the piezoelectric NWs are derived with the consideration of surface effects. By the exact solution of the governing equations, an expression for the natural frequencies of NWs with simply-supported boundary conditions is obtained. The effects of piezoelectricity and surface effects on the vibrational behavior of Timoshenko NWs are graphically illustrated. A comparison is also made between the predictions of Timoshenko beam model and those of its Euler-Bernoulli counterpart. Additionally, the present results are validated through comparison with the available data in the literature.

  16. Metal-dielectric-CNT nanowires for surface-enhanced Raman spectroscopy

    Science.gov (United States)

    Bond, Tiziana C.; Altun, Ali; Park, Hyung Gyu

    2017-10-03

    A sensor with a substrate includes nanowires extending vertically from the substrate, a hafnia coating on the nanowires that provides hafnia coated nanowires, and a noble metal coating on the hafnia coated nanowires. The top of the hafnia and noble metal coated nanowires bent onto one another to create a canopy forest structure. There are numerous randomly arranged holes that let through scattered light. The many points of contact, hot spots, amplify signals. The methods include the steps of providing a Raman spectroscopy substrate, introducing nano crystals to the Raman spectroscopy substrate, growing a forest of nanowires from the nano crystals on the Raman spectroscopy substrate, coating the nanowires with hafnia providing hafnia coated nanowires, and coating the hafnia coated nanowires with a noble metal or other metal.

  17. Surface decoration with MnO{sub 2} nanoplatelets on graphene/TiO{sub 2} (B) hybrids for rechargeable lithium-ion batteries

    Energy Technology Data Exchange (ETDEWEB)

    Li, Xinlu, E-mail: lixinlu@cqu.edu.cn; Zhang, Yonglai; Zhong, Qineng; Li, Tongtao; Li, Hongyi; Huang, Jiamu

    2014-09-15

    Graphical abstract: - Highlights: • The surface of graphene/TiO{sub 2} (B) hybrids is decorated by ultrathin MnO{sub 2} nanoplatelets. • MnO{sub 2}@graphene/TiO{sub 2} (B) composites exhibit high specific surface area of 283.9 m{sup 2} g{sup −1}. • The reversible capacity of graphene/TiO{sub 2} (B) hybrids is greatly improved by surface decoration with low content of MnO{sub 2}. - Abstract: Hierarchically ultrathin MnO{sub 2} nanoplatelets are decorated on the surface of graphene-based TiO{sub 2} (B) hybrids by a facile water-bath reaction to fabricate MnO{sub 2}@graphene/TiO{sub 2} (B) composites. The multi-component composites show high specific surface area of 283.9 m{sup 2} g{sup −1}, facilitating the electrochemical reactions with solvented lithium ions in the enlarged interface area. The reversible capacity of the composites remains 243 mA h g{sup −1} after 150 cycles, with capacity retention of 83.5%. In comparison with graphene/TiO{sub 2} (B) hybrids, the MnO{sub 2}@graphene/TiO{sub 2} (B) composites perform better rate capability, suggesting that surface decoration with MnO{sub 2} nanoplatelets can be a promising strategy to enhance the electrochemical performance of anode materials for lithium ion batteries.

  18. Designing robust alumina nanowires-on-nanopores structures: superhydrophobic surfaces with slippery or sticky water adhesion.

    Science.gov (United States)

    Peng, Shan; Tian, Dong; Miao, Xinrui; Yang, Xiaojun; Deng, Wenli

    2013-11-01

    Hierarchical alumina surfaces with different morphologies were fabricated by a simple one-step anodization method. These alumina films were fabricated by a new raw material: silica gel plate (aluminum foil with a low purity of 97.17%). The modulation of anodizing time enabled the formation of nanowires-on-nanopores hybrid nanostructures having controllable nanowires topographies through a self-assembly process. The resultant structures were demonstrated to be able to achieve superhydrophobicity without any hydrophobic coating layer. More interestingly, it is found that the as-prepared superhydrophobic alumina surfaces exhibited high contrast water adhesion. Hierarchical alumina film with nanowire bunches-on-nanopores (WBOP) morphology presents extremely slippery property which can obtain a sliding angle (SA) as low as 1°, nanowire pyramids-on-nanopores (WPOP) structure shows strongly sticky water adhesion with the adhesive ability to support 15 μL inverted water droplet at most. The obtained superhydrophobic alumina surfaces show remarkable mechanical durability even treated by crimping or pressing without impact on the water-repellent performance. Moreover, the created surfaces also show excellent resistivity to ice water, boiling water, high temperature, organic solvent and oil contamination, which could expand their usefulness and efficacy in harsh conditions. Copyright © 2013 Elsevier Inc. All rights reserved.

  19. Facile synthesis of palladium nanoparticle doped polyaniline nanowires in soft templates for catalytic applications

    Science.gov (United States)

    Kshirasagar, Krushna J.; Markad, Uddhav S.; Saha, Abhijit; Sharma, Kiran Kumar K.; Sharma, Geeta K.

    2017-02-01

    Palladium nanoparticles doped polyaniline (Pd-PANI) nanocomposite (NCs) is synthesized in surfactant based liquid crystalline mesophase by chemical oxidation followed by radiolysis. The confinement of the liquid crystalline mesophase facilitates polymerization of aniline monomers and their 1D growth into polyaniline (PANI) nanowires by using ammonium persulfate. The PANI nanowires have an average diameter of 30-40 nm. The in situ radiolytic reduction of palladium ions ensures uniform size distribution of the palladium (Pd) nanoparticles on the surface of the PANI nanowires. The synthesized Pd-PANI nanocomposites show wire like structures of PANI (diameter ~30-40 nm) on which Pd nanoparticles of the size 10 nm are decorated. The identical average diameter of the PANI nanowires before and post gamma irradiation suggest high stability of the PANI nanowires in liquid crystalline mesophase. Surface characterization of the NCs were carried out using BET and XPS. The catalytic activity of Pd-PANI NCs are investigated in the reduction of methylene blue (MB) and 4-nitro phenol (4-NP) by sodium borohydride (NaBH4). The kinetics of the Pd-PANI NCs catalysed reactions are analysed using the Langmuir-Hinshelwood model. The apparent rate constant (k app) for the MB and 4-NP reduction reactions is 29  ×  10-3 s-1 and 20  ×  10-3 s-1 respectively with an actual Pd catalyst loading of 2.665  ×  10-4 ppm. Further, the recyclability of the Pd-PANI NCs catalyst in both the reduction reactions shows the stability of the catalyst up to four reaction cycles tested in this investigation and the multifunctional nature of the catalyst. The study provides a new approach for the directional synthesis of conducting polymer-metal nanocomposites and their possible application as a nanocatalyst in environmental remediation.

  20. Angular dependence of switching behaviour in template released isolated NiFe nanowires

    Science.gov (United States)

    Sultan, Musaab Salman

    2017-12-01

    In this article, the magnetisation behaviour and magnetisation reversal process of both single and bundles of 3 and 7 closely-packed template released Ni60Fe40 nanowires were investigated using high-sensitivity Magneto-Optical Kerr Effect (MOKE) magnetometry. The nanowires were deposited from a dilute suspension onto gold pre-patterned silicon substrates. They were typically 9 μm in length with a diameter of approximately 200 nm. By increasing the number of clumped wires a reduction in the switching field was observed, suggesting that overall the bundle behaves like a single system and decreasing the effective external field required to switch the magnetisation. Square hysteresis loops with a sharp jump in the Kerr signal were seen for all MOKE measurement angles. This result may reflect the surface magnetisation of the nanowire, compared to their bulk behaviour as compared with the literature that adopted the same and different investigative techniques on comparable compositions and dimensions of wires. The influence of applying the magnetic field at different angles with respect to the long axis of the nanowire on the switching behaviour was analysed and compared with the theoretical calculations of non-uniform rotation of the curling model of domain reversal. An agreement and disagreement with this model was seen, respectively, for low and high angles, indicating the complexity of the magnetic state of such isolated nanowires. To confirm the results presented here, further studies are recommended using a combination of techniques sensitive to surface and bulk magnetisation on similar isolated ferromagnetic nanowires.