WorldWideScience

Sample records for surface si atom

  1. Deposition of O atomic layers on Si(100) substrates for epitaxial Si-O superlattices: investigation of the surface chemistry

    Energy Technology Data Exchange (ETDEWEB)

    Jayachandran, Suseendran, E-mail: suseendran.jayachandran@imec.be [KU Leuven, Department of Metallurgy and Materials, Castle Arenberg 44, B-3001 Leuven (Belgium); IMEC, Kapeldreef 75, 3001 Leuven (Belgium); Delabie, Annelies; Billen, Arne [KU Leuven, Department of Chemistry, Celestijnenlaan 200F, B-3001 Leuven (Belgium); IMEC, Kapeldreef 75, 3001 Leuven (Belgium); Dekkers, Harold; Douhard, Bastien; Conard, Thierry; Meersschaut, Johan; Caymax, Matty [IMEC, Kapeldreef 75, 3001 Leuven (Belgium); Vandervorst, Wilfried [KU Leuven, Department of Physics and Astronomy, Celestijnenlaan 200D, B-3001 Leuven (Belgium); IMEC, Kapeldreef 75, 3001 Leuven (Belgium); Heyns, Marc [KU Leuven, Department of Metallurgy and Materials, Castle Arenberg 44, B-3001 Leuven (Belgium); IMEC, Kapeldreef 75, 3001 Leuven (Belgium)

    2015-01-01

    Highlights: • Atomic layer is deposited by O{sub 3} chemisorption reaction on H-terminated Si(100). • O-content has critical impact on the epitaxial thickness of the above-deposited Si. • Oxygen atoms at dimer/back bond configurations enable epitaxial Si on O atomic layer. • Oxygen atoms at hydroxyl and more back bonds, disable epitaxial Si on O atomic layer. - Abstract: Epitaxial Si-O superlattices consist of alternating periods of crystalline Si layers and atomic layers of oxygen (O) with interesting electronic and optical properties. To understand the fundamentals of Si epitaxy on O atomic layers, we investigate the O surface species that can allow epitaxial Si chemical vapor deposition using silane. The surface reaction of ozone on H-terminated Si(100) is used for the O deposition. The oxygen content is controlled precisely at and near the atomic layer level and has a critical impact on the subsequent Si deposition. There exists only a small window of O-contents, i.e. 0.7–0.9 atomic layers, for which the epitaxial deposition of Si can be realized. At these low O-contents, the O atoms are incorporated in the Si-Si dimers or back bonds (-OSiH), with the surface Si atoms mainly in the 1+ oxidation state, as indicated by infrared spectroscopy. This surface enables epitaxial seeding of Si. For O-contents higher than one atomic layer, the additional O atoms are incorporated in the Si-Si back bonds as well as in the Si-H bonds, where hydroxyl groups (-Si-OH) are created. In this case, the Si deposition thereon becomes completely amorphous.

  2. Influences of H on the Adsorption of a Single Ag Atom on Si(111-7 × 7 Surface

    Directory of Open Access Journals (Sweden)

    Lin Xiu-Zhu

    2009-01-01

    Full Text Available Abstract The adsorption of a single Ag atom on both clear Si(111-7 × 7 and 19 hydrogen terminated Si(111-7 × 7 (hereafter referred as 19H-Si(111-7 × 7 surfaces has been investigated using first-principles calculations. The results indicated that the pre-adsorbed H on Si surface altered the surface electronic properties of Si and influenced the adsorption properties of Ag atom on the H terminated Si surface (e.g., adsorption site and bonding properties. Difference charge density data indicated that covalent bond is formed between adsorbed Ag and H atoms on 19H-Si(111-7 × 7 surface, which increases the adsorption energy of Ag atom on Si surface.

  3. Growth mechanisms for Si epitaxy on O atomic layers: Impact of O-content and surface structure

    Energy Technology Data Exchange (ETDEWEB)

    Jayachandran, Suseendran, E-mail: suseendran.jayachandran@imec.be [Imec, Kapeldreef 75, 3001 Leuven (Belgium); KU Leuven (University of Leuven), Department of Metallurgy and Materials, Castle Arenberg 44, B-3001 Leuven (Belgium); Billen, Arne [Imec, Kapeldreef 75, 3001 Leuven (Belgium); KU Leuven (University of Leuven), Department of Chemistry, Celestijnenlaan 200F, B-3001 Leuven (Belgium); Douhard, Bastien; Conard, Thierry; Meersschaut, Johan; Moussa, Alain; Caymax, Matty; Bender, Hugo [Imec, Kapeldreef 75, 3001 Leuven (Belgium); Vandervorst, Wilfried [Imec, Kapeldreef 75, 3001 Leuven (Belgium); KU Leuven (University of Leuven), Department of Physics and Astronomy, Celestijnenlaan 200D, B-3001 Leuven (Belgium); Heyns, Marc [Imec, Kapeldreef 75, 3001 Leuven (Belgium); KU Leuven (University of Leuven), Department of Metallurgy and Materials, Castle Arenberg 44, B-3001 Leuven (Belgium); Delabie, Annelies [Imec, Kapeldreef 75, 3001 Leuven (Belgium); KU Leuven (University of Leuven), Department of Chemistry, Celestijnenlaan 200F, B-3001 Leuven (Belgium)

    2016-10-30

    Highlights: • O{sub 3} or O{sub 2} exposures on H-Si(100) result in O ALs with different surface structures. • Si-EPI on O AL using O{sub 3} process is by direct epitaxial growth mechanism. • Si-EPI on O AL using O{sub 2} process is by epitaxial lateral overgrowth mechanism. • Distortions by O AL, SiH{sub 4} flux rate and Si thickness has an impact on Si-EPI quality. - Abstract: The epitaxial growth of Si layers on Si substrates in the presence of O atoms is generally considered a challenge, as O atoms degrade the epitaxial quality by generating defects. Here, we investigate the growth mechanisms for Si epitaxy on O atomic layers (ALs) with different O-contents and structures. O ALs are deposited by ozone (O{sub 3}) or oxygen (O{sub 2}) exposure on H-terminated Si at 50 °C and 300 °C respectively. Epitaxial Si is deposited by chemical vapor deposition using silane (SiH{sub 4}) at 500 °C. After O{sub 3} exposure, the O atoms are uniformly distributed in Si-Si dimer/back bonds. This O layer still allows epitaxial seeding of Si. The epitaxial quality is enhanced by lowering the surface distortions due to O atoms and by decreasing the arrival rate of SiH{sub 4} reactants, allowing more time for surface diffusion. After O{sub 2} exposure, the O atoms are present in the form of SiO{sub x} clusters. Regions of hydrogen-terminated Si remain present between the SiO{sub x} clusters. The epitaxial seeding of Si in these structures is realized on H-Si regions, and an epitaxial layer grows by a lateral overgrowth mechanism. A breakdown in the epitaxial ordering occurs at a critical Si thickness, presumably by accumulation of surface roughness.

  4. Surface Phenomena During Plasma-Assisted Atomic Layer Etching of SiO2.

    Science.gov (United States)

    Gasvoda, Ryan J; van de Steeg, Alex W; Bhowmick, Ranadeep; Hudson, Eric A; Agarwal, Sumit

    2017-09-13

    Surface phenomena during atomic layer etching (ALE) of SiO 2 were studied during sequential half-cycles of plasma-assisted fluorocarbon (CF x ) film deposition and Ar plasma activation of the CF x film using in situ surface infrared spectroscopy and ellipsometry. Infrared spectra of the surface after the CF x deposition half-cycle from a C 4 F 8 /Ar plasma show that an atomically thin mixing layer is formed between the deposited CF x layer and the underlying SiO 2 film. Etching during the Ar plasma cycle is activated by Ar + bombardment of the CF x layer, which results in the simultaneous removal of surface CF x and the underlying SiO 2 film. The interfacial mixing layer in ALE is atomically thin due to the low ion energy during CF x deposition, which combined with an ultrathin CF x layer ensures an etch rate of a few monolayers per cycle. In situ ellipsometry shows that for a ∼4 Å thick CF x film, ∼3-4 Å of SiO 2 was etched per cycle. However, during the Ar plasma half-cycle, etching proceeds beyond complete removal of the surface CF x layer as F-containing radicals are slowly released into the plasma from the reactor walls. Buildup of CF x on reactor walls leads to a gradual increase in the etch per cycle.

  5. Ionization of xenon Rydberg atoms at Si(1 0 0) surfaces

    Energy Technology Data Exchange (ETDEWEB)

    Dunham, H.R. [Department of Physics and Astronomy, Rice University MS-61, 6100 Main Street, Houston, TX 77005-1892 (United States); Wethekam, S. [Institut fuer Physik der Humboldt-Universitaet zu Berlin, Newtonstra. 15, D-12489, Berlin (Germany); Lancaster, J.C. [Department of Physics and Astronomy, Rice University MS-61, 6100 Main Street, Houston, TX 77005-1892 (United States); Dunning, F.B. [Department of Physics and Astronomy, Rice University MS-61, 6100 Main Street, Houston, TX 77005-1892 (United States)]. E-mail: fbd@rice.edu

    2007-03-15

    The ionization of xenon Rydberg atoms excited to the lowest states in the n = 17 and n = 20 Stark manifolds at Si(1 0 0) surfaces is investigated. It is shown that, under appropriate conditions, a sizable fraction of the incident atoms can be detected as ions. Although the onset in the ion signal is perturbed by stray fields present at the surface, the data are consistent with ionization rates similar to those measured earlier at metal surfaces.

  6. Novel pathways for elimination of chlorine atoms from growing Si(100) surfaces in CVD reactors

    Science.gov (United States)

    Kunioshi, Nílson; Hagino, Sho; Fuwa, Akio; Yamaguchi, Katsunori

    2018-05-01

    Reactions leading to elimination of chlorine atoms from growing Si(100) surfaces were simulated using clusters of silicon atoms of different sizes and shapes, and at the UB3LYP/6-31 g(d,p) level of theory. The reactions of type SiCl2(s) + 2 H2(g), where (s) indicates an adsorbed species at the surface and (g) a gas-phase species, were found to proceed in two steps: SiCl2(s) + H2(g) → SiHCl(s) + HCl(g) and SiHCl(s) + H2(g) → SiH2(s) + HCl(g), each having activation energies around 55 kcal/mol, a value which is comparable to experimental values published in the literature. In addition, the results suggested that H-passivation of Si(100) surfaces support reactions leading to canonical epitaxial growth, providing a plausible explanation for the convenience of passivating the surfaces prior to silicon deposition. The reactions analyzed here can therefore be seen as important steps in the mechanism of epitaxial growth of Si(100) surfaces.

  7. Atomic scale study of the chemistry of oxygen, hydrogen and water at SiC surfaces

    International Nuclear Information System (INIS)

    Amy, Fabrice

    2007-01-01

    Understanding the achievable degree of homogeneity and the effect of surface structure on semiconductor surface chemistry is both academically challenging and of great practical interest to enable fabrication of future generations of devices. In that respect, silicon terminated SiC surfaces such as the cubic 3C-SiC(1 0 0) 3 x 2 and the hexagonal 6H-SiC(0 0 0 1) 3 x 3 are of special interest since they give a unique opportunity to investigate the role of surface morphology on oxygen or hydrogen incorporation into the surface. In contrast to silicon, the subsurface structure plays a major role in the reactivity, leading to unexpected consequences such as the initial oxidation starting several atomic planes below the top surface or the surface metallization by atomic hydrogen. (review article)

  8. Effects of atomic hydrogen on the selective area growth of Si and Si1-xGex thin films on Si and SiO2 surfaces: Inhibition, nucleation, and growth

    International Nuclear Information System (INIS)

    Schroeder, T.W.; Lam, A.M.; Ma, P.F.; Engstrom, J.R.

    2004-01-01

    Supersonic molecular beam techniques have been used to study the nucleation of Si and Si 1-x Ge x thin films on Si and SiO 2 surfaces, where Si 2 H 6 and GeH 4 have been used as sources. A particular emphasis of this study has been an examination of the effects of a coincident flux of atomic hydrogen. The time associated with formation of stable islands of Si or Si 1-x Ge x on SiO 2 surfaces--the incubation time--has been found to depend strongly on the kinetic energy of the incident molecular precursors (Si 2 H 6 and GeH 4 ) and the substrate temperature. After coalescence, thin film morphology has been found to depend primarily on substrate temperature, with smoother films being grown at substrate temperatures below 600 deg. C. Introduction of a coincident flux of atomic hydrogen has a large effect on the nucleation and growth process. First, the incubation time in the presence of atomic hydrogen has been found to increase, especially at substrate temperatures below 630 deg. C, suggesting that hydrogen atoms adsorbed on Si-like sites on SiO 2 can effectively block nucleation of Si. Unfortunately, in terms of promoting selective area growth, coincident atomic hydrogen also decreases the rate of epitaxial growth rate, essentially offsetting any increase in the incubation time for growth on SiO 2 . Concerning Si 1-x Ge x growth, the introduction of GeH 4 produces substantial changes in both thin film morphology and the rate nucleation of poly-Si 1-x Ge x on SiO 2 . Briefly, the addition of Ge increases the incubation time, while it lessens the effect of coincident hydrogen on the incubation time. Finally, a comparison of the maximum island density, the time to reach this density, and the steady-state polycrystalline growth rate strongly suggests that all thin films [Si, Si 1-x Ge x , both with and without H(g)] nucleate at special sites on the SiO 2 surface, and grow primarily via direct deposition of adatoms on pre-existing islands

  9. Adsorption of selenium atoms at the Si(1 1 1)-7 x 7 surface: A combination of scanning tunnelling microscopy and density functional theory studies

    International Nuclear Information System (INIS)

    Wu, S.Q.; Zhou Yinghui; Wu Qihui; Pakes, C.I.; Zhu Zizhong

    2011-01-01

    Graphical abstract: A selenium atom, which adsorbs at site close to a Si adatom and bonds with this Si adatom and one of its backbonding Si atoms on the Si(1 1 1)-7 x 7 surface, will break the Si-Si bond and consequently disorder the Si reconstruction surface. Research highlights: → STM and DFT are used to study the adsorption properties of Se atoms on a Si surface. → The adsorption site of Se atom on the Si surface has been identified. → The electronic effect of Se atom on the adsorbed Si surface has been ivestigaed. → The Se atom weakens the bond between two Si atom bonding with the Se atom. - Abstract: The adsorption of selenium (Se) atoms at the Si(1 1 1)-7 x 7 surface has been investigated using both scanning tunnelling microscopy (STM) and density functional theory calculations. A single Se atom prefers to adsorb at sites close to a Si adatom and bonds with this Si adatom and one of its backbonding Si atoms. The adsorption sites are referred to as A*-type sites in this article. The density of the conduction band (empty states) of the Si adatom increases as a result of the adsorption of a Se atom, which causes the Si adatom to become brighter in the empty state STM images. At the same time, the adsorption of the Se atom weakens the bonding between the Si adatom and its backbonding Si atom due to the charge transfer from them to the Se atom, and consequently destructs the ordered Si(1 1 1)-7 x 7 surface with increasing Se coverage.

  10. Adsorption/desorption kinetics of Na atoms on reconstructed Si (111)-7 x 7 surface

    International Nuclear Information System (INIS)

    Chauhan, Amit Kumar Singh; Govind; Shivaprasad, S.M.

    2010-01-01

    Self-assembled nanostructures on a periodic template are fundamentally and technologically important as they put forward the possibility to fabricate and pattern micro/nano-electronics for sensors, ultra high-density memories and nanocatalysts. Alkali-metal (AM) nanostructure grown on a semiconductor surface has received considerable attention because of their simple hydrogen like electronic structure. However, little efforts have been made to understand the fundamental aspects of the growth mechanism of self-assembled nanostructures of AM on semiconductor surfaces. In this paper, we report organized investigation of kinetically controlled room-temperature (RT) adsorption/desorption of sodium (Na) metal atoms on clean reconstructed Si (111)-7 x 7 surface, by X-ray photoelectron spectroscopy (XPS). The RT uptake curve shows a layer-by-layer growth (Frank-vander Merve growth) mode of Na on Si (111)-7 x 7 surfaces and a shift is observed in the binding energy position of Na (1s) spectra. The thermal stability of the Na/Si (111) system was inspected by annealing the system to higher substrate temperatures. Within a temperature range from RT to 350 o C, the temperature induced mobility to the excess Na atoms sitting on top of the bilayer, allowing to arrange themselves. Na atoms desorbed over a wide temperature range of 370 o C, before depleting the Si (111) surface at temperature 720 o C. The acquired valence-band (VB) spectra during Na growth revealed the development of new electronic-states near the Fermi level and desorption leads the termination of these. For Na adsorption up to 2 monolayers, decrease in work function (-1.35 eV) was observed, whereas work function of the system monotonically increases with Na desorption from the Si surface as observed by other studies also. This kinetic and thermodynamic study of Na adsorbed Si (111)-7 x 7 system can be utilized in fabrication of sensors used in night vision devices.

  11. Surface diffusion of carbon atom and carbon dimer on Si(0 0 1) surface

    International Nuclear Information System (INIS)

    Zhu, J.; Pan, Z.Y.; Wang, Y.X.; Wei, Q.; Zang, L.K.; Zhou, L.; Liu, T.J.; Jiang, X.M.

    2007-01-01

    Carbon (C) atom and carbon dimer (C2) are known to be the main projectiles in the deposition of diamond-like carbon (DLC) films. The adsorption and diffusion of the C adatom and addimer (C2) on the fully relaxed Si(0 0 1)-(2 x 1) surface was studied by a combination of the molecular dynamics (MD) and Monte Carlo (MC) simulation. The adsorption sites of the C and C2 on the surface and the potential barriers between these sites were first determined using the semi-empirical many-body Brenner and Tersoff potential. We then estimated their hopping rates and traced their pathways. It is found that the diffusion of both C and C2 is strongly anisotropic in nature. In addition, the C adatom can diffuse a long distance on the surface while the adsorbed C2 is more likely to be confined in a local region. Thus we can expect that smoother films will be formed on the Si(0 0 1) surface with single C atoms as projectile at moderate temperature, while with C2 the films will grow in two-dimensional islands. In addition, relatively higher kinetic energy of the projectile, say, a few tens of eV, is needed to grow DLC films of higher quality. This is consistent with experimental findings

  12. Single OR molecule and OR atomic circuit logic gates interconnected on a Si(100)H surface

    International Nuclear Information System (INIS)

    Ample, F; Joachim, C; Duchemin, I; Hliwa, M

    2011-01-01

    Electron transport calculations were carried out for three terminal OR logic gates constructed either with a single molecule or with a surface dangling bond circuit interconnected on a Si(100)H surface. The corresponding multi-electrode multi-channel scattering matrix (where the central three terminal junction OR gate is the scattering center) was calculated, taking into account the electronic structure of the supporting Si(100)H surface, the metallic interconnection nano-pads, the surface atomic wires and the molecule. Well interconnected, an optimized OR molecule can only run at a maximum of 10 nA output current intensity for a 0.5 V bias voltage. For the same voltage and with no molecule in the circuit, the output current of an OR surface atomic scale circuit can reach 4 μA.

  13. Surface passivation of n-type doped black silicon by atomic-layer-deposited SiO2/Al2O3 stacks

    Science.gov (United States)

    van de Loo, B. W. H.; Ingenito, A.; Verheijen, M. A.; Isabella, O.; Zeman, M.; Kessels, W. M. M.

    2017-06-01

    Black silicon (b-Si) nanotextures can significantly enhance the light absorption of crystalline silicon solar cells. Nevertheless, for a successful application of b-Si textures in industrially relevant solar cell architectures, it is imperative that charge-carrier recombination at particularly highly n-type doped black Si surfaces is further suppressed. In this work, this issue is addressed through systematically studying lowly and highly doped b-Si surfaces, which are passivated by atomic-layer-deposited Al2O3 films or SiO2/Al2O3 stacks. In lowly doped b-Si textures, a very low surface recombination prefactor of 16 fA/cm2 was found after surface passivation by Al2O3. The excellent passivation was achieved after a dedicated wet-chemical treatment prior to surface passivation, which removed structural defects which resided below the b-Si surface. On highly n-type doped b-Si, the SiO2/Al2O3 stacks result in a considerable improvement in surface passivation compared to the Al2O3 single layers. The atomic-layer-deposited SiO2/Al2O3 stacks therefore provide a low-temperature, industrially viable passivation method, enabling the application of highly n- type doped b-Si nanotextures in industrial silicon solar cells.

  14. Surface passivation of nano-textured fluorescent SiC by atomic layer deposited TiO2

    DEFF Research Database (Denmark)

    Lu, Weifang; Ou, Yiyu; Jokubavicius, Valdas

    2016-01-01

    Nano-textured surfaces have played a key role in optoelectronic materials to enhance the light extraction efficiency. In this work, morphology and optical properties of nano-textured SiC covered with atomic layer deposited (ALD) TiO2 were investigated. In order to obtain a high quality surface fo...

  15. Formation of InN atomic-size wires by simple N adsorption on the In/Si(111)–(4 × 1) surface

    International Nuclear Information System (INIS)

    Guerrero-Sánchez, J.; Takeuchi, Noboru

    2016-01-01

    Highlights: • N atoms on the surface form bonds with two In atoms and one Si atom. • Surface formation energy calculations show two stable structures with formation of InN atomic-size wires. • Projected density of states shows a tendency to form In−N and Si−N bonds on the surface. • Charge density corroborates the covalent character of the In−N bonds. - Abstract: We have carried out first principles total energy calculations to study the formation of InN atomic-size wires on the In/Si(111)–(4 × 1) surface. In its most favorable adsorption site, a single N atom forms InN arrangements. The deposit of 0.25 monolayers (MLs) of N atoms, result in the breaking of one of the original In chains and the formation of an InN atomic size wire. Increasing the coverage up to 0.5 ML of N atoms results in the formation of two of those wires. Calculated surface formation energies show that for N-poor conditions the most stable configuration is the original In/Si(111)–(4 × 1) surface with no N atoms. Increasing the N content, and in a reduced range of chemical potential, the formation of an InN wire is energetically favorable. Instead, from intermediate to N-rich conditions, two InN atomic wires are more stable. Projected density of states calculations have shown a trend to form covalent bonds between the In−p and N−p orbitals in these stable models.

  16. Atomic structures of a monolayer of AlAs, GaAs, and InAs on Si(111)

    International Nuclear Information System (INIS)

    Lee, Geunjung; Yoon, Younggui

    2010-01-01

    We study atomic structures of a monolayer of AlAs, GaAs, and InAs on a Si(111) substrate from first-principles. The surface with the stacking sequence of ...SiSiMAsSiAs is energetically more stable than the surface with the stacking sequence of ...SiSiSiAsMAs, where M is Al, Ga, or In. The atomic structure of the three top layers of the low-energy surfaces are quite robust, irrespective of M, and the atomic structure of the AlAsSiAs terminated surface and that of the GaAsSiAs terminated surface are very similar. For the high-energy AsMAs terminated surfaces, the broken local tetrahedral symmetry plays an important role in the atomic structures. The calculated atomic structures of InAs on the Si(111) substrate depart most from the structure of crystalline Si.

  17. Energy dissipation unveils atomic displacement in the noncontact atomic force microscopy imaging of Si(111 )-(7 ×7 )

    Science.gov (United States)

    Arai, Toyoko; Inamura, Ryo; Kura, Daiki; Tomitori, Masahiko

    2018-03-01

    The kinetic energy of the oscillating cantilever of noncontact atomic force microscopy (nc-AFM) at room temperature was considerably dissipated over regions between a Si adatom and its neighboring rest atom for Si(111 )-(7 ×7 ) in close proximity to a Si tip on the cantilever. However, nc-AFM topographic images showed no atomic features over those regions, which were the hollow sites of the (7 ×7 ). This energy dissipation likely originated from displacement of Si adatoms with respect to the tip over the hollow sites, leading to a lateral shift of the adatoms toward the rest atom. This interaction led to hysteresis over each cantilever oscillation cycle; when the tip was retracted, the Si adatom likely returned to its original position. To confirm the atomic processes involved in the force interactions through Si dangling bonds, the Si(111 )-(7 ×7 ) surface was partly terminated with atomic hydrogen (H) and examined by nc-AFM. When the Si adatoms and/or the rest atoms were terminated with H, the hollow sites were not bright (less dissipation) in images of the energy dissipation channels by nc-AFM. The hollow sites acted as metastable sites for Si adatoms in surface diffusion and atom manipulation; thus, the dissipation energy which is saturated on the tip likely corresponds to the difference in the potential energy between the hollow site and the Si adatom site. In this study, we demonstrated the ability of dissipation channels of nc-AFM to enable visualization of the dynamics of atoms and molecules on surfaces, which cannot be revealed by nc-AFM topographic images alone.

  18. Surface-site-selective study of valence electronic states of a clean Si(111)-7x7 surface using Si L23VV Auger electron and Si 2p photoelectron coincidence measurements

    International Nuclear Information System (INIS)

    Kakiuchi, Takuhiro; Tahara, Masashi; Nagaoka, Shin-ichi; Hashimoto, Shogo; Fujita, Narihiko; Tanaka, Masatoshi; Mase, Kazuhiko

    2011-01-01

    Valence electronic states of a clean Si(111)-7x7 surface are investigated in a surface-site-selective way using high-resolution coincidence measurements of Si pVV Auger electrons and Si 2p photoelectrons. The Si L 23 VV Auger electron spectra measured in coincidence with energy-selected Si 2p photoelectrons show that the valence band at the highest density of states in the vicinity of the rest atoms is shifted by ∼0.95 eV toward the Fermi level (E F ) relative to that in the vicinity of the pedestal atoms (atoms directly bonded to the adatoms). The valence-band maximum in the vicinity of the rest atoms, on the other hand, is shown to be shifted by ∼0.53 eV toward E F relative to that in the vicinity of the pedestal atoms. The Si 2p photoelectron spectra of Si(111)-7x7 measured in coincidence with energy-selected Si L 23 VV Auger electrons identify the topmost surface components, and suggest that the dimers and the rest atoms are negatively charged while the pedestal atoms are positively charged. Furthermore, the Si 2p-Si L 23 VV photoelectron Auger coincidence spectroscopy directly verifies that the adatom Si 2p component (usually denoted by C 3 ) is correlated with the surface state just below E F (usually denoted by S 1 ), as has been observed in previous angle-resolved photoelectron spectroscopy studies.

  19. Preparation of Atomically Flat Si(111)-H Surfaces in Aqueous Ammonium Fluoride Solutions Investigated by Using Electrochemical, In Situ EC-STM and ATR-FTIR Spectroscopic Methods

    International Nuclear Information System (INIS)

    Bae, Sang Eun; Oh, Mi Kyung; Min, Nam Ki; Paek, Se Hwan; Hong, Suk In; Lee, Chi-Woo J.

    2004-01-01

    Electrochemical, in situ electrochemical scanning tunneling microscope (EC-STM), and attenuated total reflectance-FTIR (ATR-FTIR) spectroscopic methods were employed to investigate the preparation of atomically flat Si(111)-H surface in ammonium fluoride solutions. Electrochemical properties of atomically flat Si(111)-H surface were characterized by anodic oxidation and cathodic hydrogen evolution with the open circuit potential (OCP) of ca. .0.4 V in concentrated ammonium fluoride solutions. As soon as the natural oxide-covered Si(111) electrode was immersed in fluoride solutions, OCP quickly shifted to near .1 V, which was more negative than the flat band potential of silicon surface, indicating that the surface silicon oxide had to be dissolved into the solution. OCP changed to become less negative as the oxide layer was being removed from the silicon surface. In situ EC-STM data showed that the surface was changed from the initial oxide covered silicon to atomically rough hydrogen-terminated surface and then to atomically flat hydrogen terminated surface as the OCP moved toward less negative potentials. The atomically flat Si(111)-H structure was confirmed by in situ EC-STM and ATR-FTIR data. The dependence of atomically flat Si(111)-H terrace on mis-cut angle was investigated by STM, and the results agreed with those anticipated by calculation. Further, the stability of Si(111)-H was checked by STM in ambient laboratory conditions

  20. Correlation between morphology, electron band structure, and resistivity of Pb atomic chains on the Si(5 5 3)-Au surface

    International Nuclear Information System (INIS)

    Jałochowski, M; Kwapiński, T; Łukasik, P; Nita, P; Kopciuszyński, M

    2016-01-01

    Structural and electron transport properties of multiple Pb atomic chains fabricated on the Si(5 5 3)-Au surface are investigated using scanning tunneling spectroscopy, reflection high electron energy diffraction, angular resolved photoemission electron spectroscopy and in situ electrical resistance. The study shows that Pb atomic chains growth modulates the electron band structure of pristine Si(5 5 3)-Au surface and hence changes its sheet resistivity. Strong correlation between chains morphology, electron band structure and electron transport properties is found. To explain experimental findings a theoretical tight-binding model of multiple atomic chains interacting on effective substrate is proposed. (paper)

  1. Dislocation behavior of surface-oxygen-concentration controlled Si wafers

    International Nuclear Information System (INIS)

    Asazu, Hirotada; Takeuchi, Shotaro; Sannai, Hiroya; Sudo, Haruo; Araki, Koji; Nakamura, Yoshiaki; Izunome, Koji; Sakai, Akira

    2014-01-01

    We have investigated dislocation behavior in the surface area of surface-oxygen-concentration controlled Si wafers treated by a high temperature rapid thermal oxidation (HT-RTO). The HT-RTO process allows us to precisely control the interstitial oxygen concentration ([O i ]) in the surface area of the Si wafers. Sizes of rosette patterns, generated by nano-indentation and subsequent thermal annealing at 900 °C for 1 h, were measured for the Si wafers with various [O i ]. It was found that the rosette size decreases in proportion to the − 0.25 power of [O i ] in the surface area of the Si wafers, which were higher than [O i ] of 1 × 10 17 atoms/cm 3 . On the other hand, [O i ] of lower than 1 × 10 17 atoms/cm 3 did not affect the rosette size very much. These experimental results demonstrate the ability of the HT-RTO process to suppress the dislocation movements in the surface area of the Si wafer. - Highlights: • Surface-oxygen-concentration controlled Si wafers have been made. • The oxygen concentration was controlled by high temperature rapid thermal oxidation. • Dislocation behavior in the surface area of the Si wafers has been investigated. • Rosette size decreased with increasing of interstitial oxygen atoms. • The interstitial oxygen atoms have a pinning effect of dislocations at the surface

  2. Lowering the density of electronic defects on organic-functionalized Si(100) surfaces

    International Nuclear Information System (INIS)

    Peng, Weina; DeBenedetti, William J. I.; Kim, Seonjae; Chabal, Yves J.; Hines, Melissa A.

    2014-01-01

    The electrical quality of functionalized, oxide-free silicon surfaces is critical for chemical sensing, photovoltaics, and molecular electronics applications. In contrast to Si/SiO 2 interfaces, the density of interface states (D it ) cannot be reduced by high temperature annealing because organic layers decompose above 300 °C. While a reasonable D it is achieved on functionalized atomically flat Si(111) surfaces, it has been challenging to develop successful chemical treatments for the technologically relevant Si(100) surfaces. We demonstrate here that recent advances in the chemical preparation of quasi-atomically-flat, H-terminated Si(100) surfaces lead to a marked suppression of electronic states of functionalized surfaces. Using a non-invasive conductance-voltage method to study functionalized Si(100) surfaces with varying roughness, a D it as low as 2.5 × 10 11  cm −2 eV −1 is obtained for the quasi-atomically-flat surfaces, in contrast to >7 × 10 11  cm −2 eV −1 on atomically rough Si(100) surfaces. The interfacial quality of the organic/quasi-atomically-flat Si(100) interface is very close to that obtained on organic/atomically flat Si(111) surfaces, opening the door to applications previously thought to be restricted to Si(111)

  3. Influence of the Localization of Ge Atoms within the Si(001(4 × 2 Surface Layer on Semicore One-Electron States

    Directory of Open Access Journals (Sweden)

    Olha I. Tkachuk

    2016-03-01

    Full Text Available Adsorption complexes of germanium on the reconstructed Si(001(4 × 2 surface have been simulated by the Si96Ge2Н84 cluster. For Ge atoms located on the surface layer, DFT calculations (B3LYP/6-31G** of their 3d semicore-level energies have shown a clear-cut correlation between the 3d5/2 chemical shifts and mutual arrangement of Ge atoms. Such a shift is positive when only one Ge atom penetrates into the crystalline substrate, while being negative for both penetrating Ge atoms. We interpret these results in terms of the charge distribution in clusters under consideration.

  4. Si/C and H coadsorption at 4H-SiC{0001} surfaces

    Energy Technology Data Exchange (ETDEWEB)

    Wachowicz, E., E-mail: elwira@ifd.uni.wroc.pl [Institute of Experimental Physics, University of Wrocław, Plac M. Borna 9, PL-50-204 Wrocław (Poland); Interdisciplinary Centre for Mathematical and Computational Modelling, University of Warsaw, Pawińskiego 5a, PL-02-106 Warsaw (Poland)

    2016-06-15

    Highlights: • Si on C-terminated and C on Si-terminated surface adsorb in the H{sub 3} hollow site. • The preferred adsorption site is in contrary to the stacking order of bulk crystal. • The presence of hydrogen increases the adsorption energy of Si/C. • Hydrogen weakens the bonds between the adsorbed Si or C and the surface. • Carbon adsorbs on top of the surface carbon on the C-terminated surface. • With both C and H on Si-terminated surface the surface state vanishes. - Abstract: Density functional theory (DFT) study of adsorption of 0.25 monolayer of either Si or C on 4H-SiC{0001} surfaces is presented. The adsorption in high-symmetry sites on both Si- and C-terminated surfaces was examined and the influence of the preadsorbed 0.25 ML of hydrogen on the Si/C adsorption was considered. It was found out that for Si on C-terminated surface and C on Si-terminated the most favourable is threefolded adsorption site on both clean and H-precovered surface. This is contrary to the bulk crystal stacking order which would require adsorption on top of the topmost surface atom. In those cases, the presence of hydrogen weakens the bonding of the adsorbate. Carbon on the C-terminated surface, only binds on-top of the surface atom. The C−C bond-length is almost the same for the clean surface and for one with H and equals to ∼1.33 Å which is shorter by ∼0.2 than in diamond. The analysis of the electronic structure changes under adsorption is also presented.

  5. Adsorption and surface reaction of bis-diethylaminosilane as a Si precursor on an OH-terminated Si (0 0 1) surface

    International Nuclear Information System (INIS)

    Baek, Seung-Bin; Kim, Dae-Hee; Kim, Yeong-Cheol

    2012-01-01

    The adsorption and the surface reaction of bis-diethylaminosilane (SiH 2 [N(C 2 H 5 ) 2 ] 2 , BDEAS) as a Si precursor on an OH-terminated Si (0 0 1) surface were investigated to understand the initial reaction mechanism of the atomic layer deposition (ALD) process using density functional theory. The bond dissociation energies between two atoms in BDEAS increased in the order of Si-H, Si-N, and the rest of the bonds. Therefore, the relatively weak Si-H and Si-N bonds were considered for bond breaking during the surface reaction. Optimum locations of BDEAS for the Si-H and Si-N bond breaking were determined on the surface, and adsorption energies of 0.43 and 0.60 eV, respectively, were obtained. The Si-H bond dissociation energy of the adsorbed BDEAS on the surface did not decrease, so that a high reaction energy barrier of 1.60 eV was required. On the other hand, the Si-N bond dissociation energy did decrease, so that a relatively low reaction energy barrier of 0.52 eV was required. When the surface reaction energy barrier was higher than the adsorption energy, BDEAS would be desorbed from the surface instead of being reacted. Therefore, the Si-N bond breaking would be dominantly involved during the surface reaction, and the result is in good agreement with the experimental data in the literature.

  6. X-ray photoelectron spectroscopy studies of nitridation on 4H-SiC (0001) surface by direct nitrogen atomic source

    International Nuclear Information System (INIS)

    Chai, J. W.; Pan, J. S.; Zhang, Z.; Wang, S. J.; Chen, Q.; Huan, C. H. A.

    2008-01-01

    A Si 3 N 4 passivation layer has been successfully grown on the 4H-SiC (0001) surface by direct atomic source nitridation at various substrate temperatures. In situ x-ray photoelectron spectroscopy measurements show that higher substrate temperature leads to higher nitridation rate and good crystallinity of the passivation layer. A thin oxynitride layer on the top of the Si 3 N 4 was observed due to the residual O in the vacuum system, but was decomposed during annealing. In the meantime, excess C was found to be effectively removed by the reactive atomic N source

  7. Low thermal budget surface preparation of Si and SiGe

    International Nuclear Information System (INIS)

    Abbadie, A.; Hartmann, J.M.; Holliger, P.; Semeria, M.N.; Besson, P.; Gentile, P.

    2004-01-01

    Using a two-step cleaning, we have investigated the low thermal budget surface preparation of Si and Si 1-x Ge x (x=0.2-0.33). It consists of an ex situ 'HF-last' wet-cleaning and an in situ low thermal budget H 2 bake in a reduced pressure-chemical vapor deposition reactor. Using secondary ion mass spectrometry, we have evaluated the effects of different H 2 bake temperatures (in between 750 and 850 deg. C for 2 min) on the removal efficiency of C, O and F atoms still present on the surface of Si and SiGe virtual substrates after the 'HF-last' wet-cleaning. We have then examined the impact of the (wet-cleaning+H 2 bake) combination on the surface cross-hatch of SiGe as-grown virtual substrates, focusing on the analysis, notably by atomic force microscopy, of the surface topography before and after the miscellaneous thermal treatments. In situ hydrogen baking steps in between 775 and 850 deg. C do not modify the surface morphology and roughness. An easy and rapid optical characterization method, i.e. the optical interferometry, is presented as well to monitor in line the morphological changes induced by such processing steps as chemical mechanical polishing, wet-cleaning, H 2 bake, etc. Despite the lower resolution of the optical profilometer, the surface roughness values coming from it have been correctly correlated with those obtained from AFM. An optimized 'HF-last' wet-cleaning using a diluted chemistry in conjunction with a H 2 bake at 800 deg. C for 2 min (775 deg. C, 2') is a good compromise for SiGe (Si) surface preparation

  8. Preparation of atomically clean and flat Si(1 0 0) surfaces by low-energy ion sputtering and low-temperature annealing

    International Nuclear Information System (INIS)

    Kim, J.C.; Ji, J.-Y.; Kline, J.S.; Tucker, J.R.; Shen, T.-C.

    2003-01-01

    Si(1 0 0) surfaces were prepared by wet-chemical etching followed by 0.3-1.5 keV Ar ion sputtering, either at elevated or room temperature (RT). After a brief anneal under ultrahigh vacuum (UHV) conditions, the resulting surfaces were examined by scanning tunneling microscopy. We find that wet-chemical etching alone cannot produce a clean and flat Si(1 0 0) surface. However, subsequent 300 eV Ar ion sputtering at room temperature followed by a 700 deg. C anneal yields atomically clean and flat Si(1 0 0) surfaces suitable for nanoscale device fabrication

  9. Atomistics of Ge deposition on Si(100) by atomic layer epitaxy.

    Science.gov (United States)

    Lin, D S; Wu, J L; Pan, S Y; Chiang, T C

    2003-01-31

    Chlorine termination of mixed Ge/Si(100) surfaces substantially enhances the contrast between Ge and Si sites in scanning tunneling microscopy observations. This finding enables a detailed investigation of the spatial distribution of Ge atoms deposited on Si(100) by atomic layer epitaxy. The results are corroborated by photoemission measurements aided by an unusually large chemical shift between Cl adsorbed on Si and Ge. Adsorbate-substrate atomic exchange during growth is shown to be important. The resulting interface is thus graded, but characterized by a very short length scale of about one monolayer.

  10. Atomic Layer Deposition of Al2O3 on H-Passivated GeSi: Initial Surface Reaction Pathways with H/GeSi(100)-2 × 1

    International Nuclear Information System (INIS)

    Yu, Shi; Qing-Qing, Sun; Lin, Dong; Han, Liu; Shi-Jin, Ding; Wei, Zhang

    2009-01-01

    The reaction mechanisms of Al(CH 3 ) 3 (TMA) adsorption on H-passivated GeSi(100)-2 × 1 surface are investigated with density functional theory. The Si–Ge and Ge–Ge one-dimer cluster models are employed to represent the GeSi(100)-2 × 1 surface with different Ge compositions. For a Si-Ge dimer of a H-passivated SiGe surface, TMA adsorption on both Si–H * and Ge–H * sites is considered. The activation barrier of TMA with the Si–H * site (1.2eV) is higher than that of TMA with the Ge-H * site (0.91 eV), which indicates that the reaction proceeds more slowly on the Si-H * site than on the Ge-H * site. In addition, adsorption of TMA is more energetically favorable on the Ge–Ge dimer than on the Si–Ge dimer of H-passivated SiGe. (atomic and molecular physics)

  11. Control of the graphene growth rate on capped SiC surface under strong Si confinement

    International Nuclear Information System (INIS)

    Çelebi, C.; Yanık, C.; Demirkol, A.G.; Kaya, İsmet İ.

    2013-01-01

    Highlights: ► Graphene is grown on capped SiC surface with well defined cavity size. ► Graphene growth rate linearly increases with the cavity height. ► Graphene uniformity is reduced with thickness. - Abstract: The effect of the degree of Si confinement on the thickness and morphology of UHV grown epitaxial graphene on (0 0 0 −1) SiC is investigated by using atomic force microscopy and Raman spectroscopy measurements. Prior to the graphene growth process, the C-face surface of a SiC substrate is capped by another SiC comprising three cavities on its Si-rich surface with depths varying from 0.5 to 2 microns. The Si atoms, thermally decomposed from the sample surface during high temperature annealing of the SiC cap /SiC sample stack, are separately trapped inside these individual cavities at the sample/cap interface. Our analyses show that the growth rate linearly increases with the cavity height. It was also found that stronger Si confinement yields more uniform graphene layers.

  12. Epitaxial ferromagnetic Fe3Si on GaAs(111)A with atomically smooth surface and interface

    International Nuclear Information System (INIS)

    Liu, Y. C.; Hung, H. Y.; Kwo, J.; Chen, Y. W.; Lin, Y. H.; Cheng, C. K.; Hong, M.; Tseng, S. C.; Hsu, C. H.; Chang, M. T.; Lo, S. C.

    2015-01-01

    Single crystal ferromagnetic Fe 3 Si(111) films were grown epitaxially on GaAs(111)A by molecular beam epitaxy. These hetero-structures possess extremely low surface roughness of 1.3 Å and interfacial roughness of 1.9 Å, measured by in-situ scanning tunneling microscope and X-ray reflectivity analyses, respectively, showing superior film quality, comparing to those attained on GaAs(001) in previous publications. The atomically smooth interface was revealed by the atomic-resolution Z (atomic number)-contrast scanning transmission electron microscopy (STEM) images using the correction of spherical aberration (Cs)-corrected electron probe. Excellent crystallinity and perfect lattice match were both confirmed by high resolution x-ray diffraction. Measurements of magnetic property for the Fe 3 Si/GaAs(111) yielded a saturation moment of 990 emu/cm 3 with a small coercive field ≤1 Oe at room temperature

  13. Direct observation for atomically flat and ordered vertical {111} side-surfaces on three-dimensionally figured Si(110) substrate using scanning tunneling microscopy

    Science.gov (United States)

    Yang, Haoyu; Hattori, Azusa N.; Ohata, Akinori; Takemoto, Shohei; Hattori, Ken; Daimon, Hiroshi; Tanaka, Hidekazu

    2017-11-01

    A three-dimensional Si{111} vertical side-surface structure on a Si(110) wafer was fabricated by reactive ion etching (RIE) followed by wet-etching and flash-annealing treatments. The side-surface was studied with scanning tunneling microscopy (STM) in atomic scale for the first time, in addition to atomic force microscopy (AFM), scanning electron microscopy (SEM), and low-energy electron diffraction (LEED). AFM and SEM showed flat and smooth vertical side-surfaces without scallops, and STM proved the realization of an atomically-flat 7 × 7-reconstructed structure, under optimized RIE and wet-etching conditions. STM also showed that a step-bunching occurred on the produced {111} side-surface corresponding to a reversely taped side-surface with a tilt angle of a few degrees, but did not show disordered structures. Characteristic LEED patterns from both side- and top-reconstructed surfaces were also demonstrated.

  14. Atomic force microscopy measurements of topography and friction on dotriacontane films adsorbed on a SiO2 surface

    DEFF Research Database (Denmark)

    Trogisch, S.; Simpson, M.J.; Taub, H.

    2005-01-01

    We report comprehensive atomic force microscopy (AFM) measurements at room temperature of the nanoscale topography and lateral friction on the surface of thin solid films of an intermediate-length normal alkane, dotriacontane (n-C32H66), adsorbed onto a SiO2 surface. Our topographic and frictional...

  15. Surface segregation of Ge during Si growth on Ge/Si(0 0 1) at low temperature observed by high-resolution RBS

    International Nuclear Information System (INIS)

    Nakajima, K.; Hosaka, N.; Hattori, T.; Kimura, K.

    2002-01-01

    The Si/Ge/Si(0 0 1) multilayer with about 1 ML Ge layer is fabricated by evaporating Si overlayer on a Ge/Si(0 0 1) surface at 20-300 deg. C. The depth profile of the Ge atoms is observed by high-resolution Rutherford backscattering spectroscopy to investigate the possibility of Ge delta doping in Si. The observed profile of the Ge atoms spreads over several atomic layers even at 20 deg. C and a significant amount of Ge is located in the surface layer at higher temperatures. The results at 20-150 deg. C are well explained with two-layer model for surface segregation of the Ge atoms and the segregation rates are estimated. The activation energy for surface segregation of Ge atoms in amorphous Si is evaluated to be 0.035 eV, which is much smaller than the value reported for Si deposition at 500 deg. C. The small activation energy suggests that local heating during the Si deposition is dominant at low temperature

  16. Near surface silicide formation after off-normal Fe-implantation of Si(001) surfaces

    Energy Technology Data Exchange (ETDEWEB)

    Khanbabaee, B., E-mail: khanbabaee@physik.uni-siegen.de; Pietsch, U. [Solid State Physics, University of Siegen, D-57068 Siegen (Germany); Lützenkirchen-Hecht, D. [Fachbereich C - Physik, Bergische Universität Wuppertal, D-42097 Wuppertal (Germany); Hübner, R.; Grenzer, J.; Facsko, S. [Helmholtz-Zentrum Dresden-Rossendorf, 01314 Dresden (Germany)

    2014-07-14

    We report on formation of non-crystalline Fe-silicides of various stoichiometries below the amorphized surface of crystalline Si(001) after irradiation with 5 keV Fe{sup +} ions under off-normal incidence. We examined samples prepared with ion fluences of 0.1 × 10{sup 17} and 5 × 10{sup 17} ions cm{sup −2} exhibiting a flat and patterned surface morphology, respectively. Whereas the iron silicides are found across the whole surface of the flat sample, they are concentrated at the top of ridges at the rippled surface. A depth resolved analysis of the chemical states of Si and Fe atoms in the near surface region was performed by combining X-ray photoelectron spectroscopy and X-ray absorption spectroscopy (XAS) using synchrotron radiation. The chemical shift and the line shape of the Si 2p core levels and valence bands were measured and associated with the formation of silicide bonds of different stoichiometric composition changing from an Fe-rich silicides (Fe{sub 3}Si) close to the surface into a Si-rich silicide (FeSi{sub 2}) towards the inner interface to the Si(001) substrate. This finding is supported by XAS analysis at the Fe K-edge which shows changes of the chemical environment and the near order atomic coordination of the Fe atoms in the region close to surface. Because a similar Fe depth profile has been found for samples co-sputtered with Fe during Kr{sup +} ion irradiation, our results suggest the importance of chemically bonded Fe in the surface region for the process of ripple formation.

  17. Study of Si wafer surfaces irradiated by gas cluster ion beams

    International Nuclear Information System (INIS)

    Isogai, H.; Toyoda, E.; Senda, T.; Izunome, K.; Kashima, K.; Toyoda, N.; Yamada, I.

    2007-01-01

    The surface structures of Si (1 0 0) wafers subjected to gas cluster ion beam (GCIB) irradiation have been analyzed by cross-sectional transmission electron microscopy (XTEM) and atomic force microscopy (AFM). GCIB irradiation is a promising technique for both precise surface etching and planarization of Si wafers. However, it is very important to understand the crystalline structure of Si wafers after GCIB irradiation. An Ar-GCIB used for the physically sputtering of Si atoms and a SF 6 -GCIB used for the chemical etching of the Si surface are also analyzed. The GCIB irradiation increases the surface roughness of the wafers, and amorphous Si layers are formed on the wafer surface. However, when the Si wafers are annealed in hydrogen at a high temperature after the GCIB irradiation, the surface roughness decreases to the same level as that before the irradiation. Moreover, the amorphous Si layers disappear completely

  18. Epitaxial ferromagnetic Fe{sub 3}Si on GaAs(111)A with atomically smooth surface and interface

    Energy Technology Data Exchange (ETDEWEB)

    Liu, Y. C.; Hung, H. Y.; Kwo, J., E-mail: chsu@nsrrc.org.tw, E-mail: raynien@phys.nthu.edu.tw, E-mail: mhong@phys.ntu.edu.tw [Department of Physics, National Tsing Hua University, Hsinchu 30013, Taiwan (China); Chen, Y. W.; Lin, Y. H.; Cheng, C. K.; Hong, M., E-mail: chsu@nsrrc.org.tw, E-mail: raynien@phys.nthu.edu.tw, E-mail: mhong@phys.ntu.edu.tw [Graduate Institute of Applied Physics and Department of Physics, National Taiwan University, Taipei 10617, Taiwan (China); Tseng, S. C.; Hsu, C. H., E-mail: chsu@nsrrc.org.tw, E-mail: raynien@phys.nthu.edu.tw, E-mail: mhong@phys.ntu.edu.tw [National Synchrotron Radiation Research Center, Hsinchu 30076, Taiwan (China); Chang, M. T.; Lo, S. C. [Material and Chemical Research Laboratories, Industrial Technology Research Institute, Hsinchu 31040, Taiwan (China)

    2015-09-21

    Single crystal ferromagnetic Fe{sub 3}Si(111) films were grown epitaxially on GaAs(111)A by molecular beam epitaxy. These hetero-structures possess extremely low surface roughness of 1.3 Å and interfacial roughness of 1.9 Å, measured by in-situ scanning tunneling microscope and X-ray reflectivity analyses, respectively, showing superior film quality, comparing to those attained on GaAs(001) in previous publications. The atomically smooth interface was revealed by the atomic-resolution Z (atomic number)-contrast scanning transmission electron microscopy (STEM) images using the correction of spherical aberration (Cs)-corrected electron probe. Excellent crystallinity and perfect lattice match were both confirmed by high resolution x-ray diffraction. Measurements of magnetic property for the Fe{sub 3}Si/GaAs(111) yielded a saturation moment of 990 emu/cm{sup 3} with a small coercive field ≤1 Oe at room temperature.

  19. Impact of surface morphology of Si substrate on performance of Si/ZnO heterojunction devices grown by atomic layer deposition technique

    Energy Technology Data Exchange (ETDEWEB)

    Hazra, Purnima; Singh, Satyendra Kumar [Department of Electronics and Communication Engineering, Motilal Neheru National Institute of Technology, Allahabad 211004 (India); Jit, Satyabrata, E-mail: sjit.ece@itbhu.ac.in [Department of Electronics Engineering, Indian Institute of Technology (BHU), Varanasi 221005 (India)

    2015-01-01

    In this paper, the authors have investigated the structural, optical, and electrical characteristics of silicon nanowire (SiNW)/zinc oxide (ZnO) core–shell nanostructure heterojunctions and compared their characteristics with Si/ZnO planar heterojunctions to investigate the effect of surface morphology of Si substrate in the characteristics of Si/ZnO heterojunction devices. In this work, ZnO thin film was conformally deposited on both p-type 〈100〉 planar Si substrate and substrate with vertically aligned SiNW arrays by atomic layer deposition (ALD) method. The x-ray diffraction spectra show that the crystalline structures of Si/ZnO heterojunctions are having (101) preferred orientation, whereas vertically oriented SiNW/ZnO core–shell heterojunctions are having (002)-oriented wurtzite crystalline structures. The photoluminescence (PL) spectra of Si/ZnO heterojunctions show a very sharp single peak at 377 nm, corresponding to the bandgap of ZnO material with no other defect peaks in visible region; hence, these devices can have applications only in UV region. On the other hand, SiNW/ZnO heterojunctions are having band-edge peak at 378 nm along with a broad emission band, spreading almost throughout the entire visible region with a peak around 550 nm. Therefore, ALD-grown SiNW/ZnO heterojunctions can emit green and red light simultaneously. Reflectivity measurement of the heterojunctions further confirms the enhancement of visible region peak in the PL spectra of SiNW/ZnO heterojunctions, as the surface of the SiNW/ZnO heterojunctions exhibits extremely low reflectance (<3%) in the visible wavelength region compared to Si/ZnO heterojunctions (>20%). The current–voltage characteristics of both Si/ZnO and SiNW/ZnO heterojunctions are measured with large area ohmic contacts on top and bottom of the structure to compare the electrical characteristics of the devices. Due to large surface to-volume ratio of SiNW/ZnO core–shell heterojunction devices, the

  20. Stability of Ta-encapsulating Si clusters on Si(111)-(7x7) surfaces

    CERN Document Server

    Uchida, N; Miyazaki, T; Kanayama, T

    2003-01-01

    Tantalum containing Si cluster ions TaSi sub 1 sub 0 sub - sub 1 sub 3 H sub x sup + were synthesized in an ion trap and deposited onto Si(111)-(7x7) surfaces with a kinetic energy of 18 eV. Scanning tunnelling microscope observations revealed that the clusters adsorbed on the surface without decomposition, consistent with ab initio calculation results, that predicted the clusters would have stable Si-cage structures with a Ta atom at the centre. (rapid communication)

  1. Adsorption of 1,3-butadiene on Si(111)7x7 surface

    International Nuclear Information System (INIS)

    An, K.-S.; Kim, Y.; Baik, J.Y.; Park, C.-Y.; Kim, B.

    2004-01-01

    Full text: The adsorption of 1,3-butadiene (BD : C 4 H 10 ) on the Si(111)7x7 surface has been investigated using synchrotron radiation photoemission spectroscopy and scanning tunneling microscopy. BD adsorbs on the Si(111)7x7 surface at room temperature, not only adatom-rest-atom bridging but also adatom-adatom bridging type. In the valence band spectrum for the Si(111)7x7 surface, the adatom and rest-atom states were observed at the binding energies of about 0.2 and 0.8 eV, respectively. With increasing BD exposure, the adatom state is completely quenched at an initial exposure, while the rest-atom state disappears at higher exposure. This indicates two different reaction pathways of BD on the Si(111)7x7 surface. STM shows three different adsorption types on the 7x7 surface, two adatom-adatom bridging and one adatom-rest-atom bridging types. By comparing the valence band and Si 2p core level spectra with STM images, it was found that the chemical reactivity of BD molecule with the adatom-rest-atom pair is strongly higher than the adatom-adatom pair

  2. Impact of surface morphology of Si substrate on performance of Si/ZnO heterojunction devices grown by atomic layer deposition technique

    International Nuclear Information System (INIS)

    Hazra, Purnima; Singh, Satyendra Kumar; Jit, Satyabrata

    2015-01-01

    In this paper, the authors have investigated the structural, optical, and electrical characteristics of silicon nanowire (SiNW)/zinc oxide (ZnO) core–shell nanostructure heterojunctions and compared their characteristics with Si/ZnO planar heterojunctions to investigate the effect of surface morphology of Si substrate in the characteristics of Si/ZnO heterojunction devices. In this work, ZnO thin film was conformally deposited on both p-type 〈100〉 planar Si substrate and substrate with vertically aligned SiNW arrays by atomic layer deposition (ALD) method. The x-ray diffraction spectra show that the crystalline structures of Si/ZnO heterojunctions are having (101) preferred orientation, whereas vertically oriented SiNW/ZnO core–shell heterojunctions are having (002)-oriented wurtzite crystalline structures. The photoluminescence (PL) spectra of Si/ZnO heterojunctions show a very sharp single peak at 377 nm, corresponding to the bandgap of ZnO material with no other defect peaks in visible region; hence, these devices can have applications only in UV region. On the other hand, SiNW/ZnO heterojunctions are having band-edge peak at 378 nm along with a broad emission band, spreading almost throughout the entire visible region with a peak around 550 nm. Therefore, ALD-grown SiNW/ZnO heterojunctions can emit green and red light simultaneously. Reflectivity measurement of the heterojunctions further confirms the enhancement of visible region peak in the PL spectra of SiNW/ZnO heterojunctions, as the surface of the SiNW/ZnO heterojunctions exhibits extremely low reflectance ( 20%). The current–voltage characteristics of both Si/ZnO and SiNW/ZnO heterojunctions are measured with large area ohmic contacts on top and bottom of the structure to compare the electrical characteristics of the devices. Due to large surface to-volume ratio of SiNW/ZnO core–shell heterojunction devices, the output current rating is about 130 times larger compared to their planar

  3. Atomic insight into tribochemical wear mechanism of silicon at the Si/SiO{sub 2} interface in aqueous environment: Molecular dynamics simulations using ReaxFF reactive force field

    Energy Technology Data Exchange (ETDEWEB)

    Wen, Jialin; Ma, Tianbao [State Key Laboratory of Tribology, Tsinghua University, Beijing 100084 (China); Zhang, Weiwei; Psofogiannakis, George; Duin, Adri C.T. van [Department of Mechanical and Nuclear Engineering, Pennsylvania State University, University Park, PA 16802 (United States); Chen, Lei; Qian, Linmao [Tribology Research Institute, Key Laboratory of Advanced Technologies of Materials (Ministry of Education), Southwest Jiaotong University, Chengdu 610031 (China); Hu, Yuanzhong [State Key Laboratory of Tribology, Tsinghua University, Beijing 100084 (China); Lu, Xinchun, E-mail: xclu@tsinghua.edu.cn [State Key Laboratory of Tribology, Tsinghua University, Beijing 100084 (China)

    2016-12-30

    Highlights: • New ReaxFF reactive force field was applied to simulate the tribochemical wear process at Si/SiO{sub 2} interface. • Wear of silicon atoms is due to the breaking of Si–O–Si bonds and Si–Si–O–Si bond chains on the Si substrate. • Interfacial bridge bonds play an important role during the tribochemical wear process. • Higher pressures applied to the silica phase can cause more Si atoms to be removed by forming more interfacial bridge bonds. • Water plays an opposing role in the wear process because of its both chemical and mechanical effects. - Abstract: In this work, the atomic mechanism of tribochemical wear of silicon at the Si/SiO{sub 2} interface in aqueous environment was investigated using ReaxFF molecular dynamics (MD) simulations. Two types of Si atom removal pathways were detected in the wear process. The first is caused by the destruction of stretched Si–O–Si bonds on the Si substrate surface and is assisted by the attachment of H atoms on the bridging oxygen atoms of the bonds. The other is caused by the rupture of Si–Si bonds in the stretched Si–Si–O–Si bond chains at the interface. Both pathways effectively remove Si atoms from the silicon surface via interfacial Si–O–Si bridge bonds. Our simulations also demonstrate that higher pressures applied to the silica phase can cause more Si atoms to be removed due to the formation of increased numbers of interfacial Si–O–Si bridge bonds. Besides, water plays a dual role in the wear mechanism, by oxidizing the Si substrate surface as well as by preventing the close contact of the surfaces. This work shows that the removal of Si atoms from the substrate is a result of both chemical reaction and mechanical effects and contributes to the understanding of tribochemical wear behavior in the microelectromechanical systems (MEMS) and Si chemical mechanical polishing (CMP) process.

  4. Anomalously high yield of doubly charged Si ions sputtered from cleaned Si surface by keV neutral Ar impact

    Energy Technology Data Exchange (ETDEWEB)

    Shinde, N.; Morita, K. E-mail: k-morita@mail.nucl.nagoya-u.ac.jp; Dhole, S.D.; Ishikawa, D

    2001-08-01

    The energy spectra of positively charged and neutral species ejected from the Si(1 1 1) surfaces by keV Ar impact have been measured by means of a combined technique of the time-of-flight (TOF) analysis with the multi-photon resonance ionization spectroscopy (MPRIS). It is shown that positively charged species of Si{sup +}, Si{sup 2+} and SiO{sup +} are ejected from the as-cleaned 7x7 surface by 11 keV Ar impact. It is also shown that Ar sputter cleaning of the as-cleaned 7x7 surface for 14 min at the flux of 2x10{sup 13}/cm{sup 2}s removes completely the oxygen impurity and the yields of Si{sup 2+} is comparable to that of Si{sup +}. Moreover, the ionization probability of Si atoms sputtered is shown to be expressed as an exponential function of the inverse of their velocity. The production mechanism for the doubly charged Si ion is discussed based on the L-shell ionization of Si atoms due to quasi-molecule formation in the collisions of the surface atoms with energetic recoils and subsequent Auger decay of the L-shell vacancy to doubly ionized Si ions.

  5. A Three-Step Atomic Layer Deposition Process for SiN x Using Si2Cl6, CH3NH2, and N2 Plasma.

    Science.gov (United States)

    Ovanesyan, Rafaiel A; Hausmann, Dennis M; Agarwal, Sumit

    2018-06-06

    We report a novel three-step SiN x atomic layer deposition (ALD) process using Si 2 Cl 6 , CH 3 NH 2 , and N 2 plasma. In a two-step process, nonhydrogenated chlorosilanes such as Si 2 Cl 6 with N 2 plasmas lead to poor-quality SiN x films that oxidize rapidly. The intermediate CH 3 NH 2 step was therefore introduced in the ALD cycle to replace the NH 3 plasma step with a N 2 plasma, while using Si 2 Cl 6 as the Si precursor. This three-step process lowers the atomic H content and improves the film conformality on high-aspect-ratio nanostructures as Si-N-Si bonds are formed during a thermal CH 3 NH 2 step in addition to the N 2 plasma step. During ALD, the reactive surface sites were monitored using in situ surface infrared spectroscopy. Our infrared spectra show that, on the post-N 2 plasma-treated SiN x surface, Si 2 Cl 6 reacts primarily with the surface -NH 2 species to form surface -SiCl x ( x = 1, 2, or 3) bonds, which are the reactive sites during the CH 3 NH 2 cycle. In the N 2 plasma step, reactive -NH 2 surface species are created because of the surface H available from the -CH 3 groups. At 400 °C, the SiN x films have a growth per cycle of ∼0.9 Å with ∼12 atomic percent H. The films grown on high-aspect-ratio nanostructures have a conformality of ∼90%.

  6. Surface-site-selective study of valence electronic structures of clean Si(100)-2x1 using Si-L23VV Auger electron-Si-2p photoelectron coincidence spectroscopy

    International Nuclear Information System (INIS)

    Kakiuchi, Takuhiro; Nagaoka, Shinichi; Hashimoto, Shogo; Fujita, Narihiko; Tanaka, Masatoshi; Mase, Kazuhiko

    2010-01-01

    Valence electronic structures of a clean Si(100)-2x1 surface are investigated in a surface-site-selective way using Si-L 23 VV Auger electron-Si-2p photoelectron coincidence spectroscopy. The Si-L 23 VV Auger electron spectra measured in coincidence with Si-2p photoelectrons emitted from the Si up-atoms or Si 2nd-layer of Si(100)-2x1 suggest that the position where the highest density of valence electronic states located in the vicinity of the Si up-atoms is shifted by 0.8 eV towards lower binding energy relative to that in the vicinity of the Si 2nd-layer. Furthermore, the valence band maximum in the vicinity of the Si up-atoms is indicated to be shifted by 0.1 eV towards lower binding energy relative to that in the vicinity of the Si 2nd-layer. These results are direct evidence of the transfer of negative charge from the Si 2nd-layer to the Si up-atoms. (author)

  7. HBr Formation from the Reaction between Gas-phase Bromine Atom and Vibrationally Excited Chemisorbed Hydrogen Atoms on a Si(001)-(2 x 1) Surface

    International Nuclear Information System (INIS)

    Ree, J.; Yoon, S. H.; Park, K. G.; Kim, Y. H.

    2004-01-01

    We have calculated the probability of HBr formation and energy disposal of the reaction exothermicity in HBr produced from the reaction of gas-phase bromine with highly covered chemisorbed hydrogen atoms on a Si (001)-(2 x 1) surface. The reaction probability is about 0.20 at gas temperature 1500 K and surface temperature 300 K. Raising the initial vibrational state of the adsorbate(H)-surface(Si) bond from the ground to v = 1, 2 and 3 states causes the vibrational, translational and rotational energies of the product HBr to increase equally. However, the vibrational and translational motions of product HBr share most of the reaction energy. Vibrational population of the HBr molecules produced from the ground state adsorbate-surface bond (vHSi = 0) follows the Boltzmann distribution, but it deviates seriously from the Boltzmann distribution when the initial vibrational energy of the adsorbate-surface bond increases. When the vibration of the adsorbate-surface bond is in the ground state, the amount of energy dissipated into the surface is negative, while it becomes positive as vHSi increases. The energy distributions among the various modes weakly depends on surface temperature in the range of 0-600 K, regardless of the initial vibrational state of H(ad)-Si(s) bond

  8. Surface Chemistry Involved in Epitaxy of Graphene on 3C-SiC(111/Si(111

    Directory of Open Access Journals (Sweden)

    Abe Shunsuke

    2010-01-01

    Full Text Available Abstract Surface chemistry involved in the epitaxy of graphene by sublimating Si atoms from the surface of epitaxial 3C-SiC(111 thin films on Si(111 has been studied. The change in the surface composition during graphene epitaxy is monitored by in situ temperature-programmed desorption spectroscopy using deuterium as a probe (D2-TPD and complementarily by ex situ Raman and C1s core-level spectroscopies. The surface of the 3C-SiC(111/Si(111 is Si-terminated before the graphitization, and it becomes C-terminated via the formation of C-rich (6√3 × 6√3R30° reconstruction as the graphitization proceeds, in a similar manner as the epitaxy of graphene on Si-terminated 6H-SiC(0001 proceeds.

  9. Monitoring Si growth on Ag(111) with scanning tunneling microscopy reveals that silicene structure involves silver atoms

    International Nuclear Information System (INIS)

    Prévot, G.; Bernard, R.; Cruguel, H.; Borensztein, Y.

    2014-01-01

    Using scanning tunneling microscopy (STM), the elaboration of the so-called silicene layer on Ag(111) is monitored in real time during Si evaporation at different temperatures. It is shown that the growth of silicene is accompanied by the release of about 65% of the surface Ag atoms from the Si covered areas. We observe that Si islands develop on the Ag terraces and Si strips at the Ag step edges, progressively forming ordered (4×4), (√(13)×√(13)) R13.9°, and dotted phases. Meanwhile, displaced Ag atoms group to develop additional bare Ag terraces growing round the Si islands from the pristine Ag step edges. This indicates a strong interaction between Si and Ag atoms, with an important modification of the Ag substrate beneath the surface layer. This observation is in contradiction with the picture of a silicene layer weakly interacting with the unreconstructed Ag substrate, and strongly indicates that the structure of silicene on Ag(111) corresponds either to a Si-Ag surface alloy or to a Si plane covered with Ag atoms

  10. Surface Passivation Mechanism of Atomic Layer Deposited Al2O3 Films on c-Si Studied by Optical Second-Harmonic Generation

    NARCIS (Netherlands)

    Gielis, J.J.H.; Verlaan, V.; Dingemans, G.; Sanden, van de M.C.M.; Kessels, W.M.M.; Terlinden, N.M.

    2009-01-01

    Recently, it was shown that Al2O3 thin films synthesized by (plasmaassisted) atomic layer deposition (ALD) provide excellent surface passivation of n, p and p+ type c-Si as highly relevant for c-Si photovoltaics. It was found that a large negative fixed charge density (up to 1013 cm-2) in the Al2O3

  11. Experimental surface charge density of the Si (100)-2x1H surface

    DEFF Research Database (Denmark)

    Ciston, J.; Marks, L.D.; Feidenhans'l, R.

    2006-01-01

    We report a three-dimensional charge density refinement from x-ray diffraction intensities of the Si (100) 2x1H surface. By paying careful attention to parameterizing the bulk Si bonding, we are able to locate the hydrogen atoms at the surface, which could not be done previously. In addition, we...

  12. Near-surface segregation in irradiated Ni3Si

    International Nuclear Information System (INIS)

    Wagner, W.; Rehn, L.E.; Wiedersich, H.

    1982-01-01

    The radiation-induced growth of Ni 3 Si films on the surfaces of Ni(Si) alloys containing = 3 Si phase has been observed. Post-irradiation depth profiling by Auger electron spectroscopy, as well as in situ analysis by high-resolution Rutherford backscattering spectrometry, reveals Si-enrichment at the surfaces of Ni(Si) alloys in excess of stoichiometric Ni 3 Si during irradiation. Thin, near-surface layers with silicon concentrations of 28 to 30 at.% are observed, and even higher Si enrichment is found in the first few atom layers. Transmission electron microscopy and selected area-electron diffraction were employed to characterize these Si-enriched layers. A complex, multiple-spot diffraction pattern is observed superposed on the diffraction pattern of ordered Ni 3 Si. The d-spacings obtained from the extra spots are consistent with those of the orthohexagonal intermetallic compound Ni 5 Si 2 . (author)

  13. Analysis of the Si(111) surface prepared in chemical vapor ambient for subsequent III-V heteroepitaxy

    International Nuclear Information System (INIS)

    Zhao, W.; Steidl, M.; Paszuk, A.; Brückner, S.; Dobrich, A.; Supplie, O.; Kleinschmidt, P.; Hannappel, T.

    2017-01-01

    Highlights: • We investigate the Si(111) surface prepared in CVD ambient at 1000 °C in 950 mbar H_2. • UHV-based XPS, LEED, STM and FTIR as well as ambient AFM are applied. • After processing the Si(111) surface is free of contamination and atomically flat. • The surface exhibits a (1 × 1) reconstruction and monohydride termination. • Wet-chemical pretreatment and homoepitaxy are required for a regular step structure. - Abstract: For well-defined heteroepitaxial growth of III-V epilayers on Si(111) substrates the atomic structure of the silicon surface is an essential element. Here, we study the preparation of the Si(111) surface in H_2-based chemical vapor ambient as well as its atomic structure after contamination-free transfer to ultrahigh vacuum (UHV). Applying complementary UHV-based techniques, we derive a complete picture of the atomic surface structure and its chemical composition. X-ray photoelectron spectroscopy measurements after high-temperature annealing confirm a Si surface free of any traces of oxygen or other impurities. The annealing in H_2 ambient leads to a monohydride surface termination, as verified by Fourier-transform infrared spectroscopy. Scanning tunneling microscopy confirms a well ordered, atomically smooth surface, which is (1 × 1) reconstructed, in agreement with low energy electron diffraction patterns. Atomic force microscopy reveals a significant influence of homoepitaxy and wet-chemical pretreatment on the surface morphology. Our findings show that wet-chemical pretreatment followed by high-temperature annealing leads to contamination-free, atomically flat Si(111) surfaces, which are ideally suited for subsequent III-V heteroepitaxy.

  14. Oxidation of Ni(Pt)Si by molecular vs. atomic oxygen

    International Nuclear Information System (INIS)

    Manandhar, Sudha; Copp, Brian; Kelber, J.A.

    2008-01-01

    X-ray photoelectron spectroscopy (XPS) has been used to characterize the oxidation of a clean Ni(Pt)Si surface under two distinct conditions: exposure to a mixed flux of atomic and molecular oxygen (O + O 2 ; P O+O 2 = 5 x 10 -6 Torr) and pure molecular oxygen (O 2 ; P O 2 = 10 -5 Torr) at ambient temperatures. Formation of the clean, stoichiometric (nickel monosilicide) phase under vacuum conditions results in the formation of a surface layer enriched in PtSi. Oxidation of this surface in the presence of atomic oxygen initially results in formation of a silicon oxide overlayer. At higher exposures, kinetically limited oxidation of Pt results in Pt silicate formation. No passivation of oxygen uptake of the sample is observed for total O + O 2 exposure 4 L, at which point the average oxide/silicate overlayer thickness is 23 (3) A (uncertainty in the last digit in parentheses). In contrast, exposure of the clean Ni(Pt)Si surface to molecular oxygen only (maximum exposure: 5 x 10 5 L) results in slow growth of a silicon oxide overlayer, without silicate formation, and eventual passivation at a total average oxide thickness of 8(1) A, compared to a oxide average thickness of 17(2) A (no silicate formation) for the as-received sample (i.e., exposed to ambient.) The aggressive silicon oxidation by atomic oxygen, results in Ni-rich silicide formation in the substrate and the kinetically limited oxidation of the Pt

  15. Analysis of the Si(111) surface prepared in chemical vapor ambient for subsequent III-V heteroepitaxy

    Energy Technology Data Exchange (ETDEWEB)

    Zhao, W.; Steidl, M.; Paszuk, A. [Technische Universität Ilmenau, Institut für Physik, 98693 Ilmenau (Germany); Brückner, S. [Technische Universität Ilmenau, Institut für Physik, 98693 Ilmenau (Germany); Helmholtz-Zentrum Berlin, Institut für Solare Brennstoffe, 14109 Berlin (Germany); Dobrich, A. [Technische Universität Ilmenau, Institut für Physik, 98693 Ilmenau (Germany); Supplie, O. [Technische Universität Ilmenau, Institut für Physik, 98693 Ilmenau (Germany); Helmholtz-Zentrum Berlin, Institut für Solare Brennstoffe, 14109 Berlin (Germany); Kleinschmidt, P. [Technische Universität Ilmenau, Institut für Physik, 98693 Ilmenau (Germany); Hannappel, T., E-mail: thomas.hannappel@tu-ilmenau.de [Technische Universität Ilmenau, Institut für Physik, 98693 Ilmenau (Germany); Helmholtz-Zentrum Berlin, Institut für Solare Brennstoffe, 14109 Berlin (Germany)

    2017-01-15

    Highlights: • We investigate the Si(111) surface prepared in CVD ambient at 1000 °C in 950 mbar H{sub 2}. • UHV-based XPS, LEED, STM and FTIR as well as ambient AFM are applied. • After processing the Si(111) surface is free of contamination and atomically flat. • The surface exhibits a (1 × 1) reconstruction and monohydride termination. • Wet-chemical pretreatment and homoepitaxy are required for a regular step structure. - Abstract: For well-defined heteroepitaxial growth of III-V epilayers on Si(111) substrates the atomic structure of the silicon surface is an essential element. Here, we study the preparation of the Si(111) surface in H{sub 2}-based chemical vapor ambient as well as its atomic structure after contamination-free transfer to ultrahigh vacuum (UHV). Applying complementary UHV-based techniques, we derive a complete picture of the atomic surface structure and its chemical composition. X-ray photoelectron spectroscopy measurements after high-temperature annealing confirm a Si surface free of any traces of oxygen or other impurities. The annealing in H{sub 2} ambient leads to a monohydride surface termination, as verified by Fourier-transform infrared spectroscopy. Scanning tunneling microscopy confirms a well ordered, atomically smooth surface, which is (1 × 1) reconstructed, in agreement with low energy electron diffraction patterns. Atomic force microscopy reveals a significant influence of homoepitaxy and wet-chemical pretreatment on the surface morphology. Our findings show that wet-chemical pretreatment followed by high-temperature annealing leads to contamination-free, atomically flat Si(111) surfaces, which are ideally suited for subsequent III-V heteroepitaxy.

  16. Adsorption of carbon monoxide on the Si(111)-7 × 7 surface

    Energy Technology Data Exchange (ETDEWEB)

    Shong, Bonggeun, E-mail: bshong@cnu.ac.kr

    2017-05-31

    Highlights: • Detailed chemistry of CO with the Si(111)-7 × 7 surface is computationally studied. • On-top on rest-atoms and back-bond insertion on adatoms are suggested geometries. • The two structures exhibit no activation barrier for adsorption and significant stability. • Geometrical and spectroscopic properties of CO adsorbates are predicted. • Direction of the interfacial charge transfer depends on the bonding configuration. - Abstract: The adsorption of CO and surface chemistry of Si are well-understood topics in surface science. However, research into the adsorption of CO on the Si(111)-7 × 7 surface is deficient. In this study, the adsorption of CO on Si(111)-7 × 7 is investigated via high-level density functional theory calculations using cluster model. Two adsorption configurations are found to be kinetically and thermodynamically viable: on-top on rest-atoms and back-bond insertion on adatoms, both binding to the surface via C atom. Structural, electronic, and spectroscopic properties of the adsorbates indicate a σ-donating/π-accepting nature of the CO−Si bonds in both configurations. The domination of σ-donation in the on-top configuration results in a net positive charge on the on-top adsorbate, and the opposite situation yields a net negative charge on the back-bond insertion adsorbates. Our study provides a detailed understanding of the previous experimental observations of fundamental surface chemical phenomena, suggesting possible applications of Si surface functionalization using CO.

  17. Role of field-effect on c-Si surface passivation by ultrathin (2-20 nm) atomic layer deposited Al2O3

    NARCIS (Netherlands)

    Terlinden, N.M.; Dingemans, G.; Sanden, van de M.C.M.; Kessels, W.M.M.

    2010-01-01

    Al2O3 synthesized by plasma-assisted atomic layer deposition yields excellent surface passivation of crystalline silicon (c-Si) for films down to ~ 5 nm in thickness. Optical second-harmonic generation was employed to distinguish between the influence of field-effect passivation and chemical

  18. A computational study on the adsorption configurations and reactions of SiHx(x = 1-4) on clean and H-covered Si(100) surfaces

    Science.gov (United States)

    Le, Thong N.-M.; Raghunath, P.; Huynh, Lam K.; Lin, M. C.

    2016-11-01

    Possible adsorption configurations of H and SiHx (x = 1 - 4) on clean and H-covered Si(100) surfaces are determined by using spin-polarized DFT calculations. The results show that, on the clean surface, the gas-phase hydrogen atom and SiH3 radicals effectively adsorb on the top sites, while SiH and SiH2 prefer the bridge sites of the first layer. Another possibility for SiH is to reside on the hollow sites with a triple-bond configuration. For a partially H-coverd Si(100) surface, the mechanism is similar but with higher adsorption energies in most cases. This suggests that the surface species become more stable in the presence of surface hydrogens. The minimum energy paths for the adsorption/migration and reactions of H/SiHx species on the surfaces are explored using the climbing image-nudged elastic band method. The competitive surface processes for Si thin-film formation from SiHx precursors are also predicted. The study reveals that the migration of hydrogen adatom is unimportant with respect to leaving open surface sites because of its high barriers (>29.0 kcal/mol). Alternatively, the abstraction of hydrogen adatoms by H/SiHx radicals is more favorable. Moreover, the removal of hydrogen atoms from adsorbed SiHx, an essential step for forming Si layers, is dominated by abstraction rather than the decomposition processes.

  19. Structural origin of Si-2p core-level shifts from Si(100)-c[4x2] surface: A spectral x-ray photoelectron diffraction study

    Energy Technology Data Exchange (ETDEWEB)

    Chen, X.; Tonner, B.P. [Univ. of Wisconsin, Milwaukee, WI (United States); Denlinger, J. [Univ. of Wisconsin, Milwaukee, WI (United States)][Ernest Orlando Lawrence Berkeley National Lab., CA (United States)] [and others

    1997-04-01

    The authors have performed angle-resolved x-ray photoelectron diffraction (XPD) from a Si(100)-c(4x2) surface to study the structural origin of Si-2p core-level shifts. In the experiment, the highly resolved surface Si-2p core-level spectra were measured as a fine grid of hemisphere and photon energies, using the SpectroMicroscopy Facility {open_quotes}ultraESCA{close_quotes} instrument. By carefully decomposing the spectra into several surface peaks, the authors are able to obtain surface-atom resolved XPD patterns. Using a multiple scattering analysis, they derived a detailed atomic model for the Si(100)-c(4x2) surface. In this model, the asymmetric dimers were found tilted by 11.5 plus/minus 2.0 degrees with bond length of 2.32 plus/minus 0.05{angstrom}. By matching model XPD patterns to experiment, the authors can identify which atoms in the reconstructed surface are responsible for specific photoemission lines in the 2p spectrum.

  20. Pt-Si Bifunctional Surfaces for CO and Methanol Electro-Oxidation

    DEFF Research Database (Denmark)

    Permyakova, Anastasia A.; Han, Binghong; Jensen, Jens Oluf

    2015-01-01

    and storage. Here we report on Pt-Si bulk samples prepared by arc-melting, for the first time, with high activities toward the electro-oxidation of CO and methanol. Increasing the Si concentration on the surface was correlated with the shifts of onset oxidation potentials to lower values and higher activities...... for CO and methanol electro-oxidation. It is proposed that the reaction on the Pt-Si catalyst could follow a Langmuir-Hinshelwood type of mechanism, where substantially enhanced catalytic activity is attributed to the fine-tuning of the surface Pt-Si atomic structure....

  1. Nanomechanical properties of lithiated Si nanowires probed with atomic force microscopy

    International Nuclear Information System (INIS)

    Lee, Hyunsoo; Shin, Weonho; Choi, Jang Wook; Park, Jeong Young

    2012-01-01

    The nanomechanical properties of fully lithiated and pristine Si nanowires (NWs) deposited on a Si substrate were studied with atomic force microscopy (AFM). Si NWs were synthesized using the vapour-liquid-solid process on stainless-steel substrates using an Au catalyst. Fully lithiated Si NWs were obtained using the electrochemical method, followed by drop-casting on a Si substrate. The roughness of the Si NWs, which was derived from AFM images, is greater for the lithiated Si NWs than for the pristine Si NWs. Force spectroscopy was used to study the influence of lithiation on the tip-surface adhesion force. The lithiated Si NWs revealed a smaller tip-surface adhesion force than the Si substrate by a factor of two, while the adhesion force of the Si NWs is similar to that of the Si substrate. Young's modulus, obtained from the force-distance curve, also shows that the pristine Si NWs have a relatively higher value than the lithiated Si NWs due to the elastically soft and amorphous structures of the lithiated region. These results suggest that force spectroscopy can be used to probe the degree of lithiation at nanometer scale during the charging and discharging processes. (paper)

  2. Quantitative measurement of local elasticity of SiOx film by atomic force acoustic microscopy

    International Nuclear Information System (INIS)

    Cun-Fu, He; Gai-Mei, Zhang; Bin, Wu

    2010-01-01

    In this paper the elastic properties of SiO x film are investigated quantitatively for local fixed point and qualitatively for overall area by atomic force acoustic microscopy (AFAM) in which the sample is vibrated at the ultrasonic frequency while the sample surface is touched and scanned with the tip contacting the sample respectively for fixed point and continuous measurements. The SiO x films on the silicon wafers are prepared by the plasma enhanced chemical vapour deposition (PECVD). The local contact stiffness of the tip-SiO x film is calculated from the contact resonance spectrum measured with the atomic force acoustic microscopy. Using the reference approach, indentation modulus of SiO x film for fixed point is obtained. The images of cantilever amplitude are also visualized and analysed when the SiO x surface is excited at a fixed frequency. The results show that the acoustic amplitude images can reflect the elastic properties of the sample. (classical areas of phenomenology)

  3. 3C-SiC nanocrystal growth on 10° miscut Si(001) surface

    Energy Technology Data Exchange (ETDEWEB)

    Deokar, Geetanjali, E-mail: gitudeo@gmail.com [INSP, UPMC, CNRS UMR 7588, 4 place Jussieu, Paris F-75005 (France); D' Angelo, Marie; Demaille, Dominique [INSP, UPMC, CNRS UMR 7588, 4 place Jussieu, Paris F-75005 (France); Cavellin, Catherine Deville [INSP, UPMC, CNRS UMR 7588, 4 place Jussieu, Paris F-75005 (France); Faculté des Sciences et Technologie UPEC, 61 av. De Gaulle, Créteil F-94010 (France)

    2014-04-01

    The growth of 3C-SiC nano-crystal (NC) on 10° miscut Si(001) substrate by CO{sub 2} thermal treatment is investigated by scanning and high resolution transmission electron microscopies. The vicinal Si(001) surface was thermally oxidized prior to the annealing at 1100 °C under CO{sub 2} atmosphere. The influence of the atomic steps at the vicinal SiO{sub 2}/Si interface on the SiC NC growth is studied by comparison with the results obtained for fundamental Si(001) substrates in the same conditions. For Si miscut substrate, a substantial enhancement in the density of the SiC NCs and a tendency of preferential alignment of them along the atomic step edges is observed. The SiC/Si interface is abrupt, without any steps and epitaxial growth with full relaxation of 3C-SiC occurs by domain matching epitaxy. The CO{sub 2} pressure and annealing time effect on NC growth is analyzed. The as-prepared SiC NCs can be engineered further for potential application in optoelectronic devices and/or as a seed for homoepitaxial SiC or heteroepitaxial GaN film growth. - Highlights: • Synthesis of 3C-SiC nanocrystals epitaxied on miscut-Si using a simple technique • Evidence of domain matching epitaxy at the SiC/Si interface • SiC growth proceeds along the (001) plane of host Si. • Substantial enhancement of the SiC nanocrystal density due to the miscut • Effect of the process parameters (CO{sub 2} pressure and annealing duration)

  4. Realization of a quantum Hamiltonian Boolean logic gate on the Si(001):H surface.

    Science.gov (United States)

    Kolmer, Marek; Zuzak, Rafal; Dridi, Ghassen; Godlewski, Szymon; Joachim, Christian; Szymonski, Marek

    2015-08-07

    The design and construction of the first prototypical QHC (Quantum Hamiltonian Computing) atomic scale Boolean logic gate is reported using scanning tunnelling microscope (STM) tip-induced atom manipulation on an Si(001):H surface. The NOR/OR gate truth table was confirmed by dI/dU STS (Scanning Tunnelling Spectroscopy) tracking how the surface states of the QHC quantum circuit on the Si(001):H surface are shifted according to the input logical status.

  5. Atomic state and characterization of nitrogen at the SiC/SiO2 interface

    International Nuclear Information System (INIS)

    Xu, Y.; Garfunkel, E. L.; Zhu, X.; Lee, H. D.; Xu, C.; Shubeita, S. M.; Gustafsson, T.; Ahyi, A. C.; Sharma, Y.; Williams, J. R.; Lu, W.; Ceesay, S.; Tuttle, B. R.; Pantelides, S. T.; Wan, A.; Feldman, L. C.

    2014-01-01

    We report on the concentration, chemical bonding, and etching behavior of N at the SiC(0001)/SiO 2 interface using photoemission, ion scattering, and computational modeling. For standard NO processing of a SiC MOSFET, a sub-monolayer of nitrogen is found in a thin inter-layer between the substrate and the gate oxide (SiO 2 ). Photoemission shows one main nitrogen related core-level peak with two broad, higher energy satellites. Comparison to theory indicates that the main peak is assigned to nitrogen bound with three silicon neighbors, with second nearest neighbors including carbon, nitrogen, and oxygen atoms. Surprisingly, N remains at the surface after the oxide was completely etched by a buffered HF solution. This is in striking contrast to the behavior of Si(100) undergoing the same etching process. We conclude that N is bound directly to the substrate SiC, or incorporated within the first layers of SiC, as opposed to bonding within the oxide network. These observations provide insights into the chemistry and function of N as an interface passivating additive in SiC MOSFETs

  6. Passivation of Si(111) surfaces with electrochemically grafted thin organic films

    Science.gov (United States)

    Roodenko, K.; Yang, F.; Hunger, R.; Esser, N.; Hinrichs, K.; Rappich, J.

    2010-09-01

    Ultra thin organic films (about 5 nm thick) of nitrobenzene and 4-methoxydiphenylamine were deposited electrochemically on p-Si(111) surfaces from benzene diazonium compounds. Studies based on atomic force microscopy, infrared spectroscopic ellipsometry and x-ray photoelectron spectroscopy showed that upon exposure to atmospheric conditions the oxidation of the silicon interface proceed slower on organically modified surfaces than on unmodified hydrogen passivated p-Si(111) surfaces. Effects of HF treatment on the oxidized organic/Si interface and on the organic layer itself are discussed.

  7. Modeling noncontact atomic force microscopy resolution on corrugated surfaces

    Directory of Open Access Journals (Sweden)

    Kristen M. Burson

    2012-03-01

    Full Text Available Key developments in NC-AFM have generally involved atomically flat crystalline surfaces. However, many surfaces of technological interest are not atomically flat. We discuss the experimental difficulties in obtaining high-resolution images of rough surfaces, with amorphous SiO2 as a specific case. We develop a quasi-1-D minimal model for noncontact atomic force microscopy, based on van der Waals interactions between a spherical tip and the surface, explicitly accounting for the corrugated substrate (modeled as a sinusoid. The model results show an attenuation of the topographic contours by ~30% for tip distances within 5 Å of the surface. Results also indicate a deviation from the Hamaker force law for a sphere interacting with a flat surface.

  8. Oxidation precursor dependence of atomic layer deposited Al2O3 films in a-Si:H(i)/Al2O3 surface passivation stacks.

    Science.gov (United States)

    Xiang, Yuren; Zhou, Chunlan; Jia, Endong; Wang, Wenjing

    2015-01-01

    In order to obtain a good passivation of a silicon surface, more and more stack passivation schemes have been used in high-efficiency silicon solar cell fabrication. In this work, we prepared a-Si:H(i)/Al2O3 stacks on KOH solution-polished n-type solar grade mono-silicon(100) wafers. For the Al2O3 film deposition, both thermal atomic layer deposition (T-ALD) and plasma enhanced atomic layer deposition (PE-ALD) were used. Interface trap density spectra were obtained for Si passivation with a-Si films and a-Si:H(i)/Al2O3 stacks by a non-contact corona C-V technique. After the fabrication of a-Si:H(i)/Al2O3 stacks, the minimum interface trap density was reduced from original 3 × 10(12) to 1 × 10(12) cm(-2) eV(-1), the surface total charge density increased by nearly one order of magnitude for PE-ALD samples and about 0.4 × 10(12) cm(-2) for a T-ALD sample, and the carrier lifetimes increased by a factor of three (from about 10 μs to about 30 μs). Combining these results with an X-ray photoelectron spectroscopy analysis, we discussed the influence of an oxidation precursor for ALD Al2O3 deposition on Al2O3 single layers and a-Si:H(i)/Al2O3 stack surface passivation from field-effect passivation and chemical passivation perspectives. In addition, the influence of the stack fabrication process on the a-Si film structure was also discussed in this study.

  9. Transformation mechanism of n-butyl terminated Si nanoparticles embedded into Si1-xCx nanocomposites mixed with Si nanoparticles and C atoms

    International Nuclear Information System (INIS)

    Shin, J.W.; Oh, D.H.; Kim, T.W.; Cho, W.J.

    2009-01-01

    Bright-field transmission electron microscopy (TEM) images, high-resolution TEM (HRTEM) images, and fast-Fourier transformed electron-diffraction patterns showed that n-butyl terminated Si nanoparticles were aggregated. The formation of Si 1-x C x nanocomposites was mixed with Si nanoparticles and C atoms embedded in a SiO 2 layer due to the diffusion of C atoms from n-butyl termination shells into aggregated Si nanoparticles. Atomic force microscopy (AFM) images showed that the Si 1-x C x nanocomposites mixed with Si nanoparticles and C atoms existed in almost all regions of the SiO 2 layer. The formation mechanism of Si nanoparticles and the transformation mechanism of n-butyl terminated Si nanoparticles embedded into Si 1-x C x nanocomposites mixed with Si nanoparticles and C atoms are described on the basis of the TEM, HRTEM, and AFM results. These results can help to improve the understanding of the formation mechanism of Si nanoparticles.

  10. Study of the Adsorption of Atoms and Molecules on Silicon Surfaces: Crystallographics and Electronic Structure

    International Nuclear Information System (INIS)

    Bengio, Silvina

    2003-01-01

    This thesis work has been concerned with adsorption properties of silicon surfaces.The atomic and electronic structure of molecules and atoms adsorbed on Si has been investigated by means of photoemission experiments combined with synchrotron radiation.The quantitative atomic structure determination was held applying the photoelectron diffraction technique.This technique is sensible to the local structure of a reference atomic specie and has elemental and chemical-state specificity.This approach has been applied to three quite different systems with different degrees of complexity, Sb/Si(111) √3x √3R30 0 , H 2 O/Si(100)2x1 and NH 3 /Si(111)7x7.Our results show that Sb which forms a ( √3√3)R30 0 phase produces a bulklike-terminated Si(111)1x1 substrate free of stacking faults.Regarding the atomic structure of its interface, this study strongly favours the T4-site milkstool model over the H3 one.An important aspect regarding the H 2 O/Si(100)(2x1) system was establishing the limits of precision with which one can determine not only the location of the adsorbed hydroxyl (OH) species, but also the extent to which this adsorption modifes the asymmetric dimers of the clean surface to which it is bonded.On the Si(111)(7x7) surface the problem is particularly complex because there are several different potentially active sites for NH3 adsorption and fragmentation.The application of the PhD method, however, has shown that the majority of the N atoms are on so-called 'rest atom' sites when deposited at RT.This is consistent with the N in the NH2 chemical state.This investigation represents the first quantitative structural study of any molecular adsorbate on the complex Si(111)(7x7) surface.This atomic structures determination shows the PhD is a powerful tool for the atomic structure determination.The molecular systems interacting with the active sites of the substrate fragments producing a short-range order surface.This long-range disorder is produced by the

  11. STM imaging of buried P atoms in hydrogen-terminated Si for the fabrication of a Si:P quantum computer

    Energy Technology Data Exchange (ETDEWEB)

    Oberbeck, L.; Curson, N.J.; Hallam, T.; Simmons, M.Y.; Clark, R.G

    2004-10-01

    The fabrication of atomic-scale devices in silicon requires the encapsulation of dopant atoms which have been incorporated into the silicon surface at atomically precise positions using scanning tunnelling microscopy (STM) lithography. During silicon encapsulation, it is important to minimise segregation and diffusion of dopant atoms in order to retain the lithography defined device structure. Buried dopant imaging using STM is capable of imaging dopant atoms such as phosphorus after encapsulation in silicon several monolayers below the silicon surface, thus making it possible to check the integrity of the device structure. To fabricate buried phosphorus-doped samples, we use phosphine gas as a source of phosphorus atoms and incorporate the phosphorus atoms into a Si(001) surface during an annealing step. Molecular beam epitaxy is used to encapsulate the dopant atoms with several monolayers of silicon. After encapsulation, we hydrogen terminate the silicon surface in order to image the buried phosphorus dopants using STM. We show that a buried phosphorus atom appears as a bright glow superimposed on the silicon dimer structure in empty state STM images, whereas filled state images only show a very faint protrusion in the vicinity of the phosphorus atom. We highlight the importance of our results for the fabrication of atomic-scale devices.

  12. STM imaging of buried P atoms in hydrogen-terminated Si for the fabrication of a Si:P quantum computer

    International Nuclear Information System (INIS)

    Oberbeck, L.; Curson, N.J.; Hallam, T.; Simmons, M.Y.; Clark, R.G.

    2004-01-01

    The fabrication of atomic-scale devices in silicon requires the encapsulation of dopant atoms which have been incorporated into the silicon surface at atomically precise positions using scanning tunnelling microscopy (STM) lithography. During silicon encapsulation, it is important to minimise segregation and diffusion of dopant atoms in order to retain the lithography defined device structure. Buried dopant imaging using STM is capable of imaging dopant atoms such as phosphorus after encapsulation in silicon several monolayers below the silicon surface, thus making it possible to check the integrity of the device structure. To fabricate buried phosphorus-doped samples, we use phosphine gas as a source of phosphorus atoms and incorporate the phosphorus atoms into a Si(001) surface during an annealing step. Molecular beam epitaxy is used to encapsulate the dopant atoms with several monolayers of silicon. After encapsulation, we hydrogen terminate the silicon surface in order to image the buried phosphorus dopants using STM. We show that a buried phosphorus atom appears as a bright glow superimposed on the silicon dimer structure in empty state STM images, whereas filled state images only show a very faint protrusion in the vicinity of the phosphorus atom. We highlight the importance of our results for the fabrication of atomic-scale devices

  13. Graphitization of boron predeposited 6H-SiC(0 0 0 1) surface

    International Nuclear Information System (INIS)

    Okonogi, Yuta; Aoki, Yuki; Hirayama, Hiroyuki

    2012-01-01

    Highlights: ► We have tried to dope the B atoms into epitaxial graphene during the graphene growing process. ► The B induced changes in the surface were characterized by low-energy electron microscopy, auger electron spectroscopy, atomic force microscopy, and scanning tunneling icroscopy. ► No obvious B atoms doping evidence into the graphene was observed. - Abstract: We examined the substitutional doping of B atoms into epitaxial graphene on the SiC(0 0 0 1) surface. B atoms were deposited on the SiC(0 0 0 1) surface in advance of the growth of graphene. The B-induced changes in the surface morphology and chemical composition were characterized at the four thermal treatment stages in the growth of graphene (at 1120, 1370, 1520, and 1770 K) by low-energy electron diffraction (LEED), auger electron spectroscopy (AES), atomic force microscopy (AFM), and scanning tunneling microscopy (STM). The B atoms were found to hinder the formation of a spatially uniform graphene layer. However, local deformation of the graphene lattice, which should be observed if B atoms are successfully doped substitutionally, was not observed in STM.

  14. Influence of Si wafer thinning processes on (sub)surface defects

    Energy Technology Data Exchange (ETDEWEB)

    Inoue, Fumihiro, E-mail: fumihiro.inoue@imec.be [Imec, Kapeldreef 75, 3001 Leuven (Belgium); Jourdain, Anne; Peng, Lan; Phommahaxay, Alain; De Vos, Joeri; Rebibis, Kenneth June; Miller, Andy; Sleeckx, Erik; Beyne, Eric [Imec, Kapeldreef 75, 3001 Leuven (Belgium); Uedono, Akira [Division of Applied Physics, Faculty of Pure and Applied Science, University of Tsukuba, Tsukuba, Ibaraki 305-8573 (Japan)

    2017-05-15

    Highlights: • Mono-vacancy free Si-thinning can be accomplished by combining several thinning techniques. • The grinding damage needs to be removed prior to dry etching, otherwise vacancies remain in the Si at a depth around 0.5 to 2 μm after Si wafer thickness below 5 μm. • The surface of grinding + CMP + dry etching is equivalent mono vacancy level as that of grinding + CMP. - Abstract: Wafer-to-wafer three-dimensional (3D) integration with minimal Si thickness can produce interacting multiple devices with significantly scaled vertical interconnections. Realizing such a thin 3D structure, however, depends critically on the surface and subsurface of the remaining backside Si after the thinning processes. The Si (sub)surface after mechanical grinding has already been characterized fruitfully for a range of few dozen of μm. Here, we expand the characterization of Si (sub)surface to 5 μm thickness after thinning process on dielectric bonded wafers. The subsurface defects and damage layer were investigated after grinding, chemical mechanical polishing (CMP), wet etching and plasma dry etching. The (sub)surface defects were characterized using transmission microscopy, atomic force microscopy, and positron annihilation spectroscopy. Although grinding provides the fastest removal rate of Si, the surface roughness was not compatible with subsequent processing. Furthermore, mechanical damage such as dislocations and amorphous Si cannot be reduced regardless of Si thickness and thin wafer handling systems. The CMP after grinding showed excellent performance to remove this grinding damage, even though the removal amount is 1 μm. For the case of Si thinning towards 5 μm using grinding and CMP, the (sub)surface is atomic scale of roughness without vacancy. For the case of grinding + dry etch, vacancy defects were detected in subsurface around 0.5–2 μm. The finished surface after wet etch remains in the nm scale in the strain region. By inserting a CMP step in

  15. Evolution of Si-2N2Nb island configuration on NbN (0 0 1) surface: A first-principles calculation

    International Nuclear Information System (INIS)

    Ren, Yuan; Xia, Qing; Zhang, Chao; Liu, Xuejie; Li, Zhi; Zhang, Fucheng

    2015-01-01

    Graphical abstract: - Highlights: • We investigate the separation and gathering of Nb, Si and N atom around come to NbN grain. • The adsorption and diffusion of single atom around 2N2Nb island were calculated by DFT. • The adsorption behavior of Si-2N2Nb island on NbN (0 0 1) surface were investigated. • The evolution of Si-2N2Nb island on NbN (0 0 1) were calculated by nudged elastic band. - Abstract: The separation and aggregation of Nb, Si, and N atoms around the NbN grain during the deposition of the Nb–Si–N nanocomposite film were discussed. The evolution behavior of the 2N2Nb island and the adsorption and diffusion energy of Nb, Si, and N atoms around the island on the NbN (0 0 1) surface were investigated using the first-principles method based on density functional theory. Results indicated that the most stable configuration of the Nb–Si–N island was the combination of Nb and N atoms to form the island and the possible aggregation of the Si atom to diagonal Nb atom outside the island. Substitution solid solution was eventually formed, in which the Nb atom of the 2N2Nb island was replaced by the Si atom during deposition. However, the Si atom was easily replaced by the Nb atom at the site with abundant Nb atoms. The diffusion energy of the evolution from Nb-2N1Nb1Si to Si-2N2Nb was 1.58 eV, and the total energy of the configuration decreased. Moreover, the interface of Si and NbN grains tended to separate. The highest energy adsorption sites for Nb, Si, N atoms adsorbed on the NbN (0 0 1) surface around the 2N2Nb island were P3, P1, and P2, respectively. The adsorption energies of Nb, Si, and N atoms on the NbN (0 0 1) surface around the 2N2Nb island were 7.3067, 5.3521, and 6.7113 eV, respectively, and their diffusion energies around the 2N2Nb island were 2.62, 1.35, and 5.094 eV, respectively. The low adsorption and diffusion energies of active Si atoms promoted the distribution of Nb and N atoms during deposition. Furthermore, the Nb

  16. Fluorocarbon based atomic layer etching of Si_3N_4 and etching selectivity of SiO_2 over Si_3N_4

    International Nuclear Information System (INIS)

    Li, Chen; Metzler, Dominik; Oehrlein, Gottlieb S.; Lai, Chiukin Steven; Hudson, Eric A.

    2016-01-01

    Angstrom-level plasma etching precision is required for semiconductor manufacturing of sub-10 nm critical dimension features. Atomic layer etching (ALE), achieved by a series of self-limited cycles, can precisely control etching depths by limiting the amount of chemical reactant available at the surface. Recently, SiO_2 ALE has been achieved by deposition of a thin (several Angstroms) reactive fluorocarbon (FC) layer on the material surface using controlled FC precursor flow and subsequent low energy Ar"+ ion bombardment in a cyclic fashion. Low energy ion bombardment is used to remove the FC layer along with a limited amount of SiO_2 from the surface. In the present article, the authors describe controlled etching of Si_3N_4 and SiO_2 layers of one to several Angstroms using this cyclic ALE approach. Si_3N_4 etching and etching selectivity of SiO_2 over Si_3N_4 were studied and evaluated with regard to the dependence on maximum ion energy, etching step length (ESL), FC surface coverage, and precursor selection. Surface chemistries of Si_3N_4 were investigated by x-ray photoelectron spectroscopy (XPS) after vacuum transfer at each stage of the ALE process. Since Si_3N_4 has a lower physical sputtering energy threshold than SiO_2, Si_3N_4 physical sputtering can take place after removal of chemical etchant at the end of each cycle for relatively high ion energies. Si_3N_4 to SiO_2 ALE etching selectivity was observed for these FC depleted conditions. By optimization of the ALE process parameters, e.g., low ion energies, short ESLs, and/or high FC film deposition per cycle, highly selective SiO_2 to Si_3N_4 etching can be achieved for FC accumulation conditions, where FC can be selectively accumulated on Si_3N_4 surfaces. This highly selective etching is explained by a lower carbon consumption of Si_3N_4 as compared to SiO_2. The comparison of C_4F_8 and CHF_3 only showed a difference in etching selectivity for FC depleted conditions. For FC accumulation conditions

  17. Atomic oxygen adsorption and its effect on the oxidation behaviour of ZrB2-ZrC-SiC in air

    International Nuclear Information System (INIS)

    Gao Dong; Zhang Yue; Xu Chunlai; Song Yang; Shi Xiaobin

    2011-01-01

    Research highlights: → Atomic oxygen was adsorbed on the surface of ZrB 2 -ZrC-SiC ceramics. → Atomic oxygen was preferred reacted with borides according to XPS spectra. → The atomic oxygen adsorption is detrimental to the oxidation resistance. → The porosity should be the major reason which provides diffusion path for the atomic oxygen. → The structure evolution of the ceramics during oxidation is analyzed. - Abstract: Atomic oxygen is adsorbed on the surface of the hot-pressed ZrB 2 -ZrC-SiC ceramic composites, and then the ceramic composites are oxidized in air up to 1500 deg. C with the purpose of clarifying the effect of atomic oxygen adsorption on the oxidation behaviour of the ceramic composites. The XPS spectra are employed to identify the adsorption mechanism of atomic oxygen on the surface of the ceramic composites, and the formation of O-B, O-Zr, and O-Si bonds indicates that atomic oxygen is chemically adsorbed on the surface of the ceramic. In addition, atomic oxygen is preferred to be adsorbed on the surface of borides according to the Zr 3d core level spectrum. On the other hand, the atomic oxygen adsorption is detrimental to the oxidation resistance according to experimental results, and the porosity of the ceramic should be the major reason which provides diffusion path for the atomic oxygen. Furthermore, the structure evolution of the ceramic composites during oxidation process is analyzed.

  18. Surface correlation behaviors of metal-organic Langmuir-Blodgett films on differently passivated Si(001) surfaces

    Science.gov (United States)

    Bal, J. K.; Kundu, Sarathi

    2013-03-01

    Langmuir-Blodgett films of standard amphiphilic molecules like nickel arachidate and cadmium arachidate are grown on wet chemically passivated hydrophilic (OH-Si), hydrophobic (H-Si), and hydrophilic plus hydrophobic (Br-Si) Si(001) surfaces. Top surface morphologies and height-difference correlation functions g(r) with in-plane separation (r) are obtained from the atomic force microscopy studies. Our studies show that deposited bilayer and trilayer films have self-affine correlation behavior irrespective of different passivations and different types of amphiphilic molecules, however, liquid like correlation coexists only for a small part of r, which is located near the cutoff length (1/κ) or little below the correlation length ξ obtained from the liquid like and self-affine fitting, respectively. Thus, length scale dependent surface correlation behavior is observed for both types of Langmuir-Blodgett films. Metal ion specific interactions (ionic, covalent, etc.,) in the headgroup and the nature of the terminated bond (polar, nonpolar, etc.,) of Si surface are mainly responsible for having different correlation parameters.

  19. Effects of surface passivation on α-Si_3N_4 nanobelts: A first-principles study

    International Nuclear Information System (INIS)

    Xiong, Li; Dai, Jianhong; Song, Yan; Wen, Guangwu; Qin, Chunlin

    2016-01-01

    Highlights: • The stability and electronic properties of α-Si_3N_4 nanobelts are theoretically studied. • The surface of α-Si_3N_4 nanobelts are passivated with H, OH, F and Cl atoms. • The structural stability of nanobelts decreases in the order of OH, F, Cl, and H passivations. • The surface passivation greatly changes the electronic structures of α-Si_3N_4 nanobelts. - Abstract: The energetic stability and electronic structures of H, OH, F, or Cl passivated α-Si_3N_4 nanobelts orientating along various directions are systematically investigated via first-principles calculations. The results show that the stability of nanobelts is more sensitive to the surface passivation than growth direction. It decreases in the order of (100% OH), (50% H, 50% OH), (50% H, 50% F), (100% F), (50% H, 50% Cl), (100% Cl), (100% H), and unpassivation. H atoms prefer to bond with surface N atoms of nanobelts, while OH, F and Cl prefer to bond with Si atoms of nanobelts. In addition, the surface passivation greatly changes the electronic structures of nanobelts. The OH and F passivations result in the larger band gaps than the Cl passivation. While the coverage of OH, F or Cl increases to 100%, their band gaps decrease significantly, indicating an improvement of electrical properties, which is good agreement with the experimental findings. The 100% Cl-passivated nanobelt orientating along the [011] direction possesses the smallest band gap of 1.038 eV. The band gaps are found to be affected by a competition between quantum confinement effect and the role of the surface passivated groups or atoms at the band-gap edges.

  20. Atomic-layer deposited passivation schemes for c-Si solar cells

    NARCIS (Netherlands)

    van de Loo, B.W.H.; Macco, B.; Melskens, J.; Verheijen, M.A.; Kessels, W.M.M.E.

    2016-01-01

    A review of recent developments in the field of passivation of c-Si surfaces is presented, with a particular focus on materials that can be prepared by atomic layer deposition (ALD). Besides Al2O3, various other novel passivation schemes have recently been developed, such as Ga2O3, Ta2O5,

  1. Improving surface smoothness and photoluminescence of CdTe(1 1 1)A on Si(1 1 1) substrates grown by molecular beam epitaxy using Mn atoms

    International Nuclear Information System (INIS)

    Wang, Jyh-Shyang; Tsai, Yu-Hsuan; Chen, Chang-Wei; Dai, Zi-Yuan; Tong, Shih-Chang; Yang, Chu-Shou; Wu, Chih-Hung; Yuan, Chi-Tsu; Shen, Ji-Lin

    2014-01-01

    Highlights: • CdTe(1 1 1)A epilayers were grown on Si(1 1 1) substrates by molecular beam epitaxy. • We report an enhanced growth using Mn atoms. • The significant improvements in surface quality and optical properties were found. - Abstract: This work demonstrates an improvement of the molecular beam epitaxial growth of CdTe(1 1 1)A epilayer on Si(1 1 1) substrates using Mn atoms. The reflection high-energy electron diffraction patterns show that the involvement of some Mn atoms in the growth of CdTe(1 1 1)A is even more effective than the use of a buffer layer with a smooth surface for forming good CdTe(1 1 1)A epilayers. 10 K Photoluminescence spectra show that the incorporation of only 2% Mn significantly reduced the intensity of defect-related emissions and considerably increased the integral intensity of exciton-related emissions by a large factor of about 400

  2. Charge transfer rates for xenon Rydberg atoms at metal and semiconductor surfaces

    Energy Technology Data Exchange (ETDEWEB)

    Dunning, F.B. [Department of Physics and Astronomy, Rice University, MS 61, 6100 Main Street, Houston, TX 77005-1892 (United States)]. E-mail: fbd@rice.edu; Wethekam, S. [Institut fuer Physik der Humboldt-Universitaet zu Berlin, Newtonstr. 15, D-12489 Berlin (Germany); Dunham, H.R. [Department of Physics and Astronomy, Rice University, MS 61, 6100 Main Street, Houston, TX 77005-1892 (United States); Lancaster, J.C. [Department of Physics and Astronomy, Rice University, MS 61, 6100 Main Street, Houston, TX 77005-1892 (United States)

    2007-05-15

    Recent progress in the study of charge exchange between xenon Rydberg atoms and surfaces is reviewed. Experiments using Au(1 1 1) surfaces show that under appropriate conditions each incident atom can be detected as an ion. The ionization dynamics, however, are strongly influenced by the perturbations in the energies and structure of the atomic states that occur as the ion collection field is applied and as the atom approaches the surface. These lead to avoided crossings between different atomic levels causing the atom to successively assume the character of a number of different states and lose much of its initial identity. The effects of this mixing are discussed. Efficient surface ionization is also observed at Si(1 0 0) surfaces although the ion signal is influenced by stray fields present at the surface.

  3. AES study of the reaction between a thin Fe-film and β-SiC (100) surface

    International Nuclear Information System (INIS)

    Mizokawa, Yusuke; Nakanishi, Shigemitsu; Miyase, Sunao

    1989-01-01

    The solid state reaction between thin Fe-films and β-SiC(100) in UHV has been studied using AES. Even at room temperature, the reaction between the thin Fe-film and SiC occurred and formed Fe-silicide and graphite with a minor product of Fe-carbide (Fe 3 C). The reaction proceeded with an increase of Fe-coverage to some extent. With annealing of 15 A-Fe-film/SiC below 540degC, the Fe-silicide formation was accelerated, but because the amount of available Fe was small, the dissolved carbon atoms were forced to form not the Fe-carbide but the graphite phase. Above 640degC, the Fe-silicide started to decompose and the carbon atoms diffused to the surface and formed surface graphite layers. With annealing at 1080degC, the free-Si segregats at the surface and formed Si-Si bonds, as well as the Si-C bonds consuming the surface graphite phase. (author)

  4. The growth of Zn on a Si(1 0 0)-2x1 surface

    International Nuclear Information System (INIS)

    Xie Zhaoxiong; Tanaka, Ken-ichi

    2005-01-01

    Adsorption of Zn atoms on a Si(1 0 0)-2x1 surface was studied by scanning tunneling microscopy at room temperature. Narrow lines are grown perpendicular to the Si-dimer rows of the [1 1 0] direction at low coverage. The narrow line is formed by arraying rectangular Zn 3 dots, where a dot is composed of one Zn atom on a Si dimer and the other two in the neighboring two hollow sites. When the Si(1 0 0)-2x1 surface is covered with one monolayer of Zn, a 4x1 structure is established. More deposition of Zn on the 4x1 monolayer grows into three-dimensional Zn islands

  5. Structure compatibility of TiO{sub 2} and SiO{sub 2} surfaces

    Energy Technology Data Exchange (ETDEWEB)

    Tokarský, Jonáš, E-mail: jonas.tokarsky@vsb.cz; Čapková, Pavla

    2013-11-01

    A simple method for the estimation of the most suitable mutual crystallographic orientations of TiO{sub 2} nanoparticles anchored on SiO{sub 2} substrate is presented in this work. Number of overlapping titanium and oxygen atoms creating atomic pairs can be used to quantify the structure compatibility. These atomic pairs are obtained directly from non-optimized TiO{sub 2} and SiO{sub 2} atomic planes. The descriptions of algorithms being implemented as scripts into the MATLAB environment in order to make the method more effective are also provided. This method can help with the selection of the most promising (h k l) planes of TiO{sub 2} and SiO{sub 2} adjacent surfaces and the outputs are in good agreement with results of molecular modeling of TiO{sub 2} nanoparticles anchored on SiO{sub 2} surfaces within the meaning of ability to determine the optimized models with the highest and the lowest TiO{sub 2}–SiO{sub 2} adhesion energies. To the best of our knowledge, there is no other such simple and efficient method providing this information, which is very important for molecular modeling of nanoparticle-crystalline substrate systems.

  6. Passivation of surface-nanostructured f-SiC and porous SiC

    DEFF Research Database (Denmark)

    Ou, Haiyan; Lu, Weifang; Ou, Yiyu

    The further enhancement of photoluminescence from nanostructured fluorescent silicon carbide (f-SiC) and porous SiC by using atomic layer deposited (ALD) Al2O3 is studied in this paper.......The further enhancement of photoluminescence from nanostructured fluorescent silicon carbide (f-SiC) and porous SiC by using atomic layer deposited (ALD) Al2O3 is studied in this paper....

  7. Phosphorus atomic layer doping in SiGe using reduced pressure chemical vapor deposition

    International Nuclear Information System (INIS)

    Yamamoto, Yuji; Heinemann, Bernd; Murota, Junichi; Tillack, Bernd

    2014-01-01

    Phosphorus (P) atomic layer doping in SiGe is investigated at temperatures between 100 °C to 600 °C using a single wafer reduced pressure chemical vapor deposition system. SiGe(100) surface is exposed to PH 3 at different PH 3 partial pressures by interrupting SiGe growth. The impact of the SiGe buffer/cap growth condition (total pressure/SiGe deposition precursors) on P adsorption, incorporation, and segregation are investigated. In the case of SiH 4 -GeH 4 -H 2 gas system, steeper P spikes due to lower segregation are observed by SiGe cap deposition at atmospheric (ATM) pressure compared with reduced pressure (RP). The steepness of P spike of ∼ 5.7 nm/dec is obtained for ATM pressure without reducing deposition temperature. This result may be due to the shift of equilibrium of P adsorption/desorption to desorption direction by higher H 2 pressure. Using Si 2 H 6 -GeH 4 -H 2 gas system for SiGe cap deposition in RP, lowering the SiGe growth temperature is possible, resulting in higher P incorporation and steeper P profile due to reduced desorption and segregation. In the case of Si 2 H 6 -GeH 4 -H 2 gas system, the P dose could be simulated assuming a Langmuir-type kinetics model. Incorporated P shows high electrical activity, indicating P is adsorbed mostly in lattice position. - Highlights: • Phosphorus (P) atomic layer doping in SiGe (100) is investigated using CVD. • P adsorption is suppressed by the hydrogen termination of Ge surface. • By SiGe cap deposition at atmospheric pressure, P segregation was suppressed. • By using Si 2 H 6 -based SiGe cap, P segregation was also suppressed. • The P adsorption process is self-limited and follows Langmuir-type kinetics model

  8. Structure determination of the ordered (2 × 1) phase of NiSi surface alloy on Ni(111) using low-energy electron diffraction

    Science.gov (United States)

    Sazzadur Rahman, Md.; Amirul Islam, Md.; Saha, Bidyut Baran; Nakagawa, Takeshi; Mizuno, Seigi

    2015-12-01

    The (2 × 1) structure of the two-dimensional nickel silicide surface alloy on Ni(111) was investigated using quantitative low-energy electron diffraction analysis. The unit cell of the determined silicide structure contains one Si and one Ni atom, corresponding to a chemical formula of NiSi. The Si atoms adopt substitutional face-centered cubic hollow sites on the Ni(111) substrate. The Ni-Si bond lengths were determined to be 2.37 and 2.34 Å. Both the alloy surface and the underlying first layers of Ni atoms exhibit slight corrugation. The Ni-Si interlayer distance is smaller than the Ni-Ni interlayer distance, which indicates that Si atoms and underlying Ni atoms strongly interact.

  9. A computational study on the adsorption configurations and reactions of SiH{sub x}(x = 1-4) on clean and H-covered Si(100) surfaces

    Energy Technology Data Exchange (ETDEWEB)

    Le, Thong N-M [Molecular Science and Nano-Materials Laboratory, Institute for Computational Science and Technology, Quang Trung Software Park, Dist. 12, Ho Chi Minh City (Viet Nam); Raghunath, P. [Center for Interdisciplinary Molecular Science, Department of Applied Chemistry, National Chiao Tung University, Hsinchu 300, Taiwan (China); Huynh, Lam K., E-mail: lamhuynh.us@gmail.com [Department of Applied Chemistry, School of Biotechnology,International University, VNU-HCMC, Quarter 6, Linh Trung, Thu Duc District, Ho Chi Minh City (Viet Nam); Lin, M.C., E-mail: chemmcl@emory.edu [Center for Interdisciplinary Molecular Science, Department of Applied Chemistry, National Chiao Tung University, Hsinchu 300, Taiwan (China)

    2016-11-30

    Highlights: • Possible adsorption configurations of all adsorbates on Si(100) surface are systematically explored. • The mechanisms leading to the formation of silicon adatoms on the surface are proposed. • The barriers for hydrogen abstractions from the surface are negligible comparing to the barriers for the hydrogen migrations. • The barriers for hydrogen abstractions from the adsorbed speices are negligible comparing to the barriers for the decompositions. - Abstract: Possible adsorption configurations of H and SiH{sub x} (x = 1 − 4) on clean and H-covered Si(100) surfaces are determined by using spin-polarized DFT calculations. The results show that, on the clean surface, the gas-phase hydrogen atom and SiH{sub 3} radicals effectively adsorb on the top sites, while SiH and SiH{sub 2} prefer the bridge sites of the first layer. Another possibility for SiH is to reside on the hollow sites with a triple-bond configuration. For a partially H-coverd Si(100) surface, the mechanism is similar but with higher adsorption energies in most cases. This suggests that the surface species become more stable in the presence of surface hydrogens. The minimum energy paths for the adsorption/migration and reactions of H/SiH{sub x} species on the surfaces are explored using the climbing image-nudged elastic band method. The competitive surface processes for Si thin-film formation from SiH{sub x} precursors are also predicted. The study reveals that the migration of hydrogen adatom is unimportant with respect to leaving open surface sites because of its high barriers (>29.0 kcal/mol). Alternatively, the abstraction of hydrogen adatoms by H/SiH{sub x} radicals is more favorable. Moreover, the removal of hydrogen atoms from adsorbed SiH{sub x}, an essential step for forming Si layers, is dominated by abstraction rather than the decomposition processes.

  10. Plasma processing of the Si(0 0 1) surface for tuning SPR of Au/Si-based plasmonic nanostructures

    Energy Technology Data Exchange (ETDEWEB)

    Giangregorio, Maria M. [Institute of Inorganic Methodologies and of Plasmas, IMIP-CNR and INSTM sez. Bari, Via Orabona 4, 70125 Bari (Italy)]. E-mail: michelaria.giangregorio@ba.imip.cnr.it; Losurdo, Maria [Institute of Inorganic Methodologies and of Plasmas, IMIP-CNR and INSTM sez. Bari, Via Orabona 4, 70125 Bari (Italy); Sacchetti, Alberto [Institute of Inorganic Methodologies and of Plasmas, IMIP-CNR and INSTM sez. Bari, Via Orabona 4, 70125 Bari (Italy); Capezzuto, Pio [Institute of Inorganic Methodologies and of Plasmas, IMIP-CNR and INSTM sez. Bari, Via Orabona 4, 70125 Bari (Italy); Bruno, Giovanni [Institute of Inorganic Methodologies and of Plasmas, IMIP-CNR and INSTM sez. Bari, Via Orabona 4, 70125 Bari (Italy)

    2006-12-15

    Au nanoclusters have been deposited on Si(0 0 1) surfaces by sputtering of a metallic Au target using an Ar plasma. Different wet and dry treatments of the Si(0 0 1) surface, including dipping in HF solution and exposure to H{sub 2} and N{sub 2} plasmas, have been applied and the effects of these treatments on the Au nanoparticles/Si interface, the Au nanoclusters aspect ratio and the surface plasmon resonance (SPR) energy and amplitude are investigated exploiting spectroscopic ellipsometry and atomic force microscopy. It is found that the Au nanoclusters aspect ratio depends on the extent of the Au-Si intermixing. The thicker the Au-Si interface layer, the larger the Au nanoparticles aspect ratio and the red-shift of the SPR peak. Furthermore, SiO{sub 2} and the H{sub 2} plasma treatment inhibit the Si-Au intermixing, while HF-dipping and the N{sub 2} plasma treatment favour Au-Si intermixing, yielding silicide formation which increases the Si wetting by Au.

  11. 4H-SiC surface energy tuning by nitrogen up-take

    Energy Technology Data Exchange (ETDEWEB)

    Pitthan, E., E-mail: eduardo.pitthan@ufrgs.br [Institute for Advanced Materials, Devices and Nanotechnology, Rutgers University, Piscataway, NJ 08854 (United States); PGMICRO, UFRGS, 91509-900, Porto Alegre, RS (Brazil); Amarasinghe, V.P. [Institute for Advanced Materials, Devices and Nanotechnology, Rutgers University, Piscataway, NJ 08854 (United States); Xu, C.; Gustafsson, T. [Institute for Advanced Materials, Devices and Nanotechnology, Rutgers University, Piscataway, NJ 08854 (United States); Department of Physics and Astronomy, Rutgers University, Piscataway, NJ 08854 (United States); Stedile, F.C. [PGMICRO, UFRGS, 91509-900, Porto Alegre, RS (Brazil); Instituto de Química, UFRGS, 91509-900, Porto Alegre, RS (Brazil); Feldman, L.C. [Institute for Advanced Materials, Devices and Nanotechnology, Rutgers University, Piscataway, NJ 08854 (United States); Department of Physics and Astronomy, Rutgers University, Piscataway, NJ 08854 (United States)

    2017-04-30

    Highlights: • Wettability modification of 4H-SiC as a function of nitrogen adsorption is reported. • SiC surface energy was significantly reduced as nitrogen was incorporated. • Modifications obtained were proved to be inert to etching and stable against time. • Variable control of SiC surface provides new opportunities for biomedical applications. - Abstract: Surface energy modification and surface wettability of 4H silicon carbide (0001) as a function of nitrogen adsorption is reported. The surface wettability is shown to go from primarily hydrophilic to hydrophobic and the surface energy was significantly reduced with increasing nitrogen incorporation. These changes are investigated by x-ray photoelectron spectroscopy and contact angle measurements. The surface energy was quantitatively determined by the Fowkes model and interpreted primarily in terms of the variation of the surface chemistry with nitrogen coverage. Variable control of SiC surface energies with a simple and controllable atomic additive such as nitrogen that is inert to etching, stable against time, and also effective in electrical passivation, can provide new opportunities for SiC biomedical applications, where surface wetting plays an important role in the interaction with the biological interfaces.

  12. Structural And Energetic Changes of Si (100 Surface With Fluorine in Presence of Water – A Density Functional Study

    Directory of Open Access Journals (Sweden)

    Takeo Ebina

    2001-05-01

    Full Text Available Abstract: We report density functional electronic structure calculations to monitor the change in the surface characteristics of the Si (100-2x1 surface after fluorination followed by interaction with water. Embedded finite silicon clusters are used to model an extended Si (100-2x1 surface. Two high symmetry pathways and subsequent adsorption sites were examined: (i adsorption of an fluorine atom directing onto a silicon dangling bond to form a monocoordinated fluorine atom (ii adsorption of a fluorine atom directing on top of silicon dimer to form a bridging dicoordinated fluorine atom. However, in the later case we find that no barrier exists for the bridging fluorine atom to slide towards silicon dimer dangling bond to form more stable mono coordinated Si-F bond. We calculated activation barriers and equilibrium surface configuration as a function of fluorine coverage upto 2.0 ML. We compared the stability of the fluorinated surface. The results were compared with existing experimental and theoretical results. The reaction of water with HF treated Si surface is monitored. It produces, as a first step, the exchange of Si-F with water to form Si-OH groups reducing the concentration of the fluorine on the surface, followed by a rapture of Si-Si bonds and finally the Si-O-Si bridge formation in the lattice.

  13. Effect of Atomic Hydrogen on Preparation of Highly Moisture-Resistive SiNx Films at Low Substrate Temperatures

    Science.gov (United States)

    Heya, Akira; Niki, Toshikazu; Takano, Masahiro; Yonezawa, Yasuto; Minamikawa, Toshiharu; Muroi, Susumu; Minami, Shigehira; Izumi, Akira; Masuda, Atsushi; Umemoto, Hironobu; Matsumura, Hideki

    2004-12-01

    Highly moisture-resistive SiNx films on a Si substrate are obtained at substrate temperatures of 80°C by catalytic chemical vapor deposition (Cat-CVD) using a source gas with H2. Atomic hydrogen effected the selective etching of a weak-bond regions and an increase in atomic density induced by the energy of the surface reaction. It is concluded that Cat-CVD using H2 is a promising candidate for the fabrication of highly moisture-resistive SiNx films at low temperatures.

  14. Depth profile investigation of the incorporated iron atoms during Kr{sup +} ion beam sputtering on Si (001)

    Energy Technology Data Exchange (ETDEWEB)

    Khanbabaee, B., E-mail: khanbabaee@physik.uni-siegen.de [Solid State Physics, University of Siegen, D-57068 Siegen (Germany); Arezki, B.; Biermanns, A. [Solid State Physics, University of Siegen, D-57068 Siegen (Germany); Cornejo, M.; Hirsch, D. [Leibniz-Institut für Oberflächenmodifizierung e. V. (IOM), Permoserstraße 15, D-04318 Leipzig (Germany); Lützenkirchen-Hecht, D. [Abteilung Physik, Bergische Universität Wuppertal, D-42097 Wuppertal (Germany); Frost, F. [Leibniz-Institut für Oberflächenmodifizierung e. V. (IOM), Permoserstraße 15, D-04318 Leipzig (Germany); Pietsch, U. [Solid State Physics, University of Siegen, D-57068 Siegen (Germany)

    2013-01-01

    We investigate the incorporation of iron atoms during nano-patterning of Si surfaces induced by 2 keV Kr{sup +} ion beam erosion under an off-normal incidence angle of 15°. Considering the low penetration depth of the ions, we have used X-ray reflectivity (XRR) and X-ray absorption near edge spectroscopy (XANES) under grazing-incidence angles in order to determine the depth profile and phase composition of the incorporated iron atoms in the near surface region, complemented by secondary ion mass spectrometry and atomic force microscopy. XRR analysis shows the accumulation of metallic atoms within a near surface layer of a few nanometer thickness. We verify that surface pattern formation takes place only when the co-sputtered Fe concentration exceeds a certain limit. For high Fe concentration, the ripple formation is accompanied by the enhancement of Fe close to the surface, whereas no Fe enhancement is found for low Fe concentration at samples with smooth surfaces. Modeling of the measured XANES spectra reveals the appearance of different silicide phases with decreasing Fe content from the top towards the volume. - Highlights: ► We investigate the incorporation of iron atoms during nano-patterning of Si surfaces. ► Pattern formation occurs when the areal density of Fe exceeds a certain threshold. ► X-ray reflectivity shows a layering at near surface due to incorporated Fe atoms. ► It is shown that the patterning is accompanied with the appearance of Fe-rich silicide.

  15. Interactions of atomic hydrogen with amorphous SiO2

    Science.gov (United States)

    Yue, Yunliang; Wang, Jianwei; Zhang, Yuqi; Song, Yu; Zuo, Xu

    2018-03-01

    Dozens of models are investigated by the first-principles calculations to simulate the interactions of an atomic hydrogen with a defect-free random network of amorphous SiO2 (a-SiO2) and oxygen vacancies. A wide variety of stable configurations are discovered due to the disorder of a-SiO2, and their structures, charges, magnetic moments, spin densities, and density of states are calculated. The atomic hydrogen interacts with the defect-free a-SiO2 in positively or negatively charged state, and produces the structures absent in crystalline SiO2. It passivates the neutral oxygen vacancies and generates two neutral hydrogenated E‧ centers with different Si dangling bond projections. Electron spin resonance parameters, including Fermi contacts, and g-tensors, are calculated for these centers. The atomic hydrogen interacts with the positive oxygen vacancies in dimer configuration, and generate four different positive hydrogenated defects, two of which are puckered like the Eγ‧ centers. This research helps to understand the interactions between an atomic hydrogen, and defect-free a-SiO2 and oxygen vacancies, which may generate the hydrogen-complexed defects that play a key role in the degeneration of silicon/silica-based microelectronic devices.

  16. Influence of helium atoms on the shear behavior of the fiber/matrix interphase of SiC/SiC composite

    Science.gov (United States)

    Jin, Enze; Du, Shiyu; Li, Mian; Liu, Chen; He, Shihong; He, Jian; He, Heming

    2016-10-01

    Silicon carbide has many attractive properties and the SiC/SiC composite has been considered as a promising candidate for nuclear structural materials. Up to now, a computational investigation on the properties of SiC/SiC composite varying in the presence of nuclear fission products is still missing. In this work, the influence of He atoms on the shear behavior of the SiC/SiC interphase is investigated via Molecular Dynamics simulation following our recent paper. Calculations are carried out on three dimensional models of graphite-like PyC/SiC interphase and amorphous PyC/SiC interphase with He atoms in different regions (the SiC region, the interface region and the PyC region). In the graphite-like PyC/SiC interphase, He atoms in the SiC region have little influence on the shear strength of the material, while both the shear strength and friction strength may be enhanced when they are in the PyC region. Low concentration of He atoms in the interface region of the graphite-like PyC/SiC interphase increases the shear strength, while there is a reduction of shear strength when the He concentration is high due to the switch of sliding plane. In the amorphous PyC/SiC interphase, He atoms can cause the reduction of the shear strength regardless of the regions that He atoms are located. The presence of He atoms may significantly alter the structure of SiC/SiC in the interface region. The influence of He atoms in the interface region is the most significant, leading to evident shear strength reduction of the amorphous PyC/SiC interphase with increasing He concentration. The behaviors of the interphases at different temperatures are studied as well. The dependence of the shear strengths of the two types of interphases on temperatures is studied as well. For the graphite-like PyC/SiC interphase, it is found strongly related to the regions He atoms are located. Combining these results with our previous study on pure SiC/SiC system, we expect this work may provide new insight

  17. Influence of helium atoms on the shear behavior of the fiber/matrix interphase of SiC/SiC composite

    Energy Technology Data Exchange (ETDEWEB)

    Jin, Enze [State Nuclear Power Research Institute, Beijing, 100029 (China); Du, Shiyu, E-mail: dushiyu@nimte.ac.cn [Engineering Laboratory of Specialty Fibers and Nuclear Energy Materials, Ningbo Institute of Materials Technology and Engineering, Chinese Academy of Sciences, Ningbo, Zhejiang, 315201 (China); Li, Mian [Engineering Laboratory of Specialty Fibers and Nuclear Energy Materials, Ningbo Institute of Materials Technology and Engineering, Chinese Academy of Sciences, Ningbo, Zhejiang, 315201 (China); Liu, Chen [Beijing Research Institute of Chemical Engineering and Metallurgy (China); He, Shihong [State Nuclear Power Research Institute, Beijing, 100029 (China); Engineering Laboratory of Specialty Fibers and Nuclear Energy Materials, Ningbo Institute of Materials Technology and Engineering, Chinese Academy of Sciences, Ningbo, Zhejiang, 315201 (China); He, Jian [Center for Translational Medicine, Department of Biotechnology, Dalian Institute of Chemical Physics, Chinese Academy of Sciences, Dalian, Liaoning, 116023 (China); He, Heming, E-mail: heheming@snptc.com.cn [State Nuclear Power Research Institute, Beijing, 100029 (China)

    2016-10-15

    Silicon carbide has many attractive properties and the SiC/SiC composite has been considered as a promising candidate for nuclear structural materials. Up to now, a computational investigation on the properties of SiC/SiC composite varying in the presence of nuclear fission products is still missing. In this work, the influence of He atoms on the shear behavior of the SiC/SiC interphase is investigated via Molecular Dynamics simulation following our recent paper. Calculations are carried out on three dimensional models of graphite-like PyC/SiC interphase and amorphous PyC/SiC interphase with He atoms in different regions (the SiC region, the interface region and the PyC region). In the graphite-like PyC/SiC interphase, He atoms in the SiC region have little influence on the shear strength of the material, while both the shear strength and friction strength may be enhanced when they are in the PyC region. Low concentration of He atoms in the interface region of the graphite-like PyC/SiC interphase increases the shear strength, while there is a reduction of shear strength when the He concentration is high due to the switch of sliding plane. In the amorphous PyC/SiC interphase, He atoms can cause the reduction of the shear strength regardless of the regions that He atoms are located. The presence of He atoms may significantly alter the structure of SiC/SiC in the interface region. The influence of He atoms in the interface region is the most significant, leading to evident shear strength reduction of the amorphous PyC/SiC interphase with increasing He concentration. The behaviors of the interphases at different temperatures are studied as well. The dependence of the shear strengths of the two types of interphases on temperatures is studied as well. For the graphite-like PyC/SiC interphase, it is found strongly related to the regions He atoms are located. Combining these results with our previous study on pure SiC/SiC system, we expect this work may provide new insight

  18. Influence of helium atoms on the shear behavior of the fiber/matrix interphase of SiC/SiC composite

    International Nuclear Information System (INIS)

    Jin, Enze; Du, Shiyu; Li, Mian; Liu, Chen; He, Shihong; He, Jian; He, Heming

    2016-01-01

    Silicon carbide has many attractive properties and the SiC/SiC composite has been considered as a promising candidate for nuclear structural materials. Up to now, a computational investigation on the properties of SiC/SiC composite varying in the presence of nuclear fission products is still missing. In this work, the influence of He atoms on the shear behavior of the SiC/SiC interphase is investigated via Molecular Dynamics simulation following our recent paper. Calculations are carried out on three dimensional models of graphite-like PyC/SiC interphase and amorphous PyC/SiC interphase with He atoms in different regions (the SiC region, the interface region and the PyC region). In the graphite-like PyC/SiC interphase, He atoms in the SiC region have little influence on the shear strength of the material, while both the shear strength and friction strength may be enhanced when they are in the PyC region. Low concentration of He atoms in the interface region of the graphite-like PyC/SiC interphase increases the shear strength, while there is a reduction of shear strength when the He concentration is high due to the switch of sliding plane. In the amorphous PyC/SiC interphase, He atoms can cause the reduction of the shear strength regardless of the regions that He atoms are located. The presence of He atoms may significantly alter the structure of SiC/SiC in the interface region. The influence of He atoms in the interface region is the most significant, leading to evident shear strength reduction of the amorphous PyC/SiC interphase with increasing He concentration. The behaviors of the interphases at different temperatures are studied as well. The dependence of the shear strengths of the two types of interphases on temperatures is studied as well. For the graphite-like PyC/SiC interphase, it is found strongly related to the regions He atoms are located. Combining these results with our previous study on pure SiC/SiC system, we expect this work may provide new insight

  19. Surface morphologies of excimer-laser annealed BF2+ implanted Si diodes

    International Nuclear Information System (INIS)

    Burtsev, A.; Schut, H.; Nanver, L.K.; Veen, A. van; Slabbekoorn, J.; Scholtes, T.L.M.

    2004-01-01

    Laser-induced surface roughness and damage formation in ultra-shallow n + -p and p + -n junctions, formed by low energy (5 keV) As + and BF 2 + implantations in Si, respectively, with a dose of 1 x 10 15 cm -2 have been investigated by atomic force microscopy (AFM) and Positron Annihilation Doppler Broadening (PADB) technique. The Si surface roughness is found to increase with laser energy density, and reaches a value of 3.5 nm after excimer-laser annealing (ELA) at 1100 mJ/cm 2 . However, anomalous behavior is witnessed for BF 2 + -implanted Si sample at 800 mJ/cm 2 , at which energy very high surface protrusions up to 9 nm high are observed. By PADB this behavior is correlated to extensive deep microcavity formation in the Si whereby the volatile F 2 fraction can accumulate and evaporate/out-diffuse, leading to Si surface roughening. The consequences for the diode characteristics and contact resistivity are examined

  20. Different growth mechanisms of Ge by Stranski-Krastanow on Si (111) and (001) surfaces: An STM study

    Energy Technology Data Exchange (ETDEWEB)

    Teys, S.A., E-mail: teys@isp.nsc.ru

    2017-01-15

    Highlights: • Different atomic mechanisms of transition from two-dimensional to three-dimensional-layer growth on Sransky-Krastanov observed. • The transition from 2D–3D Ge growth on Si (111) and (001) is very different. • Various changes in morphology, surface structures and sequence Ge redistribution during the growth shown. • The sequence of appearance of different incorporation places of Ge atoms was shown. - Abstract: Structural and morphological features of the wetting layer formation and the transition to the three-dimensional Ge growth on (111) and (100) Si surfaces under quasi-equilibrium growth conditions were studied by means of scanning tunneling microscopy. The mechanism of the transition from the wetting layer to the three-dimensional Ge growth on Si was demonstrated. The principal differences and general trends of the atomic processes involved in the wetting layers formation on substrates with different orientations were demonstrated. The Ge growth is accompanied by the Ge atom redistribution and partial strain relaxation due to the formation of new surfaces, vacancies and surface structures of a decreased density. The analysis of three-dimensional Ge islands sites nucleation of after the wetting layer formation was carried out on the (111) surface. The transition to the three-dimensional growth at the Si(100) surface begins with single {105} facets nucleation on the rough Ge(100) surface.

  1. Different growth mechanisms of Ge by Stranski-Krastanow on Si (111) and (001) surfaces: An STM study

    International Nuclear Information System (INIS)

    Teys, S.A.

    2017-01-01

    Highlights: • Different atomic mechanisms of transition from two-dimensional to three-dimensional-layer growth on Sransky-Krastanov observed. • The transition from 2D–3D Ge growth on Si (111) and (001) is very different. • Various changes in morphology, surface structures and sequence Ge redistribution during the growth shown. • The sequence of appearance of different incorporation places of Ge atoms was shown. - Abstract: Structural and morphological features of the wetting layer formation and the transition to the three-dimensional Ge growth on (111) and (100) Si surfaces under quasi-equilibrium growth conditions were studied by means of scanning tunneling microscopy. The mechanism of the transition from the wetting layer to the three-dimensional Ge growth on Si was demonstrated. The principal differences and general trends of the atomic processes involved in the wetting layers formation on substrates with different orientations were demonstrated. The Ge growth is accompanied by the Ge atom redistribution and partial strain relaxation due to the formation of new surfaces, vacancies and surface structures of a decreased density. The analysis of three-dimensional Ge islands sites nucleation of after the wetting layer formation was carried out on the (111) surface. The transition to the three-dimensional growth at the Si(100) surface begins with single {105} facets nucleation on the rough Ge(100) surface.

  2. Evolution of atomic-scale surface structures during ion bombardment: A fractal simulation

    International Nuclear Information System (INIS)

    Shaheen, M.A.; Ruzic, D.N.

    1993-01-01

    Surfaces of interest in microelectronics have been shown to exhibit fractal topographies on the atomic scale. A model utilizing self-similar fractals to simulate surface roughness has been added to the ion bombardment code TRIM. The model has successfully predicted experimental sputtering yields of low energy (less then 1000 eV) Ar on Si and D on C using experimentally determined fractal dimensions. Under ion bombardment the fractal surface structures evolve as the atoms in the collision cascade are displaced or sputtered. These atoms have been tracked and the evolution of the surface in steps of one monolayer of flux has been determined. The Ar--Si system has been studied for incidence energies of 100 and 500 eV, and incidence angles of 0 degree, 30 degree, and 60 degree. As expected, normally incident ion bombardment tends to reduce the roughness of the surface, whereas large angle ion bombardment increases the degree of surface roughness. Of particular interest though, the surfaces are still locally self-similar fractals after ion bombardment and a steady state fractal dimension is reached, except at large angles of incidence

  3. Atom-surface potentials and atom interferometry

    International Nuclear Information System (INIS)

    Babb, J.F.

    1998-01-01

    Long-range atom-surface potentials characterize the physics of many actual systems and are now measurable spectroscopically in deflection of atomic beams in cavities or in reflection of atoms in atomic fountains. For a ground state, spherically symmetric atom the potential varies as -1/R 3 near the wall, where R is the atom-surface distance. For asymptotically large distances the potential is weaker and goes as -1/R 4 due to retardation arising from the finite speed of light. This diminished interaction can also be interpreted as a Casimir effect. The possibility of measuring atom-surface potentials using atomic interferometry is explored. The particular cases studied are the interactions of a ground-state alkali-metal atom and a dielectric or a conducting wall. Accurate descriptions of atom-surface potentials in theories of evanescent-wave atomic mirrors and evanescent wave-guided atoms are also discussed. (author)

  4. DNA immobilization on polymer-modified Si surface by controlling pH

    International Nuclear Information System (INIS)

    Demirel, Goekcen Birlik; Caykara, Tuncer

    2009-01-01

    A novel approach based on polymer-modified Si surface as DNA sensor platforms is presented. The polymer-modified Si surface was prepared by using 3-(methacryloxypropyl)trimethoxysilane [γ-MPS] and poly(acrylamide) [PAAm]. Firstly, a layer of γ-MPS was formed on the hydroxylated silicon surface as a monolayer and then modified with different molecular weight of PAAm to form polymer-modified surface. The polymer-modified Si surface was used for dsDNA immobilization. All steps about formation of layer structure were characterized by ellipsometry, atomic force microscopy (AFM), attenuated total reflectance Fourier transformed infrared (ATR-FTIR), and contact angle (CA) measurements. We found that in this case the amount of dsDNA immobilized onto the surface was dictated by the electrostatic interaction between the substrate surface and the DNA. Our results thus demonstrated that DNA molecules could be immobilized differently onto the polymer-modified support surface via electrostatic interactions.

  5. Optimisation of electronic interface properties of a-Si:H/c-Si hetero-junction solar cells by wet-chemical surface pre-treatment

    Energy Technology Data Exchange (ETDEWEB)

    Angermann, H. [Hahn-Meitner-Institut, Abt. Siliziumphotovoltaik, Kekulestrasse 5, D-12489 Berlin (Germany)], E-mail: angermann@hmi.de; Korte, L.; Rappich, J.; Conrad, E.; Sieber, I.; Schmidt, M. [Hahn-Meitner-Institut, Abt. Siliziumphotovoltaik, Kekulestrasse 5, D-12489 Berlin (Germany); Huebener, K.; Hauschild, J. [Freie Universitaet Berlin, FB Physik, Arnimallee 14, 14195 Berlin (Germany)

    2008-08-30

    The relation between structural imperfections at structured silicon surfaces, energetic distribution of interface state densities, recombination loss at a-Si:H/c-Si interfaces and solar cell characteristics have been intensively investigated using non-destructive, surface sensitive techniques, surface photovoltage (SPV) and photoluminescence (PL) measurements, atomic force microscopy (AFM) and electron microscopy (SEM). Sequences of wet-chemical oxidation and etching steps were optimised with respect to the etching behaviour of Si(111) pyramids. Special wet-chemical smoothing and oxide removal procedures for structured substrates were developed, in order to reduce the preparation-induced surface micro-roughness and density of electronically active defects. H-termination and passivation by wet-chemical oxides were used to inhibit surface contamination and native oxidation during the technological process. We achieved significantly lower micro-roughness, densities of surface states D{sub it}(E) and recombination loss at a-Si:H/c-Si interfaces on wafers with randomly distributed pyramids, compared to conventional pre-treatments. For amorphous-crystalline hetero-junction solar cells (ZnO/a-Si:H/c-Si/BSF/Al), the c-Si surface becomes part of the a-Si:H/c-Si interface, whose recombination activity determines cell performance. With textured substrates, the smoothening procedure results in a significant increase of short circuit current, fill factor and efficiency.

  6. Optimisation of electronic interface properties of a-Si:H/c-Si hetero-junction solar cells by wet-chemical surface pre-treatment

    International Nuclear Information System (INIS)

    Angermann, H.; Korte, L.; Rappich, J.; Conrad, E.; Sieber, I.; Schmidt, M.; Huebener, K.; Hauschild, J.

    2008-01-01

    The relation between structural imperfections at structured silicon surfaces, energetic distribution of interface state densities, recombination loss at a-Si:H/c-Si interfaces and solar cell characteristics have been intensively investigated using non-destructive, surface sensitive techniques, surface photovoltage (SPV) and photoluminescence (PL) measurements, atomic force microscopy (AFM) and electron microscopy (SEM). Sequences of wet-chemical oxidation and etching steps were optimised with respect to the etching behaviour of Si(111) pyramids. Special wet-chemical smoothing and oxide removal procedures for structured substrates were developed, in order to reduce the preparation-induced surface micro-roughness and density of electronically active defects. H-termination and passivation by wet-chemical oxides were used to inhibit surface contamination and native oxidation during the technological process. We achieved significantly lower micro-roughness, densities of surface states D it (E) and recombination loss at a-Si:H/c-Si interfaces on wafers with randomly distributed pyramids, compared to conventional pre-treatments. For amorphous-crystalline hetero-junction solar cells (ZnO/a-Si:H/c-Si/BSF/Al), the c-Si surface becomes part of the a-Si:H/c-Si interface, whose recombination activity determines cell performance. With textured substrates, the smoothening procedure results in a significant increase of short circuit current, fill factor and efficiency

  7. Towards modelling the vibrational signatures of functionalized surfaces: carboxylic acids on H-Si(111) surfaces

    Science.gov (United States)

    Giresse Tetsassi Feugmo, Conrard; Champagne, Benoît; Caudano, Yves; Cecchet, Francesca; Chabal, Yves J.; Liégeois, Vincent

    2012-03-01

    In this work, we investigate the adsorption process of two carboxylic acids (stearic and undecylenic) on a H-Si(111) surface via the calculation of structural and energy changes as well as the simulation of their IR and Raman spectra. The two molecules adsorb differently at the surface since the stearic acid simply physisorbs while the undecylenic acid undergoes a chemical reaction with the hydrogen atoms of the surface. This difference is observed in the change of geometry during the adsorption. Indeed, the chemisorption of the undecylenic acid has a bigger impact on the structure than the physisorption of the stearic acid. Consistently, the former is also characterized by a larger value of adsorption energy and a smaller value of the tilting angle with respect to the normal plane. For both the IR and Raman signatures, the spectra of both molecules adsorbed at the surface are in a first approximation the superposition of the spectra of the Si cluster and of the carboxylic acid considered individually. The main deviation from this simple observation is the peak of the stretching Si-H (ν(Si-H)) mode, which is split into two peaks upon adsorption. As expected, the splitting is bigger for the chemisorption than the physisorption. The modes corresponding to atomic displacements close to the adsorption site display a frequency upshift by a dozen wavenumbers. One can also see the disappearance of the peaks associated with the C=C double bond when the undecylenic acid chemisorbs at the surface. The Raman and IR spectra are complementary and one can observe here that the most active Raman modes are generally IR inactive. Two exceptions to this are the two ν(Si-H) modes which are active in both spectroscopies. Finally, we compare our simulated spectra with some experimental measurements and we find an overall good agreement.

  8. Towards modelling the vibrational signatures of functionalized surfaces: carboxylic acids on H-Si(111) surfaces

    International Nuclear Information System (INIS)

    Tetsassi Feugmo, Conrard Giresse; Champagne, Benoît; Liégeois, Vincent; Caudano, Yves; Cecchet, Francesca; Chabal, Yves J

    2012-01-01

    In this work, we investigate the adsorption process of two carboxylic acids (stearic and undecylenic) on a H-Si(111) surface via the calculation of structural and energy changes as well as the simulation of their IR and Raman spectra. The two molecules adsorb differently at the surface since the stearic acid simply physisorbs while the undecylenic acid undergoes a chemical reaction with the hydrogen atoms of the surface. This difference is observed in the change of geometry during the adsorption. Indeed, the chemisorption of the undecylenic acid has a bigger impact on the structure than the physisorption of the stearic acid. Consistently, the former is also characterized by a larger value of adsorption energy and a smaller value of the tilting angle with respect to the normal plane. For both the IR and Raman signatures, the spectra of both molecules adsorbed at the surface are in a first approximation the superposition of the spectra of the Si cluster and of the carboxylic acid considered individually. The main deviation from this simple observation is the peak of the stretching Si-H (ν(Si-H)) mode, which is split into two peaks upon adsorption. As expected, the splitting is bigger for the chemisorption than the physisorption. The modes corresponding to atomic displacements close to the adsorption site display a frequency upshift by a dozen wavenumbers. One can also see the disappearance of the peaks associated with the C=C double bond when the undecylenic acid chemisorbs at the surface. The Raman and IR spectra are complementary and one can observe here that the most active Raman modes are generally IR inactive. Two exceptions to this are the two ν(Si-H) modes which are active in both spectroscopies. Finally, we compare our simulated spectra with some experimental measurements and we find an overall good agreement. (paper)

  9. Investigation of the effect of the incorporated Fe atoms in the ion-beam induced nanopatterns on Si(001)

    Energy Technology Data Exchange (ETDEWEB)

    Khanbabaee, Behnam; Biermanns, Andreas; Pietsch, Ullrich [Siegen Univ. (Germany). Festkoerperphysik; Cornejo, Marina; Frost, Frank [Leibniz-Institute fuer Oberflaechenmodifizierung e.V. (IOM), Leipzig (Germany)

    2012-07-01

    Ion beam erosion of semiconductor surfaces can modify the surface and depends on main sputtering parameters; different surface topographies such as ripple or dot like pattern are fabricated on the surface. Recent experiments have shown that the incorporation of foreign metallic atoms during the sputtering process plays a crucial role in pattern formation on surfaces. In the result of investigation we report on the depth profile of Fe atoms incorporated in sputtering process on Si(100) with low energy Kr ion beam. X-ray reflectivity (XRR) measurements determine the concentration profile of Fe atoms. X-ray absorption near edge spectroscopy (XANES) at the Fe K-edge (7112 eV) shows the formation of Fe rich silicide near surface region. X-ray photoelectron spectroscopy (XPS) shows a shift in the binding energy of Si2p levels at the surface compared top bulk confirming the formation of different phases of Fe-silicide on tope and below the surface. The depth profiles obtained by XRR are compared to results obtained by complementary secondary-ion mass spectrometry (SIMS).

  10. TED analysis of the Si(113) surface structure

    Science.gov (United States)

    Suzuki, T.; Minoda, H.; Tanishiro, Y.; Yagi, K.

    1999-09-01

    We carried out a TED (transmission electron diffraction) analysis of the Si(113) surface structure. The TED patterns taken at room temperature showed reflections due to the 3×2 reconstructed structure. The TED pattern indicated that a glide plane parallel to the direction suggested in some models is excluded. We calculated the R-factors (reliability factors) for six surface structure models proposed previously. All structure models with energy-optimized atomic positions have large R-factors. After revision of the atomic positions, the R-factors of all the structure models decreased below 0.3, and the revised version of Dabrowski's 3×2 model has the smallest R-factor of 0.17.

  11. Hydrogen generation due to water splitting on Si - terminated 4H-Sic(0001) surfaces

    Science.gov (United States)

    Li, Qingfang; Li, Qiqi; Yang, Cuihong; Rao, Weifeng

    2018-02-01

    The chemical reactions of hydrogen gas generation via water splitting on Si-terminated 4H-SiC surfaces with or without C/Si vacancies were studied by using first-principles. We studied the reaction mechanisms of hydrogen generation on the 4H-SiC(0001) surface. Our calculations demonstrate that there are major rearrangements in surface when H2O approaches the SiC(0001) surface. The first H splitting from water can occur with ground-state electronic structures. The second H splitting involves an energy barrier of 0.65 eV. However, the energy barrier for two H atoms desorbing from the Si-face and forming H2 gas is 3.04 eV. In addition, it is found that C and Si vacancies can form easier in SiC(0001)surfaces than in SiC bulk and nanoribbons. The C/Si vacancies introduced can enhance photocatalytic activities. It is easier to split OH on SiC(0001) surface with vacancies compared to the case of clean SiC surface. H2 can form on the 4H-SiC(0001) surface with C and Si vacancies if the energy barriers of 1.02 and 2.28 eV are surmounted, respectively. Therefore, SiC(0001) surface with C vacancy has potential applications in photocatalytic water-splitting.

  12. Atomization of U3Si2 for research reactor fuel

    International Nuclear Information System (INIS)

    Kim, C.K.; Kim, K.H.; Lee, C.T.; Kuk, I.H.

    1995-01-01

    Rotating disk atomization technique is applied to KMRR (Korea Multi-purpose Research Reactor) fuel fabrication. A rotating disk atomizer is designed and manufactured locally and U-4.0 wt. % Si alloy powders are produced. The atomized powders are heat-treated to transform into U 3 Si and the mixture of U 3 Si and Al are extruded to fuel meat. Most of the atomized powders are spherical in shape. The microstructure of the powder is fine due to the rapid solidification. The time required for peritectoid reaction is reduced due to the fine microstructures and the resultant U 3 Si grain size is finer than ever obtained from ingot process. The mechanical properties of the fuel meat are improved: yield strength about 30 %, tensile strength 10% and elongation 250 % increased. (author)

  13. Self-trapping nature of Tl nanoclusters on the Si(111)-7x7 surface

    International Nuclear Information System (INIS)

    Hwang, C G; Kim, N D; Lee, G; Shin, S Y; Kim, J S; Chung, J W

    2008-01-01

    We have studied properties of thallium (Tl) nanoclusters formed on the Si(111)-7x7 surface at room temperature (RT) by utilizing photoemission spectroscopy (PES) and high-resolution electron-energy-loss spectroscopy (HREELS) combined with first principles calculations. Our PES data reveal that the surface states stemming from the Si substrate remain quite inert with Tl adsorption producing no Tl-induced state until saturation at Tl coverage θ=0.21 monolayers. Such a behavior, in sharp contrast with the extremely reactive surface states upon the formation of Na or Li nanoclusters, together with the presence of a unique Tl-induced loss peak in HREELS spectra suggests no strong Si-Tl bonding, and is well understood in terms of gradual filling of Si dangling bonds with increasing θ. Our calculation further indicates the presence of several metastable atomic structures of Tl nanoclusters at RT rapidly transforming from one to another faster than 10 10 flippings per second. We thus conclude that the highly mobile Tl atoms form self-trapped nanoclusters within the attractive basins of the Si substrate at RT with several metastable phases. The mobile and multi-phased nature of Tl nanoclusters not only accounts for all the existing experimental observations available at present, but also provides an example of self-trapping of atoms in a nanometre-scale region

  14. Probing Surface-Adlayer Conjugation on Organic-Modified Si(111) Surfaces with Microscopy, Scattering, Spectroscopy, and Density Functional Theory

    International Nuclear Information System (INIS)

    Kellar, Joshua A.; Lin, Jui-Ching; Kim, Jun-Hyun; Yoder, Nathan L.; Bevan, Kirk H.; Stokes, Grace Y.; Geiger, Franz M.; Nguyen, SonBinh T.; Bedzyk, Michael J.; Hersam, Mark C.

    2009-01-01

    Highly conjugated molecules bound to silicon are promising candidates for organosilicon electronic devices and sensors. In this study, 1-bromo-4-ethynylbenzene was synthesized and reacted with a hydrogen-passivated Si(111) surface via ultraviolet irradiation. Through an array of characterization and modeling tools, the binding configuration and morphology of the reacted molecule were thoroughly analyzed. Atomic force microscopy confirmed an atomically flat surface morphology following reaction, while X-ray photoelectron spectroscopy verified reaction to the surface via the terminal alkyne moiety. In addition, synchrotron X-ray characterization, including X-ray reflectivity, X-ray fluorescence, and X-ray standing wave measurements, enabled sub-angstrom determination of the position of the bromine atom with respect to the silicon lattice. This structural characterization was quantitatively compared with density functional theory (DFT) calculations, thus enabling the π-conjugation of the terminal carbon atoms to be deduced. The X-ray and DFT results were additionally corroborated with the vibrational spectrum of the organic adlayer, which was measured with sum frequency generation. Overall, these results illustrate that the terminal carbon atoms in 1-bromo-4-ethynylbenzene adlayers on Si(111) retain π-conjugation, thus revealing alkyne molecules as promising candidates for organosilicon electronics and sensing.

  15. Manipulating Si(100) at 5 K using qPlus frequency modulated atomic force microscopy: Role of defects and dynamics in the mechanical switching of atoms

    Science.gov (United States)

    Sweetman, A.; Jarvis, S.; Danza, R.; Bamidele, J.; Kantorovich, L.; Moriarty, P.

    2011-08-01

    We use small-amplitude qPlus frequency modulated atomic force microscopy (FM-AFM), at 5 K, to investigate the atomic-scale mechanical stability of the Si(100) surface. By operating at zero applied bias the effect of tunneling electrons is eliminated, demonstrating that surface manipulation can be performed by solely mechanical means. Striking differences in surface response are observed between different regions of the surface, most likely due to variations in strain associated with the presence of surface defects. We investigate the variation in local energy surface by ab initio simulation, and comment on the dynamics observed during force spectroscopy.

  16. Atomic-scale study of the adsorption of calcium fluoride on Si(100) at low-coverage regime

    International Nuclear Information System (INIS)

    Chiaravalloti, Franco; Dujardin, Gerald; Riedel, Damien; Pinto, Henry P.; Foster, Adam S.

    2011-01-01

    We investigate, experimentally and theoretically, the initial stage of the formation of Ca/Si and Si/F structures that occurs during the adsorption of CaF 2 molecules onto a bare Si(100) surface heated to 1000 K in a low-coverage regime (0.3 monolayer). A low-temperature (5 K) scanning tunneling microscope (STM) is used to observe the topographies and the electronic properties of the exposed silicon surfaces. Our atomic-scale study reveals that several chemical reactions arise during CaF 2 deposition, such as dissociation of the CaF 2 molecules and etching of the surface silicon dimers. The experimental and calculated STM topographies are compared using the density functional theory, and this comparison enables us to identify two types of reacted structures on the Si(100) surface. The first type of observed complex surface structure consists of large islands formed with a semiperiodic sequence of 3 x 2 unit cells. The second one is made of isolated Ca adatoms adsorbed at specific sites on the Si(100)-2 x 1 surface.

  17. Bistable Si dopants in the GaAs (1 1 0) surface

    International Nuclear Information System (INIS)

    Smakman, E P; Koenraad, P M

    2015-01-01

    In this review, recent work is discussed on bistable Si dopants in the GaAs (1 1 0) surface, studied by scanning tunneling microscopy (STM). The bistability arises because the dopant atom can switch between a positive and a negative charge state, which are associated with two different lattice configurations. Manipulation of the Si atom charge configuration is achieved by tuning the local band bending with the STM tip. Furthermore, illuminating the sample with a laser also influences the charge state, allowing the operation of the dopant atom as an optical switch. The switching dynamics without illumination is investigated in detail as a function of temperature, lateral tip position, and applied tunneling conditions. A physical model is presented that independently describes the thermal and quantum tunneling contributions to the switching frequency and charge state occupation of a single Si atom. The basic functionality of a memory cell is demonstrated employing a single bistable Si dopant as the active element, using the STM tip as a gate to write and read the information. (topical review)

  18. Methods for growth of relatively large step-free SiC crystal surfaces

    Science.gov (United States)

    Neudeck, Philip G. (Inventor); Powell, J. Anthony (Inventor)

    2002-01-01

    A method for growing arrays of large-area device-size films of step-free (i.e., atomically flat) SiC surfaces for semiconductor electronic device applications is disclosed. This method utilizes a lateral growth process that better overcomes the effect of extended defects in the seed crystal substrate that limited the obtainable step-free area achievable by prior art processes. The step-free SiC surface is particularly suited for the heteroepitaxial growth of 3C (cubic) SiC, AlN, and GaN films used for the fabrication of both surface-sensitive devices (i.e., surface channel field effect transistors such as HEMT's and MOSFET's) as well as high-electric field devices (pn diodes and other solid-state power switching devices) that are sensitive to extended crystal defects.

  19. Investigation of the atomic interface structure of mesotaxial Si/CoSi2(100) layers formed by high-dose implantation

    International Nuclear Information System (INIS)

    Bulle-Lieuwma, C.W.T.; Jong, A.F. de; Vandenhoudt, D.E.W.

    1991-01-01

    Aligned mesotaxial films of CoSi 2 in monocrystalline (100) oriented Si substrates have been formed by high-dose ion implantation of Co, followed by a high temperature treatment. The atomic structures of both the lower and upper Si/CoSi 2 (100) interfaces of the buried CoSi 2 layer have been investigated by high-resolution electron microscopy (HREM) combined with image simulations. A domain-like structure is observed consisting of areas with different interfaces. In order to derive the atomic configuration, image simulations of different proposed models are presented. By comparing simulated images and HREM images, two different atomic structure models for the Si/CoSi 2 (100) interface have been found. In the first model the interfacial Co atoms are six-fold coordinated and the tetrahedral coordination and bond lengths of silicon atoms are everywhere maintained. In the second model we found evidence for a 2 x 1 interface reconstruction, involving a difference in composition. The interfacial Co atoms are seven-fold coordinated. It is shown that the boundaries between the domains are associated with interfacial dislocations of edge-type with Burgers vectors b a/4 inclined and b = a/2 parallel to the interfacial plane. (author)

  20. Surface grafting density analysis of high anti-clotting PU-Si-g-P(MPC) films

    Energy Technology Data Exchange (ETDEWEB)

    Lu Chunyan [Jiangsu Key Laboratory of Biofunctional Materials, College of Chemistry and Materials Science, Nanjing Normal University, Nanjing 210097 (China); Jiangsu Engineering Research Center for Biomedical Function Materials, Nanjing Normal University, Nanjing 210097 (China); Zhou Ninglin, E-mail: ninglinzhou@yahoo.com [Jiangsu Key Laboratory of Biofunctional Materials, College of Chemistry and Materials Science, Nanjing Normal University, Nanjing 210097 (China); Jiangsu Engineering Research Center for Biomedical Function Materials, Nanjing Normal University, Nanjing 210097 (China); Jiangsu Technological Research Center for Interfacial Chemistry and Chemical Engineering, Nanjing University, Nanjing 210093 (China); Xiao Yinghong; Tang Yida; Jin Suxing; Wu Yue [Jiangsu Key Laboratory of Biofunctional Materials, College of Chemistry and Materials Science, Nanjing Normal University, Nanjing 210097 (China); Jiangsu Engineering Research Center for Biomedical Function Materials, Nanjing Normal University, Nanjing 210097 (China); Zhang Jun; Shen Jian [Jiangsu Key Laboratory of Biofunctional Materials, College of Chemistry and Materials Science, Nanjing Normal University, Nanjing 210097 (China); Jiangsu Engineering Research Center for Biomedical Function Materials, Nanjing Normal University, Nanjing 210097 (China); Jiangsu Technological Research Center for Interfacial Chemistry and Chemical Engineering, Nanjing University, Nanjing 210093 (China)

    2012-02-01

    Well-defined zwitterionic polymer brushes with good blood compatibility were studied, grafted from polyurethane (PU) substrate (PU-Si-g-P(MPC)) by surface-initiated reverse atom transfer radical polymerization (SI-RATRP). We found that the structure of polymer brushes and hence their properties greatly depend on the grafting density. To solve the problems of the normal method for grafting density measurement, i.e., more requirements for qualified and proficient instrument operator, we established an effective and feasible way instead of the conventional method of spectroscopic ellipsometer combined with gel permeation chromatograph (ELM/GPC) to calculate the grafting density of PU-Si-g-P(MPC) films by using a software named ImageJ 1.44e in combination with scanning electronic microscope (SEM) or atomic microscope (AFM). X-ray photoelectron spectroscopy (XPS), SEM and AFM were employed to analyze the surface topography and changes of elements before and after graft modification of the synthetic PU-Si-g-P(MPC) biofilms.

  1. Cluster induced chemistry at solid surfaces: Molecular dynamics simulations of keV C60 bombardment of Si

    International Nuclear Information System (INIS)

    Krantzman, K.D.; Kingsbury, D.B.; Garrison, Barbara J.

    2007-01-01

    Molecular dynamics simulations of the sputtering of Si by keV C 60 bombardment have been performed as a function of incident kinetic energy at two incident angles, normal incidence and 45 deg. Nearly all of the C atoms remain embedded in the surface after bombardment because the C atoms from the projectile form strong covalent bonds with the Si atoms in the target. At low incident kinetic energies, the sputtering yield of Si atoms is small and there is a net deposition of solid material from the projectile atoms. As the incident kinetic energy is increased, the yield of sputtered Si atoms increases. A transition occurs in which the yield of sputtered Si atoms exceeds the number of C atoms deposited, and there is a net erosion of the solid material. A significantly higher sputter yield is observed at an incident angle of 45 deg. than at normal incidence, and therefore, the energy value is lower for the transition from net deposition to net erosion. This phenomenon is discussed in terms of the depth distribution of deposited energy, which is found to be shallower at an incident angle of 45 deg

  2. Self-assembly of InAs and Si/Ge quantum dots on structured surfaces

    International Nuclear Information System (INIS)

    Patella, F; Sgarlata, A; Arciprete, F; Nufris, S; Szkutnik, P D; Placidi, E; Fanfoni, M; Motta, N; Balzarotti, A

    2004-01-01

    We discuss the self-aggregation process of InAs and Si-Ge quantum dots (QDs) on natural and patterned GaAs(001) and Si(001) and Si(111) surfaces, with reference to our recent studies with scanning tunnelling and atomic force microscopy and current experimental and theoretical works. Various methods for obtaining naturally structured surfaces are briefly surveyed, as the patterning formed by the surface instability and by the strain in mismatched heteroepitaxy, and the latest methods of pre-patterning and growth at selected sites are discussed. Basic topics are also addressed that determine the final morphology of QDs, such as the wetting layer formation, the elastic strain field and the two-dimensional to three-dimensional phase transition

  3. Density functional study of the decomposition pathways of SiH₃ and GeH₃ at the Si(100) and Ge(100) surfaces.

    Science.gov (United States)

    Ceriotti, M; Montalenti, F; Bernasconi, M

    2012-03-14

    By means of first-principles calculations we studied the decomposition pathways of SiH₃ on Ge(100) and of GeH₃ on Si(100), of interest for the growth of crystalline SiGe alloys and Si/Ge heterostructures by plasma-enhanced chemical vapor deposition. We also investigated H desorption via reaction of two adsorbed SiH₂/GeH₂ species (β₂ reaction) or via Eley-Rideal abstraction of surface H atoms from the impinging SiH₃ and GeH₃ species. The calculated activation energies for the different processes suggest that the rate-limiting step for the growth of Si/Ge systems is still the β₂ reaction of two SiH₂ as in the growth of crystalline Si.

  4. Integration of fiber-coupled high-Q SiNx microdisks with atom chips

    International Nuclear Information System (INIS)

    Barclay, Paul E.; Srinivasan, Kartik; Painter, Oskar; Lev, Benjamin; Mabuchi, Hideo

    2006-01-01

    Micron scale silicon nitride (SiN x ) microdisk optical resonators are demonstrated with Q=3.6x10 6 and an effective mode volume of 15(λ/n) 3 at near-visible wavelengths. A hydrofluoric acid wet etch provides sensitive tuning of the microdisk resonances, and robust mounting of a fiber taper provides efficient fiber optic coupling to the microdisks while allowing unfettered optical access for laser cooling and trapping of atoms. Measurements indicate that cesium adsorption on the SiN x surfaces significantly red detunes the microdisk resonances. Parallel integration of multiple (10) microdisks with a single fiber taper is also demonstrated

  5. Fluorocarbon based atomic layer etching of Si{sub 3}N{sub 4} and etching selectivity of SiO{sub 2} over Si{sub 3}N{sub 4}

    Energy Technology Data Exchange (ETDEWEB)

    Li, Chen [Department of Physics, and Institute for Research in Electronics and Applied Physics, University of Maryland, College Park, Maryland 20742 (United States); Metzler, Dominik; Oehrlein, Gottlieb S., E-mail: oehrlein@umd.edu [Department of Materials Science and Engineering, and Institute for Research in Electronics and Applied Physics, University of Maryland, College Park, Maryland 20742 (United States); Lai, Chiukin Steven; Hudson, Eric A. [Lam Research Corporation, 4400 Cushing Parkway, Fremont, California 94538 (United States)

    2016-07-15

    Angstrom-level plasma etching precision is required for semiconductor manufacturing of sub-10 nm critical dimension features. Atomic layer etching (ALE), achieved by a series of self-limited cycles, can precisely control etching depths by limiting the amount of chemical reactant available at the surface. Recently, SiO{sub 2} ALE has been achieved by deposition of a thin (several Angstroms) reactive fluorocarbon (FC) layer on the material surface using controlled FC precursor flow and subsequent low energy Ar{sup +} ion bombardment in a cyclic fashion. Low energy ion bombardment is used to remove the FC layer along with a limited amount of SiO{sub 2} from the surface. In the present article, the authors describe controlled etching of Si{sub 3}N{sub 4} and SiO{sub 2} layers of one to several Angstroms using this cyclic ALE approach. Si{sub 3}N{sub 4} etching and etching selectivity of SiO{sub 2} over Si{sub 3}N{sub 4} were studied and evaluated with regard to the dependence on maximum ion energy, etching step length (ESL), FC surface coverage, and precursor selection. Surface chemistries of Si{sub 3}N{sub 4} were investigated by x-ray photoelectron spectroscopy (XPS) after vacuum transfer at each stage of the ALE process. Since Si{sub 3}N{sub 4} has a lower physical sputtering energy threshold than SiO{sub 2}, Si{sub 3}N{sub 4} physical sputtering can take place after removal of chemical etchant at the end of each cycle for relatively high ion energies. Si{sub 3}N{sub 4} to SiO{sub 2} ALE etching selectivity was observed for these FC depleted conditions. By optimization of the ALE process parameters, e.g., low ion energies, short ESLs, and/or high FC film deposition per cycle, highly selective SiO{sub 2} to Si{sub 3}N{sub 4} etching can be achieved for FC accumulation conditions, where FC can be selectively accumulated on Si{sub 3}N{sub 4} surfaces. This highly selective etching is explained by a lower carbon consumption of Si{sub 3}N{sub 4} as compared to Si

  6. Growth of graphene from SiC{0001} surfaces and its mechanisms

    International Nuclear Information System (INIS)

    Norimatsu, Wataru; Kusunoki, Michiko

    2014-01-01

    Graphene, a one-atom-layer carbon material, can be grown by thermal decomposition of SiC. On Si-terminated SiC(0001), graphene nucleates at steps and grows layer-by-layer, and as a result a homogeneous monolayer or bilayer can be obtained. We demonstrate this mechanism both experimentally and theoretically. On the C-face (000 1-bar ), multilayer graphene nucleates not only at steps, but also on the terraces. These differences reflect the distinct differences in the reactivity of these faces. Due to its high quality and structural controllability, graphene on SiC{0001} surfaces will be a platform for high-speed graphene device applications. (paper)

  7. Chemical states of piled-up phosphorus and arsenic atoms at the SiO2/Si interface

    International Nuclear Information System (INIS)

    Yoshimura, Yusuke; Ono, Kanta; Fujioka, Hiroshi; Hayakawa, Shinjiro; Sato, Yoshiyuki; Uematsu, Masashi; Baba, Yuji; Hirose, Kazuyuki; Oshima, Masaharu

    1999-01-01

    We have investigated the positions of the piled-up phosphorus atoms at the SiO 2 /Si interface using the extended X-ray absorption fine structure (EXAFS) and X-ray photoelectron spectroscopy (XPS). The EXAFS and XPS data can be well explained on the assumption that the piled-up arsenic atoms exist at the tetrahedral sites. On the contrary, phosphorus atoms exist not at the tetrahedral sites but at the denser sites. The depth profile measurements of XPS have revealed that the piled-up arsenic and phosphorus atoms exist within 20A from the interface. (author)

  8. Model, First-Principle Calculation of Ammonia Dissociation on Si(100 Surface. Importance of Proton Tunneling

    Directory of Open Access Journals (Sweden)

    Marek Z. Zgierski

    2003-06-01

    Full Text Available Abstract: The dissociation of an ammonia molecule on a cluster of Si atoms simulating the 100 silicon crystal structure with two Si dimers has been investigated by means of the DFT and an approximate instanton methods. The model corresponds to the low coverage limit of the surface. Absolute rate constants of two different dissociation paths are evaluated together with deuterium isotope effects. It is demonstrated that, even at room temperatures, the process is dominated by tunneling and that dissociation to a silicon atom of the adjacent dimer, rather than a silicon within the same dimer, is the prevailing mechanism. This leads to creation of a metastable structure which will slowly decay through a two-step hydrogen atom migration towards the absolute minimum on the potential energy surface corresponding to the NH2 group and the hydrogen atom residing in the same dimer.

  9. Effects of the c-Si/a-SiO2 interfacial atomic structure on its band alignment: an ab initio study.

    Science.gov (United States)

    Zheng, Fan; Pham, Hieu H; Wang, Lin-Wang

    2017-12-13

    The crystalline-Si/amorphous-SiO 2 (c-Si/a-SiO 2 ) interface is an important system used in many applications, ranging from transistors to solar cells. The transition region of the c-Si/a-SiO 2 interface plays a critical role in determining the band alignment between the two regions. However, the question of how this interface band offset is affected by the transition region thickness and its local atomic arrangement is yet to be fully investigated. Here, by controlling the parameters of the classical Monte Carlo bond switching algorithm, we have generated the atomic structures of the interfaces with various thicknesses, as well as containing Si at different oxidation states. A hybrid functional method, as shown by our calculations to reproduce the GW and experimental results for bulk Si and SiO 2 , was used to calculate the electronic structure of the heterojunction. This allowed us to study the correlation between the interface band characterization and its atomic structures. We found that although the systems with different thicknesses showed quite different atomic structures near the transition region, the calculated band offset tended to be the same, unaffected by the details of the interfacial structure. Our band offset calculation agrees well with the experimental measurements. This robustness of the interfacial electronic structure to its interfacial atomic details could be another reason for the success of the c-Si/a-SiO 2 interface in Si-based electronic applications. Nevertheless, when a reactive force field is used to generate the a-SiO 2 and c-Si/a-SiO 2 interfaces, the band offset significantly deviates from the experimental values by about 1 eV.

  10. TED Study of Si(113) Surfaces

    Science.gov (United States)

    Suzuki, T.; Minoda, H.; Tanishiro, Y.; Yagi, K.

    A TED study of Si(113) surfaces was carried out. Reflections from the 3 × 2 reconstruction were seen at room temperature, while half-order reflections were very faint. The surface showed the phase transition between the 3 × 1 and the disordered (rough) structures at about 930°C. The (113) surface structure at room temperature was analyzed using TED intensity. Four kinds of structure models proposed previously, including both the 3 × 1 and the 3 × 2 reconstructed structures, were examined. The R-factors calculated using the energy-optimized atomic coordinates are not sufficiently small. After minimization of the R-factors, Dabrowski's 3 × 2 structure model is most agreeable, while Ranke's 3 × 1 and 3 × 2 structure models are not to be excluded. STM observation showed that the surface is composed of small domains of the 3 × 2 structure.

  11. High-dose MeV electron irradiation of Si-SiO2 structures implanted with high doses Si+

    Science.gov (United States)

    Kaschieva, S.; Angelov, Ch; Dmitriev, S. N.

    2018-03-01

    The influence was studied of 22-MeV electron irradiation on Si-SiO2 structures implanted with high-fluence Si+ ions. Our earlier works demonstrated that Si redistribution is observed in Si+-ion-implanted Si-SiO2 structures (after MeV electron irradiation) only in the case when ion implantation is carried out with a higher fluence (1016 cm-2). We focused our attention on the interaction of high-dose MeV electron irradiation (6.0×1016 cm-2) with n-Si-SiO2 structures implanted with Si+ ions (fluence 5.4×1016 cm-2 of the same order magnitude). The redistribution of both oxygen and silicon atoms in the implanted Si-SiO2 samples after MeV electron irradiation was studied by Rutherford back-scattering (RBS) spectroscopy in combination with a channeling technique (RBS/C). Our results demonstrated that the redistribution of oxygen and silicon atoms in the implanted samples reaches saturation after these high doses of MeV electron irradiation. The transformation of amorphous SiO2 surface into crystalline Si nanostructures (after MeV electron irradiation) was evidenced by atomic force microscopy (AFM). Silicon nanocrystals are formed on the SiO2 surface after MeV electron irradiation. The shape and number of the Si nanocrystals on the SiO2 surface depend on the MeV electron irradiation, while their size increases with the dose. The mean Si nanocrystals height is 16-20 nm after irradiation with MeV electrons at the dose of 6.0×1016 cm-2.

  12. Deposition of phospholipid layers on SiO{sub 2} surface modified by alkyl-SAM islands

    Energy Technology Data Exchange (ETDEWEB)

    Tero, R.; Takizawa, M.; Li, Y.J.; Yamazaki, M.; Urisu, T

    2004-11-15

    Formation of the supported planar bilayer of dipalmitoylphosphatidylcholine (DPPC) on SiO{sub 2} surfaces modified with the self-assembled monolayer (SAM) of octadecyltrichlorosilane (OTS) has been investigated by atomic force microscopy (AFM). DPPC was deposited by the fusion of vesicles on SiO{sub 2} surfaces with OTS-SAM islands of different sizes and densities. The DPPC bilayer membrane formed self-organizingly on the SiO{sub 2} surface with small and sparse OTS islands, while did not when the OTS islands were larger and denser. The relative size between the vesicles and the SiO{sub 2} regions is the critical factor for the formation of the DPPC bilayer membrane.

  13. Ternary hybrid polymeric nanocomposites through grafting of polystyrene on graphene oxide-TiO_2 by surface initiated atom transfer radical polymerization (SI-ATRP)

    International Nuclear Information System (INIS)

    Kumar, Arvind; Bansal, Ankushi; Behera, Babita; Jain, Suman L.; Ray, Siddharth S.

    2016-01-01

    A ternary hybrid of graphene oxide-titania-polystyrene (GO-TiO_2-PS) nanocomposite is developed where polystyrene composition is regulated by controlling growth of polymer chains and nanoarchitectonics is discussed. Graphene Oxide-TiO_2 (GO-TiO_2) nanocomposite is prepared by in-situ hydrothermal method and the surface is anchored with α-bromoisobutyryl bromide to activate GO-TiO_2 as initiator for polymerization. In-situ grafting of polystyrene through surface initiated atom transfer radical polymerization (SI- ATRP) on this Br-functionalized nano-composite initiator yields GO-TiO_2-PS ternary hybrid. Varying the monomer amount and keeping the concentration of initiator constant, polystyrene chain growth is regulated with narrow poly-dispersivity to achieve desired composition. This composite is well characterized by various analytical techniques like FTIR, XRD, DSC, SEM, TEM, and TGA. - Highlights: • Nanocomposite of ternary hybrid of GO-TiO_2 with polystyrene. • PS is surface grafted on GO-TiO_2. • Polymer chain lengths are well regulated by SI-ATRP living polymerization. • Thermal stability of this hybrid is relatively high.

  14. Investigation of thin oxide layer removal from Si substrates using an SiO2 atomic layer etching approach: the importance of the reactivity of the substrate

    International Nuclear Information System (INIS)

    Metzler, Dominik; Oehrlein, Gottlieb S; Li, Chen; Lai, C Steven; Hudson, Eric A

    2017-01-01

    The evaluation of a plasma-based atomic layer etching (ALE) approach for native oxide surface removal from Si substrates is described. Objectives include removal of the native oxide while minimizing substrate damage, surface residues and substrate loss. Oxide thicknesses were measured using in situ ellipsometry and surface chemistry was analyzed by x-ray photoelectron spectroscopy. The cyclic ALE approach when used for removal of native oxide SiO 2 from a Si substrate did not remove native oxide to the extent required. This is due to the high reactivity of the silicon substrate during the low-energy (<40 eV) ion bombardment phase of the cyclic ALE approach which leads to reoxidation of the silicon surface. A modified process, which used continuously biased Ar plasma with periodic CF 4 injection, achieved significant oxygen removal from the Si surface, with some residual carbon and fluorine. A subsequent H 2 /Ar plasma exposure successfully removed residual carbon and fluorine while passivating the silicon surface. The combined treatment reduced oxygen and carbon levels to about half compared to as received silicon surfaces. The downside of this process sequence is a net loss of about 40 Å of Si. A generic insight of this work is the importance of the substrate and final surface chemistry in addition to precise etch control of the target film for ALE processes. By a fluorocarbon-based ALE technique, thin SiO 2 layer removal at the Ångstrom level can be precisely performed from an inert substrate, e.g. a thick SiO 2 layer. However, from a reactive substrate, like Si, complete removal of the thin SiO 2 layer is prevented by the high reactivity of low energy Ar + ion bombarded Si. The Si surfaces are reoxidized during the ALE ion bombardment etch step, even for very clean and ultra-low O 2 process conditions. (paper)

  15. Polymer coating comprising 2-methoxyethyl acrylate units synthesized by surface-initiated atom transfer radical polymerization

    DEFF Research Database (Denmark)

    2011-01-01

    Source: US2012184029A The present invention relates to preparation of a polymer coating comprising or consisting of polymer chains comprising or consisting of units of 2-methoxyethyl acrylate synthesized by Surface-Initiated Atom Transfer Radical Polymerization (SI ATRP) such as ARGET SI ATRP...

  16. On mechanism of low-energy heavy ions scattering on a target surface with small atomic mass

    CERN Document Server

    Umarov, F F; Kudryashova, L B; Krylov, N M

    2002-01-01

    In the present work, an experimental study of low-energy (E sub 0 =20-500 eV) heavy Cs sup + ions scattering on target surfaces with small atomic masses (Al, Si, Ni) has been performed for more accurate definition of mechanism of scattering and evaluation of an opportunity for use of heavy ions scattering as a tool of surface layer analysis. It is shown that the dependence of the relative energies of scattered ions versus the initial energy E/E sub 0 (E sub 0) for Si (E sub b =4.64 eV/atom) and Ni (E sub b =4.43 eV/atom) approximately coincide despite the fact that the mass of Ni atom is twice as large as that of the Si atom mass. At the same time their binding energies E sub b are approximately equal to each other. It is found that the scattering angles of Cs sup + ions considerably exceed a limiting scattering angle theta sub l sub i sub m in a single collision. It has been established that the scattering of low-energy heavy ions by light targets is described by a non-binary mechanism of many-particle inter...

  17. Self-assembly of Ge quantum dots on periodically corrugated Si surfaces

    International Nuclear Information System (INIS)

    Buljan, M.; Jerčinović, M.; Radić, N.; Facsko, S.; Baehtz, C.; Muecklich, A.; Grenzer, J.; Delač Marion, I.; Mikšić Trontl, V.; Kralj, M.; Holý, V.

    2015-01-01

    The fabrication of regularly ordered Ge quantum dot arrays on Si surfaces usually requires extensive preparation processing, ensuring clean and atomically ordered substrates, while the ordering parameters are quite limited by the surface properties of the substrate. Here, we demonstrate a simple method for fabrication of ordered Ge quantum dots with highly tunable ordering parameters on rippled Si surfaces. The ordering is achieved by magnetron sputter deposition, followed by an annealing in high vacuum. We show that the type of ordering and lattice vector parameters of the formed Ge quantum dot lattice are determined by the crystallographic properties of the ripples, i.e., by their shape and orientation. Moreover, the ordering is achieved regardless the initial amorphisation of the ripples surface and the presence of a thin oxide layer

  18. (113) Facets of Si-Ge/Si Islands; Atomic Scale Simulation

    Science.gov (United States)

    Kassem, Hassan

    We have studied, by computer simulation, some static and vibrationnal proprieties of SiGe/Si islands. We have used a Valence Force Field combined to Monte Carlo technique to study the growth of Ge and SiGe on (001)Si substrates. We have focalised on the case of large pyramidal islands presenting (113) facets on the free (001)Si surface with various non uniform composition inside the islands. The deformation inside the islands and Raman spectroscopy are discussed.

  19. Low-temperature atomic layer deposition of MgO thin films on Si

    International Nuclear Information System (INIS)

    Vangelista, S; Mantovan, R; Lamperti, A; Tallarida, G; Kutrzeba-Kotowska, B; Spiga, S; Fanciulli, M

    2013-01-01

    Magnesium oxide (MgO) films have been grown by atomic layer deposition in the wide deposition temperature window of 80–350 °C by using bis(cyclopentadienyl)magnesium and H 2 O precursors. MgO thin films are deposited on both HF-last Si(1 0 0) and SiO 2 /Si substrates at a constant growth rate of ∼0.12 nm cycle −1 . The structural, morphological and chemical properties of the synthesized MgO thin films are investigated by x-ray reflectivity, grazing incidence x-ray diffraction, time-of-flight secondary ion mass spectrometry and atomic force microscopy measurements. MgO layers are characterized by sharp interface with the substrate and limited surface roughness, besides good chemical uniformity and polycrystalline structure for thickness above 7 nm. C–V measurements performed on Al/MgO/Si MOS capacitors, with MgO in the 4.6–11 nm thickness range, allow determining a dielectric constant (κ) ∼ 11. Co layers are grown by chemical vapour deposition in direct contact with MgO without vacuum-break (base pressure 10 −5 –10 −6  Pa). The as-grown Co/MgO stacks show sharp interfaces and no elements interdiffusion among layers. C–V and I–V measurements have been conducted on Co/MgO/Si MOS capacitors. The dielectric properties of MgO are not influenced by the further process of Co deposition. (paper)

  20. Interplay between Switching Driven by the Tunneling Current and Atomic Force of a Bistable Four-Atom Si Quantum Dot.

    Science.gov (United States)

    Yamazaki, Shiro; Maeda, Keisuke; Sugimoto, Yoshiaki; Abe, Masayuki; Zobač, Vladimír; Pou, Pablo; Rodrigo, Lucia; Mutombo, Pingo; Pérez, Ruben; Jelínek, Pavel; Morita, Seizo

    2015-07-08

    We assemble bistable silicon quantum dots consisting of four buckled atoms (Si4-QD) using atom manipulation. We demonstrate two competing atom switching mechanisms, downward switching induced by tunneling current of scanning tunneling microscopy (STM) and opposite upward switching induced by atomic force of atomic force microscopy (AFM). Simultaneous application of competing current and force allows us to tune switching direction continuously. Assembly of the few-atom Si-QDs and controlling their states using versatile combined AFM/STM will contribute to further miniaturization of nanodevices.

  1. Surface reconstruction switching induced by tensile stress of DB steps: From Ba/Si(0 0 1)- 2 × 3 to Ba/Si(0 0 1)-4° off- 3 × 2

    Science.gov (United States)

    Kim, Hidong; Lkhagvasuren, Altaibaatar; Zhang, Rui; Seo, Jae M.

    2018-05-01

    The alkaline-earth metal adsorption on Si(0 0 1) has attracted much interest for finding a proper template in the growth of high- κ and crystalline films. Up to now on the flat Si(0 0 1) surface with double domains and single-layer steps, the adsorbed Ba atoms are known to induce the 2 × 3 structure through removing two Si dimers and adding a Ba atom per unit cell in each domain. In the present investigation, the Si(0 0 1)-4° off surface with DB steps and single domains has been employed as a substrate and the reconstruction at the initial stage of Ba adsorption has been investigated by scanning tunneling microscopy and synchrotron photoemission spectroscopy. On this vicinal and single domain terrace, a novel 3 × 2 structure rotated by 90° from the 2 × 3 structure has been found. Such a 3 × 2 structure turns out to be formed by adding a Ba atom and a Si dimer per unit cell. This results from the fact that the adsorbed Ba2+ ions with a larger ionic radius relieve tensile stress on the original Si dimers exerted by the rebonded atoms at the DB step.

  2. Identification of 6H-SiC polar faces with pull-off force of atomic force microscopy

    Energy Technology Data Exchange (ETDEWEB)

    Gan, Di; Song, Youting; Yang, Junwei; Chen, Hongxiang [Research & development Center for Functional Crystals, Beijing National Laboratory for Condensed Matter Physics, Institute of Physics, Chinese Academy of Sciences, Beijing 100190 (China); Guo, Liwei, E-mail: lwguo@iphy.ac.cn [Research & development Center for Functional Crystals, Beijing National Laboratory for Condensed Matter Physics, Institute of Physics, Chinese Academy of Sciences, Beijing 100190 (China); Chen, Xiaolong, E-mail: chenx29@iphy.ac.cn [Research & development Center for Functional Crystals, Beijing National Laboratory for Condensed Matter Physics, Institute of Physics, Chinese Academy of Sciences, Beijing 100190 (China); Collaborative Innovation Center of Quantum Matter, Beijing (China)

    2016-12-30

    Highlights: • A new method is proposed and demonstrated to distinguish the polar faces of 6H-SiC by pull-off forces which are clearly different on the Si-face and the C-face of 6H-SiC. • The reliability of this method is confirmed on 6H-SiC samples treated with different surface processing procedures. • The essence of different pull-off forces on different polar faces of 6H-SiC is that the surface energies between them are obviously different. • Theoretical calculations are consistent with our experimental results. - Abstract: Distinguishing SiC (0001) Si-face from SiC (000-1) C-face without any damages is extremely important because the two polar faces have different physical and chemical properties which seriously influence the quality of a homoepitaxy or heteroepitaxy thin film on it. Here, a convenient and nondestructive detection method is developed to distinguish the Si-face and C-face of a (0001) oriented SiC wafer by employing a pull-off force measurement using atomic force microscopy. It is found that the pull-off force from a Si-face of 6H-SiC is about two times of that from a C-face, no matter it is a two-face chemical mechanical polishing or etched 6H-SiC wafer. The method developed here is suitable to identify polar faces of materials only if the two polar faces having different surface energy.

  3. Roughness of the SiC/SiO{sub 2} vicinal interface and atomic structure of the transition layers

    Energy Technology Data Exchange (ETDEWEB)

    Liu, Peizhi; Li, Guoliang; Duscher, Gerd, E-mail: gduscher@utk.edu [Department of Materials Science and Engineering, The University of Tennessee, Knoxville, Tennessee 37996 (United States); Sharma, Yogesh K.; Ahyi, Ayayi C.; Isaacs-Smith, Tamara; Williams, John R.; Dhar, Sarit [Department of Physics, Auburn University, Auburn, Alabama 36849 (United States)

    2014-11-01

    The SiC/SiO{sub 2} interface is generally considered to be the cause for the reduced electron mobility of SiC power devices. Previous studies have shown a correlation between the mobility and the transition layer width at the SiC/SiO{sub 2} interface. The authors investigated this interface with atomic resolution Z-contrast imaging and electron energy-loss spectroscopy, and discovered that this transition region was due to the roughness of the vicinal interface. The roughness of a vicinal interface consisted of atomic steps and facets deviating from the ideal off-axis cut plane. The authors conclude that this roughness is limiting the mobility in the channels of SiC MOSFETs.

  4. Chemical and electrical passivation of Si(1 1 1) surfaces

    International Nuclear Information System (INIS)

    Tian Fangyuan; Yang Dan; Opila, Robert L.; Teplyakov, Andrew V.

    2012-01-01

    This paper compares the physical and chemical properties of hydrogen-passivated Si(1 1 1) single crystalline surfaces prepared by two main chemical preparation procedures. The modified RCA cleaning is commonly used to prepare atomically flat stable surfaces that are easily identifiable spectroscopically and are the standard for chemical functionalization of silicon. On the other hand electronic properties of these surfaces are sometimes difficult to control. A much simpler silicon surface preparation procedure includes HF dipping for a short period of time. This procedure yields an atomically rough surface, whose chemical identity is not well-defined. However, the surfaces prepared by this approach often exhibit exceptionally attractive electronic properties as determined by long charge carrier lifetimes. This work utilizes infrared spectroscopy and X-ray photoelectron spectroscopy to investigate chemical modification of the surfaces prepared by these two different procedures with PCl 5 (leading to surface chlorination) and with short- and long-alkyl-chain alkenes (1-decene and 1-octodecene, respectively) and follows the electronic properties of the starting surfaces produced by measuring charge-carrier lifetimes.

  5. Chemical and electrical passivation of Si(1 1 1) surfaces

    Science.gov (United States)

    Tian, Fangyuan; Yang, Dan; Opila, Robert L.; Teplyakov, Andrew V.

    2012-01-01

    This paper compares the physical and chemical properties of hydrogen-passivated Si(1 1 1) single crystalline surfaces prepared by two main chemical preparation procedures. The modified RCA cleaning is commonly used to prepare atomically flat stable surfaces that are easily identifiable spectroscopically and are the standard for chemical functionalization of silicon. On the other hand electronic properties of these surfaces are sometimes difficult to control. A much simpler silicon surface preparation procedure includes HF dipping for a short period of time. This procedure yields an atomically rough surface, whose chemical identity is not well-defined. However, the surfaces prepared by this approach often exhibit exceptionally attractive electronic properties as determined by long charge carrier lifetimes. This work utilizes infrared spectroscopy and X-ray photoelectron spectroscopy to investigate chemical modification of the surfaces prepared by these two different procedures with PCl5 (leading to surface chlorination) and with short- and long-alkyl-chain alkenes (1-decene and 1-octodecene, respectively) and follows the electronic properties of the starting surfaces produced by measuring charge-carrier lifetimes.

  6. Atomic-level spatial distributions of dopants on silicon surfaces: toward a microscopic understanding of surface chemical reactivity

    Science.gov (United States)

    Hamers, Robert J.; Wang, Yajun; Shan, Jun

    1996-11-01

    We have investigated the interaction of phosphine (PH 3) and diborane (B 2H 6) with the Si(001) surface using scanning tunneling microscopy, infrared spectroscopy, and ab initio molecular orbital calculations. Experiment and theory show that the formation of PSi heterodimers is energetically favorable compared with formation of PP dimers. The stability of the heterodimers arises from a large strain energy associated with formation of PP dimers. At moderate P coverages, the formation of PSi heterodimers leaves the surface with few locations where there are two adjacent reactive sites. This in turn modifies the chemical reactivity toward species such as PH 3, which require only one site to adsorb but require two adjacent sites to dissociate. Boron on Si(001) strongly segregates into localized regions of high boron concentration, separated by large regions of clean Si. This leads to a spatially-modulated chemical reactivity which during subsequent growth by chemical vapor deposition (CVD) leads to formation of a rough surface. The implications of the atomic-level spatial distribution of dopants on the rates and mechanisms of CVD growth processes are discussed.

  7. Atomization of U3Si2/U3Si for research reactor fuel

    International Nuclear Information System (INIS)

    Kuk, Il Hiun

    2004-01-01

    Instead of comminuting, U 3 Si 2 /U 3 Si powders are produced by atomizating directly from the molten alloys. Many benefits are introduced by applying the atomization technique: reduction of the process, homogeneous alloy composition within a particle and between particles, increase of the thermal conductivity and decrease of the chemical reactivity with aluminium due to particle's spherical shape. (author)

  8. Low energy Ar ion bombardment damage of Si, GaAs, and InP surfaces

    International Nuclear Information System (INIS)

    Williams, R.S.

    1982-01-01

    Argon bombardment damage to (100) surfaces of Si, GaAs, and InP for sputter ion-gun potentials of 1, 2, and 3 kilovolts was studied using Rutherford backscattering. Initial damage rates and saturation damage levels were determined. Bombardment damage sensitivity increased for the sequence Si, GaAs, and InP. Saturation damage levels for Si and GaAs correspond reasonably to LSS projected range plus standard deviation estimates; damage to InP exceeded this level significantly. For an ion-gun potential of 3 keV, the initial sputter yield of P from an InP surface exceeded the sputter yield of In by four atoms per incident Ar projectile. (author)

  9. Influence of the step properties on submonolayer growth of Ge and Si at the Si(111) surface

    Energy Technology Data Exchange (ETDEWEB)

    Romanyuk, Konstantin

    2009-10-21

    preferred steps direction resulting into different islands shapes. The symmetry of the properties of the different step directions can determine the symmetry of the 2D islands. The growth shape of reconstructed 2D islands (nanostructures) on reconstructed surfaces can deviate from the internal symmetry of the substrate and the island. An analysis of the symmetry of the combined system of reconstructed substrate and island can deduce predictions for the island growth shape. It was found experimentally that the shape of two-dimensional (2D) Si or Ge islands has a lower symmetry than the threefold symmetry of the underlying Si(111) substrate if Bi is used as a surfactant during growth. Arrow-shaped or rhomb-shaped 2D islands were observed by scanning tunneling microscopy. This symmetry breaking was explained by a mutual shift between the surface reconstructions present on the substrate and on the islands. The mutual shift results into different step structure for initially symmetry related step directions. Using the kinematic Wulff construction the growth velocities of the steps could be determined from the island shape if the nucleation center had been located by a marker technique. The structural stability of 2D SiGe nanostructures was studied by scanning tunneling microscopy (STM). The formation of pits with a diameter of 2 - 30 nm in one atomic layer thick Ge stripes was observed. The unanticipated pit formation occurs due to an energetically driven motion of the Ge atoms out of the Ge stripe towards the Si terminated step edge followed by an entropy driven GeSi intermixing at the step edge. The pit formation can be also used for nanostructuring. Using conditions at which pit formation is enhanced the fabrication of freestanding GeSi stipes with single digit nanometer width is possible. Continuous {proportional_to} 8 nm wide freestanding GeSi wires have been fabricated by pit coalescence. (orig.)

  10. Enhancement of optical absorption of Si (100) surfaces by low energy N+ ion beam irradiation

    Science.gov (United States)

    Bhowmik, Dipak; Karmakar, Prasanta

    2018-05-01

    The increase of optical absorption efficiency of Si (100) surface by 7 keV and 8 keV N+ ions bombardment has been reported here. A periodic ripple pattern on surface has been observed as well as silicon nitride is formed at the ion impact zones by these low energy N+ ion bombardment [P. Karmakar et al., J. Appl. Phys. 120, 025301 (2016)]. The light absorption efficiency increases due to the presence of silicon nitride compound as well as surface nanopatterns. The Atomic Force Microscopy (AFM) study shows the formation of periodic ripple pattern and increase of surface roughness with N+ ion energy. The enhancement of optical absorption by the ion bombarded Si, compared to the bare Si have been measured by UV - visible spectrophotometer.

  11. Effect of fiber surface state on mechanical properties of Cf/Si-O-C composites

    International Nuclear Information System (INIS)

    Wang Song; Chen Zhaohui; Ma Qingsong; Hu Haifeng; Zheng Wenwei

    2005-01-01

    Three-dimensional braided carbon fiber reinforced silicon oxycarbide composites (3D-B C f /Si-O-C) were fabricated via a polysiloxane infiltration and pyrolysis route. The effects of fiber surface state on microstructure and mechanical properties of C f /Si-O-C composites were investigated. The change of carbon fiber surface state was achieved via heat treatment in vacuum. The results showed that heat treatment decreased carbon fiber surface activity due to the decrease of the amount of oxygen and nitrogen atoms. The C f /Si-O-C composites fabricated from the carbon fiber with low surface activity had excellent mechanical properties, which resulted from perfect interfacial bonding and good in situ fiber strength. The flexural strength and fracture toughness of the C f /Si-O-C composites from the treated fiber were 534 MPa and 23.4 MPa m 1/2 , respectively, which were about 7 and 11 times more than those of the composites from the as-received carbon fiber, respectively

  12. Ta2O5/ Al2O3/ SiO2 - antireflective coating for non-planar optical surfaces by atomic layer deposition

    Science.gov (United States)

    Pfeiffer, K.; Schulz, U.; Tünnermann, A.; Szeghalmi, A.

    2017-02-01

    Antireflective coatings are essential to improve transmittance of optical elements. Most research and development of AR coatings has been reported on a wide variety of plane optical surfaces; however, antireflection is also necessary on nonplanar optical surfaces. Physical vapor deposition (PVD), a common method for optical coatings, often results in thickness gradients on strongly curved surfaces, leading to a failure of the desired optical function. In this work, optical thin films of tantalum pentoxide, aluminum oxide and silicon dioxide were prepared by atomic layer deposition (ALD), which is based on self-limiting surface reactions. The results demonstrate that ALD optical layers can be deposited on both vertical and horizontal substrate surfaces with uniform thicknesses and the same optical properties. A Ta2O5/Al2O3/ SiO2 multilayer AR coating (400-700 nm) was successfully applied to a curved aspheric glass lens with a diameter of 50 mm and a center thickness of 25 mm.

  13. Energy variable monoenergetic positron beam study of oxygen atoms in Czochralski grown Si

    International Nuclear Information System (INIS)

    Tanigawa, S.; Wei, L.; Tabuki, Y.; Nagai, R.; Takeda, E.

    1992-01-01

    A monoenergetic positron beam has been used to investigate the state of interstitial oxygen in Czochralski-grown Si with the coverage of SiO 2 (100 nm) and poly-Si (200 nm)/SiO 2 (100 nm), respectively. It was found that (i) the growth of SiO 2 gives rise to a strong Doppler broadening of positron annihilation radiations in the bulk of Si, (ii) such a broadening can be recovered to the original level by annealing at 450degC, by the removal of overlayers using chemical etching and long-term aging at room temperature, (iii) the film stress over the CZ-grown Si is responsible for the rearrangement of oxygen atoms in S and (iv) only tensile stress gives rise to the clustering of oxygen atoms. The observed broadening was assigned to arise from the positron trapping by oxygen interstitial clusters. It was concluded that film stress is responsible for the rearrangement of oxygen atoms in CZ-grown Si. (author)

  14. Surface modification of thermoplastic poly(vinyl alcohol)/saponite nanocomposites via surface-initiated atom transfer radical polymerization enhanced by air dielectric discharges barrier plasma treatment

    International Nuclear Information System (INIS)

    Zhen Weijun; Lu Canhui

    2012-01-01

    To improve the water resistance of thermoplastic poly(vinyl alcohol)/saponite nanocomposites (TPVA), a simple two-step method was developed for the covalent immobilization of atom transfer radical polymerization (ATRP) initiators on the TPVA surfaces enhanced by air dielectric barrier discharges (DBD) plasma treatment, and hydrophobic poly(methyl methacrylate) (PMMA) brushes were then grafted onto the surface of TPVA via surface-initiated atom transfer radical polymerization (SI-ATRP). The chemical composition, morphology and hydrophobicity of the modified TPVA surfaces were characterized by X-ray photoelectron spectroscopy (XPS) and scanning electron microscopy (SEM), respectively. The water resistance of the surface-functionalized PMMA was evaluated by the contact angle and water adsorption method. It was shown that air DBD plasma treatment activated the TPVA surface and accelerated the immobilization of ATRP initiator on the TPVA surface. Compared with TPVA control, TPVA modified by SI-ATRP can be grafted well-defined and covalently tethered network PMMA brushes onto the surface and the hydrophobicity of TPVA were significantly enhanced.

  15. Low Temperature Scanning Force Microscopy of the Si(111)-( 7x7) Surface

    International Nuclear Information System (INIS)

    Lantz, M. A.; Hug, H. J.; Schendel, P. J. A. van; Hoffmann, R.; Martin, S.; Baratoff, A.; Abdurixit, A.; Guentherodt, H.-J.; Gerber, Ch.

    2000-01-01

    A low temperature scanning force microscope (SFM) operating in a dynamic mode in ultrahigh vacuum was used to study the Si(111)-(7x7) surface at 7.2 K. Not only the twelve adatoms but also the six rest atoms of the unit cell are clearly resolved for the first time with SFM. In addition, the first measurements of the short range chemical bonding forces above specific atomic sites are presented. The data are in good agreement with first principles computations and indicate that the nearest atoms in the tip and sample relax significantly when the tip is within a few Angstrom of the surface. (c) 2000 The American Physical Society

  16. Surface and subsurface cracks characteristics of single crystal SiC wafer in surface machining

    Energy Technology Data Exchange (ETDEWEB)

    Qiusheng, Y., E-mail: qsyan@gdut.edu.cn; Senkai, C., E-mail: senkite@sina.com; Jisheng, P., E-mail: panjisheng@gdut.edu.cn [School of Electromechanical Engineering, Guangdong University of Technology, Guangzhou, 510006 (China)

    2015-03-30

    Different machining processes were used in the single crystal SiC wafer machining. SEM was used to observe the surface morphology and a cross-sectional cleavages microscopy method was used for subsurface cracks detection. Surface and subsurface cracks characteristics of single crystal SiC wafer in abrasive machining were analysed. The results show that the surface and subsurface cracks system of single crystal SiC wafer in abrasive machining including radial crack, lateral crack and the median crack. In lapping process, material removal is dominated by brittle removal. Lots of chipping pits were found on the lapping surface. With the particle size becomes smaller, the surface roughness and subsurface crack depth decreases. When the particle size was changed to 1.5µm, the surface roughness Ra was reduced to 24.0nm and the maximum subsurface crack was 1.2µm. The efficiency of grinding is higher than lapping. Plastic removal can be achieved by changing the process parameters. Material removal was mostly in brittle fracture when grinding with 325# diamond wheel. Plow scratches and chipping pits were found on the ground surface. The surface roughness Ra was 17.7nm and maximum subsurface crack depth was 5.8 µm. When grinding with 8000# diamond wheel, the material removal was in plastic flow. Plastic scratches were found on the surface. A smooth surface of roughness Ra 2.5nm without any subsurface cracks was obtained. Atomic scale removal was possible in cluster magnetorheological finishing with diamond abrasive size of 0.5 µm. A super smooth surface eventually obtained with a roughness of Ra 0.4nm without any subsurface crack.

  17. Scanning capacitance microscopy of atomically-precise donor devices in Si

    Science.gov (United States)

    Bussmann, Ezra; Rudolph, M.; Carr, S. M.; Subramania, G.; Ten Eyck, G.; Dominguez, J.; Lilly, M. P.; Carroll, M. S.; QIST Team

    2014-03-01

    Recently, a scanning tunneling microscopy (STM) technique to fabricate atomically-precise dopant-based nanoelectronics in Si has been developed. Phosphorus donors are placed via an atomic-precision template formed by STM H-depassivation lithography, then capped with epi-Si and lastly metal contacts are made to the buried donor layer using conventional microfabrication. New challenges are introduced with this approach that center around difficulties to locate and characterize the pattern of buried donors. In this talk, we show that scanning capacitance microscopy (SCM) can image these buried donor nanostructures with sub-100-nm tip-limited resolution. The technique is used to successfully locate and characterize buried donor nanostructures relative to surface alignment marks. This approach relaxes alignment requirements for the STM lithography step and can offer improved alignment of subsequent metallization steps. The SCM technique is also used to nondestructively image the shape of the electronic carrier distribution and characterize the relative doping levels. This work, performed in part at the Center for Integrated Nanotechnologies, a U.S. DOE Office of Basic Energy Sciences user facility, was supported by Sandia's Lab Directed Research and Development Program. Sandia is a multi-program lab operated by Sandia Corp, a Lockheed-Martin Company, for U. S. DOE under Contract DE-AC04-94AL85000.

  18. Enhanced absorption in Au nanoparticles/a-Si:H/c-Si heterojunction solar cells exploiting Au surface plasmon resonance

    Energy Technology Data Exchange (ETDEWEB)

    Losurdo, Maria; Giangregorio, Maria M.; Bianco, Giuseppe V.; Sacchetti, Alberto; Capezzuto, Pio; Bruno, Giovanni [Institute of Inorganic Methodologies and of Plasmas, IMIP-CNR, via Orabona 4, 70126 Bari (Italy)

    2009-10-15

    Au nanoparticles (NPs)/(n-type)a-Si:H/(p-type)c-Si heterojunctions have been deposited combining plasma-enhanced chemical-vapour deposition (PECVD) with Au sputtering. We demonstrate that a density of {proportional_to}1.3 x 10{sup 11} cm{sup -2} of Au nanoparticles with an approximately 20 nm diameter deposited onto (n-type)a-Si:H/(p-type)c-Si heterojunctions enhance performance exploiting the improved absorption of light by the surface plasmon resonance of Au NPs. In particular, Au NPs/(n-type)a-Si:H/(p-type)c-Si show an enhancement of 20% in the short-circuit current, J{sub SC}, 25% in the power output, P{sub max} and 3% in the fill factor, FF, compared to heterojunctions without Au NPs. Structures have been characterized by spectroscopic ellipsometry, atomic force microscopy and current-voltage (I-V) measurements to correlate the plasmon resonance-induced enhanced absorption of light with photovoltaic performance. (author)

  19. Formation of Pentacene wetting layer on the SiO2 surface and charge trap in the wetting layer

    International Nuclear Information System (INIS)

    Kim, Chaeho; Jeon, D.

    2008-01-01

    We studied the early-stage growth of vacuum-evaporated pentacene film on a native SiO 2 surface using atomic force microscopy and in-situ spectroscopic ellipsometry. Pentacene deposition prompted an immediate change in the ellipsometry spectra, but atomic force microscopy images of the early stage films did not show a pentacene-related morphology other than the decrease in the surface roughness. This suggested that a thin pentacene wetting layer was formed by pentacene molecules lying on the surface before the crystalline islands nucleated. Growth simulation based on the in situ spectroscopic ellipsometry spectra supported this conclusion. Scanning capacitance microscopy measurement indicated the existence of trapped charges in the SiO 2 and pentacene wetting layer

  20. Surface and interfacial structural characterization of MBE grown Si/Ge multilayers

    International Nuclear Information System (INIS)

    Saha, Biswajit; Sharma, Manjula; Sarma, Abhisakh; Rath, Ashutosh; Satyam, P.V.; Chakraborty, Purushottam; Sanyal, Milan K.

    2009-01-01

    Si/Ge multilayer structures have been grown by solid source molecular beam epitaxy (MBE) on Si (1 1 1) and (1 0 0) substrates and were characterized by high-resolution X-ray diffraction (XRD), atomic force microscopy (AFM), high-depth-resolution secondary ion mass spectroscopy (SIMS) and cross-section high-resolution transmission electron microscopy (HRTEM). A reasonably good agreement has been obtained for layer thickness, interfacial structure and diffusion between SIMS and HRTEM measurements. Epitaxial growth and crystalline nature of the individual layer have been probed using cross-sectional HRTEM and XRD measurements. Surface and interface morphological studies by AFM and HRTEM show island-like growth of both Si and Ge nanostructures.

  1. Removal of copper and nickel contaminants from Si surface by use of cyanide solutions

    International Nuclear Information System (INIS)

    Fujiwara, N.; Liu, Y.-L.; Nakamura, T.; Maida, O.; Takahashi, M.; Kobayashi, H.

    2004-01-01

    The cleaning method using cyanide solutions has been developed to remove heavy metals such as copper (Cu) and nickel (Ni) from Si surfaces. Immersion of Si wafers with both Cu and Ni contaminants in potassium cyanide (KCN) solutions of methanol at room temperature decreases these surface concentrations below the detection limit of total reflection X-ray fluorescence spectroscopy of ∼3x10 9 atoms/cm 2 . UV spectra of the KCN solutions after cleaning of the Cu-contaminated Si surface show that stable copper-cyanide complexes are formed in the solution, leading to the prevention of the re-adsorption of copper in the solutions. From the complex stability constants, it is concluded that the Cu(CN) 4 3- is the most dominant species in the KCN solutions

  2. Surface morphology and structure of Ge layer on Si(111) after solid phase epitaxy

    Science.gov (United States)

    Yoshida, Ryoma; Tosaka, Aki; Shigeta, Yukichi

    2018-05-01

    The surface morphology change of a Ge layer on a Si(111) surface formed by solid phase epitaxy has been investigated with a scanning tunneling microscope (STM). The Ge film was deposited at room temperature and annealed at 400 °C or 600 °C. The STM images of the sample surface after annealing at 400 °C show a flat wetting layer (WL) with small three-dimensional islands on the WL. After annealing at 600 °C, the STM images show a surface roughening with large islands. From the relation between the average height of the roughness and the deposited layer thickness, it is confirmed that the diffusion of Ge atoms becomes very active at 600 °C. The Si crystal at the interface is reconstructed and the intermixing occurs over 600 °C. However, the intermixing is fairly restricted in the solid phase epitaxy growth at 400 °C. The surface morphology changes with the crystallization at 400 °C are discussed by the shape of the islands formed on the WL surface. It is shown that the diffusion of the Ge atoms in the amorphous phase is active even at 400 °C.

  3. Excellent c-Si surface passivation by thermal atomic layer deposited aluminum oxide after industrial firing activation

    International Nuclear Information System (INIS)

    Liao, B; Stangl, R; Ma, F; Mueller, T; Lin, F; Aberle, A G; Bhatia, C S; Hoex, B

    2013-01-01

    We demonstrate that by using a water (H 2 O)-based thermal atomic layer deposited (ALD) aluminum oxide (Al 2 O 3 ) film, excellent surface passivation can be attained on planar low-resistivity silicon wafers. Effective carrier lifetime values of up to 12 ms and surface recombination velocities as low as 0.33 cm s −1 are achieved on float-zone wafers after a post-deposition thermal activation of the Al 2 O 3 passivation layer. This post-deposition activation is achieved using an industrial high-temperature firing process which is commonly used for contact formation of standard screen-printed silicon solar cells. Neither a low-temperature post-deposition anneal nor a silicon nitride capping layer is required in this case. Deposition temperatures in the 100–400 °C range and peak firing temperatures of about 800 °C (set temperature) are investigated. Photoluminescence imaging shows that the surface passivation is laterally uniform. Corona charging and capacitance–voltage measurements reveal that the negative fixed charge density near the AlO x /c-Si interface increases from 1.4 × 10 12 to 3.3 × 10 12 cm −2 due to firing, while the midgap interface defect density reduces from 3.3 × 10 11 to 0.8 × 10 11 cm −2 eV −1 . This work demonstrates that direct firing activation of thermal ALD Al 2 O 3 is feasible, which could be beneficial for solar cell manufacturing. (paper)

  4. Investigation of split-off dimers on the Si(001)2x1 surface

    International Nuclear Information System (INIS)

    Schofield, S.R.; O'Brien, J.L.; Curson, N.J.; Simmons, M.Y.; Clark, R.G.

    2002-01-01

    Full text: A detailed knowledge of the nature of crystalline defects on the Si(001)2x1 surface is becoming increasingly important as more research effort is dedicated to producing atomic-scale electronic devices. Here we present high-resolution scanning tunnelling microscopy (STM) images and ab initio pseudopotential calculations of an unusual defect of the silicon (001) surface called the split-off dimer. In high-resolution filled-state images, split-off dimers appear as a pair of protrusions, in contrast to the surrounding surface dimers that appear as 'bean-shaped' protrusions. We show that π-bonding does not exist between the atoms of the split-off dimer, but instead, the dimer atoms form π-bonds with two second layer atoms as part of a tetramer bonding arrangement. We discuss the strain associated with split-off dimer defects and describe how this strain significantly affects the bonding arrangements and local density of states around these defects

  5. Influence of multi-hit capability on quantitative measurement of NiPtSi thin film with laser-assisted atom probe tomography

    International Nuclear Information System (INIS)

    Kinno, T.; Akutsu, H.; Tomita, M.; Kawanaka, S.; Sonehara, T.; Hokazono, A.; Renaud, L.; Martin, I.; Benbalagh, R.; Sallé, B.; Takeno, S.

    2012-01-01

    Highlights: ► Laser-assisted atom probe tomography was applied to NiPtSi films on Si substrates. ► Comparison of depth profiles of single-hit events and those of multi-hit events. ► ∼80% of Pt atoms were detected in multi-hit events. ► Multiple-ion detection is important for Laser-assisted atom probe tomography. - Abstract: Laser-assisted atom probe tomography (LA-APT) was applied to NiPtSi (0, 30, and 50% Pt contents) thin films on Si substrates. Consistent results with those of high-resolution Rutherford backscattering spectrometry (HR-RBS) were obtained. Based on the obtained data sets, the composition profiles from only the signals of single-hit events, meaning detection of one ion by one laser pulse, were compiled. The profiles from only the signals of multi-hit events, meaning detection of multiple ions by one laser pulse, were also compiled. There were large discrepancies with respect to Ni and Pt concentrations among the compiled profiles and the original profiles including the signals of both types of detection events. Additionally, the profiles compiled from single-hit events showed that Si concentration in NiPtSi layer became smaller toward the surface, differing from the original profiles and the multi-hit profiles. These results suggest that capability of simultaneous multiple-ion detection is important for appropriate LA-APT analyses.

  6. Hydrophilization of poly(ether ether ketone) films by surface-initiated atom transfer radical polymerization

    DEFF Research Database (Denmark)

    Fristrup, Charlotte Juel; Jankova Atanasova, Katja; Hvilsted, Søren

    2010-01-01

    Surface-Initiated Atom Transfer Radical Polymerization (SI-ATRP) has been exploited to hydrophilize PEEK. The ketone groups on the PEEK surface were reduced to hydroxyl groups which were converted to bromoisobutyrate initiating sites for SI-ATRP. The modification steps were followed by contact...... angle measurements and XPS. Moreover, ATR FTIR has been used to confirm the formation of initiating groups. Grafting of PEGMA from PEEK was performed in aqueous solution. The presence of the PPEGMA grafts on PEEK was revealed by the thermograms from TGA whereas investigations with AFM rejected changes...

  7. Relation of lifetime to surface passivation for atomic-layer-deposited Al2O3 on crystalline silicon solar cell

    International Nuclear Information System (INIS)

    Cho, Young Joon; Song, Hee Eun; Chang, Hyo Sik

    2015-01-01

    Highlights: • We investigated the relation of potassium contamination on Si solar wafer to lifetime. • We deposited Al 2 O 3 layer by atomic layer deposition (ALD) on Si solar wafer after several cleaning process. • Potassium can be left on Si surface by incomplete cleaning process and degrade the Al 2 O 3 passivation quality. - Abstract: We investigated the relation of potassium contamination on a crystalline silicon (c-Si) surface after potassium hydroxide (KOH) etching to the lifetime of the c-Si solar cell. Alkaline solution was employed for saw damage removal (SDR), texturing, and planarization of a textured c-Si solar wafer prior to atomic layer deposition (ALD) Al 2 O 3 growth. In the solar-cell manufacturing process, ALD Al 2 O 3 passivation is utilized to obtain higher conversion efficiency. ALD Al 2 O 3 shows excellent surface passivation, though minority carrier lifetime varies with cleaning conditions. In the present study, we investigated the relation of potassium contamination to lifetime in solar-cell processing. The results showed that the potassium-contaminated samples, due to incomplete cleaning of KOH, had a short lifetime, thus establishing that residual potassium can degrade Al 2 O 3 surface passivation

  8. Ternary hybrid polymeric nanocomposites through grafting of polystyrene on graphene oxide-TiO{sub 2} by surface initiated atom transfer radical polymerization (SI-ATRP)

    Energy Technology Data Exchange (ETDEWEB)

    Kumar, Arvind; Bansal, Ankushi; Behera, Babita; Jain, Suman L.; Ray, Siddharth S., E-mail: ssray@iip.res.in

    2016-04-01

    A ternary hybrid of graphene oxide-titania-polystyrene (GO-TiO{sub 2}-PS) nanocomposite is developed where polystyrene composition is regulated by controlling growth of polymer chains and nanoarchitectonics is discussed. Graphene Oxide-TiO{sub 2} (GO-TiO{sub 2}) nanocomposite is prepared by in-situ hydrothermal method and the surface is anchored with α-bromoisobutyryl bromide to activate GO-TiO{sub 2} as initiator for polymerization. In-situ grafting of polystyrene through surface initiated atom transfer radical polymerization (SI- ATRP) on this Br-functionalized nano-composite initiator yields GO-TiO{sub 2}-PS ternary hybrid. Varying the monomer amount and keeping the concentration of initiator constant, polystyrene chain growth is regulated with narrow poly-dispersivity to achieve desired composition. This composite is well characterized by various analytical techniques like FTIR, XRD, DSC, SEM, TEM, and TGA. - Highlights: • Nanocomposite of ternary hybrid of GO-TiO{sub 2} with polystyrene. • PS is surface grafted on GO-TiO{sub 2}. • Polymer chain lengths are well regulated by SI-ATRP living polymerization. • Thermal stability of this hybrid is relatively high.

  9. On mechanism of low-energy heavy ions scattering on a target surface with small atomic mass

    Energy Technology Data Exchange (ETDEWEB)

    Umarov, F.F. E-mail: farid1945@yahoo.com; Bazarbaev, N.N.; Kudryashova, L.B.; Krylov, N.M

    2002-11-01

    In the present work, an experimental study of low-energy (E{sub 0}=20-500 eV) heavy Cs{sup +} ions scattering on target surfaces with small atomic masses (Al, Si, Ni) has been performed for more accurate definition of mechanism of scattering and evaluation of an opportunity for use of heavy ions scattering as a tool of surface layer analysis. It is shown that the dependence of the relative energies of scattered ions versus the initial energy E/E{sub 0} (E{sub 0}) for Si (E{sub b}=4.64 eV/atom) and Ni (E{sub b}=4.43 eV/atom) approximately coincide despite the fact that the mass of Ni atom is twice as large as that of the Si atom mass. At the same time their binding energies E{sub b} are approximately equal to each other. It is found that the scattering angles of Cs{sup +} ions considerably exceed a limiting scattering angle {theta}{sub lim} in a single collision. It has been established that the scattering of low-energy heavy ions by light targets is described by a non-binary mechanism of many-particle interactions (simultaneous ion interaction with several target atoms). It has been shown that during the many-particle interactions the structure of energy spectra disappears; high relative energy of scattering ions and their dependence on energy of bombardment is observed. It has been found that the energy of scattered ions depends on binding energy, melting temperature and packing density of target atoms.

  10. Nano-SiC region formation in (100) Si-on-insulator substrate: Optimization of hot-C+-ion implantation process to improve photoluminescence intensity

    Science.gov (United States)

    Mizuno, Tomohisa; Omata, Yuhsuke; Kanazawa, Rikito; Iguchi, Yusuke; Nakada, Shinji; Aoki, Takashi; Sasaki, Tomokazu

    2018-04-01

    We experimentally studied the optimization of the hot-C+-ion implantation process for forming nano-SiC (silicon carbide) regions in a (100) Si-on-insulator substrate at various hot-C+-ion implantation temperatures and C+ ion doses to improve photoluminescence (PL) intensity for future Si-based photonic devices. We successfully optimized the process by hot-C+-ion implantation at a temperature of about 700 °C and a C+ ion dose of approximately 4 × 1016 cm-2 to realize a high intensity of PL emitted from an approximately 1.5-nm-thick C atom segregation layer near the surface-oxide/Si interface. Moreover, atom probe tomography showed that implanted C atoms cluster in the Si layer and near the oxide/Si interface; thus, the C content locally condenses even in the C atom segregation layer, which leads to SiC formation. Corrector-spherical aberration transmission electron microscopy also showed that both 4H-SiC and 3C-SiC nanoareas near both the surface-oxide/Si and buried-oxide/Si interfaces partially grow into the oxide layer, and the observed PL photons are mainly emitted from the surface SiC nano areas.

  11. Fabrication and surface passivation of porous 6H-SiC by atomic layer deposited films

    DEFF Research Database (Denmark)

    Lu, Weifang; Ou, Yiyu; Petersen, Paul Michael

    2016-01-01

    Porous 6H-SiC samples with different thicknesses were fabricated through anodic etching in diluted hydrofluoric acid. Scanning electron microscope images show that the dendritic pore formation in 6HSiC is anisotropic, which has different lateral and vertical formation rates. Strong photoluminesce...... above the 6H-SiC crystal band gap, which suggests that the strong photoluminescence is ascribed to surface state produced during the anodic etching....

  12. A computational study on the energetics and mechanisms for the dissociative adsorption of SiH{sub x}(x = 1–4) on W(1 1 1) surface

    Energy Technology Data Exchange (ETDEWEB)

    Lin, Y.H.; Raghunath, P.; Lin, M.C., E-mail: chemmcl@emory.edu

    2016-01-30

    Graphical abstract: - Highlights: • Behavior of the SiH{sub x} species on the W surface under cat-CVD conditions. • The adsorption and dissociation mechanisms of SiH{sub x}(x = 1–4) species on W(1 1 1) surface. • H-migration to its neighboring W atoms is more favorable compared to H{sub 2} elimination. - Abstract: The adsorption and dissociation mechanisms of SiH{sub x}(x = 1–4) species on W(1 1 1) surface have been investigated by using the periodic density functional theory with the projector-augmented wave approach. The adsorption of all the species on four surface sites: top (T), bridge (B), shallow (S), and deep (D) sites have been analyzed. For SiH{sub 4} on a top site, T-SiH{sub 4(a)}, it is more stable with an adsorption energy of 2.6 kcal/mol. For SiH{sub 3}, the 3-fold shallow site is most favorable with adsorption energy of 46.0 kcal/mol. For SiH{sub 2}, its adsorption on a bridge site is most stable with 73.0 kcal/mol binding energy, whereas for SiH and Si the most stable adsorption configurations are on 3-fold deep sites with very high adsorption energies, 111.8 and 134.7 kcal/mol, respectively. The potential energy surfaces for the dissociative adsorption of all SiH{sub x} species on the W(1 1 1) surface have been constructed using the CINEB method. The barriers for H-atom migration from SiH{sub x(a)} to its neighboring W atoms, preferentially on B-sites, were predicted to be 0.4, 1.0, 4.5 and, 8.0 kcal/mol, respectively, for x = 4, 3, 2, and 1, respectively. The adsorption energy of the H atom on a bridge site on the clean W(1 1 1) surface was predicted to be 65.9 kcal/mol, which was found to be slightly affected by the co-adsorption of SiH{sub x−1} within ± 1 kcal/mol.

  13. Bond formation in hafnium atom implantation into SiC induced by high-energy electron irradiation

    International Nuclear Information System (INIS)

    Yasuda, H.; Mori, H.; Sakata, T.; Naka, M.; Fujita, H.

    1992-01-01

    Bilayer films of Hf (target atoms)/α-SiC (substrate) were irradiated with 2 MeV electrons in an ultra-high voltage electron microscope (UHVEM), with the electron beam incident on the hafnium layer. As a result of the irradiation, hafnium atoms were implanted into the SiC substrate. Changes in the microstructure and valence electronic states associated with the implantation were studied by a combination of UHVEM and Auger valence electron spectroscopy. The implantation process is summarized as follows. (1) Irradiation with 2 MeV electrons first induces a crystalline-to-amorphous transition in α-SiC. (2) Hafnium atoms which have been knocked-off from the hafnium layer by collision with the 2 MeV electrons are implanted into the resultant amorphous SiC. (3) The implanted hafnium atoms make preferential bonding to carbon atoms. (4) With continued irradiation, the hafnium atoms repeat the displacement along the beam direction and the subsequent bonding with the dangling hybrids of carbon and silicon. The repetition of the displacement and subsequent bonding lead to the deep implantation of hafnium atoms into the SiC substrate. It is concluded that implantation successfully occurs when the bond strength between a constituent atom of a substrate and an injected atom is stronger than that between constituent atoms of a substrate. (Author)

  14. Influence of average ion energy and atomic oxygen flux per Si atom on the formation of silicon oxide permeation barrier coatings on PET

    Science.gov (United States)

    Mitschker, F.; Wißing, J.; Hoppe, Ch; de los Arcos, T.; Grundmeier, G.; Awakowicz, P.

    2018-04-01

    The respective effect of average incorporated ion energy and impinging atomic oxygen flux on the deposition of silicon oxide (SiO x ) barrier coatings for polymers is studied in a microwave driven low pressure discharge with additional variable RF bias. Under consideration of plasma parameters, bias voltage, film density, chemical composition and particle fluxes, both are determined relative to the effective flux of Si atoms contributing to film growth. Subsequently, a correlation with barrier performance and chemical structure is achieved by measuring the oxygen transmission rate (OTR) and by performing x-ray photoelectron spectroscopy. It is observed that an increase in incorporated energy to 160 eV per deposited Si atom result in an enhanced cross-linking of the SiO x network and, therefore, an improved barrier performance by almost two orders of magnitude. Furthermore, independently increasing the number of oxygen atoms to 10 500 per deposited Si atom also lead to a comparable barrier improvement by an enhanced cross-linking.

  15. A computational study on the energetics and mechanisms for the dissociative adsorption of SiHx(x = 1-4) on W(1 1 1) surface

    Science.gov (United States)

    Lin, Y. H.; Raghunath, P.; Lin, M. C.

    2016-01-01

    The adsorption and dissociation mechanisms of SiHx(x = 1-4) species on W(1 1 1) surface have been investigated by using the periodic density functional theory with the projector-augmented wave approach. The adsorption of all the species on four surface sites: top (T), bridge (B), shallow (S), and deep (D) sites have been analyzed. For SiH4 on a top site, T-SiH4(a), it is more stable with an adsorption energy of 2.6 kcal/mol. For SiH3, the 3-fold shallow site is most favorable with adsorption energy of 46.0 kcal/mol. For SiH2, its adsorption on a bridge site is most stable with 73.0 kcal/mol binding energy, whereas for SiH and Si the most stable adsorption configurations are on 3-fold deep sites with very high adsorption energies, 111.8 and 134.7 kcal/mol, respectively. The potential energy surfaces for the dissociative adsorption of all SiHx species on the W(1 1 1) surface have been constructed using the CINEB method. The barriers for H-atom migration from SiHx(a) to its neighboring W atoms, preferentially on B-sites, were predicted to be 0.4, 1.0, 4.5 and, 8.0 kcal/mol, respectively, for x = 4, 3, 2, and 1, respectively. The adsorption energy of the H atom on a bridge site on the clean W(1 1 1) surface was predicted to be 65.9 kcal/mol, which was found to be slightly affected by the co-adsorption of SiHx-1 within ± 1 kcal/mol.

  16. MoS2 solid-lubricating film fabricated by atomic layer deposition on Si substrate

    Science.gov (United States)

    Huang, Yazhou; Liu, Lei; Lv, Jun; Yang, Junjie; Sha, Jingjie; Chen, Yunfei

    2018-04-01

    How to reduce friction for improving efficiency in the usage of energy is a constant challenge. Layered material like MoS2 has long been recognized as an effective surface lubricant. Due to low interfacial shear strengths, MoS2 is endowed with nominal frictional coefficient. In this work, MoS2 solid-lubricating film was directly grown by atomic layer deposition (ALD) on Si substrate using MoCl5 and H2S. Various methods were used to observe the grown MoS2 film. Moreover, nanotribological properties of the film were observed by an atomic force microscope (AFM). Results show that MoS2 film can effectively reduce the friction force by about 30-45% under different loads, indicating the huge application value of the film as a solid lubricant. Besides the interlayer-interfaces-sliding, the smaller capillary is another reason why the grown MoS2 film has smaller friction force than that of Si.

  17. XPS, UV–vis spectroscopy and AFM studies on removal mechanisms of Si-face SiC wafer chemical mechanical polishing (CMP)

    International Nuclear Information System (INIS)

    Zhou, Yan; Pan, Guoshun; Shi, Xiaolei; Xu, Li; Zou, Chunli; Gong, Hua; Luo, Guihai

    2014-01-01

    Highlights: • CMP removal mechanism of Si-face SiC wafer is investigated through XPS analysis. • UV–vis spectroscopy is used to study CMP removal mechanisms. • CMP removal model of Si-face SiC wafer is proposed. • The variations of atomic step morphology on ultra-smooth surface via AFM is studied. - Abstract: Chemical mechanical polishing (CMP) removal mechanisms of on-axis Si-face SiC wafer have been investigated through X-ray photoelectron spectroscopy (XPS), UV–visible (UV–vis) spectroscopy and atomic force microscopy (AFM). XPS results indicate that silicon oxide is formed on Si-face surface polished by the slurry including oxidant H 2 O 2 , but not that after immersing in H 2 O 2 solution. UV–vis spectroscopy curves prove that • OH hydroxyl radical could be generated only under CMP polishing by the slurry including H 2 O 2 and abrasive, so as to promote oxidation of Si-face to realize the effective removal; meanwhile, alkali KOH during CMP could induce the production of more radicals to improve the removal. On the other side, ultra-smooth polished surface with atomic step structure morphology and extremely low Ra of about 0.06 nm (through AFM) is obtained using the developed slurry with silica nanoparticle abrasive. Through investigating the variations of the atomic step morphology on the surface polished by different slurries, it's reveals that CMP removal mechanism involves a simultaneous process of surface chemical reaction and nanoparticle atomic scale abrasion

  18. In Situ Investigation of Electrochemically Mediated Surface-Initiated Atom Transfer Radical Polymerization by Electrochemical Surface Plasmon Resonance.

    Science.gov (United States)

    Chen, Daqun; Hu, Weihua

    2017-04-18

    Electrochemically mediated atom transfer radical polymerization (eATRP) initiates/controls the controlled/living ATRP chain propagation process by electrochemically generating (regenerating) the activator (lower-oxidation-state metal complex) from deactivator (higher-oxidation-state metal complex). Despite successful demonstrations in both of the homogeneous polymerization and heterogeneous systems (namely, surface-initiated ATRP, SI-ATRP), the eATRP process itself has never been in situ investigated, and important information regarding this process remains unrevealed. In this work, we report the first investigation of the electrochemically mediated SI-ATRP (eSI-ATRP) by rationally combining the electrochemical technique with real-time surface plasmon resonance (SPR). In the experiment, the potential of a SPR gold chip modified by the self-assembled monolayer of the ATRP initiator was controlled to electrochemically reduce the deactivator to activator to initiate the SI-ATRP, and the whole process was simultaneously monitored by SPR with a high time resolution of 0.1 s. It is found that it is feasible to electrochemically trigger/control the SI-ATRP and the polymerization rate is correlated to the potential applied to the gold chip. This work reveals important kinetic information for eSI-ATRP and offers a powerful platform for in situ investigation of such complicated processes.

  19. Oxidation of atomically thin MoS2 on SiO2

    Science.gov (United States)

    Yamamoto, Mahito; Cullen, William; Einstein, Theodore; Fuhrer, Michael

    2013-03-01

    Surface oxidation of MoS2 markedly affects its electronic, optical, and tribological properties. However, oxidative reactivity of atomically thin MoS2 has yet to be addressed. Here, we investigate oxidation of atomic layers of MoS2 using atomic force microscopy and Raman spectroscopy. MoS2 is mechanically exfoliated onto SiO2 and oxidized in Ar/O2 or Ar/O3 (ozone) at 100-450 °C. MoS2 is much more reactive to O2 than an analogous atomic membrane of graphene and monolayer MoS2 is completely etched very rapidly upon O2 treatment above 300 °C. Thicker MoS2 (> 15 nm) transforms into MoO3 after oxidation at 400 °C, which is confirmed by a Raman peak at 820 cm-1. However, few-layer MoS2 oxidized below 400 °C exhibits no MoO3 Raman mode but etch pits are formed, similar to graphene. We find atomic layers of MoS2 shows larger reactivity to O3 than to O2 and monolayer MoS2 transforms chemically upon O3 treatment even below 100 °C. Work supported by the U. of Maryland NSF-MRSEC under Grant No. DMR 05-20741.

  20. Ethers on Si(001): A Prime Example for the Common Ground between Surface Science and Molecular Organic Chemistry.

    Science.gov (United States)

    Pecher, Lisa; Laref, Slimane; Raupach, Marc; Tonner, Ralf

    2017-11-20

    By using computational chemistry it has been shown that the adsorption of ether molecules on Si(001) under ultrahigh vacuum conditions can be understood with classical concepts of organic chemistry. Detailed analysis of the two-step reaction mechanism-1) formation of a dative bond between the ether oxygen atom and a Lewis acidic surface atom and 2) nucleophilic attack of a nearby Lewis basic surface atom-shows that it mirrors acid-catalyzed ether cleavage in solution. The O-Si dative bond is the strongest of its kind, and the reactivity in step 2 defies the Bell-Evans-Polanyi principle. Electron rearrangement during C-O bond cleavage has been visualized with a newly developed method for analyzing bonding, which shows that the mechanism of nucleophilic substitutions on semiconductor surfaces is identical to molecular S N 2 reactions. Our findings illustrate how surface science and molecular chemistry can mutually benefit from each other and unexpected insight can be gained. © 2017 Wiley-VCH Verlag GmbH & Co. KGaA, Weinheim.

  1. Atomic beams probe surface vibrations

    International Nuclear Information System (INIS)

    Robinson, A.L.

    1982-01-01

    In the last two years, surface scientist have begun trying to obtain the vibrational frequencies of surface atoms in both insulating and metallic crystals from beams of helium atoms. It is the inelastic scattering that researchers use to probe surface vibrations. Inelastic atomic beam scattering has only been used to obtain vibrational frequency spectra from clean surfaces. Several experiments using helium beams are cited. (SC)

  2. New bonding configuration on Si(111) and Ge(111) surfaces induced by the adsorption of alkali metals

    DEFF Research Database (Denmark)

    Lottermoser, L.; Landemark, E.; Smilgies, D.M.

    1998-01-01

    The structure of the (3×1) reconstructions of the Si(111) and Ge(111) surfaces induced by adsorption of alkali metals has been determined on the basis of surface x-ray diffraction and low-energy electron diffraction measurements and density functional theory. The (3×1) surface results primarily f...... from the substrate reconstruction and shows a new bonding configuration consisting of consecutive fivefold and sixfold Si (Ge) rings in 〈11̅ 0〉 projection separated by channels containing the alkali metal atoms. © 1998 The American Physical Society...

  3. Mechanisms and energetics of surface atomic processes

    International Nuclear Information System (INIS)

    Tsong, T.T.

    1991-01-01

    The energies involved in various surface atomic processes such as surface diffusion, the binding of small atomic clusters on the surface, the interaction between two adsorbed atoms, the dissociation of an atom from a small cluster or from a surface layer, the binding of kink size atoms or atoms at different adsorption sites to the surface etc., can be derived from an analysis of atomically resolved field ion microscope images and a kinetic energy measurement of low temperature field desorbed ions using the time-of-flight atom-probe field ion microscope. These energies can be used to compare with theories and to understand the transport of atoms on the surface in atomic reconstructions, epitaxial growth of surface layers and crystal growth, adsorption layer superstructure formation, and also why an atomic ordering or atomic reconstruction at the surface is energetically favored. Mechanisms of some of the surface atomic processes are also clarified from these quantitative, atomic resolution studies. In this paper work in this area is bris briefly reviewed

  4. Stripping scattering of fast atoms on surfaces of metal-oxide crystals and ultrathin films

    International Nuclear Information System (INIS)

    Blauth, David

    2010-01-01

    In the framework of the present dissertation the interactions of fast atoms with surfaces of bulk oxides, metals and thin films on metals were studied. The experiments were performed in the regime of grazing incidence of atoms with energies of some keV. The advantage of this scattering geometry is the high surface sensibility and thus the possibility to determine the crystallographic and electronic characteristics of the topmost surface layer. In addition to these experiments, the energy loss and the electron emission induced by scattered projectiles was investigated. The energy for electron emission and exciton excitation on Alumina/NiAl(110) and SiO 2 /Mo(112) are determined. By detection of the number of projectile induced emitted electrons as function of azimuthal angle for the rotation of the target surface, the geometrical structure of atoms forming the topmost layer of different adsorbate films on metal surfaces where determined via ion beam triangulation. (orig.)

  5. Electronic transport properties of tetracyclopentadienyl modified with C and Si atoms

    International Nuclear Information System (INIS)

    Yang, Li-Hua; Yang, Chuan-Lu; Wang, Mei-Shan; Ma, Xiao-Guang

    2015-01-01

    The electronic transport characteristics for three tetracyclopentadienyl systems with C and Si atoms have been investigated on the basis of density-functional theory and non-equilibrium Green's function. Ohmic conductance, current–voltage curves, and differential conductance are obtained and analyzed. Switch and negative differential resistance behavior is observed in these systems. The novel characteristics of these systems are attributed to the highest occupied molecular orbital and lowest unoccupied molecular orbital and to the change in transmission spectra within the bias range. - Highlights: • The equilibrium conductance is significantly affected by the modified atom. • Switch behavior of SiSi@TCP molecule system is observed. • NDR behaviors of CC@TCP and CSi@TCP molecule systems are found

  6. Deposition temperature dependence of material and Si surface passivation properties of O3-based atomic layer deposited Al2O3-based films and stacks

    International Nuclear Information System (INIS)

    Bordihn, Stefan; Mertens, Verena; Müller, Jörg W.; Kessels, W. M. M.

    2014-01-01

    The material composition and the Si surface passivation of aluminum oxide (Al 2 O 3 ) films prepared by atomic layer deposition using Al(CH 3 ) 3 and O 3 as precursors were investigated for deposition temperatures (T Dep ) between 200 °C and 500 °C. The growth per cycle decreased with increasing deposition temperature due to a lower Al deposition rate. In contrast the material composition was hardly affected except for the hydrogen concentration, which decreased from [H] = 3 at. % at 200 °C to [H]  2 O 3 /SiN x stacks complemented the work and revealed similar levels of surface passivation as single-layer Al 2 O 3 films, both for the chemical and field-effect passivation. The fixed charge density in the Al 2 O 3 /SiN x stacks, reflecting the field-effect passivation, was reduced by one order of magnitude from 3·10 12  cm −2 to 3·10 11  cm −2 when T Dep was increased from 300 °C to 500 °C. The level of the chemical passivation changed as well, but the total level of the surface passivation was hardly affected by the value of T Dep . When firing films prepared at of low T Dep , blistering of the films occurred and this strongly reduced the surface passivation. These results presented in this work demonstrate that a high level of surface passivation can be achieved for Al 2 O 3 -based films and stacks over a wide range of conditions when the combination of deposition temperature and annealing or firing temperature is carefully chosen

  7. Fermi surfaces of YRu2Si2 and LaRu2Si2

    International Nuclear Information System (INIS)

    Settai, R.; Ikezawa, H.; Toshima, H.; Takashita, M.; Ebihara, T.; Sugawara, H.; Kimura, T.; Motoki, K.; Onuki, Y.

    1995-01-01

    We have measured the de Haas-van Alphen effect of YRu 2 Si 2 and LaRu 2 Si 2 to clarify the Fermi surfaces and cyclotron masses. Main hole-Fermi surfaces of both compounds with a distorted ellipsoid shape are similar, occupying about half of the Brillouin zone. The small hole-Fermi surfaces with the shape of a rugby ball are three in number for LaRu 2 Si 2 , and one for YRu 2 Si 2 . An electron-Fermi surface consists of a doughnut like shape for LaRu 2 Si 2 , while a cylinder along the [001] direction and a multiply-connected shape exist for YRu 2 Si 2 . The cyclotron masses of YRu 2 Si 2 are a little larger than those of LaRu 2 Si 2 . ((orig.))

  8. Comparison of thermal compatibility between atomized and comminuted U3Si dispersion fuels

    International Nuclear Information System (INIS)

    Ryu, Woo-Seog; Park, Jong-Man; Kim, Chang-Kyu; Kuk, II-Hyun

    1997-01-01

    Thermal compatibility of atomized U 3 Si dispersion fuels were evaluated up to 2600 hours in the temperature range from 250 to 500 degrees C, and compared with that of comminuted U 3 Si. Atomized U 3 Si showed better performance in terms of volume expansion of fuel meats. The reaction zone of U 3 Si and Al occurred along the grain boundaries and deformation bands in U 3 Si particles. Pores around fuel particles appeared at high temperature or after long-term annealing tests to remain diffusion paths over the trench of the pores. The constraint effects of cladding on fuel rod suppressed the fuel meat, and reduced the volume expansion

  9. Adsorption properties of AlN on Si(111) surface: A density functional study

    Science.gov (United States)

    Yuan, Yinmei; Zuo, Ran; Mao, Keke; Tang, Binlong; Zhang, Zhou; Liu, Jun; Zhong, Tingting

    2018-04-01

    In the process of preparing GaN on Si substrate by MOCVD, an AlN buffer layer is very important. In this study, we conducted density functional theory calculations on the adsorption of AlN molecule on Si(111)-(2 × 2) surface, with the AlN molecule located horizontally or vertically above Si(111) surface at different adsorption sites. The calculations revealed that the lowest adsorption energy was at the N-top-Al-bridge site in the horizontal configuration, with the narrowest band gap, indicating that it was the most preferential adsorption growth status of AlN. In the vertical configurations, N adatom was more reactive and convenient to form bonds with the topmost Si atoms than Al adatom. When the N-end of the AlN molecule was located downward, the hollow site was the preferred adsorption site; when the Al-end was located downward, the bridge site was the most energetically favorable. Moreover, we investigated some electronic properties such as partial density of states, electron density difference, Mulliken populations, etc., revealing the microscale mechanism for AlN adsorption on Si(111) surface and providing theoretical support for adjusting the processing parameters during AlN or GaN production.

  10. Reactions between monolayer Fe and Si(001) surfaces

    Energy Technology Data Exchange (ETDEWEB)

    Hasegawa, M; Kobayashi, N; Hayashi, N [Electrotechnical Lab., Tsukuba, Ibaraki (Japan)

    1997-03-01

    Reactions between 1.5 monolayer(ML) Fe deposited on Si(001)-2x1 and -dihydride surfaces were studied in situ by reflection high-energy electron diffraction and time-of-flight ion scattering spectrometry with the use of 25 keV H ions. The reactions between Fe and Si which were successively deposited on Si(001)-dihydride surface were also studied. After the room temperature deposition Fe reacted with Si(001)-2x1 substrate resulting in the formation of polycrystalline Fe5Si3. By annealing to 560-650degC composite heteroepitaxial layer of both type A and type B {beta}-FeSi2 was formed. On the dihydride surface polycrystalline Fe was observed after 1.5ML Fe deposition at room temperature, and reaction between Fe and Si(001)-dihydride surface is not likely at room temperature. We observed 3D rough surface when we deposited only Fe layer on the dihydride surface and annealed above 700degC. The hydrogen termination of Si(001) surface prevents the deposited Fe from diffusing into the substrate below 500degC, however the annealing above 710degC leads to the diffusion. We obtained 2D ordered surface, which showed 3x3 RHEED pattern as referenced to the primitive unreconstructed Si(001) surface net, when we deposited 2.5ML Fe and 5.8ML Si successively onto Si(001)-dihydride surface and annealed to 470degC. (author)

  11. Atomic and electronic structures of a-SiC:H from tight-binding molecular dynamics

    CERN Document Server

    Ivashchenko, V I; Shevchenko, V I; Ivashchenko, L A; Rusakov, G V

    2003-01-01

    The atomic and electronic properties of amorphous unhydrogenated (a-SiC) and hydrogenated (a-SiC:H) silicon carbides are studied using an sp sup 3 s sup * tight-binding force model with molecular dynamics simulations. The parameters of a repulsive pairwise potential are determined from ab initio pseudopotential calculations. Both carbides are generated from dilute vapours condensed from high temperature, with post-annealing at low temperature for a-SiC:H. A plausible model for the inter-atomic correlations and electronic states in a-SiC:H is suggested. According to this model, the formation of the amorphous network is weakly sensitive to the presence of hydrogen. Hydrogen passivates effectively only the weak bonds of threefold-coordinated atoms. Chemical ordering is very much affected by the cooling rate and the structure of the high-temperature vapour. The as-computed characteristics are in rather good agreement with the results for a-SiC and a-Si:H from ab initio calculations.

  12. Surface modification of nanodiamond through metal free atom transfer radical polymerization

    Science.gov (United States)

    Zeng, Guangjian; Liu, Meiying; Shi, Kexin; Heng, Chunning; Mao, Liucheng; Wan, Qing; Huang, Hongye; Deng, Fengjie; Zhang, Xiaoyong; Wei, Yen

    2016-12-01

    Surface modification of nanodiamond (ND) with poly(2-methacryloyloxyethyl phosphorylcholine) [poly(MPC)] has been achieved by using metal free surface initiated atom transfer radical polymerization (SI-ATRP). The ATRP initiator was first immobilized on the surface of ND through direct esterification reaction between hydroxyl group of ND and 2-bromoisobutyryl bromide. The initiator could be employed to obtain ND-poly(MPC) nanocomposites through SI-ATRP using an organic catalyst. The final functional materials were characterized by 1H nuclear magnetic resonance, transmission electron microscopy, X-ray photoelectron spectroscopy, Fourier transform infrared spectroscopy and thermo gravimetric analysis in detailed. All of these characterization results demonstrated that ND-poly(MPC) have been successfully obtained via metal free photo-initiated SI-ATRP. The ND-poly(MPC) nanocomposites shown enhanced dispersibility in various solvents as well as excellent biocompatibility. As compared with traditional ATRP, the metal free ATRP is rather simple and effective. More importantly, this preparation method avoided the negative influence of metal catalysts. Therefore, the method described in this work should be a promising strategy for fabrication of polymeric nanocomposites with great potential for different applications especially in biomedical fields.

  13. Analysis of the dimerized Sb/Si(001)-(2x1) surface by x-ray standing waves

    International Nuclear Information System (INIS)

    Lyman, P.F.; Qian, Y.; Bedzyk, M.J.

    1994-12-01

    X-ray standing wave measurements were undertaken to study the bonding position of Sb adatoms on the Sb-saturated Si(001)-(2x1) surface. Using the (004) and (022) Bragg reflections, the authors find that the Sb atoms form dimers, and that the center of the Sb ad-dimers lies 1.64 angstrom above the bulk-like Si(004) surface atomic plane. These in-plane results are compared to two structural models consisting of dimers whose bonds are parallel to the surface plane and whose centers are either shifted or unshifted (parallel to the dimer bond direction) relative to the underlying substrate planes. The authors thus find two special cases consistent with these data: one with symmetric (unshifted) dimers having a dimer bond length of 2.81 angstrom, and the other with midpoint-shifted dimers, having a bond length of 2.88 angstrom and a lateral shift of 0.21 angstrom

  14. Atom-resolved surface chemistry using scanning tunneling microscopy (STM) and spectroscopy (STS)

    International Nuclear Information System (INIS)

    Avouris, P.

    1989-01-01

    The author shows that by using STM and STS one can study chemistry with atomic resolution. The author uses two examples: the reaction of Si(111)-(7x7) with (a) NH 3 and (b) decaborane (DB). In case (a) the authors can directly observe the spatial distribution of the reaction. He determined which surface atoms have reacted and how the products of the reaction are distributed. He found that the different dangling-bond sites have significantly different reactivities and explain these differences in terms of the local electronic structure. In case (b) the 7x7 reconstruction is eliminated and at high temperatures, (√3 x √3) R30 degree reconstructions are observed. Depending on the amount of DB and the annealing temperature the √3 structures contain variable numbers of B and Si adatoms on T 4 -sites. Calculations show that the structure involving B adatoms, although kinetically favored, is not the lowest energy configuration. The lowest energy state involves B in a substitutional site under a Si adatom

  15. The Effectiveness of HCl and HF Cleaning of Si0.85Ge0.15 Surface

    International Nuclear Information System (INIS)

    Sun, Y

    2008-01-01

    The cleaning of Si 0.85 Ge 0.15 surfaces using HCl and HF solutions is studied using synchrotron radiation photoelectron spectroscopy. The HF solution is found to be effective in removing both the Si oxide and the Ge oxide while the HCl solution can only remove part of the Ge oxide. For samples treated with HF, four spectral components are needed to fit the Ge 3d photoemission spectra. One is the bulk component and the other three are attributed to the surface Ge atoms with mono-hydride, di-hydride and tri-hydride terminations, respectively

  16. Influence of Group-III-metal and Ag adsorption on the Ge growth on Si(111) and its vicinal surface

    Energy Technology Data Exchange (ETDEWEB)

    Speckmann, Moritz

    2011-12-15

    In the framework of this thesis the surfactant-mediated heteroepitaxial growth of Ge on different Si surfaces has been investigated by means of low-energy electron microscopy, low-energy electron diffraction, spot-profile analysing low-energy electron diffraction, X-ray standing waves, grazing-incidence X-ray diffraction, x-ray photoemission electron microscopy, X-ray photoemission spectroscopy, scanning tunneling microscopy, scanning electron microscopy, transmission electron microscopy, and density functional theory calculations. As surfactants gallium, indium, and silver were used. The adsorption of Ga or In on the intrinsically faceted Si(112) surface leads to a smoothing of the surface and the formation of (N x 1) reconstructions, where a mixture of building blocks of different sizes is always present. For both adsorbates the overall periodicity on the surface is strongly dependent on the deposition temperature and the coverage. For the experimental conditions chosen here, the periodicities are in the range of 5.2{<=}N{<=}6.5 and 3.4{<=}N{<=}3.7 for Ga and In, respectively. The (N x 1) unit cells of Ga/Si(112) and In/Si(112) are found to consist of adsorbate atoms on terrace and step-edge sites, forming two atomic chains along the [110] direction. In the Ga-induced structures two Ga-vacancies per unit cell (one in the terrace and one in the step-edge site) are found and a continuous vacancy line on the surface is formed. In the In/Si(112) structure only one vacancy per unit cell in the step-edge site exists and, thus, a continuous adsorbate chain on the terrace sites is present. The adsorption of Ga or In on Si(112) strongly influences the subsequent Ge growth. Ge deposition on the Ga-terminated Si(112) surface leads to the formation of Ge nanowires, which are elongated along the Ga chains and reach lengths of up to 2000 nm for a growth temperature of 600 C. On In-covered Si(112), both small dash-like Ge islands and triangularly shaped islands are found, where

  17. Ab initio study of the adsorption of antimony and arsenic on the Si(110) surface

    Energy Technology Data Exchange (ETDEWEB)

    Huitzil-Tepanecatl, Arely [Postgrado en Fisica Aplicada, Facultad de Ciencias Fisico-Matematicas, BUAP, Apartado Postal 52, Puebla 72000 (Mexico); Cocoletzi, Gregorio H., E-mail: cocoletz@sirio.ifuap.buap.m [Centro de Investigacion Cientifica y de Educacion Superior de Ensenada, Km. 107 Carretera Tijuana-Ensenada, Codigo Postal 22860, Apartado Postal 2732 Ensenada, Baja California (Mexico); Centro de Nanociencia y Nanotecnologia, Universidad Nacional Autonoma de Mexico, Apartado Postal 2681, Ensenada, Baja California, 22800 (Mexico); Instituto de Fisica, Universidad Autonoma de Puebla, Apartado Postal J-48, Puebla 72570 (Mexico); Takeuchi, Noboru [Centro de Nanociencia y Nanotecnologia, Universidad Nacional Autonoma de Mexico, Apartado Postal 2681, Ensenada, Baja California, 22800 (Mexico)

    2010-10-29

    We have performed first principles total energy calculations to investigate the adsorption of Sb and As adatoms on the Si(110) surface using a (2 x 3) supercell. The energetics and atomic structures have been investigated in four atomic configurations. One structure is obtained by placing 1/3 of a monolayer (ML) of Sb (As) atoms on the Si(110) surface. The other three geometries are obtained by depositing 1 ML of Sb (As) atoms on the surface. In the first case the structure is formed by four trimers, in the second case the geometry is formed by zigzag atomic chains and in the third case the structure contains 'microfacets'. The energetics results of the Sb adsorption show that for low coverage the tetrahedrons formed by the adsorption of 1/3 ML is the most stable configuration, while in the monolayer region the zigzag atomic chain is the most stable structure. However, the total energies of the trimer and microfacet structures are slightly higher, indicating that under some conditions, they may be formed. In an experimental report it has been suggested that the adsorption of 1/3 and 1 ML of Sb corresponds to the low and high coverage in the experiments of Zotov et al. [A. V. Zotov, V. G. Lifshifts, and A. N. Demidchik, Surf. Sci. 274, L583 (1992)]. On the other hand, our results of the As adsorption show that for low coverage, the tetrahedrons in the adsorption of 1/3 ML also give the most stable configuration. However, at the 1 ML coverage, a structure formed by microfacets is the most stable structure, in agreement with previous results.

  18. Ab initio study of the adsorption of antimony and arsenic on the Si(110) surface

    International Nuclear Information System (INIS)

    Huitzil-Tepanecatl, Arely; Cocoletzi, Gregorio H.; Takeuchi, Noboru

    2010-01-01

    We have performed first principles total energy calculations to investigate the adsorption of Sb and As adatoms on the Si(110) surface using a (2 x 3) supercell. The energetics and atomic structures have been investigated in four atomic configurations. One structure is obtained by placing 1/3 of a monolayer (ML) of Sb (As) atoms on the Si(110) surface. The other three geometries are obtained by depositing 1 ML of Sb (As) atoms on the surface. In the first case the structure is formed by four trimers, in the second case the geometry is formed by zigzag atomic chains and in the third case the structure contains 'microfacets'. The energetics results of the Sb adsorption show that for low coverage the tetrahedrons formed by the adsorption of 1/3 ML is the most stable configuration, while in the monolayer region the zigzag atomic chain is the most stable structure. However, the total energies of the trimer and microfacet structures are slightly higher, indicating that under some conditions, they may be formed. In an experimental report it has been suggested that the adsorption of 1/3 and 1 ML of Sb corresponds to the low and high coverage in the experiments of Zotov et al. [A. V. Zotov, V. G. Lifshifts, and A. N. Demidchik, Surf. Sci. 274, L583 (1992)]. On the other hand, our results of the As adsorption show that for low coverage, the tetrahedrons in the adsorption of 1/3 ML also give the most stable configuration. However, at the 1 ML coverage, a structure formed by microfacets is the most stable structure, in agreement with previous results.

  19. Plasmon-Enhanced Photoluminescence of an Amorphous Silicon Quantum Dot Light-Emitting Device by Localized Surface Plasmon Polaritons in Ag/SiOx:a-Si QDs/Ag Sandwich Nanostructures

    Directory of Open Access Journals (Sweden)

    Tsung-Han Tsai

    2015-01-01

    Full Text Available We investigated experimentally the plasmon-enhanced photoluminescence of the amorphous silicon quantum dots (a-Si QDs light-emitting devices (LEDs with the Ag/SiOx:a-Si QDs/Ag sandwich nanostructures, through the coupling between the a-Si QDs and localized surface plasmons polaritons (LSPPs mode, by tuning a one-dimensional (1D Ag grating on the top. The coupling of surface plasmons at the top and bottom Ag/SiOx:a-Si QDs interfaces resulted in the localized surface plasmon polaritons (LSPPs confined underneath the Ag lines, which exhibit the Fabry-Pérot resonance. From the Raman spectrum, it proves the existence of a-Si QDs embedded in Si-rich SiOx film (SiOx:a-Si QDs at a low annealing temperature (300°C to prevent the possible diffusion of Ag atoms from Ag film. The photoluminescence (PL spectra of a-Si QDs can be precisely tuned by a 1D Ag grating with different pitches and Ag line widths were investigated. An optimized Ag grating structure, with 500 nm pitch and 125 nm Ag line width, was found to achieve up to 4.8-fold PL enhancement at 526 nm and 2.46-fold PL integrated intensity compared to the a-Si QDs LEDs without Ag grating structure, due to the strong a-Si QDs-LSPPs coupling.

  20. On Surface-Initiated Atom Transfer Radical Polymerization Using Diazonium Chemistry To Introduce the Initiator Layer

    DEFF Research Database (Denmark)

    Iruthayaraj, Joseph; Chernyy, Sergey; Lillethorup, Mie

    2011-01-01

    This work features the controllability of surface-initiated atom transfer radical polymerization (SI-ATRP) of methyl methacrylate, initiated by a multilayered 2-bromoisobutyryl moiety formed via diazonium chemistry. The thickness as a function of polymerization time has been studied by varying di...

  1. Morphology and Surface Energy of a Si Containing Semifluorinated Di-block Copolymer Thin Films.

    Science.gov (United States)

    Shrestha, Umesh; Clarson, Stephen; Perahia, Dvora

    2013-03-01

    The structure and composition of an interface influence stability, adhesiveness and response to external stimuli of thin polymeric films. Incorporation of fluorine affects interfacial energy as well as thermal and chemical stability of the layers. The incompatibility between the fluorinated and non-fluorinated blocks induces segregation that leads to long range correlations where the tendency of the fluorine to migrate to interfaces impacts the surface tension of the films. Concurrently Si in a polymeric backbone enhances the flexibility of polymeric chains. Our previous studies of poly trifluoro propyl methyl siloxane-polystyrene thin films with SiF fraction 0.03-0.5 as a function of temperature have shown that the SiF block drives layering parallel to the surface of the diblock. Here in we report the structure and interfacial energies of SiF-PS in the plane of the films, as a function of the volume fraction of the SiF block obtained from Atomic Force microscopy and contact angle measurement studies. This work is supported by NSF DMR - 0907390

  2. The surface characteristics of Al-7%Si aluminum alloy manufactured by thixo/rheoforming process through nanoindentation/atomic force microscopy

    International Nuclear Information System (INIS)

    Cho, S.H.; Kang, C.G.; Lee, S.M.

    2008-01-01

    This study investigated nano/microsturcture and mechanical/tribological properties in the thixo/rheoformed A356 alloy parts using nano/microindentation and nanoscratch, incorporated with optical microscopy and atomic force microscopy (AFM). As a result, thixo-cast sample exhibited higher mechanical properties than rheo-cast, irrespective of grain size. The reason that mechanical properties of thixo-cast part was higher than that of rheo-cast, was interpreted by the effect of the eutectic region surrounded by the primary α phase on the hardness. It was also observed that shape and distribution of Si particles in the adjacent eutectic region to the primary α-Al phase of the thixo/rheo-cast products were different. By scratching surface of thixo/rheo-cast parts using a nanoindentor, friction forces and coefficients for the primary α-Al and eutectic phases in thixo-cast products were resulted to be higher than those in the rheo-cast. Nanoscratch for the thixo-cast product also revealed a rough and irregular surface compared to that for the rheo-cast, providing the evidence for the effect of eutectic entrapped by primary α-Al phase on mechanical properties

  3. Surface modification of nanodiamond through metal free atom transfer radical polymerization

    Energy Technology Data Exchange (ETDEWEB)

    Zeng, Guangjian; Liu, Meiying; Shi, Kexin; Heng, Chunning; Mao, Liucheng; Wan, Qing; Huang, Hongye [Department of Chemistry, Nanchang University, 999 Xuefu Avenue, Nanchang 330031 (China); Deng, Fengjie, E-mail: fengjiedeng@aliyun.com [Department of Chemistry, Nanchang University, 999 Xuefu Avenue, Nanchang 330031 (China); Zhang, Xiaoyong, E-mail: xiaoyongzhang1980@gmail.com [Department of Chemistry, Nanchang University, 999 Xuefu Avenue, Nanchang 330031 (China); Wei, Yen, E-mail: weiyen@tsinghua.edu.cn [Department of Chemistry and the Tsinghua Center for Frontier Polymer Research, Tsinghua University, Beijing, 100084 (China)

    2016-12-30

    Highlights: • Surface modification of ND with water soluble and biocompatible polymers. • Functionalized ND through metal free surface initiated ATRP. • The metal free surface initiated ATRP is rather simple and effective. • The ND-poly(MPC) showed high dispersibility and desirable biocompatibility. - Abstract: Surface modification of nanodiamond (ND) with poly(2-methacryloyloxyethyl phosphorylcholine) [poly(MPC)] has been achieved by using metal free surface initiated atom transfer radical polymerization (SI-ATRP). The ATRP initiator was first immobilized on the surface of ND through direct esterification reaction between hydroxyl group of ND and 2-bromoisobutyryl bromide. The initiator could be employed to obtain ND-poly(MPC) nanocomposites through SI-ATRP using an organic catalyst. The final functional materials were characterized by {sup 1}H nuclear magnetic resonance, transmission electron microscopy, X-ray photoelectron spectroscopy, Fourier transform infrared spectroscopy and thermo gravimetric analysis in detailed. All of these characterization results demonstrated that ND-poly(MPC) have been successfully obtained via metal free photo-initiated SI-ATRP. The ND-poly(MPC) nanocomposites shown enhanced dispersibility in various solvents as well as excellent biocompatibility. As compared with traditional ATRP, the metal free ATRP is rather simple and effective. More importantly, this preparation method avoided the negative influence of metal catalysts. Therefore, the method described in this work should be a promising strategy for fabrication of polymeric nanocomposites with great potential for different applications especially in biomedical fields.

  4. Surface modification of nanodiamond through metal free atom transfer radical polymerization

    International Nuclear Information System (INIS)

    Zeng, Guangjian; Liu, Meiying; Shi, Kexin; Heng, Chunning; Mao, Liucheng; Wan, Qing; Huang, Hongye; Deng, Fengjie; Zhang, Xiaoyong; Wei, Yen

    2016-01-01

    Highlights: • Surface modification of ND with water soluble and biocompatible polymers. • Functionalized ND through metal free surface initiated ATRP. • The metal free surface initiated ATRP is rather simple and effective. • The ND-poly(MPC) showed high dispersibility and desirable biocompatibility. - Abstract: Surface modification of nanodiamond (ND) with poly(2-methacryloyloxyethyl phosphorylcholine) [poly(MPC)] has been achieved by using metal free surface initiated atom transfer radical polymerization (SI-ATRP). The ATRP initiator was first immobilized on the surface of ND through direct esterification reaction between hydroxyl group of ND and 2-bromoisobutyryl bromide. The initiator could be employed to obtain ND-poly(MPC) nanocomposites through SI-ATRP using an organic catalyst. The final functional materials were characterized by 1 H nuclear magnetic resonance, transmission electron microscopy, X-ray photoelectron spectroscopy, Fourier transform infrared spectroscopy and thermo gravimetric analysis in detailed. All of these characterization results demonstrated that ND-poly(MPC) have been successfully obtained via metal free photo-initiated SI-ATRP. The ND-poly(MPC) nanocomposites shown enhanced dispersibility in various solvents as well as excellent biocompatibility. As compared with traditional ATRP, the metal free ATRP is rather simple and effective. More importantly, this preparation method avoided the negative influence of metal catalysts. Therefore, the method described in this work should be a promising strategy for fabrication of polymeric nanocomposites with great potential for different applications especially in biomedical fields.

  5. Nitric acid oxidation of Si (NAOS) method for low temperature fabrication of SiO{sub 2}/Si and SiO{sub 2}/SiC structures

    Energy Technology Data Exchange (ETDEWEB)

    Kobayashi, H., E-mail: koba771@ybb.ne.jp [Institute of Scientific and Industrial Research, Osaka University, and CREST, Japan Science and Technology Agency, 8-1 Mihogaoka, Ibaraki, Osaka 567-0047 (Japan); Imamura, K.; Kim, W.-B.; Im, S.-S.; Asuha [Institute of Scientific and Industrial Research, Osaka University, and CREST, Japan Science and Technology Agency, 8-1 Mihogaoka, Ibaraki, Osaka 567-0047 (Japan)

    2010-07-15

    We have developed low temperature formation methods of SiO{sub 2}/Si and SiO{sub 2}/SiC structures by use of nitric acid, i.e., nitric acid oxidation of Si (or SiC) (NAOS) methods. By use of the azeotropic NAOS method (i.e., immersion in 68 wt% HNO{sub 3} aqueous solutions at 120 deg. C), an ultrathin (i.e., 1.3-1.4 nm) SiO{sub 2} layer with a low leakage current density can be formed on Si. The leakage current density can be further decreased by post-metallization anneal (PMA) at 200 deg. C in hydrogen atmosphere, and consequently the leakage current density at the gate bias voltage of 1 V becomes 1/4-1/20 of that of an ultrathin (i.e., 1.5 nm) thermal oxide layer usually formed at temperatures between 800 and 900 deg. C. The low leakage current density is attributable to (i) low interface state density, (ii) low SiO{sub 2} gap-state density, and (iii) high band discontinuity energy at the SiO{sub 2}/Si interface arising from the high atomic density of the NAOS SiO{sub 2} layer. For the formation of a relatively thick (i.e., {>=}10 nm) SiO{sub 2} layer, we have developed the two-step NAOS method in which the initial and subsequent oxidation is performed by immersion in {approx}40 wt% HNO{sub 3} and azeotropic HNO{sub 3} aqueous solutions, respectively. In this case, the SiO{sub 2} formation rate does not depend on the Si surface orientation. Using the two-step NAOS method, a uniform thickness SiO{sub 2} layer can be formed even on the rough surface of poly-crystalline Si thin films. The atomic density of the two-step NAOS SiO{sub 2} layer is slightly higher than that for thermal oxide. When PMA at 250 deg. C in hydrogen is performed on the two-step NAOS SiO{sub 2} layer, the current-voltage and capacitance-voltage characteristics become as good as those for thermal oxide formed at 900 deg. C. A relatively thick (i.e., {>=}10 nm) SiO{sub 2} layer can also be formed on SiC at 120 deg. C by use of the two-step NAOS method. With no treatment before the NAOS method

  6. First principles investigation of interaction between impurity atom (Si, Ge, Sn) and carbon atom in diamond-like carbon system

    International Nuclear Information System (INIS)

    Li, Xiaowei; Wang, Aiying; Lee, Kwang-Ryeol

    2012-01-01

    The interaction between impurity atom (Si, Ge, and Sn) and carbon atom in diamond-like carbon (DLC) system was investigated by the first principles simulation method based on the density functional theory. The tetrahedral configuration was selected as the calculation model for simplicity. When the bond angle varied in a range of 90°–130° from the equivalent state of 109.471°, the distortion energy and the electronic structures including charge density of the highest occupied molecular orbital (HOMO) and partial density of state (PDOS) in the different systems were calculated. The results showed that the addition of Si, Ge and Sn atom into amorphous carbon matrix significantly decreased the distortion energy of the system as the bond angles deviated from the equilibrium one. Further studies of the HOMO and PDOS indicated that the weak covalent bond between Si(Ge, Sn) and C atoms was formed with the decreased strength and directionality, which were influenced by the electronegative difference. These results implied that the electron transfer behavior at the junction of carbon nano-devices could be tailored by the impurity element, and the compressive stress in DLC films could be reduced by the incorporation of Si, Ge and Sn because of the formation of weaker covalent bonds. - Highlights: ►Distortion energy after bond angle distortion was decreased comparing with C-C unit. ►The weak covalent bond was formed between impurity atoms and corner carbon atoms. ►Observed electron transfer behavior affected the strength and directionality of bond. ►Reduction of strength and directionality of bond contributed to small energy change.

  7. Ethers on Si(001): A prime example for the common ground between surface science and molecular organic chemistry

    KAUST Repository

    Pecher, Lisa

    2017-09-15

    Using computational chemistry, we show that the adsorption of ether molecules on Si(001) under ultra-high vacuum conditions can be understood with textbook organic chemistry. The two-step reaction mechanism of (1) dative bond formation between the ether oxygen and a Lewis acidic surface atom and (2) a nucleophilic attack of a nearby Lewis basic surface atom is analysed in detail and found to mirror the acid-catalysed ether cleavage in solution. The O-Si dative bond is found to be the strongest of its kind and reactivity from this state defies the Bell-Evans-Polanyi principle. Electron rearrangement during the C-O bond cleavage is visualized using a newly developed bonding analysis method, which shows that the mechanism of nucleophilic substitutions on semiconductor surfaces is identical to molecular chemistry SN2 reactions. Our findings thus illustrate how the fields of surface science and molecular chemistry can mutually benefit and unexpected insight can be gained.

  8. Ethers on Si(001): A prime example for the common ground between surface science and molecular organic chemistry

    KAUST Repository

    Pecher, Lisa; Laref, Slimane; Raupach, Marc; Tonner, Ralf Ewald

    2017-01-01

    Using computational chemistry, we show that the adsorption of ether molecules on Si(001) under ultra-high vacuum conditions can be understood with textbook organic chemistry. The two-step reaction mechanism of (1) dative bond formation between the ether oxygen and a Lewis acidic surface atom and (2) a nucleophilic attack of a nearby Lewis basic surface atom is analysed in detail and found to mirror the acid-catalysed ether cleavage in solution. The O-Si dative bond is found to be the strongest of its kind and reactivity from this state defies the Bell-Evans-Polanyi principle. Electron rearrangement during the C-O bond cleavage is visualized using a newly developed bonding analysis method, which shows that the mechanism of nucleophilic substitutions on semiconductor surfaces is identical to molecular chemistry SN2 reactions. Our findings thus illustrate how the fields of surface science and molecular chemistry can mutually benefit and unexpected insight can be gained.

  9. Cold atoms close to surfaces

    DEFF Research Database (Denmark)

    Krüger, Peter; Wildermuth, Stephan; Hofferberth, Sebastian

    2005-01-01

    Microscopic atom optical devices integrated on atom chips allow to precisely control and manipulate ultra-cold (T atoms and Bose-Einstein condensates (BECs) close to surfaces. The relevant energy scale of a BEC is extremely small (down to ... be utilized as a sensor for variations of the potential energy of the atoms close to the surface. Here we describe how to use trapped atoms as a measurement device and analyze the performance and flexibility of the field sensor. We demonstrate microscopic magnetic imaging with simultaneous high spatial...

  10. Residual thermal desorption studies of Ga adatoms on trenched Si(5 5 12) surface

    International Nuclear Information System (INIS)

    Kumar, Praveen; Kumar, Mahesh; Shivaprasad, S.M.

    2013-01-01

    We present here the thermal stability studies of the room temperature adsorbed Ga/Si(5 5 12) interfaces in the monolayer coverage regime, using AES and LEED as in-situ UHV characterization probes. Ga grows in Stranski–Krastanov growth mode at RT on the 2 × 1 reconstructed Si(5 5 12) surface where islands form on top of 2 ML of flat pseudomorphic Ga, yielding a (1 × 1) LEED pattern for coverages of 1.2 ML and above. When this RT adsorbed Ga/Si(5 5 12) interface is annealed at different temperatures, initially the strained Ga adlayers relax by agglomerating into 3D islands on top of a single Ga monolayer with an activation energy of 0.19 eV in the temperature range of 200–300 °C. The remnant Ga monolayer with a sharp (1 × 1) LEED pattern desorbs at temperature >400 °C, yielding the (1 1 2)–6 × 1 and 2 × (3 3 7) sub-monolayer superstructural. Finally at 720 °C Ga completely desorbs from the surface and leaves the clean 2 × 1 reconstructed Si(5 5 12) surface. The studies demonstrate the richness of the atomically trenched high index Si(5 5 12) surface, in obtaining several anisotropic features that can be used as templates to grow self-assembled nanostructures.

  11. Residual thermal desorption studies of Ga adatoms on trenched Si(5 5 12) surface

    Energy Technology Data Exchange (ETDEWEB)

    Kumar, Praveen [Jawaharlal Nehru Centre for Advanced Scientific Research, Bangalore 560064 (India); ISOM, Universidad Politecnia de Madrid, 28040 (Spain); Kumar, Mahesh [Physics and Energy Harvesting Group, National Physical Laboratory, New Delhi 110012 (India); Shivaprasad, S.M., E-mail: smsprasad@jncasr.ac.in [Jawaharlal Nehru Centre for Advanced Scientific Research, Bangalore 560064 (India)

    2013-10-01

    We present here the thermal stability studies of the room temperature adsorbed Ga/Si(5 5 12) interfaces in the monolayer coverage regime, using AES and LEED as in-situ UHV characterization probes. Ga grows in Stranski–Krastanov growth mode at RT on the 2 × 1 reconstructed Si(5 5 12) surface where islands form on top of 2 ML of flat pseudomorphic Ga, yielding a (1 × 1) LEED pattern for coverages of 1.2 ML and above. When this RT adsorbed Ga/Si(5 5 12) interface is annealed at different temperatures, initially the strained Ga adlayers relax by agglomerating into 3D islands on top of a single Ga monolayer with an activation energy of 0.19 eV in the temperature range of 200–300 °C. The remnant Ga monolayer with a sharp (1 × 1) LEED pattern desorbs at temperature >400 °C, yielding the (1 1 2)–6 × 1 and 2 × (3 3 7) sub-monolayer superstructural. Finally at 720 °C Ga completely desorbs from the surface and leaves the clean 2 × 1 reconstructed Si(5 5 12) surface. The studies demonstrate the richness of the atomically trenched high index Si(5 5 12) surface, in obtaining several anisotropic features that can be used as templates to grow self-assembled nanostructures.

  12. Peierls instability as the insulating origin of the Na/Si(111)-(3 × 1) surface with a Na coverage of 2/3 monolayers

    Science.gov (United States)

    Kang, Myung Ho; Kwon, Se Gab; Jung, Sung Chul

    2018-03-01

    Density functional theory (DFT) calculations are used to investigate the insulating origin of the Na/Si(111)-(3 × 1) surface with a Na coverage of 2/3 monolayers. In the coverage definition, one monolayer refers to one Na atom per surface Si atom, so this surface contains an odd number of electrons (i.e., three Si dangling-bond electrons plus two Na electrons) per 3 × 1 unit cell. Interestingly, this odd-electron surface has been ascribed to a Mott-Hubbard insulator to account for the measured insulating band structure with a gap of about 0.8 eV. Here, we instead propose a Peierls instability as the origin of the experimental band gap. The concept of Peierls instability is fundamental in one-dimensional metal systems but has not been taken into account in previous studies of this surface. Our DFT calculations demonstrate that the linear chain structure of Si dangling bonds in this surface is energetically unstable with respect to a × 2 buckling modulation, and the buckling-induced band gap of 0.79 eV explains well the measured insulating nature.

  13. Interface doping of conjugated organic films by means of diffusion of atomic components from the surfaces of semiconductors and of metal oxides.

    Science.gov (United States)

    Komolov, A S; Akhremtchik, S N; Lazneva, E F

    2011-08-15

    The paper reports the results on the interface formation of 5-10 nm thick conjugated layers of Cu-phthalocyanine (CuPc) with a number of solid surfaces: polycrystalline Au, (SiO(2))n-Si, ZnO(0 0 0 1), Si(1 0 0), Ge(1 1 1), CdS(0 0 0 1) and GaAs(1 0 0). The results were obtained using Auger electron spectroscopy (AES) and low-energy target current electron spectroscopy (TCS). The organic overlayers were thermally deposited in situ in UHV onto substrate surfaces. The island-like organic deposits were excluded from the analysis so that only uniform organic deposits were considered. In the cases of polycrystalline Au, Si(1 0 0) and Ge(1 1 1) substrates the AES peaks of the substrate material attenuated down to the zero noise level upon the increase of the CuPc film thickness of 8-10 nm. The peaks corresponding to oxygen atoms in the case of SiO(2) substrate, and to atoms from the ZnO, GaAs and CdS substrates were clearly registered in the AES spectra of the 8-10 nm thick CuPc deposits. The relative concentration of the substrate atomic components diffused into the film was different from their relative concentration at the pure substrate surface. The concentration of the substrate dopant atoms in the CuPc film was estimated as one atom per one CuPc molecule. Using the target current electron spectroscopy, it was shown that the substrate atoms admixed in the CuPc film account for the appearance of a new peak in the density of unoccupied electronic states. Formation of intermediate TCS spectra until the CuPc deposit reaches 2-3 nm was observed in the cases of GaAs(1 0 0), ZnO(0 0 0 1), Ge(1 1 1) surfaces. The intermediate spectra show a less pronounced peak structure different from the one typical for the CuPc films. It was suggested that the intermediate layer was formed by the CuPc molecules fully or partially decomposed due to the interaction with the relatively reactive semiconductor surfaces. Copyright © 2010 Elsevier B.V. All rights reserved.

  14. Real-time monitoring of initial thermal oxidation on Si(001) surfaces by synchrotron radiation photoemission spectroscopy

    CERN Document Server

    Yoshigoe, A; Teraoka, Y

    2003-01-01

    The thermal oxidation of Si(001) surfaces at 860 K, 895 K, 945 K and 1000 K under the O sub 2 pressure of 1 x 10 sup - sup 4 Pa has been investigated by time-resolved photoemission measurements with synchrotron radiation. Based on time evolution analyses by reaction kinetics models, it was found that the oxidation at 860 K, 895 K and 945 K has progressed with the Langmuir adsorption type, whereas the oxidation at 1000 K has showed the character of the two-dimensional island growth involving SiO desorption. The oxidation rates increases with increasing surface temperature in the passive oxidation condition. The time evolution of each Si oxidation state (Si sup n sup + : n = 1, 2, 3, 4) derived from the Si-2p core-level shifts has also been analyzed. The results revealed that the thermal energy contribution to the migration process of the adsorbed oxygen and the emission of the bulk silicon atoms. Thus, the fraction of the Si sup 4 sup + bonding state, i.e. SiO sub 2 structure, was increased. (author)

  15. Particle emission induced by the interaction of highly charged slow Xe-ions with a SiO2 surface

    International Nuclear Information System (INIS)

    Schiwietz, G.; Skogvall, B.; Schneider, D.; Clark, M.; DeWitt, D.; McDonald, J.

    1991-01-01

    Sputtering of surface atoms by low energy (a few keV) heavy ions is a commonly used technique in material science and applied physics. In general, sputtering occurs via nuclear energy transfer processes and is determined mainly by the atom-atom interaction potentials. In the energy range of interest these potentials depend only slightly on the charge state of one collision partner if the other is neutral. The development of new ion-sources, however, allows for the use of ions with charged states of q > 50. For these highly charged ions it is conceivable that electronic processes come into play as well. If, for example, the density of charged surface atoms exceeds a certain limit, then particle emission can occur via the electrostatic repulsion of target atoms, the so-called Coulomb explosion. Indications for such electronic effects have been found in a few investigations of ion-induced sputtering Si (q q+ ). However, the order of magnitude of this effect is not clear until now. In this work we present preliminary data on sputtering, ion backscattering, electron and photon emission from SiO 2 surface induced by incident Xe ions of very high charge states (q=30--50). The experiment was performed at the electron beam ion trap (EBIT) of the Lawrence Livermore National Laboratory using a time-of-flight (TOF) ion analyzer-system from the Hahn-Meitner-Institute, Berlin

  16. The atomic structure of the Si(111) (2 root 3x2 root 3)R30 degrees-Sn reconstruction

    DEFF Research Database (Denmark)

    Levermann, A.H.; Howes, P.B.; Edwards, K.A.

    1996-01-01

    We have studied the atomic structure of the (2 root 3x2 root)R30 degrees reconstruction induced by adsorption of about 1.1 monolayers of Sn on Si(lll) using surface X-ray diffraction (SXRD) and scanning tunnelling microscopy (STM). The experimentally obtained structure factors in SXRD...

  17. Comparison of thermal compatibility between atomized and comminuted U{sub 3}Si dispersion fuels

    Energy Technology Data Exchange (ETDEWEB)

    Ryu, Woo-Seog; Park, Jong-Man; Kim, Chang-Kyu; Kuk, II-Hyun [Korea Atomic Research Institute, Taejon (Korea, Republic of)

    1997-08-01

    Thermal compatibility of atomized U{sub 3}Si dispersion fuels were evaluated up to 2600 hours in the temperature range from 250 to 500{degrees}C, and compared with that of comminuted U{sub 3}Si. Atomized U{sub 3}Si showed better performance in terms of volume expansion of fuel meats. The reaction zone of U{sub 3}Si and Al occurred along the grain boundaries and deformation bands in U{sub 3}Si particles. Pores around fuel particles appeared at high temperature or after long-term annealing tests to remain diffusion paths over the trench of the pores. The constraint effects of cladding on fuel rod suppressed the fuel meat, and reduced the volume expansion.

  18. A surface-mediated siRNA delivery system developed with chitosan/hyaluronic acid-siRNA multilayer films through layer-by-layer self-assembly

    Energy Technology Data Exchange (ETDEWEB)

    Wu, Lijuan [Shanghai Key Laboratory of Magnetic Resonance, East China Normal University, Shanghai 200062 (China); Suzhou Novovita Bio-products Co., Ltd., Suzhou 215300 (China); Wu, Changlin, E-mail: Ph.Dclwu1314@sina.cn [Shanghai Key Laboratory of Magnetic Resonance, East China Normal University, Shanghai 200062 (China); Suzhou Novovita Bio-products Co., Ltd., Suzhou 215300 (China); Liu, Guangwan [Suzhou Novovita Bio-products Co., Ltd., Suzhou 215300 (China); Liao, Nannan [Shanghai Key Laboratory of Magnetic Resonance, East China Normal University, Shanghai 200062 (China); Suzhou Novovita Bio-products Co., Ltd., Suzhou 215300 (China); Zhao, Fang; Yang, Xuxia; Qu, Hongyuan [Suzhou Novovita Bio-products Co., Ltd., Suzhou 215300 (China); Peng, Bo [Shanghai Key Laboratory of Magnetic Resonance, East China Normal University, Shanghai 200062 (China); Chen, Li [Shanghai Key Laboratory of Magnetic Resonance, East China Normal University, Shanghai 200062 (China); Suzhou Novovita Bio-products Co., Ltd., Suzhou 215300 (China); Yang, Guang [Shanghai Key Laboratory of Magnetic Resonance, East China Normal University, Shanghai 200062 (China)

    2016-12-15

    Highlights: • We prepared Chitosan/Hyaluronic acid-siRNA multilayer as carrier to effectively load and protect siRNAs. • The stability and integrity of the siRNA was verified in the siRNA-loaded films. • The siRNA-loaded films showed good cells adhesion and gene silencing effect in eGFP-HEK 293T cells. • This is a new type of surface-mediated non-viral multilayer films. - Abstract: siRNA delivery remains highly challenging because of its hydrophilic and anionic nature and its sensitivity to nuclease degradation. Effective siRNA loading and improved transfection efficiency into cells represents a key problem. In our study, we prepared Chitosan/Hyaluronic acid-siRNA multilayer films through layer-by-layer self-assembly, in which siRNAs can be effectively loaded and protected. The construction process was characterized by FTIR, {sup 13}C NMR (CP/MAS), UV–vis spectroscopy, and atomic force microscopy (AFM). We presented the controlled-release performance of the films during incubation in 1 M NaCl solution for several days through UV–vis spectroscopy and polyacrylamide gel electrophoresis (PAGE). Additionally, we verified the stability and integrity of the siRNA loaded on multilayer films. Finally, the biological efficacy of the siRNA delivery system was evaluated via cells adhesion and gene silencing analyses in eGFP-HEK 293T cells. This new type of surface-mediated non-viral multilayer films may have considerable potential in the localized and controlled-release delivery of siRNA in mucosal tissues, and tissue engineering application.

  19. Rotated domain network in graphene on cubic-SiC(001)

    International Nuclear Information System (INIS)

    Chaika, Alexander N; Aristov, Victor Y; Molodtsova, Olga V; Zakharov, Alexei A; Marchenko, Dmitry; Sánchez-Barriga, Jaime; Varykhalov, Andrei; Babenkov, Sergey V; Portail, Marc; Zielinski, Marcin; Murphy, Barry E; Krasnikov, Sergey A; Lübben, Olaf; Shvets, Igor V

    2014-01-01

    The atomic structure of the cubic-SiC(001) surface during ultra-high vacuum graphene synthesis has been studied using scanning tunneling microscopy (STM) and low-energy electron diffraction. Atomically resolved STM studies prove the synthesis of a uniform, millimeter-scale graphene overlayer consisting of nanodomains rotated by ±13.5° relative to the 〈110〉-directed boundaries. The preferential directions of the domain boundaries coincide with the directions of carbon atomic chains on the SiC(001)-c(2 × 2) reconstruction, fabricated prior to graphene synthesis. The presented data show the correlation between the atomic structures of the SiC(001)-c(2 × 2) surface and the graphene/SiC(001) rotated domain network and pave the way for optimizing large-area graphene synthesis on low-cost cubic-SiC(001)/Si(001) wafers. (paper)

  20. Deposition of size-selected atomic clusters on surfaces

    International Nuclear Information System (INIS)

    Carroll, S.J.

    1999-06-01

    This dissertation presents technical developments and experimental and computational investigations concerned with the deposition of atomic clusters onto surfaces. It consists of a collection of papers, in which the main body of results are contained, and four chapters presenting a subject review, computational and experimental techniques and a summary of the results presented in full within the papers. Technical work includes the optimization of an existing gas condensation cluster source based on evaporation, and the design, construction and optimization of a new gas condensation cluster source based on RF magnetron sputtering (detailed in Paper 1). The result of cluster deposition onto surfaces is found to depend on the cluster deposition energy; three impact energy regimes are explored in this work. (1) Low energy: n clusters create a defect in the surface, which pins the cluster in place, inhibiting cluster diffusion at room temperature (Paper V). (3) High energy: > 50 eV/atom. The clusters implant into the surface. For Ag 20 -Ag 200 clusters, the implantation depth is found to scale linearly with the impact energy and inversely with the cross-sectional area of the cluster, with an offset due to energy lost to the elastic compression of the surface (Paper VI). For smaller (Ag 3 ) clusters the orientation of the cluster with respect to the surface and the precise impact site play an important role; the impact energy has to be 'focused' in order for cluster implantation to occur (Paper VII). The application of deposited clusters for the creation of Si nanostructures by plasma etching is explored in Paper VIII. (author)

  1. Adsorption and dissociation of oxygen molecules on Si(111)-(7×7) surface

    International Nuclear Information System (INIS)

    Niu, Chun-Yao; Wang, Jian-Tao

    2013-01-01

    The adsorption and dissociation of O 2 molecules on Si(111)-(7×7) surface have been studied by first-principles calculations. Our results show that all the O 2 molecular species adsorbed on Si(111)-(7×7) surface are unstable and dissociate into atomic species with a small energy barrier about 0.1 eV. The single O 2 molecule adsorption tends to form an ins×2 or a new metastable ins×2* structure on the Si adatom sites and the further coming O 2 molecules adsorb on those structures to produce an ad-ins×3 structure. The ad-ins×3 structure is indeed highly stable and kinetically limited for diving into the subsurface layer to form the ins×3-tri structure by a large barrier of 1.3 eV. Unlike the previous views, we find that all the ad-ins, ins×2, and ad-ins×3 structures show bright images, while the ins×2*, ins×3, and ins×3-tri structures show dark images. The proposed oxidation pathways and simulated scanning tunneling microscope images account well for the experimental results and resolve the long-standing confusion and issue about the adsorption and reaction of O 2 molecules on Si(111) surface

  2. Visible Light-Induced Metal Free Surface Initiated Atom Transfer Radical Polymerization of Methyl Methacrylate on SBA-15

    Directory of Open Access Journals (Sweden)

    Liang Ma

    2017-02-01

    Full Text Available Surface-initiated atom transfer radical polymerization (SI-ATRP is one of the most versatile techniques to modify the surface properties of materials. Recent developed metal-free SI-ATRP makes such techniques more widely applicable. Herein photo-induced metal-free SI-ATRP of methacrylates, such as methyl methacrylate, N-isopropanyl acrylamide, and N,N-dimethylaminoethyl methacrylate, on the surface of SBA-15 was reported to fabricate organic-inorganic hybrid materials. A SBA-15-based polymeric composite with an adjustable graft ratio was obtained. The structure evolution during the SI-ATRP modification of SBA-15 was monitored and verified by FT-IR, XPS, TGA, BET, and TEM. The obtained polymeric composite showed enhanced adsorption ability for the model compound toluene in aqueous conditions. This procedure provides a low-cost, readily available, and easy modification method to synthesize polymeric composites without the contamination of metal.

  3. Transfer-free synthesis of graphene-like atomically thin carbon films on SiC by ion beam mixing technique

    Science.gov (United States)

    Zhang, Rui; Chen, Fenghua; Wang, Jinbin; Fu, Dejun

    2018-03-01

    Here we demonstrate the synthesis of graphene directly on SiC substrates at 900 °C using ion beam mixing technique with energetic carbon cluster ions on Ni/SiC structures. The thickness of 7-8 nm Ni films was evaporated on the SiC substrates, followed by C cluster ion bombarding. Carbon cluster ions C4 were bombarded at 16 keV with the dosage of 4 × 1016 atoms/cm2. After thermal annealing process Ni silicides were formed, whereas C atoms either from the decomposition of the SiC substrates or the implanted contributes to the graphene synthesis by segregating and precipitating process. The limited solubility of carbon atoms in silicides, involving SiC, Ni2Si, Ni5Si2, Ni3Si, resulted in diffusion and precipitation of carbon atoms to form graphene on top of Ni and the interface of Ni/SiC. The ion beam mixing technique provides an attractive production method of a transfer-free graphene growth on SiC and be compatible with current device fabrication.

  4. Distribution of Al atoms in the clathrate-I phase Ba8AlxSi46-x at x = 6.9.

    Science.gov (United States)

    Bobnar, Matej; Böhme, Bodo; Wedel, Michael; Burkhardt, Ulrich; Ormeci, Alim; Prots, Yurii; Drathen, Christina; Liang, Ying; Nguyen, Hong Duong; Baitinger, Michael; Grin, Yuri

    2015-07-28

    The clathrate-I phase Ba8AlxSi46-x has been structurally characterized at the composition x = 6.9 (space group Pm3[combining macron]n, no. 223, a = 10.4645(2) Å). A crystal structure model comprising the distribution of aluminium and silicon atoms in the clathrate framework was established: 5.7 Al atoms and 0.3 Si atoms occupy the crystallographic site 6c, while 1.2 Al atoms and 22.8 Si atoms occupy site 24k. The atomic distribution was established based on a combination of (27)Al and (29)Si NMR experiments, X-ray single-crystal diffraction and wavelength-dispersive X-ray spectroscopy.

  5. Influence of the growth-surface on the incorporation of phosphorus in SiC

    International Nuclear Information System (INIS)

    Rauls, E.; Gerstmann, U.; Frauenheim, Th.

    2005-01-01

    Phosphorus is a common and desired n-type dopant of SiC, but it turned out that doping by diffusion or during growth is rarely successful. To avoid the efforts and the creation of damage if ion implantation is used instead, these techniques were, though, highly desirable. In this work, we have investigated theoretically the experimental observation that phosphorus obviously hardly diffuses into the material. Not the diffusivity of the dopant but its addiction to occupy a three-fold coordinated surface site are critical, together with the way the surface affects the bulk migration barriers of the dopants. Whereas the most common growth direction for 4H-SiC, the polar silicon terminated (0001) surface, seems to be least appropriate for the incorporation of phosphorus atoms, growth along the nonpolar [112-bar 0] provides a good possibility to achieve efficient P-doping during growth

  6. Pb chains on ordered Si(3 3 5) surface

    International Nuclear Information System (INIS)

    Kisiel, M.; Skrobas, K.; Zdyb, R.; Mazurek, P.; Jalochowski, M.

    2007-01-01

    The electronic band structure of the Si(3 3 5)-Au surface decorated with Pb atoms was studied with angle resolved photoelectron spectroscopy (ARPES) in ultra high vacuum (UHV) conditions. The photoemission spectra were measured in two perpendicular directions, along and across the steps. In the direction parallel to the step edges the ARPES spectra show strongly dispersive electron energy band while in the perpendicular direction there is no electronic dispersion at all. This confirms one-dimensional character of the system. The theoretical band dispersion calculated within a tight-binding model was fitted to that obtained from the experiment

  7. In-reactor behaviour of centrifugally atomized U3Si dispersion fuel irradiated at high temperature in HANARO

    International Nuclear Information System (INIS)

    Kim, Ki Hwan; Park, Jong Man; Yoo, Byeong Ok; Park, Dae Kyu; Lee, Choong Sung; Kim, Chang Kyu

    2002-01-01

    The irradiation test on full-size U 3 Si dispersion fuel elements, prepared by centrifugal atomization and conventional comminution method, has been performed up to about 77 at.% U-235 in maximum burn-up at CT hole position having the highest power condition in the HANARO reactor, in order to examine the irradiation performance of the atomized U 3 Si for the driver fuels of HANARO. The in-reactor interaction of the atomized U 3 Si dispersion fuel meats is generally assumed to be acceptable with the range of 5-15 μm in average thickness. The atomized spherical particles have more uniform and thinner reaction layer than the comminuted irregular particles. The U 3 Si particles have relatively fine and uniform size distribution of fission gas bubbles, irrespective of the powdering method. The bubble population in the atomized particles appears to be finer and more homogeneous with the characteristics of narrower bubble size distribution than that of the comminuted fuel. The atomized U 3 Si dispersion fuel elements exhibit sound swelling behaviours of 5 % in ΔV/V m even at ∼77 at.% U-235 burn-up, which meets with the safety criterion of the fuel rod, 20vol.% for HANARO. The atomized U3Si dispersion fuel elements show smaller swelling than the comminuted fuel elements

  8. Microstructure of as-fabricated UMo/Al(Si) plates prepared with ground and atomized powder

    Science.gov (United States)

    Jungwirth, R.; Palancher, H.; Bonnin, A.; Bertrand-Drira, C.; Borca, C.; Honkimäki, V.; Jarousse, C.; Stepnik, B.; Park, S.-H.; Iltis, X.; Schmahl, W. W.; Petry, W.

    2013-07-01

    UMo-Al based fuel plates prepared with ground U8wt%Mo, ground U8wt%MoX (X = 1 wt%Pt, 1 wt%Ti, 1.5 wt%Nb or 3 wt%Nb) and atomized U7wt%Mo have been examined. The first finding is that that during the fuel plate production the metastable γ-UMo phases partly decomposed into two different γ-UMo phases, U2Mo and α'-U in ground powder or α″-U in atomized powder. Alloying small amounts of a third element to the UMo had no measurable effect on the stability of the γ-UMo phase. Second, the addition of some Si inside the Al matrix and the presence of oxide layers in ground and atomized samples is studied. In the case with at least 2 wt%Si inside the matrix a Silicon rich layer (SiRL) forms at the interface between the UMo and the Al during the fuel plate production. The SiRL forms more easily when an Al-Si alloy matrix - which is characterized by Si precipitates with a diameter ⩽1 μm - is used than when an Al-Si mixed powder matrix - which is characterized by Si particles with some μm diameter - is used. The presence of an oxide layer on the surface of the UMo particles hinders the formation of the SiRL. Addition of some Si into the Al matrix [7-11]. Application of a protective barrier at the UMo/Al interface by oxidizing the UMo powder [7,12]. Increase of the Mo content or use of UMo alloys with ternary element addition X (e.g. X = Nb, Ti, Pt) to stabilize the γ-UMo with respect to α-U or to control the UMo-Al interaction layer kinetics [9,12-24]. Use of ground UMo powder instead of atomized UMo powder [10,25] The points 1-3 are to limit the formation of the undesired UMo/Al layer. Especially the addition of Si into the matrix has been suggested [3,7,8,10,11,26,27]. It has been often mentioned that Silicon is efficient in reducing the Uranium-Aluminum diffusion kinetics since Si shows a higher chemical affinity to U than Al to U. Si suppresses the formation of brittle UAl4 which causes a huge swelling during the irradiation. Furthermore it enhances the

  9. Controlled amino-functionalization by electrochemical reduction of bromo and nitro azobenzene layers bound to Si(111) surfaces

    NARCIS (Netherlands)

    Ullien, D.; Thüne, P.C.; Jager, W.F.; Sudhölter, E.J.R.; De Smet, L.C.P.M.

    2014-01-01

    4-Nitrobenzenediazonium (4-NBD) and 4-bromobenzenediazonium (4-BBD) salts were grafted electrochemically onto H-terminated, p-doped silicon (Si) surfaces. Atomic force microscopy (AFM) and ellipsometry experiments clearly showed layer thicknesses of 2–7 nm, which indicate multilayer formation.

  10. Formation of Si{sup 1+} in the early stages of the oxidation of the Si[001] 2 × 1 surface

    Energy Technology Data Exchange (ETDEWEB)

    Herrera-Gomez, Alberto, E-mail: aherrerag@cinvestav.mx [CINVESTAV-Unidad Queretaro, Queretaro 76230 (Mexico); Aguirre-Tostado, Francisco-Servando [Centro de Investigación en Materiales Avanzados, Monterrey, Nuevo Leon, 66600 (Mexico); Pianetta, Piero [SLAC National Accelerator Center, Menlo Park, California 94025 (United States)

    2016-03-15

    The early stages of the oxidation of the Si[001] 2 × 1 surface were studied with synchrotron radiation photoelectron spectroscopy. The analysis was based on the block approach, which is a refinement of spectra-subtraction that accounts for changes on the background signal and for band-bending shifts. By this method, it was possible to robustly show that the formation of Si{sup 1+} is due to oxygen bonding to the upper dimer atoms. Our results contrast with ab initio calculation, which indicates that the most favorable bonding site is the back-bond of the down-dimer.

  11. Stripping scattering of fast atoms on surfaces of metal-oxide crystals and ultrathin films; Streifende Streuung schneller Atome an Oberflaechen von Metalloxid-Kristallen und ultraduennen Filmen

    Energy Technology Data Exchange (ETDEWEB)

    Blauth, David

    2010-03-11

    In the framework of the present dissertation the interactions of fast atoms with surfaces of bulk oxides, metals and thin films on metals were studied. The experiments were performed in the regime of grazing incidence of atoms with energies of some keV. The advantage of this scattering geometry is the high surface sensibility and thus the possibility to determine the crystallographic and electronic characteristics of the topmost surface layer. In addition to these experiments, the energy loss and the electron emission induced by scattered projectiles was investigated. The energy for electron emission and exciton excitation on Alumina/NiAl(110) and SiO{sub 2}/Mo(112) are determined. By detection of the number of projectile induced emitted electrons as function of azimuthal angle for the rotation of the target surface, the geometrical structure of atoms forming the topmost layer of different adsorbate films on metal surfaces where determined via ion beam triangulation. (orig.)

  12. Coordination-resolved local bond contraction and electron binding-energy entrapment of Si atomic clusters and solid skins

    Energy Technology Data Exchange (ETDEWEB)

    Bo, Maolin; Huang, Yongli; Zhang, Ting [Key Laboratory of Low-Dimensional Materials and Application Technologies, Xiangtan University, Hunan 411105 (China); Wang, Yan, E-mail: ywang8@hnust.edu.cn, E-mail: ecqsun@ntu.edu.sg [Key Laboratory of Low-Dimensional Materials and Application Technologies, Xiangtan University, Hunan 411105 (China); School of Information and Electronic Engineering, Hunan University of Science and Technology, Hunan 411201 (China); Zhang, Xi [School of Electrical and Electronic Engineering, Nanyang Technological University, Singapore 639798 (Singapore); Li, Can [Center for Coordination Bond Engineering, School of Materials Science and Engineering, China Jiliang University, Hangzhou 330018 (China); Sun, Chang Q., E-mail: ywang8@hnust.edu.cn, E-mail: ecqsun@ntu.edu.sg [Key Laboratory of Low-Dimensional Materials and Application Technologies, Xiangtan University, Hunan 411105 (China); School of Electrical and Electronic Engineering, Nanyang Technological University, Singapore 639798 (Singapore); Center for Coordination Bond Engineering, School of Materials Science and Engineering, China Jiliang University, Hangzhou 330018 (China)

    2014-04-14

    Consistency between x-ray photoelectron spectroscopy measurements and density-function theory calculations confirms our bond order-length-strength notation-incorporated tight-binding theory predictions on the quantum entrapment of Si solid skin and atomic clusters. It has been revealed that bond-order deficiency shortens and strengthens the Si-Si bond, which results in the local densification and quantum entrapment of the core and valence electrons. Unifying Si clusters and Si(001) and (111) skins, this mechanism has led to quantification of the 2p binding energy of 96.089 eV for an isolated Si atom, and their bulk shifts of 2.461 eV. Findings evidence the significance of atomic undercoordination that is of great importance to device performance.

  13. Microstructural features and heat flow analysis of atomized and spray-formed Al-Fe-V-Si alloy

    International Nuclear Information System (INIS)

    Srivastava, A.K.; Ranganathan, S.; Ojha, S.N.

    1998-01-01

    Microstructural features of rapidly solidified powders and preforms of Al 80 Fe 10 V 4 Si 6 alloy produced by spray forming process have been studied. The atomization and spray deposition were carried out using a confined gas atomization process and the microstructural features were characterized using scanning electron microscopy and transmission electron microscopy (TEM) and X-ray diffraction (XRD) techniques. The microstructure of a wide size range of atomized powders invariably revealed cellular and dendritic morphology. The extent of dendritic region and the dendritic arm spacing were observed to increase with power particle size. The TEM investigations indicated the presence of ultrafine second-phase particles in the intercellular or interdendritic regions. In contrast, the spray deposits of the alloy showed considerable variation in microstructure and size and dispersion of the second-phase particles at specific distances from the deposit-substrate interface and the exterior regions of the deposit. Nevertheless, considerable homogeneity was observed in the microstructure toward the center of the spray deposit. The formation and distribution of a cubic phase α-Al(Fe, V)Si has been characterized in both atomized powders and spray deposits. A one-dimensional heat flow model has been used to analyze the evolution of microstructure during atomization and also during spray deposition processing of this alloy. The results indicate that thermal history of droplets in the spray on deposition surface and their solidification behavior considerably influence the microstructural features of the spray deposits

  14. Detection of subsurface core-level shifts in Si 2p core-level photoemission from Si(111)-(1x1):As

    Energy Technology Data Exchange (ETDEWEB)

    Paggel, J.J. [Philipps-Universitaet Marburg (Germany); Hasselblatt, M.; Horn, K. [Fritz-Haber Institut der Max-Planck-Gesellschraft, Berlin (Germany)] [and others

    1997-04-01

    The (7 x 7) reconstruction of the Si(111) surface arises from a lowering energy through the reduction of the number of dangling bonds. This reconstruction can be removed by the adsorption of atoms such as hydrogen which saturate the dangling bonds, or by the incorporation of atoms, such as arsenic which, because of the additional electron it possesses, can form three bonds and a nonreactive lone pair orbital from the remaining two electrons. Core and valence level photoemission and ion scattering data have shown that the As atoms replace the top silicon atoms. Previous core level spectra were interpreted in terms of a bulk and a single surface doublet. The authors present results demonstrate that the core level spectrum contains two more lines. The authors assign these to subsurface silicon layers which also experience changes in the charge distribution when a silicon atom is replaced by an arsenic atom. Subsurface core level shifts are not unexpected since the modifications of the electronic structure and/or of photohole screening are likely to decay into the bulk and not just to affect the top-most substrate atoms. The detection of subsurface components suggests that the adsorption of arsenic leads to charge flow also in the second double layer of the Si(111) surface. In view of the difference in atomic radius between As and Si, it was suggested that the (1 x 1): As surface is strained. The presence of charge rearrangement up to the second double layer implies that the atomic coordinates also exhibit deviations from their ideal Si(111) counterparts, which might be detected through a LEED I/V or photoelectron diffraction analysis.

  15. Thermal evolution of the morphology of Ni/Ag/Si(111)-{radical}3 Multiplication-Sign {radical}3 surface

    Energy Technology Data Exchange (ETDEWEB)

    Tomaszewska, Agnieszka; Huang, Xiao-Lan; Chang, Kuo-Wei; Fu, Tsu-Yi, E-mail: phtifu@phy.ntnu.edu.tw

    2012-08-31

    The temperature-driven changes in morphology of the interface formed by room temperature (RT) deposition of Ni atoms onto an Ag/Si(111)-{radical}3 Multiplication-Sign {radical}3 surface were investigated by scanning tunneling microscopy. Roughly 70% of Ni deposition diffused into bulk substrate within the temperature range between RT and 573 K. The images as obtained after annealing up to 670 K correspond to the formation of nano-sized islands of nickel silicides. Two types of islands, large triangular islands typical of the whole range of applied coverage, and smaller islands of different shapes, coexist at Ni coverage higher than 1 monolayer. Annealing above 870 K led to the formation of a 7 Multiplication-Sign 7 phase in coexistence with small 5 Multiplication-Sign 5 domains at the expense of a complete disappearance of the {radical}3 Multiplication-Sign {radical}3 phase. Also, formation of Ni,Si alloy was observed at the temperature, along with segregation of bulk-dissolved Ni species onto the surface. - Highlights: Black-Right-Pointing-Pointer We examine changes in morphology of Ni/Ag/Si(111)-{radical}3 Multiplication-Sign {radical}3 surface upon annealing. Black-Right-Pointing-Pointer 70% of deposited Ni atoms diffuse into the bulk after annealing at 570 K. Black-Right-Pointing-Pointer A variety of nano-sized Ni, Si islands develops after annealing at 670 K. Black-Right-Pointing-Pointer 5 Multiplication-Sign 5 reconstruction as an evidence for mass transport during the island growth.

  16. Conditioning of Si-interfaces by wet-chemical oxidation: Electronic interface properties study by surface photovoltage measurements

    Energy Technology Data Exchange (ETDEWEB)

    Angermann, Heike, E-mail: angermann@helmholtz-berlin.de

    2014-09-01

    Highlights: • Determination of electronic interface properties by contact-less surface photovoltage (SPV) technique. • Systematic correlations of substrate morphology and surface electronic properties. • Optimization of surface pre-treatment for flat, saw damage etched, and textured Si solar cell substrates. • Ultra-thin passivating Si oxide layers with low densities of rechargeable states by wet-chemical oxidation and subsequent annealing. • Environmentally acceptable processes, utilizing hot water, diluted HCl, or ozone low cost alternative to current approaches with concentrated chemicals. • The effect of optimized wet-chemical pre-treatments can be preserved during subsequent layer deposition. - Abstract: The field-modulated surface photovoltage (SPV) method, a very surface sensitive technique, was utilized to determine electronic interface properties on wet-chemically oxidized and etched silicon (Si) interfaces. The influence of preparation-induced surface micro-roughness and un-stoichiometric oxides on the resulting the surface charge, energetic distribution D{sub it}(E), and density D{sub it,min} of rechargeable states was studied by simultaneous, spectroscopic ellipsometry (SE) measurements on polished Si(111) and Si(100) substrates. Based on previous findings and new research, a study of conventional and newly developed wet-chemical oxidation methods was established, correlating the interactions between involved oxidizing and etching solutions and the initial substrate morphology to the final surface conditioning. It is shown, which sequences of wet-chemical oxidation and oxide removal, have to be combined in order to achieve atomically smooth, hydrogen terminated surfaces, as well as ultra-thin oxide layers with low densities of rechargeable states on flat, saw damage etched, and textured Si substrates, as commonly applied in silicon device and solar cell manufacturing. These conventional strategies for wet-chemical pre-treatment are mainly

  17. Conditioning of Si-interfaces by wet-chemical oxidation: Electronic interface properties study by surface photovoltage measurements

    International Nuclear Information System (INIS)

    Angermann, Heike

    2014-01-01

    Highlights: • Determination of electronic interface properties by contact-less surface photovoltage (SPV) technique. • Systematic correlations of substrate morphology and surface electronic properties. • Optimization of surface pre-treatment for flat, saw damage etched, and textured Si solar cell substrates. • Ultra-thin passivating Si oxide layers with low densities of rechargeable states by wet-chemical oxidation and subsequent annealing. • Environmentally acceptable processes, utilizing hot water, diluted HCl, or ozone low cost alternative to current approaches with concentrated chemicals. • The effect of optimized wet-chemical pre-treatments can be preserved during subsequent layer deposition. - Abstract: The field-modulated surface photovoltage (SPV) method, a very surface sensitive technique, was utilized to determine electronic interface properties on wet-chemically oxidized and etched silicon (Si) interfaces. The influence of preparation-induced surface micro-roughness and un-stoichiometric oxides on the resulting the surface charge, energetic distribution D it (E), and density D it,min of rechargeable states was studied by simultaneous, spectroscopic ellipsometry (SE) measurements on polished Si(111) and Si(100) substrates. Based on previous findings and new research, a study of conventional and newly developed wet-chemical oxidation methods was established, correlating the interactions between involved oxidizing and etching solutions and the initial substrate morphology to the final surface conditioning. It is shown, which sequences of wet-chemical oxidation and oxide removal, have to be combined in order to achieve atomically smooth, hydrogen terminated surfaces, as well as ultra-thin oxide layers with low densities of rechargeable states on flat, saw damage etched, and textured Si substrates, as commonly applied in silicon device and solar cell manufacturing. These conventional strategies for wet-chemical pre-treatment are mainly based on

  18. The nanostructure and microstructure of SiC surface layers deposited by MWCVD and ECRCVD

    Science.gov (United States)

    Dul, K.; Jonas, S.; Handke, B.

    2017-12-01

    Scanning electron microscopy (SEM) and Atomic force microscopy (AFM) have been used to investigate ex-situ the surface topography of SiC layers deposited on Si(100) by Microwave Chemical Vapour Deposition (MWCVD) -S1,S2 layers and Electron Cyclotron Resonance Chemical Vapor Deposition (ECRCVD) - layers S3,S4, using silane, methane, and hydrogen. The effects of sample temperature and gas flow on the nanostructure and microstructure have been investigated. The nanostructure was described by three-dimensional surface roughness analysis based on digital image processing, which gives a tool to quantify different aspects of surface features. A total of 13 different numerical parameters used to describe the surface topography were used. The scanning electron image (SEM) of the microstructure of layers S1, S2, and S4 was similar, however, layer S3 was completely different; appearing like grains. Nonetheless, it can be seen that no grain boundary structure is present in the AFM images.

  19. Reaction paths of alane dissociation on the Si(0 0 1) surface

    Science.gov (United States)

    Smith, Richard; Bowler, David R.

    2018-03-01

    Building on our earlier study, we examine the kinetic barriers to decomposition of alane, AlH3, on the Si(0 0 1) surface, using the nudged elastic band approach within density functional theory. We find that the initial decomposition to AlH with two H atoms on the surface proceeds without a significant barrier. There are several pathways available to lose the final hydrogen, though these present barriers of up to 1 eV. Incorporation is more challenging, with the initial structures less stable in several cases than the starting structures, just as was found for phosphorus. We identify a stable route for Al incorporation following selective surface hydrogen desorption (e.g. by scanning tunneling microscope tip). The overall process parallels PH3, and indicates that atomically precise acceptor doping should be possible.

  20. Dynamics of Defects and Dopants in Complex Systems: Si and Oxide Surfaces and Interfaces

    Science.gov (United States)

    Kirichenko, Taras; Yu, Decai; Banarjee, Sanjay; Hwang, Gyeong

    2004-10-01

    Fabrication of forthcoming nanometer scale electronic devices faces many difficulties including formation of extremely shallow and highly doped junctions. At present, ultra-low-energy ion implantation followed by high-temperature thermal annealing is most widely used to fabricate such ultra-shallow junctions. In the process, a great challenge lies in achieving precise control of redistribution and electrical activation of dopant impurities. Native defects (such as vacancies and interstitials) generated during implantation are known to be mainly responsible for the TED and also influence significantly the electrical activation/deactivation. Defect-dopant dynamics is rather well understood in crystalline Si and SiO2. However, little is known about their diffusion and annihilation (or precipitation) at the surfaces and interfaces, despite its growing importance in determining junction profiles as device dimensions get smaller. In this talk, we will present our density functional theory calculation results on the atomic and electronic structure and dynamical behavior of native defects and dopant-defect complexes in disordered/strained Si and oxide systems, such as i) clean and absorbent-modified Si(100) surface and subsurface layers, ii) amorphous-crystalline Si interfaces and iii) amorphous SiO2/Si interfaces. The fundamental understanding and data is essential in developing a comprehensive kinetic model for junction formation, which would contribute greatly in improving current process technologies.

  1. Molecular-beam epitaxial growth of insulating AlN on surface-controlled 6H-SiC substrate by HCl gas etching

    International Nuclear Information System (INIS)

    Onojima, Norio; Suda, Jun; Matsunami, Hiroyuki

    2002-01-01

    Insulating AlN layers were grown on surface-controlled 6H-SiC subtrates by molecular-beam epitaxy (MBE) using elemental Al and rf plasma-excited nitrogen (N*). HCl gas etching was introduced as an effective pretreatment method of substrate for MBE growth of AlN. 6H-SiC substrates pretreated by HCl gas etching had no surface polishing scratches and an atomically flat surface. In addition, evident ( 3 √x 3 √)R30 deg. surface reconstruction was observed even before thermal cleaning. AlN layers grown on this substrate had no defects related to surface polishing scratches and excellent insulating characteristics

  2. Formation of metallic Si and SiC nanoparticles from SiO2 particles by plasma-induced cathodic discharge electrolysis in chloride melt

    International Nuclear Information System (INIS)

    Tokushige, M.; Tsujimura, H.; Nishikiori, T.; Ito, Y.

    2013-01-01

    Silicon nanoparticles are formed from SiO 2 particles by conducting plasma-induced cathodic discharge electrolysis. In a LiCl–KCl melt in which SiO 2 particles were suspended at 450 °C, we obtained Si nanoparticles with diameters around 20 nm. During the electrolysis period, SiO 2 particles are directly reduced by discharge electrons on the surface of the melt just under the discharge, and the deposited Si atom clusters form Si nanoparticles, which leave the surface of the original SiO 2 particle due to free spaces caused by a molar volume difference between SiO 2 and Si. We also found that SiC nanoparticles can be obtained using carbon anode. Based on Faraday's law, the current efficiency for the formation of Si nanoparticles is 70%

  3. Silane effects on the surface morphology and abrasion resistance of transparent SiO2/UV-curable resin nano-composites

    International Nuclear Information System (INIS)

    Hsiang, Hsing-I.; Chang, Yu-Lun; Chen, Chi-Yu; Yen, Fu-Su

    2011-01-01

    Transparent ultraviolet curable nano-composite coatings consisting of nano-sized SiO 2 and acrylate resin have been developed to improve the abrasion resistance of organic polymers. The nano-sized SiO 2 particles were surface-modified using various amounts of 3-methacryloxypropyltrimethoxysilane. The 3-methacryloxypropyltrimethoxysilane concentration effects on the surface morphology and abrasion resistance of the transparent SiO 2 /ultraviolet-curable resin nano-composites were investigated using scanning electron microscopy, atomic force microscopy, and ultraviolet-visible spectrophotometer. The results showed that as the 3-methacryloxypropyltrimethoxysilane/SiO 2 weight ratio increased from 0.2 to 0.6, the dispersion, compatibility and cross-linking density between the 3-methacryloxypropyltrimethoxysilane-modified SiO 2 particles and acrylate resin were improved, leading to an increase in abrasion resistance. However, as the 3-methacryloxypropyltrimethoxysilane/SiO 2 weight ratio was increased to 1.5, the additional 3-methacryloxypropyltrimethoxysilane may exceed that needed to fill the pores with the probability of SiO 2 nano-particles existing on the coating surface was lower than that for samples with a 3-methacryloxypropyltrimethoxysilane/SiO 2 weight ratio of 0.6. This produced a decrease in abrasion resistance.

  4. Reduction mechanisms of ethylene carbonate on si anodes of lithium-ion batteries: effects of degree of lithiation and nature of exposed surface.

    Science.gov (United States)

    Martinez de la Hoz, Julibeth M; Leung, Kevin; Balbuena, Perla B

    2013-12-26

    Ab initio molecular dynamics simulations are used to identify mechanisms of reduction of ethylene carbonate on Si surfaces at various degrees of lithiation, where the low-coordinated surface Si atoms are saturated with O, OH, or H functional groups. The lowest Si content surfaces are represented by quasi-amorphous LiSi4 and LiSi2; intermediate lithiation is given by LiSi crystalline facets, and the highest Li content is studied through Li13Si4 surfaces. It is found that ethylene carbonate (EC) reduction mechanisms depend significantly on the degree of lithiation of the surface. On LiSi surfaces EC is reduced according to two different two-electron mechanisms (one simultaneous and one sequential), which are independent of specific surface functionalization or nature of exposed facets. On the less lithiated surfaces, the simultaneous two-electron reduction is found more frequently. In that mechanism, the EC reduction is initiated by the formation of a C-Si bond that allows adsorption of the intact molecule to the surface and is followed by electron transfer and ring-opening. Strongly lithiated Li13Si4 surfaces are found to be highly reactive. Reduction of adsorbed EC molecules occurs via a four-electron mechanism yielding as reduction products CO(2-) and O(C2H4)O(2-). Direct transfer of two electrons to EC molecules in liquid phase is also possible, resulting in the presence of O(C2H4)OCO(2-) anions in the liquid phase.

  5. Reaction of Tri-methylaluminum on Si (001) Surface for Initial Aluminum Oxide Thin-Film Growth

    International Nuclear Information System (INIS)

    Kim, Dae Hee; Kim, Dae Hyun; Jeong, Yong Chan; Seo, Hwa Il; Kim, Yeong Cheol

    2010-01-01

    We studied the reaction of tri-methylaluminum (TMA) on hydroxyl (OH)-terminated Si (001) surfaces for the initial growth of aluminum oxide thin-films using density functional theory. TMA was adsorbed on the oxygen atom of OH due to the oxygen atom's lone pair electrons. The adsorbed TMA reacted with the hydrogen atom of OH to produce a di-methylaluminum group (DMA) and methane with an energy barrier of 0.50 eV. Low energy barriers in the range of 0 - 0.11 eV were required for DMA migration to the inter-dimer, intra-dimer, and inter-row sites on the surface. A unimethylaluminum group (UMA) was generated at each site with low energy barriers in the range of 0.21 - 0.25 eV. Among the three sites, the inter-dimer site was the most probable for UMA formation

  6. Self-assembled epitaxial NiSi2 nanowires on Si(001) by reactive deposition epitaxy

    International Nuclear Information System (INIS)

    Chen, S.Y.; Chen, L.J.

    2006-01-01

    Self-assembled epitaxial NiSi 2 nanowires have been fabricated on Si(001) by reactive deposition epitaxy (RDE). The RDE method promoted nanowire growth since it provides deposited atoms sufficient kinetic energy for movement on the Si surface during the growth of silicide islands. The twin-related interface between NiSi 2 and Si is directly related to the nanowire formation since it breaks the symmetry of the surface and leads to the asymmetric growth. The temperature of RDE was found to greatly influence the formation of nanowires. By RDE at 750 deg. C, a high density of NiSi 2 nanowires was formed with an average aspect ratio of 30

  7. Atomic probes of surface structure and dynamics

    International Nuclear Information System (INIS)

    Heller, E.J.; Jonsson, H.

    1992-01-01

    The following were studied: New semiclassical method for scattering calculations, He atom scattering from defective Pt surfaces, He atom scattering from Xe overlayers, thermal dissociation of H 2 on Cu(110), spin flip scattering of atoms from surfaces, and Car-Parrinello simulations of surface processes

  8. A study of the substrate surface chemical states at the interface TiN/Si by X-Ray Photoelectron Spectroscopy

    Energy Technology Data Exchange (ETDEWEB)

    Antunes, Vinicius Gabriel; Alvarez, Fernando, E-mail: vi.antunes@gmail.com [Universidade Estadual de Campinas (UNICAMP), SP (Brazil). Instituto de Fisica Gleb Wataghin; Figueroa, Carlos Alejandro [Universidade de Caxias do Sul, RS (Brazil)

    2016-07-01

    Full text: Titanium-based thin films are used for a great number of applications, such as hard coating in cutting tools, catalyst diffusion barriers, and in microelectronic devices. Although the understanding of the film adhesion mechanisms onto different substrates continue being a challenge and its atomic bonding properties are not fully understood. Furthermore, as the interface determines many characteristics of the final film by prompting the bulk properties of the grown material, a detailed study of the first atomic layers is an interesting route to gain physical inside on the adhesion properties of the coating. Also, it is important to remark that the presence of residual oxygen in standards deposition chambers is sometime unavoidable and its influence on the films properties is important to be taking in account. In this work the influence of the chemical state of the silicon surface at the interface TiN/Si have been studied. In order to this, a few atomic layers of TiN were deposited on mirror polished c-Si by ion beam deposition (IBD) sputtering of a pure Ti target in a nitrogen atmosphere during 5s (T=350C). In this conditions, a 3Å average thickness, as estimated by the material deposition rate, is obtained. The local electronic structure at the interface TiN/Si was scrutinized by XPS (X-ray photoelectron spectroscopy, 1485.6eV) in a UHV chamber attached to the IBD system, i.e the studied samples are free from atmospheric contamination. The study indicates the presence of Ti-Si, Ti-O, Si-O, Ti-N and Si-N bonds at the TiN/Si interface, where the oxygen stems from the residual chamber pressure. The nano-structuration of the Si substrate surface by noble gas ion bombardment during the pre-cleaning procedure of the substrate and its influence on the bonding structures at the TiN/Si interface will be also presented and discussed. Finally, the oxygen reduction effect obtained by introducing H2 on the interface structure is presented and discussed. (author)

  9. Low-temperature SiON films deposited by plasma-enhanced atomic layer deposition method using activated silicon precursor

    Energy Technology Data Exchange (ETDEWEB)

    Suh, Sungin; Kim, Jun-Rae; Kim, Seongkyung; Hwang, Cheol Seong; Kim, Hyeong Joon, E-mail: thinfilm@snu.ac.kr [Department of Materials Science and Engineering with Inter-University Semiconductor Research Center (ISRC), Seoul National University, 599 Gwanak-ro, Gwanak-gu, Seoul 08826 (Korea, Republic of); Ryu, Seung Wook, E-mail: tazryu78@gmail.com [Department of Electrical Engineering, Stanford University, Stanford, California 94305-2311 (United States); Cho, Seongjae [Department of Electronic Engineering and New Technology Component & Material Research Center (NCMRC), Gachon University, Seongnam-si, Gyeonggi-do 13120 (Korea, Republic of)

    2016-01-15

    It has not been an easy task to deposit SiN at low temperature by conventional plasma-enhanced atomic layer deposition (PE-ALD) since Si organic precursors generally have high activation energy for adsorption of the Si atoms on the Si-N networks. In this work, in order to achieve successful deposition of SiN film at low temperature, the plasma processing steps in the PE-ALD have been modified for easier activation of Si precursors. In this modification, the efficiency of chemisorption of Si precursor has been improved by additional plasma steps after purging of the Si precursor. As the result, the SiN films prepared by the modified PE-ALD processes demonstrated higher purity of Si and N atoms with unwanted impurities such as C and O having below 10 at. % and Si-rich films could be formed consequently. Also, a very high step coverage ratio of 97% was obtained. Furthermore, the process-optimized SiN film showed a permissible charge-trapping capability with a wide memory window of 3.1 V when a capacitor structure was fabricated and measured with an insertion of the SiN film as the charge-trap layer. The modified PE-ALD process using the activated Si precursor would be one of the most practical and promising solutions for SiN deposition with lower thermal budget and higher cost-effectiveness.

  10. Production of nanopoints and nanowires of silver at the surface of Si(557)

    International Nuclear Information System (INIS)

    Zhachuk, R.A.; Tijs, S.A.; Ol'shanetskij, B.Z.

    2004-01-01

    Formation of the silver nanostructures at the room temperature on the Si(557) surface containing the regular atomic stages of three interplanar distances in the height is studied through the methods of the scanning tunnel microscopy and electron Auger-spectroscopy. It is established that the oxygen adsorbed by the silicon surface from the residual atmosphere in the vacuum chamber effects the shape of the formed silver islands. The silver nanostructures of the nanowire-type, extended along the stage edges or nanopoints ordered in lines parallel to the stage edges may be formed depending on the quantity of the oxygen adsorbed on the surface [ru

  11. Adsorption of metal-phthalocyanine molecules onto the Si(111) surface passivated by δ doping: Ab initio calculations

    Science.gov (United States)

    Veiga, R. G. A.; Miwa, R. H.; McLean, A. B.

    2016-03-01

    We report first-principles calculations of the energetic stability and electronic properties of metal-phthalocyanine (MPc) molecules (M = Cr, Mn, Fe, Co, Ni, Cu, and Zn) adsorbed on the δ -doped Si(111)-B (√{3 }×√{3 }) reconstructed surface. (i) It can be seen that CrPc, MnPc, FePc, and CoPc are chemically anchored to the topmost Si atom. (ii) Contrastingly, the binding of the NiPc, CuPc, and ZnPc molecules to the Si (111 ) -B (√{3 }×√{3 }) surface is exclusively ruled by van der Waals interactions, the main implication being that these molecules may diffuse and rearrange to form clusters and/or self-organized structures on this surface. The electronic structure calculations reveal that in point (i), owing to the formation of the metal-Si covalent bond, the net magnetic moment of the molecule is quenched by 1 μB , remaining unchanged in point (ii). In particular, the magnetic moment of CuPc (1 μB ) is preserved after adsorption. Finally, we verify that the formation of ZnPc, CuPc, and NiPc molecular (self-assembled) arrangements on the Si(111)-B (√{3 }×√{3 } ) surface is energetically favorable, in good agreement with recent experimental findings.

  12. The Si(100)-Sb 2x1 and Ge(100) 2x1 surfaces: A multi-technique study

    International Nuclear Information System (INIS)

    Richter, M.

    1993-08-01

    The electronic and geometric structures of the clean and Sb terminated Si(100)2x1 and Ge(100)-2x1 surfaces have been investigated using a multi-technique approach. Low energy electron diffraction (LEED), scanning tunneling microscopy (STM), surface extended X-ray absorption fine structure (SEXAFS) spectroscopy and angle-integrated core-level photoemission electron spectroscopy (PES) were employed to measure the surface symmetry, defect structure, relevant bond lengths, atomic coordination and electronic structure. By employing a multi-technique approach, it is possible to correlate changes in the geometric structure to specific features of the core-level lineshape of the substrate. This allows for the assignment of components of the core-level lineshape to be assigned to specific surface and near-surface atoms

  13. Atomic layer deposition of W - based layers on SiO2

    NARCIS (Netherlands)

    van Nieuwkasteele-Bystrova, Svetlana Nikolajevna; Holleman, J.; Wolters, Robertus A.M.; Aarnink, Antonius A.I.

    2003-01-01

    W<Si> and W1-xNx , where x= 15- 22 at%, thin films were grown using the ALD (Atomic Layer Deposition) principle. Growth rate of W<Si> films is about 4- 5 monolayers/ cycle at 300- 350 ºC. Growth rate of W1-xNx is 0.5 monolayer/cycle at 325- 350 ºC. Standard Deviation (STDV) of thickness is about 2%

  14. Nanotribological properties of precision-controlled regular nanotexture on H-passivated Si surface by current-induced local anodic oxidation

    Energy Technology Data Exchange (ETDEWEB)

    Mo Yufei; Zhao Wenjie; Huang Deming; Zhao Fei [State Key Laboratory of Solid Lubrication, Lanzhou Institute of Chemical Physics, Chinese Academy of Sciences, Lanzhou 730000 (China); Graduate School of Chinese Academy of Sciences, Beijing 100039 (China); Bai Mingwu [State Key Laboratory of Solid Lubrication, Lanzhou Institute of Chemical Physics, Chinese Academy of Sciences, Lanzhou 730000 (China)], E-mail: mwbai@LZB.ac.cn

    2009-02-15

    Nano-sized textures resulted from localized electrochemical oxidation by using atomic force microscopy (AFM) were fabricated on H-passivated Si surface. In this paper, the fabrication and nanotribological properties of nanotexture by local anodic oxidation (LAO) on H-passivated Si surface are presented. A special attention is paid to find the relation between the size of oxide nanotexture and operational parameters such as tip-sample pulsed bias voltage, pulsewidth, and relative humidity to fabricate oxide nanotexture. The nanotribological properties were investigated by a colloidal probe. The results indicate that the nanotextures exhibited low adhesion and greatly reduced friction force at nanometer scale.

  15. Evolution of interfacial intercalation chemistry on epitaxial graphene/SiC by surface enhanced Raman spectroscopy

    International Nuclear Information System (INIS)

    Ferralis, Nicola; Carraro, Carlo

    2014-01-01

    Highlights: • H-intercalated epitaxial graphene–SiC interface studied with surface enhanced Raman. • Evolution of graphene and H–Si interface with UV-ozone, annealing and O-exposure. • H–Si interface and quasi-freestanding graphene are retained after UV-ozone treatment. • Enhanced ozonolytic reactivity at the edges of H-intercalated defected graphene. • Novel SERS method for characterizing near-surface graphene–substrate interfaces. - Abstract: A rapid and facile evaluation of the effects of physical and chemical processes on the interfacial layer between epitaxial graphene monolayers on SiC(0 0 0 1) surfaces is essential for applications in electronics, photonics, and optoelectronics. Here, the evolution of the atomic scale epitaxial graphene-buffer-layer–SiC interface through hydrogen intercalation, thermal annealings, UV-ozone etching and oxygen exposure is studied by means of single microparticle mediated surface enhanced Raman spectroscopy (smSERS). The evolution of the interfacial chemistry in the buffer layer is monitored through the Raman band at 2132 cm −1 corresponding to the Si-H stretch mode. Graphene quality is monitored directly by the selectively enhanced Raman signal of graphene compared to the SiC substrate signal. Through smSERS, a simultaneous correlation between optimized hydrogen intercalation in epitaxial graphene/SiC and an increase in graphene quality is uncovered. Following UV-ozone treatment, a fully hydrogen passivated interface is retained, while a moderate degradation in the quality of the hydrogen intercalated quasi-freestanding graphene is observed. While hydrogen intercalated defect free quasi-freestanding graphene is expected to be robust upon UV-ozone, thermal annealing, and oxygen exposure, ozonolytic reactivity at the edges of H-intercalated defected graphene results in enhanced amorphization of the quasi-freestanding (compared to non-intercalated) graphene, leading ultimately to its complete etching

  16. Evolution of interfacial intercalation chemistry on epitaxial graphene/SiC by surface enhanced Raman spectroscopy

    Energy Technology Data Exchange (ETDEWEB)

    Ferralis, Nicola, E-mail: ferralis@mit.edu [Department of Materials Science and Engineering, Massachusetts Institute of Technology, Cambridge, MA 02139 (United States); Carraro, Carlo [Department of Chemical and Biomolecular Engineering, University of California, Berkeley, CA 94720 (United States)

    2014-11-30

    Highlights: • H-intercalated epitaxial graphene–SiC interface studied with surface enhanced Raman. • Evolution of graphene and H–Si interface with UV-ozone, annealing and O-exposure. • H–Si interface and quasi-freestanding graphene are retained after UV-ozone treatment. • Enhanced ozonolytic reactivity at the edges of H-intercalated defected graphene. • Novel SERS method for characterizing near-surface graphene–substrate interfaces. - Abstract: A rapid and facile evaluation of the effects of physical and chemical processes on the interfacial layer between epitaxial graphene monolayers on SiC(0 0 0 1) surfaces is essential for applications in electronics, photonics, and optoelectronics. Here, the evolution of the atomic scale epitaxial graphene-buffer-layer–SiC interface through hydrogen intercalation, thermal annealings, UV-ozone etching and oxygen exposure is studied by means of single microparticle mediated surface enhanced Raman spectroscopy (smSERS). The evolution of the interfacial chemistry in the buffer layer is monitored through the Raman band at 2132 cm{sup −1} corresponding to the Si-H stretch mode. Graphene quality is monitored directly by the selectively enhanced Raman signal of graphene compared to the SiC substrate signal. Through smSERS, a simultaneous correlation between optimized hydrogen intercalation in epitaxial graphene/SiC and an increase in graphene quality is uncovered. Following UV-ozone treatment, a fully hydrogen passivated interface is retained, while a moderate degradation in the quality of the hydrogen intercalated quasi-freestanding graphene is observed. While hydrogen intercalated defect free quasi-freestanding graphene is expected to be robust upon UV-ozone, thermal annealing, and oxygen exposure, ozonolytic reactivity at the edges of H-intercalated defected graphene results in enhanced amorphization of the quasi-freestanding (compared to non-intercalated) graphene, leading ultimately to its complete etching.

  17. Structure determination of the Si(001)-(2 x 1)-H reconstruction by surface X-ray diffraction: Weakening of the dimer bond by the addition of hydrogen

    DEFF Research Database (Denmark)

    Lauridsen, E.M.; Baker, J.; Nielsen, M.

    2000-01-01

    The atomic structure of the monohydride Si(001)-(2 x 1)-H reconstruction has been investigated by surface X-ray diffraction. Atomic relaxations down to the eighth layer have been determined. The bond length of the hydrogenated silicon dimers was found to be 2.47 +/- 0.02 Angstrom. which is longer...... than the dimer bond of the clean (2 x 1)-reconstructed Si(001) surface and also 5% longer than the bulk bond length of 2.35 Angstrom. The differences to the (2 x 1) structure of the clean surface are discussed in terms of the elimination of the weak pi-bond character of the dimer bond by the addition...

  18. Effect of Ge atoms on crystal structure and optoelectronic properties of hydrogenated Si-Ge films

    Science.gov (United States)

    Li, Tianwei; Zhang, Jianjun; Ma, Ying; Yu, Yunwu; Zhao, Ying

    2017-07-01

    Optoelectronic and structural properties of hydrogenated microcrystalline silicon-germanium (μc-Si1-xGex:H) alloys prepared by radio-frequency plasma-enhanced chemical vapor deposition (RF-PECVD) were investigated. When the Ge atoms were predominantly incorporated in amorphous matrix, the dark and photo-conductivity decreased due to the reduced crystalline volume fraction of the Si atoms (XSi-Si) and the increased Ge dangling bond density. The photosensitivity decreased monotonously with Ge incorporation under higher hydrogen dilution condition, which was attributed to the increase in both crystallization of Ge and the defect density.

  19. Carbon-hydrogen defects with a neighboring oxygen atom in n-type Si

    Science.gov (United States)

    Gwozdz, K.; Stübner, R.; Kolkovsky, Vl.; Weber, J.

    2017-07-01

    We report on the electrical activation of neutral carbon-oxygen complexes in Si by wet-chemical etching at room temperature. Two deep levels, E65 and E75, are observed by deep level transient spectroscopy in n-type Czochralski Si. The activation enthalpies of E65 and E75 are obtained as EC-0.11 eV (E65) and EC-0.13 eV (E75). The electric field dependence of their emission rates relates both levels to single acceptor states. From the analysis of the depth profiles, we conclude that the levels belong to two different defects, which contain only one hydrogen atom. A configuration is proposed, where the CH1BC defect, with hydrogen in the bond-centered position between neighboring C and Si atoms, is disturbed by interstitial oxygen in the second nearest neighbor position to substitutional carbon. The significant reduction of the CH1BC concentration in samples with high oxygen concentrations limits the use of this defect for the determination of low concentrations of substitutional carbon in Si samples.

  20. On the atomic structure of liquid Ni-Si alloys: a neutron diffraction study

    Science.gov (United States)

    Gruner, S.; Marczinke, J.; Hennet, L.; Hoyer, W.; Cuello, G. J.

    2009-09-01

    The atomic structure of the liquid NiSi and NiSi2 alloys is investigated by means of neutron diffraction experiments with isotopic substitution. From experimental data-sets obtained using four Ni isotopes, partial structure factors and pair correlation functions are obtained by applying a reverse Monte Carlo modelling approach. Both alloys were found to exhibit a strong tendency to hetero-coordination within the first coordination shell. In particular, covalent Si-Si bonds with somewhat greater distances seem to influence the structure of the liquid NiSi alloy.

  1. On the atomic structure of liquid Ni-Si alloys: a neutron diffraction study

    Energy Technology Data Exchange (ETDEWEB)

    Gruner, S; Marczinke, J; Hoyer, W [Institute of Physics, Chemnitz University of Technology, D-09107 Chemnitz (Germany); Hennet, L [CNRS-CEMHTI, University of Orleans, F-45071 Orleans (France); Cuello, G J, E-mail: sascha.gruner@physik.tu-chemnitz.d [Institute Laue-Langevin, PO Box 156, F-38042 Grenoble (France)

    2009-09-23

    The atomic structure of the liquid NiSi and NiSi{sub 2} alloys is investigated by means of neutron diffraction experiments with isotopic substitution. From experimental data-sets obtained using four Ni isotopes, partial structure factors and pair correlation functions are obtained by applying a reverse Monte Carlo modelling approach. Both alloys were found to exhibit a strong tendency to hetero-coordination within the first coordination shell. In particular, covalent Si-Si bonds with somewhat greater distances seem to influence the structure of the liquid NiSi alloy.

  2. Slow Collisions of Si3+ with Atomic Hydrogen

    Science.gov (United States)

    Joseph, D. C.; Gu, J.-P.; Saha, B. C.; Liebermann, H. P.; Funke, P.; Buenker, R. J.

    2010-03-01

    Low energy electron capture from hydrogen atom by multi-charged ions continues to be of interest and applications include both magnetically confined fusion and astrophysical plasmas. The charge exchange process reported here, Si^3+ + H -> Si^2+ + H^+ is an important destruction mechanism of Si^3+ in photo-ionized gas. The soft X-ray emission from comets has been explained by charge transfer of solar wind ions, among them Si^3+, with neutrals in the cometary gas vapor. The state selective cross sections are evaluated using the full quantum [1] and semi-classical molecular orbital close coupling (MOCC) [2] methods. Adiabatic potentials and wave functions for a number of low-lying singlet and triplet states of and symmetry are calculated wing the MRD-CI package [3]. Details will be presented at the conference. [4pt] [1] L. B. Zhao, D. C. Joseph, B. C. Saha, H. P. Liebermann, P. Funke and R. J. Buenker, Phys. Rev A, 79, 034701 (1009).[0pt] [2] M. Kimura and N. F. Lane, At. Mol. Opt. Phys 26, 79 (1990).[0pt] [3] R. J. Buenker, ``Current Aspects of Quantum Chemistry 1981, Vol 21, edited by R. Carbo (Elsevier, Amsterdam) p 17.

  3. Effect of PECVD SiNx/SiOy Nx –Si interface property on surface passivation of silicon wafer

    International Nuclear Information System (INIS)

    Jia Xiao-Jie; Zhou Chun-Lan; Zhou Su; Wang Wen-Jing; Zhu Jun-Jie

    2016-01-01

    It is studied in this paper that the electrical characteristics of the interface between SiO y N x /SiN x stack and silicon wafer affect silicon surface passivation. The effects of precursor flow ratio and deposition temperature of the SiO y N x layer on interface parameters, such as interface state density Di t and fixed charge Q f , and the surface passivation quality of silicon are observed. Capacitance–voltage measurements reveal that inserting a thin SiO y N x layer between the SiN x and the silicon wafer can suppress Q f in the film and D it at the interface. The positive Q f and D it and a high surface recombination velocity in stacks are observed to increase with the introduced oxygen and minimal hydrogen in the SiO y N x film increasing. Prepared by deposition at a low temperature and a low ratio of N 2 O/SiH 4 flow rate, the SiO y N x /SiN x stacks result in a low effective surface recombination velocity (S eff ) of 6 cm/s on a p-type 1 Ω·cm–5 Ω·cm FZ silicon wafer. The positive relationship between S eff and D it suggests that the saturation of the interface defect is the main passivation mechanism although the field-effect passivation provided by the fixed charges also make a contribution to it. (paper)

  4. Grafting cavitands on the Si(100) surface.

    Science.gov (United States)

    Condorelli, Guglielmo G; Motta, Alessandro; Favazza, Maria; Fragalà, Ignazio L; Busi, Marco; Menozzi, Edoardo; Dalcanale, Enrico; Cristofolini, Luigi

    2006-12-19

    Cavitand molecules having double bond terminated alkyl chains and different bridging groups at the upper rim have been grafted on H-terminated Si(100) surface via photochemical hydrosilylation of the double bonds. Pure and mixed monolayers have been obtained from mesitylene solutions of either pure cavitand or cavitand/1-octene mixtures. Angle resolved high-resolution X-ray photoelectron spectroscopy has been used as the main tool for the monolayer characterization. The cavitand decorated surface consists of Si-C bonded layers with the upper rim at the top of the layer. Grafting of pure cavitands leads to not-well-packed layers, which are not able to efficiently passivate the Si(100) surface. By contrast, monolayers obtained from cavitand/1-octene mixtures consist of well-packed layers since they prevent silicon oxidation after aging. AFM measurements showed that these monolayers have a structured topography, with objects protruding from the Si(100) surface with average heights compatible with the expected ones for cavitand molecules.

  5. Ab-initio modeling of oxygen on the surface passivation of 3C-SiC nanostructures

    International Nuclear Information System (INIS)

    Cuevas, J.L.; Trejo, A.; Calvino, M.; Carvajal, E.; Cruz-Irisson, M.

    2012-01-01

    In this work the effect of OH on the electronic states of H-passivated 3C-SiC nanostructures, was studied by means of Density Functional Theory. We compare the electronic band structure for a [1 1 1]-oriented nanowire with total H, OH passivation and a combination of both. Also the electronic states of a porous silicon carbide case (PSiC) a C-rich pore surface in which the dangling bonds on the surface are saturated with H and OH was studied. The calculations show that the surface replacement of H with OH radicals is always energetically favorable and more stable. In all cases the OH passivation produced a similar effect than the H passivation, with electronic band gap of lower energy value than the H-terminated phase. When the OH groups are attached to C atoms, the band gap feature is changed from direct to indirect. The results indicate the possibility of band gap engineering on SiC nanostructures through the surface passivation species.

  6. Formation of oxides and segregation of mobile atoms during SIMS profiling of Si with oxygen ions

    Energy Technology Data Exchange (ETDEWEB)

    Petravic, M.; Williams, J.S.; Svensson, B.G.; Conway, M. [Australian National Univ., Canberra, ACT (Australia). Research School of Physical Sciences

    1993-12-31

    An oxygen beam is commonly used in secondary ion mass spectroscopy (SIMS) analysis to enhance the ionization probability for positive secondary ions. It has been observed, however, that this technique produces in some cases a great degradation of depth resolution. The most pronounced effects have been found for impurities in silicon under oxygen bombardment at angles of incidence smaller than {approx} 30 deg from the surface normal. A new approach is described which involved broadening of SIMS profiles for some mobile atoms, such as Cu, Ni and Au, implanted into silicon. The anomalously large broadening is explained in terms of segregation at a SiO{sub 2}/Si interface formed during bombardment with oxygen at impact angles less than 30 deg. 2 refs., 1 tab., 4 figs.

  7. Formation of oxides and segregation of mobile atoms during SIMS profiling of Si with oxygen ions

    Energy Technology Data Exchange (ETDEWEB)

    Petravic, M; Williams, J S; Svensson, B G; Conway, M [Australian National Univ., Canberra, ACT (Australia). Research School of Physical Sciences

    1994-12-31

    An oxygen beam is commonly used in secondary ion mass spectroscopy (SIMS) analysis to enhance the ionization probability for positive secondary ions. It has been observed, however, that this technique produces in some cases a great degradation of depth resolution. The most pronounced effects have been found for impurities in silicon under oxygen bombardment at angles of incidence smaller than {approx} 30 deg from the surface normal. A new approach is described which involved broadening of SIMS profiles for some mobile atoms, such as Cu, Ni and Au, implanted into silicon. The anomalously large broadening is explained in terms of segregation at a SiO{sub 2}/Si interface formed during bombardment with oxygen at impact angles less than 30 deg. 2 refs., 1 tab., 4 figs.

  8. Enhancement of electroluminescence from embedded Si quantum dots/SiO2multilayers film by localized-surface-plasmon and surface roughening.

    Science.gov (United States)

    Li, Wei; Wang, Shaolei; Hu, Mingyue; He, Sufeng; Ge, Pengpeng; Wang, Jing; Guo, Yan Yan; Zhaowei, Liu

    2015-07-03

    In this paper, we prepared a novel structure to enhance the electroluminescence intensity from Si quantum dots/SiO2multilayers. An amorphous Si/SiO2 multilayer film was fabricated by plasma-enhanced chemical vapor deposition on a Pt nanoparticle (NP)-coated Si nanopillar array substrate. By thermal annealing, an embedded Si quantum dot (QDs)/SiO2 multilayer film was obtained. The result shows that electroluminescence intensity was significantly enhanced. And, the turn-on voltage of the luminescent device was reduced to 3 V. The enhancement of the light emission is due to the resonance coupling between the localized-surface-plasmon (LSP) of Pt NPs and the band-gap emission of Si QDs/SiO2 multilayers. The other factors were the improved absorption of excitation light and the increase of light extraction ratio by surface roughening structures. These excellent characteristics are promising for silicon-based light-emitting applications.

  9. Atomic and electronic structures of novel silicon surface structures

    Energy Technology Data Exchange (ETDEWEB)

    Terry, J.H. Jr.

    1997-03-01

    The modification of silicon surfaces is presently of great interest to the semiconductor device community. Three distinct areas are the subject of inquiry: first, modification of the silicon electronic structure; second, passivation of the silicon surface; and third, functionalization of the silicon surface. It is believed that surface modification of these types will lead to useful electronic devices by pairing these modified surfaces with traditional silicon device technology. Therefore, silicon wafers with modified electronic structure (light-emitting porous silicon), passivated surfaces (H-Si(111), Cl-Si(111), Alkyl-Si(111)), and functionalized surfaces (Alkyl-Si(111)) have been studied in order to determine the fundamental properties of surface geometry and electronic structure using synchrotron radiation-based techniques.

  10. Cluster-surface collisions: Characteristics of Xe55- and C20 - Si[111] surface bombardment

    International Nuclear Information System (INIS)

    Cheng, H.

    1999-01-01

    Molecular dynamics (MD) simulations are performed to study the cluster-surface collision processes. Two types of clusters, Xe 55 and C 20 are used as case studies of materials with very different properties. In studies of Xe 55 - Si[111] surface bombardment, two initial velocities, 5.0 and 10.0 km/s (normal to the surface) are chosen to investigate the dynamical consequences of the initial energy or velocity in the cluster-surface impact. A transition in the speed of kinetic energy propagation, from subsonic velocities to supersonic velocities, is observed. Energy transfer, from cluster translational motion to the substrate, occurs at an extremely fast rate that increases as the incident velocity increases. Local melting and amorphous layer formation in the surfaces are found via energetic analysis of individual silicon atoms. For C 20 , the initial velocity ranges from 10 to 100 km/s. The clusters are damaged immediately upon impact. Similar to Xe 55 , increase in the potential energy is larger than the increase in internal kinetic energy. However, the patterns of energy distribution are different for the two types of clusters. The energy transfer from the carbon clusters to Si(111) surface is found to be slower than that found in the Xe clusters. Fragmentation of the carbon cluster occurs when the initial velocity is greater than 30 km/s. At 10 km/s, the clusters show recrystallization at later times. The average penetration depth displays a nonlinear dependence on the initial velocity. Disturbance in the surface caused by C 20 is discussed and compared to the damage caused by Xe 55 . Energetics, structures, and dynamics of these systems are fully analyzed and characterized. copyright 1999 American Institute of Physics

  11. Structure and stability of semiconductor tip apexes for atomic force microscopy

    International Nuclear Information System (INIS)

    Pou, P; Perez, R; Ghasemi, S A; Goedecker, S; Jelinek, P; Lenosky, T

    2009-01-01

    The short range force between the tip and the surface atoms, that is responsible for atomic-scale contrast in atomic force microscopy (AFM), is mainly controlled by the tip apex. Thus, the ability to image, manipulate and chemically identify single atoms in semiconductor surfaces is ultimately determined by the apex structure and its composition. Here we present a detailed and systematic study of the most common structures that can be expected at the apex of the Si tips used in experiments. We tackle the determination of the structure and stability of Si tips with three different approaches: (i) first principles simulations of small tip apexes; (ii) simulated annealing of a Si cluster; and (iii) a minima hopping study of large Si tips. We have probed the tip apexes by making atomic contacts between the tips and then compared force-distance curves with the experimental short range forces obtained with dynamic force spectroscopy. The main conclusion is that although there are multiple stable solutions for the atomically sharp tip apexes, they can be grouped into a few types with characteristic atomic structures and properties. We also show that the structure of the last atomic layers in a tip apex can be both crystalline and amorphous. We corroborate that the atomically sharp tips are thermodynamically stable and that the tip-surface interaction helps to produce the atomic protrusion needed to get atomic resolution.

  12. Effect of Si on the oxidation reaction of α-Ti(0 0 0 1) surface: ab initio molecular dynamics study.

    Science.gov (United States)

    Bhattacharya, Somesh Kr; Sahara, Ryoji; Ueda, Kyosuke; Narushima, Takayuki

    2017-01-01

    We present our ab initio molecular dynamics (MD) study of the effect of Si on the oxidation of α-Ti(0   0   0   1) surfaces. We varied the Si concentration in the first layer of the surface from 0 to 25 at.% and the oxygen coverage ( θ ) on the surface was varied up to 1 monolayer (ML). The MD was performed at 300, 600 and 973 K. For θ  = 0.5 ML, oxygen penetration into the slab was not observed after 16 ps of MD at 973 K while for θ  > 0.5 ML, oxygen penetration into the Ti slab was observed even at 300 K. From Bader charge analysis, we confirmed the formation of the oxide layer on the surface of the Ti slab. At higher temperatures, the Si atoms diffused from the first layer to the interior of the slab, while the Ti atoms moved from second layer to the first layer. The pair correlation function shows the formation of a disordered Ti-O network during the initial stage of oxidation. Si was found to have a strong influence on the penetration of oxygen in the Ti slab at high temperatures.

  13. Spectroscopic characterization of a single dangling bond on a bare Si(100)- c ( 4 × 2 ) surface for n - and p -type doping

    KAUST Repository

    Mantega, M.

    2012-07-19

    We investigate the charging state of an isolated single dangling bond formed on an unpassivated Si(100) surface with c(4×2) reconstruction, by comparing scanning tunneling microscopy and spectroscopy analysis with density functional theory calculations. The dangling bond is created by placing a single hydrogen atom on the bare surface with the tip of a scanning tunneling microscope. The H atom passivates one of the dimer dangling bonds responsible for the surface one-dimensional electronic structure. This leaves a second dangling at the reacted surface dimer which breaks the surface periodicity. We consider two possible H adsorption configurations for both the neutral and the doped situation (n- and p-type). In the case of n-doping we find that the single dangling bond state is doubly occupied and the most stable configuration is that with H bonded to the bottom Si atom of the surface dimer. In the case of p-doping the dangling bond is instead empty and the configuration with the H attached to the top atom of the dimer is the most stable. Importantly the two configurations have different scattering properties and phase shift fingerprints. This might open up interesting perspectives for fabricating a switching device by tuning the doping level or by locally charging the single dangling bond state. © 2012 American Physical Society.

  14. Spectroscopic characterization of a single dangling bond on a bare Si(100)- c ( 4 × 2 ) surface for n - and p -type doping

    KAUST Repository

    Mantega, M.; Rungger, I.; Naydenov, B.; Boland, J. J.; Sanvito, S.

    2012-01-01

    We investigate the charging state of an isolated single dangling bond formed on an unpassivated Si(100) surface with c(4×2) reconstruction, by comparing scanning tunneling microscopy and spectroscopy analysis with density functional theory calculations. The dangling bond is created by placing a single hydrogen atom on the bare surface with the tip of a scanning tunneling microscope. The H atom passivates one of the dimer dangling bonds responsible for the surface one-dimensional electronic structure. This leaves a second dangling at the reacted surface dimer which breaks the surface periodicity. We consider two possible H adsorption configurations for both the neutral and the doped situation (n- and p-type). In the case of n-doping we find that the single dangling bond state is doubly occupied and the most stable configuration is that with H bonded to the bottom Si atom of the surface dimer. In the case of p-doping the dangling bond is instead empty and the configuration with the H attached to the top atom of the dimer is the most stable. Importantly the two configurations have different scattering properties and phase shift fingerprints. This might open up interesting perspectives for fabricating a switching device by tuning the doping level or by locally charging the single dangling bond state. © 2012 American Physical Society.

  15. On the interplay between Si(110) epilayer atomic roughness and subsequent 3C-SiC growth direction

    Science.gov (United States)

    Khazaka, Rami; Michaud, Jean-François; Vennéguès, Philippe; Nguyen, Luan; Alquier, Daniel; Portail, Marc

    2016-11-01

    In this contribution, we performed the growth of a 3C-SiC/Si/3C-SiC layer stack on a Si(001) substrate by means of chemical vapor deposition. We show that, by tuning the growth conditions, the 3C-SiC epilayer can be grown along either the [111] direction or the [110] direction. The key parameter for the growth of the desired 3C-SiC orientation on the Si(110)/3C-SiC(001)/Si(001) heterostructure is highlighted and is linked to the Si epilayer surface morphology. The epitaxial relation between the layers has been identified using X-ray diffraction and transmission electron microscopy (TEM). We showed that, regardless of the top 3C-SiC epilayer orientation, domains rotated by 90° around the growth direction are present in the epilayer. Furthermore, the difference between the two 3C-SiC orientations was investigated by means of high magnification TEM. The results indicate that the faceted Si(110) epilayer surface morphology results in a (110)-oriented 3C-SiC epilayer, whereas a flat hetero-interface has been observed between 3C-SiC(111) and Si(110). The control of the top 3C-SiC growth direction can be advantageous for the development of new micro-electro-mechanical systems.

  16. Super-hydrophobic surfaces of SiO₂-coated SiC nanowires: fabrication, mechanism and ultraviolet-durable super-hydrophobicity.

    Science.gov (United States)

    Zhao, Jian; Li, Zhenjiang; Zhang, Meng; Meng, Alan

    2015-04-15

    The interest in highly water-repellent surfaces of SiO2-coated SiC nanowires has grown in recent years due to the desire for self-cleaning and anticorrosive surfaces. It is imperative that a simple chemical treatment with fluoroalkylsilane (FAS, CF3(CF2)7CH2CH2Si(OC2H5)3) in ethanol solution at room temperature resulted in super-hydrophobic surfaces of SiO2-coated SiC nanowires. The static water contact angle of SiO2-coated SiC nanowires surfaces was changed from 0° to 153° and the morphology, microstructure and crystal phase of the products were almost no transformation before and after super-hydrophobic treatment. Moreover, a mechanism was expounded reasonably, which could elucidate the reasons for their super-hydrophobic behavior. It is important that the super-hydrophobic surfaces of SiO2-coated SiC nanowires possessed ultraviolet-durable (UV-durable) super-hydrophobicity. Copyright © 2014 Elsevier Inc. All rights reserved.

  17. Benchmarking surface signals when growing GaP on Si in CVD ambients

    Energy Technology Data Exchange (ETDEWEB)

    Doescher, Henning

    2010-10-26

    agree very well with InP(100) surface reconstructions, as well as the development of the corresponding RAS signatures, gained by benchmarking the optical in situ signals with STM and LEED results. APD quantification by RAS was greatly improved by an empirical correction of the in situ spectra taking thin film interferences into account. In addition, optical model calculations were developed to extract the anisotropy of the GaP/Si(100) heterointerface from the RAS signals by separation of surface, bulk and interface contributions. The quantitative in situ analysis concept was compared to and supported by low energy electron microscopy (LEEM) experiments, which were established for APD quantification and APD visualization on a mesoscopic length scale, as well as atomic force microscopy (AFM) and transmission electron microscopy (TEM). Benchmarking of all of these methods served to improve the MOVPE process consisting of Si substrate conditioning and GaP nucleation and growth. (orig.)

  18. Interaction of tetraethoxysilane with OH-terminated SiO{sub 2} (0 0 1) surface: A first principles study

    Energy Technology Data Exchange (ETDEWEB)

    Deng, Xiaodi, E-mail: dixiaodeng@gmail.com [Department of Engineering Physics, Tsinghua University, Beijing 100084 (China); Song, Yixu, E-mail: songyixu@163.com [State Key Laboratory on Intelligent Technology and Systems, Tsinghua National Laboratory for Information Science and Technology, Department of Computer Science and Technology, Tsinghua University, Beijing 100084 (China); Li, Jinchun [Institute of Applied Physics, University of Science and Technology Beijing, Beijing 100083 (China); Pu, Yikang [Department of Engineering Physics, Tsinghua University, Beijing 100084 (China)

    2014-06-01

    First principles calculates have been performed to investigate the surface reaction mechanism of tetraethoxysilane (TEOS) with fully hydroxylated SiO{sub 2}(0 0 1) substrate. In semiconductor industry, this is the key step to understand and control the SiO{sub 2} film growth in chemical vapor deposition (CVD) and atomic layer deposition (ALD) processes. During the calculation, we proposed a model which breaks the surface dissociative chemisorption into two steps and we calculated the activation barriers and thermochemical energies for each step. Our calculation result for step one shows that the first half reaction is thermodynamically favorable. For the second half reaction, we systematically studied the two potential reaction pathways. The comparing result indicates that the pathway which is more energetically favorable will lead to formation of crystalline SiO{sub 2} films while the other will lead to formation of disordered SiO{sub 2} films.

  19. Modulating the Surface State of SiC to Control Carrier Transport in Graphene/SiC.

    Science.gov (United States)

    Jia, Yuping; Sun, Xiaojuan; Shi, Zhiming; Jiang, Ke; Liu, Henan; Ben, Jianwei; Li, Dabing

    2018-05-28

    Silicon carbide (SiC) with epitaxial graphene (EG/SiC) shows a great potential in the applications of electronic and photoelectric devices. The performance of devices is primarily dependent on the interfacial heterojunction between graphene and SiC. Here, the band structure of the EG/SiC heterojunction is experimentally investigated by Kelvin probe force microscopy. The dependence of the barrier height at the EG/SiC heterojunction to the initial surface state of SiC is revealed. Both the barrier height and band bending tendency of the heterojunction can be modulated by controlling the surface state of SiC, leading to the tuned carrier transport behavior at the EG/SiC interface. The barrier height at the EG/SiC(000-1) interface is almost ten times that of the EG/SiC(0001) interface. As a result, the amount of carrier transport at the EG/SiC(000-1) interface is about ten times that of the EG/SiC(0001) interface. These results offer insights into the carrier transport behavior at the EG/SiC heterojunction by controlling the initial surface state of SiC, and this strategy can be extended in all devices with graphene as the top layer. © 2018 WILEY-VCH Verlag GmbH & Co. KGaA, Weinheim.

  20. Passivation of hexagonal SiC surfaces by hydrogen termination

    International Nuclear Information System (INIS)

    Seyller, Thomas

    2004-01-01

    Surface hydrogenation is a well established technique in silicon technology. It is easily accomplished by wet-chemical procedures and results in clean and unreconstructed surfaces, which are extremely low in charged surface states and stable against oxidation in air, thus constituting an ideal surface preparation. As a consequence, methods for hydrogenation have been sought for preparing silicon carbide (SiC) surfaces with similar well defined properties. It was soon recognized, however, that due to different surface chemistry new ground had to be broken in order to find a method leading to the desired monatomic hydrogen saturation. In this paper the results of H passivation of SiC surfaces by high-temperature hydrogen annealing will be discussed, thereby placing emphasis on chemical, structural and electronic properties of the resulting surfaces. In addition to their unique properties, hydrogenated hexagonal SiC {0001} surfaces offer the interesting possibility of gaining insight into the formation of silicon- and carbon-rich reconstructions as well. This is due to the fact that to date hydrogenation is the only method providing oxygen-free surfaces with a C to Si ratio of 1:1. Last but not least, the electronic properties of hydrogen-free SiC {0001} surfaces will be alluded to. SiC {0001} surfaces are the only known semiconductor surfaces that can be prepared in their unreconstructed (1 x 1) state with one dangling bond per unit cell by photon induced hydrogen desorption. These surfaces give indications of a Mott-Hubbard surface band structure

  1. Surface effect on the electronic and the magnetic properties of rock-salt alkaline-earth metal silicides

    International Nuclear Information System (INIS)

    Bialek, Beata; Lee, Jaeil

    2011-01-01

    An all electron ab-initio method was employed to study the electronic and the magnetic properties of the (001) surface of alkaline-earth metal silicides, CaSi, SrSi, and BaSi, in the rock-salt structure. The three compounds retain their ferromagnetic metallic properties at the surface. Due to the surface effects, the magnetism of the topmost layer is changed as compared with the bulk. This is a short-range effect. In CaSi, the magnetism of the surface layer is noticeably reduced, as compared with the bulk: magnetic moments (MMs) on both Ca and Si atoms are reduced. In SrSi (001), the polarization of electrons in the surface atoms is similar to that in the bulk atoms, and the values of MMs on the component atoms in the topmost layer do not change as much as in CaSi. In BaSi (001), the magnetic properties of Si surface atoms are enhanced slightly, and the magnetism of Ba atoms is not affected considerably by the surface effect. The calculated densities of states confirm the short-range effect of the surface on the electronic properties of the metal silicides.

  2. Atomic probes of surface structure and dynamics

    International Nuclear Information System (INIS)

    Heller, E.J.; Jonsson, H.

    1992-01-01

    Progress for the period Sept. 15, 1992 to Sept. 14, 1993 is discussed. Semiclassical methods that will allow much faster and more accurate three-dimensional atom--surface scattering calculations, both elastic and inelastic, are being developed. The scattering of He atoms from buckyballs is being investigated as a test problem. Somewhat more detail is given on studies of He atom scattering from defective Pt surfaces. Molecular dynamics simulations of He + and Ar + ion sputtering of Pt surfaces are also being done. He atom scattering from Xe overlayers on metal surfaces and the thermalized dissociation of H 2 on Cu(110) are being studied. (R.W.R.) 64 refs

  3. Influence of H on the composition and atomic concentrations of 'N-rich' plasma deposited SiOxNyHz films

    International Nuclear Information System (INIS)

    Prado, A. del; San Andres, E.; Martil, I.; Gonzalez-Diaz, G.; Bohne, W.; Roehrich, J.; Selle, B.

    2004-01-01

    The influence of H on the composition and atomic concentrations of Si, O, and N of plasma deposited SiO x N y H z films was investigated. The bonding scheme of H was analyzed by Fourier-transform infrared spectroscopy. The composition and absolute concentrations of all the species present in the SiO x N y H z , including H, was measured by heavy-ion elastic recoil detection analysis (HI-ERDA). Samples were deposited from SiH 4 , O 2 , and N 2 gas mixtures, with different gas flow ratios in order to obtain compositions ranging from SiN y H z to SiO 2 . Those samples deposited at higher SiH 4 partial pressures show both Si-H and N-H bonds, while those deposited at lower SiH 4 partial pressures show N-H bonds only. The Si-H and N-H bond concentrations were found to be proportional to the N concentration. The concentration of H was evaluated from the Si-H and N-H stretching absorption bands and compared to the HI-ERDA results, finding good agreement between both measurements. The deviation from H-free stoichiometric SiO x N y composition due to the presence of N-H bonds results in an effective coordination number of N to produce Si-N bonds lower than 3. By fitting the experimental composition data to a theoretical model taking into account the influence of N-H bonds, the actual concentration of N-H bonds was obtained, making evident the presence of nonbonded H. The presence of Si-H and Si-Si bonds was found to partially compensate the effect of N-H bonds, from the point of view of the relative N and Si contents. Finally, the presence of N-H bonds results in a lower Si atom concentration with respect to the stoichiometric film, due to a replacement of Si atoms by H atoms. This decrease of the Si concentration is lower in those films containing Si-H and Si-Si bonds. A model was developed to calculate the Si, O, and N atom concentrations taking into account the influence of N-H, Si-H, and Si-Si bonds, and was found to be in perfect agreement with the experimental data

  4. Near-surface and bulk behavior of Ag in SiC

    International Nuclear Information System (INIS)

    Xiao, H.Y.; Zhang, Y.; Snead, L.L.; Shutthanandan, V.; Xue, H.Z.; Weber, W.J.

    2012-01-01

    Highlights: ► Ag release from SiC poses problems in safe operation of nuclear reactors. ► Near-surface and bulk behavior of Ag are studied by ab initio and ion beam methods. ► Ag prefers to adsorb on the surface rather than in the bulk SiC. ► At high temperature Ag desorbs from the surface instead of diffusion into bulk SiC. ► Surface diffusion may be a dominating mechanism accounting for Ag release from SiC. - Abstract: The diffusive release of fission products, such as Ag, from TRISO particles at high temperatures has raised concerns regarding safe and economic operation of advanced nuclear reactors. Understanding the mechanisms of Ag diffusion is thus of crucial importance for effective retention of fission products. Two mechanisms, i.e., grain boundary diffusion and vapor or surface diffusion through macroscopic structures such as nano-pores or nano-cracks, remain in debate. In the present work, an integrated computational and experimental study of the near-surface and bulk behavior of Ag in silicon carbide (SiC) has been carried out. The ab initio calculations show that Ag prefers to adsorb on the SiC surface rather than in the bulk, and the mobility of Ag on the surface is high. The energy barrier for Ag desorption from the surface is calculated to be 0.85–1.68 eV, and Ag migration into bulk SiC through equilibrium diffusion process is not favorable. Experimentally, Ag ions are implanted into SiC to produce Ag profiles buried in the bulk and peaked at the surface. High-temperature annealing leads to Ag release from the surface region instead of diffusion into the interior of SiC. It is suggested that surface diffusion through mechanical structural imperfection, such as vapor transport through cracks in SiC coatings, may be a dominating mechanism accounting for Ag release from the SiC in the nuclear reactor.

  5. Shaping Ge islands on Si(001) surfaces with misorientation angle.

    Science.gov (United States)

    Persichetti, L; Sgarlata, A; Fanfoni, M; Balzarotti, A

    2010-01-22

    A complete description of Ge growth on vicinal Si(001) surfaces in the angular miscut range 0 degrees -8 degrees is presented. The key role of substrate vicinality is clarified from the very early stages of Ge deposition up to the nucleation of 3D islands. By a systematic scanning tunneling microscopy investigation we are able to explain the competition between step-flow growth and 2D nucleation and the progressive elongation of the 3D islands along the miscut direction [110]. Using finite element calculations, we find a strict correlation between the morphological evolution and the energetic factors which govern the {105} faceting at atomic scale.

  6. Sequential growth in solution of NiFe Prussian blue coordination network nano-layers on Si(100) surfaces

    International Nuclear Information System (INIS)

    Tricard, Simon; Costa-Coquelard, Claire; Volatron, Florence; Fleury, Benoit; Huc, Vincent; Mallah, Talal; Albouy, Pierre-Antoine; David, Christophe; Miserque, Frederic; Jegou, Pascale; Palacin, Serge

    2012-01-01

    Controlling the elaboration of Coordination Networks (CoNet) on surfaces at the nano-scale remains a challenge. One suitable technique is the Sequential Growth in Solution (SGS), which has the advantage to be simple, cheap and fast. We addressed two issues in this article: i) the controlled synthesis of ultra thin films of CoNet (thickness lower than 10 nm), and ii) the investigation of the influence of the precursors' concentration on the growth process. Si(100) was used because it is possible to prepare atomically flat Si-H surfaces, which is necessary for the growth of ultrathin films. We used, as a model system, the sequential reactions of K 4 [Fe(II)(CN) 6 ] and [Ni(II)(H 2 O) 6 ]Cl 2 that occur by the substitution of the water molecules in the coordination sphere of Ni(II) by the nitrogen atoms of ferrocyanide. We demonstrated that the nature of the deposited film depends mainly on the relative concentration of the anchoring sites versus the precursors' solution. Attenuated Total Reflection Fourier Transformed Infra Red (ATR-FTIR), X-ray reflectivity, X-ray Photoelectron Spectroscopy (XPS) and Atomic Force Microscopy (AFM) were used to characterize the steps of the growth process. (authors)

  7. Atomization of Impinging Droplets on Superheated Superhydrophobic Surfaces

    Science.gov (United States)

    Emerson, Preston; Crockett, Julie; Maynes, Daniel

    2017-11-01

    Water droplets impinging smooth superheated surfaces may be characterized by dynamic vapor bubbles rising to the surface, popping, and causing a spray of tiny droplets to erupt from the droplet. This spray is called secondary atomization. Here, atomization is quantified experimentally for water droplets impinging superheated superhydrophobic surfaces. Smooth hydrophobic and superhydrophobic surfaces with varying rib and post microstructuring were explored. Each surface was placed on an aluminum heating block, and impingement events were captured with a high speed camera at 3000 fps. For consistency among tests, all events were normalized by the maximum atomization found over a range of temperatures on a smooth hydrophobic surface. An estimate of the level of atomization during an impingement event was created by quantifying the volume of fluid present in the atomization spray. Droplet diameter and Weber number were held constant, and atomization was found for a range of temperatures through the lifetime of the impinging droplet. The Leidenfrost temperature was also determined and defined to be the lowest temperature at which atomization ceases to occur. Both atomization and Leidenfrost temperature increase with decreasing pitch (distance between microstructures).

  8. Theoretical Studies of Pb on Si(111) and Si(100), Global Search for H-Passivated Si nanowires, and Construction of highly Localized Quasiatomic Minimal Basis Orbitals for Mo

    International Nuclear Information System (INIS)

    Tzu-Liang Chan

    2005-01-01

    Metal on semiconductor surfaces has been the topic of intense studies due to its technological applications. As nano-devices shrink in size, the conventional understanding of electronic devices are no longer applicable as quantum effects start to play an important role in the behavior of the devices. At the same time, when structures are approaching atomic scale, the precise fabrication by lithographic techniques, for example, are not even applicable. Very often, the fabrication of regular structures rely on self-assembly which is susceptible to fluctuations. Therefore, a deeper understanding to exploit the quantum behavior of nano-devices and precise control of building nano-structures are highly desired. Si(100) and Si(111) surfaces are the most studied system because they are the Si surfaces with the lowest surface energy. Pb on Si(100) and Si(111) is often chosen as the prototype system for the study of metal/semiconductor interfaces because Pb is not reactive with Si thus forming a clean well-defined hetero-interface. A prominent problem for studying the physics of metal/semiconductor interfaces is that the interface structures are usually not known. While various experimental techniques can be employed to provide clues to the atomic geometries, definite conclusions usually cannot be drawn due to the intrinsic limitations of the experiments. For example, scanning-tunneling microscopy (STM) only probes the local electronic density of states in which a maximum in intensity does not necessarily correspond to atomic positions. Low-energy electron diffraction (LEED) and X-ray diffraction experiments provide results in the reciprocal space, which may elude a direct interpretation in the real space

  9. Atomic layer deposition and post-growth thermal annealing of ultrathin MoO3 layers on silicon substrates: Formation of surface nanostructures

    Science.gov (United States)

    Liu, Hongfei; Yang, Ren Bin; Yang, Weifeng; Jin, Yunjiang; Lee, Coryl J. J.

    2018-05-01

    Ultrathin MoO3 layers have been grown on Si substrates at 120 °C by atomic layer deposition (ALD) using molybdenum hexacarbonyl [Mo(CO)6] and ozone (O3) as the Mo- and O-source precursors, respectively. The ultrathin films were further annealed in air at Tann = 550-750 °C for 15 min. Scanning-electron microscopy, energy-dispersive X-ray spectroscopy, and X-ray photoelectron spectroscopy have been employed to evaluate the morphological and elemental properties as well as their evolutions upon annealing of the thin films. They revealed an interfacial SiOx layer in between the MoO3 layer and the Si substrate; this SiOx layer converted into SiO2 during the annealing; and the equivalent thickness of the MoO3 (SiO2) layer decreased (increased) with the increase in Tann. Particles with diameters smaller than 50 nm emerged at Tann = 550 °C and their sizes (density) were reduced (increased) by increasing Tann to 650 °C. A further increase of Tann to 750 °C resulted in telephone-cord-like MoO3 structures, initiated from isolated particles on the surface. These observations have been discussed and interpreted based on temperature-dependent atomic interdiffusions, surface evaporations, and/or melting of MoO3, which shed new light on ALD MoO3 towards its electronic applications.

  10. Growth Mechanism and Surface Structure of Ge Nanocrystals Prepared by Thermal Annealing of Cosputtered GeSiO Ternary Precursor

    Directory of Open Access Journals (Sweden)

    Bo Zhang

    2014-01-01

    Full Text Available Ge nanocrystals (Ge-ncs embedded in a SiO2 superlattice structure were prepared by magnetron cosputtering and postdeposition annealing. The formation of spherical nanocrystals was confirmed by transmission electron microscopy and their growth process was studied by a combination of spectroscopic techniques. The crystallinity volume fraction of Ge component was found to increase with crystallite size, but its overall low values indicated a coexistence of crystalline and noncrystalline phases. A reduction of Ge-O species was observed in the superlattice during thermal annealing, accompanied by a transition from oxygen-deficient silicon oxide to silicon dioxide. A growth mechanism involving phase separation of Ge suboxides (GeOx was then proposed to explain these findings and supplement the existing growth models for Ge-ncs in SiO2 films. Further analysis of the bonding structure of Ge atoms suggested that Ge-ncs are likely to have a core-shell structure with an amorphous-like surface layer, which is composed of GeSiO ternary complex. The surface layer thickness was extracted to be a few angstroms and equivalent to several atomic layer thicknesses.

  11. Impact of organic overlayers on a-Si:H/c-Si surface potential

    KAUST Repository

    Seif, Johannes P.

    2017-04-11

    Bilayers of intrinsic and doped hydrogenated amorphous silicon, deposited on crystalline silicon (c-Si) surfaces, simultaneously provide contact passivation and carrier collection in silicon heterojunction solar cells. Recently, we have shown that the presence of overlaying transparent conductive oxides can significantly affect the c-Si surface potential induced by these amorphous silicon stacks. Specifically, deposition on the hole-collecting bilayers can result in an undesired weakening of contact passivation, thereby lowering the achievable fill factor in a finished device. We test here a variety of organic semiconductors of different doping levels, overlaying hydrogenated amorphous silicon layers and silicon-based hole collectors, to mitigate this effect. We find that these materials enhance the c-Si surface potential, leading to increased implied fill factors. This opens opportunities for improved device performance.

  12. Impact of organic overlayers on a-Si:H/c-Si surface potential

    KAUST Repository

    Seif, Johannes P.; Niesen, Bjoern; Tomasi, Andrea; Ballif, Christophe; De Wolf, Stefaan

    2017-01-01

    Bilayers of intrinsic and doped hydrogenated amorphous silicon, deposited on crystalline silicon (c-Si) surfaces, simultaneously provide contact passivation and carrier collection in silicon heterojunction solar cells. Recently, we have shown that the presence of overlaying transparent conductive oxides can significantly affect the c-Si surface potential induced by these amorphous silicon stacks. Specifically, deposition on the hole-collecting bilayers can result in an undesired weakening of contact passivation, thereby lowering the achievable fill factor in a finished device. We test here a variety of organic semiconductors of different doping levels, overlaying hydrogenated amorphous silicon layers and silicon-based hole collectors, to mitigate this effect. We find that these materials enhance the c-Si surface potential, leading to increased implied fill factors. This opens opportunities for improved device performance.

  13. Silicate formation at the interface of Pr-oxide as a high-K dielectric and Si(001) surfaces

    International Nuclear Information System (INIS)

    Schmeisser, D.; Zheng, F.; Perez-Dieste, V.; Himpsel, F.J.; LoNigro, R.; Toro, R.G.; Malandrino, G.; Fragala, I.L.

    2006-01-01

    The composition and chemical bonding of the first atoms across the interface between Si(001) and the dielectric determine the quality of dielectric gate stacks. An analysis of that hidden interface is a challenge as it requires both, high sensitivity and elemental and chemical state information. We used X-ray absorption spectroscopy in total electron yield and total fluorescence yield at the Si2p and the O1s edges to address that issue. We report on results of Pr 2 O 3 /Si(001) as prepared by both, epitaxial growth and metal organic chemical vapor deposition (MOCVD), and compare to the SiO 2 /Si(001) system as a reference. We find evidence for the silicate formation at the interface as derived from the characteristic features at the Si2p and the O1s edges. The results are in line with model experiments in which films of increasing film thickness are deposited in situ on bare Si(001) surfaces

  14. Issues involved in the atomic layer deposition of metals

    Science.gov (United States)

    Grubbs, Robert Kimes

    Auger Electron Spectroscopy (AES) was used to study the nucleation and growth of tungsten on aluminum oxide surfaces. Tungsten metal was deposited using Atomic Layer Deposition (ALD) techniques. ALD uses sequential surface reactions to deposit material with atomic layer control. W ALD is performed using sequential exposures of WF6 and Si2H6. The step-wise nature of W ALD allows nucleation studies to be performed by analyzing the W surface concentration after each ALD reaction. Nucleation and growth regions can be identified by quantifying the AES signal intensities from both the W surface and the Al2O3 substrate. W nucleation occurred in 3 ALD reaction cycles. The AES results yielded a nucleation rate of 1.0 A/ALD cycle and a growth rate of ≈3 A/ALD cycle. AES studies also explored the nucleation and growth of Al2O3 on W. Al2O3 nucleated in 1 ALD cycle giving a nucleation rate of 3.5 A/ALD cycle and a subsequent growth rate of 1.0 A/ALD cycle. Mass spectrometry was then used to study the ALD reaction chemistry of tungsten deposition. Because of the step-wise nature of the W ALD chemistry, each W ALD reaction could be studied independently. The gaseous mass products were identified from both the WF6 and Si2H6 reactions. H2, HF and SiF4 mass products were observed for the WF6 reaction. The Si2H6 reaction displayed a room temperature reaction and a 200°C reaction. Products from the room temperature Si2H6 reaction were H2 and SiF3H. The reaction at 200°C yielded only H2 as a reaction product. H2 desorption from the surface contributes to the 200°C Si2H6 reaction. AES was used to confirm that the gas phase reaction products are correlated with a change in the surface species. Atomic hydrogen reduction of metal halides and oganometallic compounds provides another method for depositing metals with atomic layer control. The quantity of atomic hydrogen necessary to perform this chemistry is critical to the metal ALD process. A thermocouple probe was constructed to

  15. Extra metal adatom surface diffusion simulation on 1/3 ML Si(111) √3×√3 metal-induced surfaces

    International Nuclear Information System (INIS)

    Luniakov, Yu V

    2013-01-01

    A first-principle simulation of the surface diffusion of an extra metal (Me) adatom has been performed on the corresponding 1/3 monolayer (ML) Si(111) √3×√3 Me-induced surfaces. Using the nudged elastic band (NEB) optimization method, the minimum energy paths and the activation energy barrier profiles for all known Me-inducing √3×√3 reconstruction on an Si(111) surface at the 1/3 ML coverage have been obtained and compared with the available experimental data. The activation barrier is shown to depend on the atomic size of the diffusing adatom: the barrier has the highest value for the largest Me adatom, Pb (0.44 eV); lower values for the smaller Me adatoms, Sn (0.36 eV), In (0.22 eV) and Ga (0.13 eV); and the lowest value for the smallest Me adatom, Al (0.08 eV). The Arrhenius pre-exponential factors that were obtained in the harmonic approximation are as large as ∼10 11−13 Hz for all of the investigated surfaces, which supports the single-adatom diffusion model considered here. (paper)

  16. Ab initio study of friction of graphene flake on graphene/graphite or SiC surface

    Science.gov (United States)

    Gulseren, Oguz; Tayran, Ceren; Sayin, Ceren Sibel

    Recently, the rich dynamics of graphene flake on graphite or SiC surfaces are revealed from atomic force microcopy experiments. The studies toward to the understanding of microscopic origin of friction are getting a lot of attention. Despite the several studies of these systems using molecular dynamics methods, density functional theory based investigations are limited because of the huge system sizes. In this study, we investigated the frictional force on graphene flake on graphite or SiC surfaces from pseudopotential planewave calculations based on density functional theory. In both cases, graphene flake (24 C) on graphite or SiC surface, bilayer flake is introduced by freezing the top layer as well as the bottom layer of the surface slab. After fixing the load with these frozen layers, we checked the relative motion of the flake over the surface. A minimum energy is reached when the flake is moved on graphene to attain AB stacking. We also conclude that edge reconstruction because of the finite size of the flake is very critical for frictional properties of the flake; therefore the saturation of dangling bonds with hydrogen is also addressed. Not only the symmetric configurations remaining parameter space is extensively studied. Supported by TUBITAK Project No: 114F162. This work is supported by TUBITAK Project No: 114F162.

  17. PREFACE: Atom-surface scattering Atom-surface scattering

    Science.gov (United States)

    Miret-Artés, Salvador

    2010-08-01

    It has been a privilege and a real pleasure to organize this special issue or festschrift in the general field of atom-surface scattering (and its interaction) in honor of J R Manson. This is a good opportunity and an ideal place to express our deep gratitude to one of the leaders in this field for his fundamental and outstanding scientific contributions. J R Manson, or Dick to his friends and colleagues, is one of the founding fathers, together with N Cabrera and V Celli, of the 'Theory of surface scattering and detection of surface phonons'. This is the title of the very well-known first theoretical paper by Dick published in Physical Review Letters in 1969. My first meeting with Dick was around twenty years ago in Saclay. J Lapujoulade organized a small group seminar about selective adsorption resonances in metal vicinal surfaces. We discussed this important issue in surface physics and many other things as if we had always known each other. This familiarity and warm welcome struck me from the very beginning. During the coming years, I found this to be a very attractive aspect of his personality. During my stays in Göttingen, we had the opportunity to talk widely about science and life at lunch or dinner time, walking or cycling. During these nice meetings, he showed, with humility, an impressive cultural background. It is quite clear that his personal opinions about history, religion, politics, music, etc, come from considering and analyzing them as 'open dynamical systems'. In particular, with good food and better wine in a restaurant or at home, a happy cheerful soirée is guaranteed with him, or even with only a good beer or espresso, and an interesting conversation arises naturally. He likes to listen before speaking. Probably not many people know his interest in tractors. He has an incredible collection of very old tractors at home. In one of my visits to Clemson, he showed me the collection, explaining to me in great detail, their technical properties

  18. Novel microstructural growth in the surface of Inconel 625 by the addition of SiC under electron beam melting

    Energy Technology Data Exchange (ETDEWEB)

    Ahmad, M., E-mail: maqomer@yahoo.com [Physics Division, Pakistan Institute of Nuclear Science and Technology (PINSTECH), P.O. Nilore, Islamabad (Pakistan); Ali, G.; Ahmed, Ejaz; Haq, M.A.; Akhter, J.I. [Physics Division, Pakistan Institute of Nuclear Science and Technology (PINSTECH), P.O. Nilore, Islamabad (Pakistan)

    2011-06-15

    Electron beam melting is being used to modify the microstructure of the surfaces of materials due to its ability to cause localized melting and supercooling of the melt. This article presents an experimental study on the surface modification of Ni-based superalloy (Inconel 625) reinforced with SiC ceramic particles under electron beam melting. Scanning electron microscopy, energy dispersive spectroscopy and X-ray diffraction techniques have been applied to characterize the resulted microstructure. The results revealed growth of novel structures like wire, rod, tubular, pyramid, bamboo and tweezers type morphologies in the modified surface. In addition to that fibrous like structure was also observed. Formation of thin carbon sheet has been found at the regions of decomposed SiC. Electron beam modified surface of Inconel 625 alloy has been hardened twice as compared to the as-received samples. Surface hardening effect may be attributed to both the formation of the novel structures as well as the introduction of Si and C atom in the lattice of Inconel 625 alloy.

  19. Novel microstructural growth in the surface of Inconel 625 by the addition of SiC under electron beam melting

    Science.gov (United States)

    Ahmad, M.; Ali, G.; Ahmed, Ejaz; Haq, M. A.; Akhter, J. I.

    2011-06-01

    Electron beam melting is being used to modify the microstructure of the surfaces of materials due to its ability to cause localized melting and supercooling of the melt. This article presents an experimental study on the surface modification of Ni-based superalloy (Inconel 625) reinforced with SiC ceramic particles under electron beam melting. Scanning electron microscopy, energy dispersive spectroscopy and X-ray diffraction techniques have been applied to characterize the resulted microstructure. The results revealed growth of novel structures like wire, rod, tubular, pyramid, bamboo and tweezers type morphologies in the modified surface. In addition to that fibrous like structure was also observed. Formation of thin carbon sheet has been found at the regions of decomposed SiC. Electron beam modified surface of Inconel 625 alloy has been hardened twice as compared to the as-received samples. Surface hardening effect may be attributed to both the formation of the novel structures as well as the introduction of Si and C atom in the lattice of Inconel 625 alloy.

  20. Novel microstructural growth in the surface of Inconel 625 by the addition of SiC under electron beam melting

    International Nuclear Information System (INIS)

    Ahmad, M.; Ali, G.; Ahmed, Ejaz; Haq, M.A.; Akhter, J.I.

    2011-01-01

    Electron beam melting is being used to modify the microstructure of the surfaces of materials due to its ability to cause localized melting and supercooling of the melt. This article presents an experimental study on the surface modification of Ni-based superalloy (Inconel 625) reinforced with SiC ceramic particles under electron beam melting. Scanning electron microscopy, energy dispersive spectroscopy and X-ray diffraction techniques have been applied to characterize the resulted microstructure. The results revealed growth of novel structures like wire, rod, tubular, pyramid, bamboo and tweezers type morphologies in the modified surface. In addition to that fibrous like structure was also observed. Formation of thin carbon sheet has been found at the regions of decomposed SiC. Electron beam modified surface of Inconel 625 alloy has been hardened twice as compared to the as-received samples. Surface hardening effect may be attributed to both the formation of the novel structures as well as the introduction of Si and C atom in the lattice of Inconel 625 alloy.

  1. Research Progress of Optical Fabrication and Surface-Microstructure Modification of SiC

    Directory of Open Access Journals (Sweden)

    Fang Jiang

    2012-01-01

    Full Text Available SiC has become the best candidate material for space mirror and optical devices due to a series of favorable physical and chemical properties. Fine surface optical quality with the surface roughness (RMS less than 1 nm is necessary for fine optical application. However, various defects are present in SiC ceramics, and it is very difficult to polish SiC ceramic matrix with the 1 nm RMS. Surface modification of SiC ceramics must be done on the SiC substrate. Four kinds of surface-modification routes including the hot pressed glass, the C/SiC clapping, SiC clapping, and Si clapping on SiC surface have been reported and reviewed here. The methods of surface modification, the mechanism of preparation, and the disadvantages and advantages are focused on in this paper. In our view, PVD Si is the best choice for surface modification of SiC mirror.

  2. Diamond surface: atomic and electronic structure

    International Nuclear Information System (INIS)

    Pate, B.B.

    1984-01-01

    Experimental studies of the diamond surface (with primary emphasis on the (111) surface) are presented. Aspects of the diamond surface which are addressed include (1) the electronic structure, (2) the atomic structure, and (3) the effect of termination of the lattice by foreign atoms. Limited studies of graphite are discussed for comparison with the diamond results. Experimental results from valence band and core level photoemission spectroscopy (PES), Auger electron spectroscopy (AES), low energy electron diffraction (LEED), and carbon 1s near edge x-ray absorption fine structure (NEXAFS) spectroscopy (both the total electron yield (TEY) and Auger electron yield (AEY) techniques) are used to study and characterize both the clean and hydrogenated surface. In addition, the interaction of hydrogen with the diamond surface is examined using results from vibrational high resolution low energy electron loss spectroscopy (in collaboration with Waclawski, Pierce, Swanson, and Celotta at the National Bureau of Standards) and photon stimulated ion desorption (PSID) yield at photon energies near the carbon k-edge (hv greater than or equal to 280 eV). Both EELS and PSID verify that the mechanically polished 1 x 1 surface is hydrogen terminated and also that the reconstructed surface is hydrogen free. The (111) 2 x 2/2 x 1 reconstructed surface is obtained from the hydrogenated (111) 1 x 1:H surface by annealing to approx. = 1000 0 C. We observe occupied intrinsic surface states and a surface chemical shift (0.95 +- 0.1 eV) to lower binding energy of the carbon 1s level on the hydrogen-free reconstructed surface. Atomic hydrogen is found to be reactive with the reconstructed surface, while molecular hydrogen is relatively inert. Exposure of the reconstructed surface to atomic hydrogen results in chemisorption of hydrogen and removal of the intrinsic surface state emission in and near the band gap region

  3. Microstructural investigation of Sr-modified Al-15 wt%Si alloys in the range from micrometer to atomic scale.

    Science.gov (United States)

    Timpel, M; Wanderka, N; Vinod Kumar, G S; Banhart, J

    2011-05-01

    Strontium-modified Al-15 wt%Si casting alloys were investigated after 5 and 60 min of melt holding. The eutectic microstructures were studied using complementary methods at different length scales: focused ion beam-energy selective backscattered tomography, transmission electron microscopy and 3D atom probe. Whereas the samples after 5 min of melt holding show that the structure of eutectic Si changes into a fine fibrous morphology, the increase of prolonged melt holding (60 min) leads to the loss of Sr within the alloy with an evolution of an unmodified eutectic microstructure displaying coarse interconnected Si plates. Strontium was found at the Al/Si eutectic interfaces on the side of the eutectic Al region, measured by 3D atom probe. The new results obtained using 3D atom probe shed light on the location of Sr within the Al-Si eutectic microstructure. Copyright © 2010 Elsevier B.V. All rights reserved.

  4. The photovoltaic impact of atomic layer deposited TiO2 interfacial layer on Si-based photodiodes

    Science.gov (United States)

    Karabulut, Abdulkerim; Orak, İkram; Türüt, Abdulmecit

    2018-06-01

    In present work, photocurrent, current-voltage (I-V) and capacitance/conductance-voltage-frequency (C/G-V-f) measurements were analyzed for the photodiode and diode parameters of Al/TiO2/p-Si structure. The TiO2 thin film structure was deposited on p-Si by using atomic layer deposition technique (ALD) and its thickness was about 10 nm. The surface morphology of TiO2 coated on p-Si structure was observed via atomic force microscope (AFM). Barrier height (Φb) and ideality factor (n) values of device were found to be 0.80 eV, 0.70 eV, 0.56 eV and 1.04, 2.24, 10.27 under dark, 10 and 100 mW/cm2, respectively. Some photodiodes parameters such as fill factor (FF), power efficiency (%η), open circuit voltage (Voc), short circuit current (Isc) were obtained from I-V measurement under different light intensity. FF and η were accounted 49.2, 39,0 and 0.05, 0.45 under 10 and 100 mW/cm2 light power intensity, respectively. C-2-V graph was plotted from C-V-f measurements and zero bias voltage (V0), donor concentration (Nd), Fermi energy (EF), barrier height (Φb) and maximum electric field (Em) were determined from C-2-V data for different frequencies. The electrical and photocurrent values demonstrated that it can be used for photodiode, photo detector and photo sensing applications.

  5. Nanomechanical properties of SiC films grown from C{sub 60} precursors using atomic force microscopy

    Energy Technology Data Exchange (ETDEWEB)

    Morse, K. [Colorado School of Mines, Golden, CO (United States); Balooch, M.; Hamza, A.V.; Belak, J. [Lawrence Livermore National Lab., CA (United States)

    1994-12-01

    The mechanical properties of SiC films grown via C{sub 60} precursors were determined using atomic force microscopy (AFM). Conventional silicon nitride and modified diamond cantilever AFM tips were employed to determine the film hardness, friction coefficient, and elastic modulus. The hardness is found to be between 26 and 40 GPa by nanoindentation of the film with the diamond tip. The friction coefficient for the silicon nitride tip on the SiC film is about one third that for silicon nitride sliding on a silicon substrate. By combining nanoindentation and AFM measurements an elastic modulus of {approximately}300 GPa is estimated for these SiC films. In order to better understand the atomic scale mechanisms that determine the hardness and friction of SiC, we simulated the molecular dynamics of a diamond indenting a crystalline SiC substrate.

  6. Observation of Atom Wave Phase Shifts Induced by Van Der Waals Atom-Surface Interactions

    International Nuclear Information System (INIS)

    Perreault, John D.; Cronin, Alexander D.

    2005-01-01

    The development of nanotechnology and atom optics relies on understanding how atoms behave and interact with their environment. Isolated atoms can exhibit wavelike (coherent) behavior with a corresponding de Broglie wavelength and phase which can be affected by nearby surfaces. Here an atom interferometer is used to measure the phase shift of Na atom waves induced by the walls of a 50 nm wide cavity. To our knowledge this is the first direct measurement of the de Broglie wave phase shift caused by atom-surface interactions. The magnitude of the phase shift is in agreement with that predicted by Lifshitz theory for a nonretarded van der Waals interaction. This experiment also demonstrates that atom waves can retain their coherence even when atom-surface distances are as small as 10 nm

  7. Comparative analysis of germanium-silicon quantum dots formation on Si(100), Si(111) and Sn/Si(100) surfaces

    Science.gov (United States)

    Lozovoy, Kirill; Kokhanenko, Andrey; Voitsekhovskii, Alexander

    2018-02-01

    In this paper theoretical modeling of formation and growth of germanium-silicon quantum dots in the method of molecular beam epitaxy (MBE) on different surfaces is carried out. Silicon substrates with crystallographic orientations (100) and (111) are considered. Special attention is paid to the question of growth of quantum dots on the silicon surface covered by tin, since germanium-silicon-tin system is extremely important for contemporary nano- and optoelectronics: for creation of photodetectors, solar cells, light-emitting diodes, and fast-speed transistors. A theoretical approach for modeling growth processes of such semiconductor compounds during the MBE is presented. Both layer-by-layer and island nucleation stages in the Stranski-Krastanow growth mode are described. A change in free energy during transition of atoms from the wetting layer to an island, activation barrier of the nucleation, critical thickness of 2D to 3D transition, as well as surface density and size distribution function of quantum dots in these systems are calculated with the help of the established model. All the theoretical speculations are carried out keeping in mind possible device applications of these materials. In particular, it is theoretically shown that using of the Si(100) surface covered by tin as a substrate for Ge deposition may be very promising for increasing size homogeneity of quantum dot array for possible applications in low-noise selective quantum dot infrared photodetectors.

  8. Imaging Josephson vortices on the surface superconductor Si(111)-(√7×√3)-In using a scanning tunneling microscope.

    Science.gov (United States)

    Yoshizawa, Shunsuke; Kim, Howon; Kawakami, Takuto; Nagai, Yuki; Nakayama, Tomonobu; Hu, Xiao; Hasegawa, Yukio; Uchihashi, Takashi

    2014-12-12

    We have studied the superconducting Si(111)-(√7×√3)-In surface using a ³He-based low-temperature scanning tunneling microscope. Zero-bias conductance images taken over a large surface area reveal that vortices are trapped at atomic steps after magnetic fields are applied. The crossover behavior from Pearl to Josephson vortices is clearly identified from their elongated shapes along the steps and significant recovery of superconductivity within the cores. Our numerical calculations combined with experiments clarify that these characteristic features are determined by the relative strength of the interterrace Josephson coupling at the atomic step.

  9. Surface Modification of α-Fe Metal Particles by Chemical Surface Coating

    Institute of Scientific and Technical Information of China (English)

    2003-01-01

    The structure of α-Fe metal magnetic recording particles coated with silane coupling agents have been studied by TEM, FT-IR, EXAFS, Mossbauer. The results show that a close, uniform, firm and ultra thin layer, which is beneficial to the magnetic and chemical stability, has been formed by the cross-linked chemical bond Si-O-Si. And the organic molecule has chemically bonded to the particle surface, which has greatly affected the surface Fe atom electronic structure. Furthermore, the covalent bond between metal particle surface and organic molecule has obvious effect on the near edge structure of the surface Fe atoms.

  10. Quasi-2D silicon structures based on ultrathin Me2Si (Me = Mg, Ca, Sr, Ba) films

    Science.gov (United States)

    Migas, D. B.; Bogorodz, V. O.; Filonov, A. B.; Borisenko, V. E.; Skorodumova, N. V.

    2018-04-01

    By means of ab initio calculations with hybrid functionals we show a possibility for quasi-2D silicon structures originated from semiconducting Mg2Si, Ca2Si, Sr2Si and Ba2Si silicides to exist. Such a 2D structure is similar to the one of transition metal chalcogenides where silicon atoms form a layer in between of metal atoms aligned in surface layers. These metal surface atoms act as pseudo passivation species stabilizing crystal structure and providing semiconducting properties. Considered 2D Mg2Si, Ca2Si, Sr2Si and Ba2Si have band gaps of 1.14 eV, 0.69 eV, 0.33 eV and 0.19 eV, respectively, while the former one is also characterized by a direct transition with appreciable oscillator strength. Electronic states of the surface atoms are found to suppress an influence of the quantum confinement on the band gaps. Additionally, we report Sr2Si bulk in the cubic structure to have a direct band gap of 0.85 eV as well as sizable oscillator strength of the first direct transition.

  11. Site-specific forest-assembly of single-wall carbon nanotubes on electron-beam patterned SiOx/Si substrates

    International Nuclear Information System (INIS)

    Wei Haoyan; Kim, Sang Nyon; Kim, Sejong; Huey, Bryan D.; Papadimitrakopoulos, Fotios; Marcus, Harris L.

    2008-01-01

    Based on electron-beam direct writing on the SiO x /Si substrates, favorable absorption sites for ferric cations (Fe 3+ ions) were created on the surface oxide layer. This allowed Fe 3+ -assisted self-assembled arrays of single-wall carbon nanotube (SWNT) probes to be produced. Auger investigation indicated that the incident energetic electrons depleted oxygen, creating more dangling bonds around Si atoms at the surface of the SiO x layer. This resulted in a distinct difference in the friction forces from unexposed regions as measured by lateral force microscopy (LFM). Atomic force microscopy (AFM) affirmed that the irradiated domains absorbed considerably more Fe 3+ ions upon immersion into pH 2.2 aqueous FeCl 3 solution. This rendered a greater yield of FeO(OH)/FeOCl precipitates, primarily FeO(OH), upon subsequent washing with lightly basic dimethylformamide (DMF) solution. Such selective metal-functionalization established the basis for the subsequent patterned forest-assembly of SWNTs as demonstrated by resonance Raman spectroscopy

  12. Three-dimensional atomic-image reconstruction from a single-energy Si(100) photoelectron hologram

    International Nuclear Information System (INIS)

    Matsushita, T.; Agui, A.; Yoshigoe, A.

    2004-01-01

    Full text: J. J. Barton proposed a basic algorithm for three-dimensional atomic-image reconstruction from photoelectron hologram, which is based on the Fourier transform(FT). In the use of a single-energy hologram, the twin-image appears in principle. The twin image disappears in the use of multi-energy hologram, which requires longer measuring time and variable-energy light source. But the reconstruction in the use of a simple FT is difficult because the scattered electron wave is not s-symmetric wave. Many theoretical and experimental approaches based on the FT have been researched. We propose a new algorithm so-called 'scattering pattern matrix', which is not based on the FT. The algorithm utilizes the 'scattering pattern', and iterative gradient method. Real space image can be reconstructed from a single-energy hologram without initial model. In addition, the twin image disappears. We reconstructed the three-dimensional atomic image of Si bulk structure from an experimental single-energy hologram of Si(100) 2s emission, which is shown The experiment was performed with using a Al-K α light source. The experimental setup is shown in. Then we calculated a vertical slice image of the reconstructed Si bulk structure, which is shown. The atomic images appear around the expected positions

  13. A study of the mechanisms causing surface defects on sidewalls during Si etching for TSV (through Si via)

    International Nuclear Information System (INIS)

    Choi, Jae Woong; Loh, Woon Leng; Praveen, Sampath Kumar; Murphy, Ramana; Swee, Eugene Tan Kiat

    2013-01-01

    In this paper we report three mechanisms causing surface defects on Si sidewalls during Si etching for TSV. The first mechanism causing surface defects was a downward surface-defect formation due to the participation of the residual polymerizing gas in the transition periods between passivation steps and etch steps. The second mechanism was an upward surface-defect formation due to etchant attacking the interface between the Si and the sidewall polymer. Although the sidewall polymer was thick enough to protect the Si surface, it was not possible to avoid surface defects if the etch step was not switched to the following passivation step in time. The third mechanism was a sponge-like surface-defect formation caused by either poor polymer depositions or voids inside the sidewall polymer. The sponge-like surface defects were formed by Si isotropic etching through the weak points of the sidewall polymer. All three surface defects were considered as the major factors on TSV integration and packaging reliability issues. (paper)

  14. Multiple atomic scale solid surface interconnects for atom circuits and molecule logic gates

    International Nuclear Information System (INIS)

    Joachim, C; Martrou, D; Gauthier, S; Rezeq, M; Troadec, C; Jie Deng; Chandrasekhar, N

    2010-01-01

    The scientific and technical challenges involved in building the planar electrical connection of an atomic scale circuit to N electrodes (N > 2) are discussed. The practical, laboratory scale approach explored today to assemble a multi-access atomic scale precision interconnection machine is presented. Depending on the surface electronic properties of the targeted substrates, two types of machines are considered: on moderate surface band gap materials, scanning tunneling microscopy can be combined with scanning electron microscopy to provide an efficient navigation system, while on wide surface band gap materials, atomic force microscopy can be used in conjunction with optical microscopy. The size of the planar part of the circuit should be minimized on moderate band gap surfaces to avoid current leakage, while this requirement does not apply to wide band gap surfaces. These constraints impose different methods of connection, which are thoroughly discussed, in particular regarding the recent progress in single atom and molecule manipulations on a surface.

  15. Photoionization microscopy of hydrogen atom near a metal surface

    International Nuclear Information System (INIS)

    Yang Hai-Feng; Wang Lei; Liu Xiao-Jun; Liu Hong-Ping

    2011-01-01

    We have studied the ionization of Rydberg hydrogen atom near a metal surface with a semiclassical analysis of photoionization microscopy. Interference patterns of the electron radial distribution are calculated at different scaled energies above the classical saddle point and at various atom—surface distances. We find that different types of trajectories contribute predominantly to different manifolds in a certain interference pattern. As the scaled energy increases, the structure of the interference pattern evolves smoothly and more types of trajectories emerge. As the atom approaches the metal surface closer, there are more types of trajectories contributing to the interference pattern as well. When the Rydberg atom comes very close to the metal surface or the scaled energy approaches the zero field ionization energy, the potential induced by the metal surface will make atomic system chaotic. The results also show that atoms near a metal surface exhibit similar properties like the atoms in the parallel electric and magnetic fields. (atomic and molecular physics)

  16. Application of Powder Diffraction Methods to the Analysis of Short- and Long-Range Atomic Order in Nanocrystalline Diamond and SiC: The Concept of the Apparent Lattice Parameter (alp)

    Science.gov (United States)

    Palosz, B.; Grzanka, E.; Gierlotka, S.; Stelmakh, S.; Pielaszek, R.; Bismayer, U.; Weber, H.-P.; Palosz, W.

    2003-01-01

    Two methods of the analysis of powder diffraction patterns of diamond and SiC nanocrystals are presented: (a) examination of changes of the lattice parameters with diffraction vector Q ('apparent lattice parameter', alp) which refers to Bragg scattering, and (b), examination of changes of inter-atomic distances based on the analysis of the atomic Pair Distribution Function, PDF. Application of these methods was studied based on the theoretical diffraction patterns computed for models of nanocrystals having (i) a perfect crystal lattice, and (ii), a core-shell structure, i.e. constituting a two-phase system. The models are defined by the lattice parameter of the grain core, thickness of the surface shell, and the magnitude and distribution of the strain field in the shell. X-ray and neutron experimental diffraction data of nanocrystalline SiC and diamond powders of the grain diameter from 4 nm up to micrometers were used. The effects of the internal pressure and strain at the grain surface on the structure are discussed based on the experimentally determined dependence of the alp values on the Q-vector, and changes of the interatomic distances with the grain size determined experimentally by the atomic Pair Distribution Function (PDF) analysis. The experimental results lend a strong support to the concept of a two-phase, core and the surface shell structure of nanocrystalline diamond and SiC.

  17. Hydrogel brushes grafted from stainless steel via surface-initiated atom transfer radical polymerization for marine antifouling

    Science.gov (United States)

    Wang, Jingjing; Wei, Jun

    2016-09-01

    Crosslinked hydrogel brushes were grafted from stainless steel (SS) surfaces for marine antifouling. The brushes were prepared by surface-initiated atom transfer radical polymerization (SI-ATRP) of 2-methacryloyloxyethyl phosphorylcholine (MPC) and poly(ethylene glycol) methyl ether methacrylate (PEGMA) respectively with different fractions of crosslinker in the feed. The grafted layers prepared with different thickness were characterized by X-ray photoelectron spectroscopy (XPS), atomic force microscopy (AFM), ellipsometry and water contact angle measurements. With the increase in the fraction of crosslinker in the feed, the thickness of the grafted layer increased and the surface became smooth. All the brush-coated SS surfaces could effectively reduce the adhesion of bacteria and microalgae and settlement of barnacle cyprids, as compared to the pristine SS surface. The antifouling efficacy of the PEGMA polymer (PPEGMA)-grafted surface was higher than that of the MPC polymer (PMPC)-grafted surfaces. Furthermore, the crosslinked hydrogel brush-grafted surfaces exhibited better fouling resistance than the non-crosslinked polymer brush-grafted surfaces, and the antifouling efficacy increased with the crosslinking density. These hydrogel coatings of low toxicity and excellent anti-adhesive characteristics suggested their useful applications as environmentally friendly antifouling coatings.

  18. Interfaces in Si/Ge atomic layer superlattices on (001)Si: Effect of growth temperature and wafer misorientation

    Science.gov (United States)

    Baribeau, J.-M.; Lockwood, D. J.; Syme, R. W. G.

    1996-08-01

    We have used x-ray diffraction, specular reflectivity, and diffuse scattering, complemented by Raman spectroscopy, to study the interfaces in a series of (0.5 nm Ge/2 nm Si)50 atomic layer superlattices on (001)Si grown by molecular beam epitaxy in the temperature range 150-650 °C. X-ray specular reflectivity revealed that the structures have a well-defined periodicity with interface widths of about 0.2-0.3 nm in the 300-590 °C temperature range. Offset reflectivity scans showed that the diffuse scattering peaks at values of perpendicular wave vector transfer corresponding to the superlattice satellite peaks, indicating that the interfaces are vertically correlated. Transverse rocking scans of satellite peaks showed a diffuse component corresponding to an interface corrugation of typical length scale of ˜0.5 μm. The wavelength of the undulations is a minimum along the miscut direction and is typically 30-40 times larger than the surface average terrace width assuming monolayer steps, independently of the magnitude of the wafer misorientation. The amplitude of the undulation evolves with growth temperature and is minimum for growth at ˜460 °C and peaks at ˜520 °C. Raman scattering showed the chemical abruptness of the interfaces at low growth temperatures and indicated a change in the growth mode near 450 °C.

  19. Atomic force microscopy investigation of growth process of organic TCNQ aggregates on SiO2 and mica substrates

    Science.gov (United States)

    Huan, Qing; Hu, Hao; Pan, Li-Da; Xiao, Jiang; Du, Shi-Xuan; Gao, Hong-Jun

    2010-08-01

    Deposition patterns of tetracyanoquinodimethane (TCNQ) molecules on different surfaces are investigated by atomic force microscopy. A homemade physical vapour deposition system allows the better control of molecule deposition. Taking advantage of this system, we investigate TCNQ thin film growth on both SiO2 and mica surfaces. It is found that dense island patterns form at a high deposition rate, and a unique seahorse-like pattern forms at a low deposition rate. Growth patterns on different substrates suggest that the fractal pattern formation is dominated by molecule-molecule interaction. Finally, a phenomenal “two-branch" model is proposed to simulate the growth process of the seahorse pattern.

  20. Atomic force microscopy investigation of growth process of organic TCNQ aggregates on SiO2 and mica substrates

    International Nuclear Information System (INIS)

    Qing, Huan; Hao, Hu; Li-Da, Pan; Jiang, Xiao; Shi-Xuan, Du; Hong-Jun, Gao

    2010-01-01

    Deposition patterns of tetracyanoquinodimethane (TCNQ) molecules on different surfaces are investigated by atomic force microscopy. A homemade physical vapour deposition system allows the better control of molecule deposition. Taking advantage of this system, we investigate TCNQ thin film growth on both SiO 2 and mica surfaces. It is found that dense island patterns form at a high deposition rate, and a unique seahorse-like pattern forms at a low deposition rate. Growth patterns on different substrates suggest that the fractal pattern formation is dominated by molecule–molecule interaction. Finally, a phenomenal “two-branch” model is proposed to simulate the growth process of the seahorse pattern. (general)

  1. Self-formation of a nanonet of fluorinated carbon nanowires on the Si surface by combined etching in fluorine-containing plasma

    Science.gov (United States)

    Amirov, I. I.; Gorlachev, E. S.; Mazaletskiy, L. A.; Izyumov, M. O.; Alov, N. V.

    2018-03-01

    In this work, we report a technique of the self-formation of a nanonet of fluorinated carbon nanowires on the Si surface using a combined etching in fluorine-containing C4F8/Ar and SF6 plasmas. Using scanning electron microscopy, atomic force microscopy and x-ray photoelectron spectroscopy, we show that after the etching of Si in the C4F8/Ar plasma, a fluorinated carbon film of nanometer-scale thickness is formed on its surface and its formation accelerates at elevated temperatures. After a subsequent short-term etching in the SF6 plasma, the film is modified into a nanonet of self-formed fluorinated carbon nanowires.

  2. Magic angle and height quantization in nanofacets on SiC(0001) surfaces

    Energy Technology Data Exchange (ETDEWEB)

    Sawada, Keisuke; Iwata, Jun-Ichi; Oshiyama, Atsushi [Department of Applied Physics, The University of Tokyo, Hongo, Tokyo 113-8656 (Japan)

    2014-02-03

    We report on the density-functional calculations that provide microscopic mechanism of the facet formation on the SiC (0001) surface. We first identify atom-scale structures of single-, double-, and quad-bilayer steps and find that the single-bilayer (SB) step has the lowest formation energy. We then find that the SB steps are bunched to form a nanofacet with a particular angle relative to the (0001) plane (magic facet angle) and with a discretized height along the (0001) direction (height quantization). We also clarify a microscopic reason for the self-organization of the nanofacet observed experimentally.

  3. Analysis conditions of an industrial Al-Mg-Si alloy by conventional and 3D atom probes.

    Science.gov (United States)

    Danoix, F; Miller, M K; Bigot, A

    2001-10-01

    Industrial 6016 Al-Mg-Si(Cu) alloys are presently regarded as attractive candidates for heat treatable sheet materials. Their mechanical properties can be adjusted for a given application by age hardening of the alloys. The resulting microstructural evolution takes place at the nanometer scale, making the atom probe a well suited instrument to study it. Accuracy of atom probe analysis of these aluminium alloys is a key point for the understanding of the fine scale microstructural evolution. It is known to be strongly dependent on the analysis conditions (such as specimen temperature and pulse fraction) which have been widely studied for ID atom probes. The development of the 3D instruments, as well as the increase of the evaporation pulse repetition rate have led to different analysis conditions, in particular evaporation and detection rates. The influence of various experimental parameters on the accuracy of atom probe data, in particular with regard to hydride formation sensitivity, has been reinvestigated. It is shown that hydrogen contamination is strongly dependent on the electric field at the specimen surface, and that high evaporation rates are beneficial. Conversely, detection rate must be limited to smaller than 0.02 atoms/pulse in order to prevent drastic pile-up effect.

  4. Formation of hollow atoms above a surface

    Science.gov (United States)

    Briand, Jean Pierre; Phaneuf, Ronald; Terracol, Stephane; Xie, Zuqi

    2012-06-01

    Slow highly stripped ions approaching or penetrating surfaces are known to capture electrons into outer shells of the ions, leaving the innermost shells empty, and forming hollow atoms. Electron capture occurs above and below the surfaces. The existence of hollow atoms below surfaces e.g. Ar atoms whose K and L shells are empty, with all electrons lying in the M and N shells, was demonstrated in 1990 [1]. At nm above surfaces, the excited ions may not have enough time to decay before hitting the surfaces, and the formation of hollow atoms above surfaces has even been questioned [2]. To observe it, one must increase the time above the surface by decelerating the ions. We have for the first time decelerated O^7+ ions to energies as low as 1 eV/q, below the minimum energy gained by the ions due to the acceleration by their image charge. As expected, no ion backscattering (trampoline effect) above dielectric (Ge) was observed and at the lowest ion kinetic energies, most of the observed x-rays were found to be emitted by the ions after surface contact. [4pt] [1] J. P. Briand et al., Phys.Rev.Lett. 65(1990)159.[0pt] [2] J.P. Briand, AIP Conference Proceedings 215 (1990) 513.

  5. Carbon surface diffusion and SiC nanocluster self-ordering

    International Nuclear Information System (INIS)

    Pezoldt, J.; Trushin, Yu.V.; Kharlamov, V.S.; Schmidt, A.A.; Cimalla, V.; Ambacher, O.

    2006-01-01

    The process of the spatial ordering of SiC nanoclusters on the step edges on Si surfaces was studied by means of multi-scale computer simulation. The evolution of cluster arrays on an ideal flat surface and surfaces with terraces of various widths was performed by kinetic Monte Carlo (KMC) simulations based on quantitative studies of potential energy surfaces (PES) by molecular dynamics (MD). PES analysis revealed that certain types of steps act as strong trapping centres for both Si and C adatoms stimulating clusters nucleation. Spatial ordering of the SiC nanoclusters at the terrace edges can be achieved if the parameters of the growth process (substrate temperature, carbon flux) and substrate (steps direction and terrace widths) are adjusted to the surface morphology. Temperature ranges for growth regimes with and without formation of cluster chains were determined. Cluster size distributions and the dependence of optimal terrace width for self ordering on the deposition parameters were obtained

  6. Evolution of 3C-SiC islands nucleated from a liquid phase on Si face α-SiC substrates

    International Nuclear Information System (INIS)

    Kim-Hak, Olivier; Ferro, Gabriel; Lorenzzi, Jean; Carole, Davy; Dazord, Jacques; Chaudouet, Patrick; Chaussende, Didier; Miele, Philippe

    2010-01-01

    The contact between α-SiC crystals and Si-Ge based melts provokes the nucleation of 3C-SiC islands on the crystal surface. Evolution of these islands as a function of various parameters was studied. On both 4H and 6H substrates, it was found that, after nucleation, 3C-SiC islands first enlarge and may form a complete 3C layer under certain conditions. The 3C deposit can then be dissolved by the liquid phase at high temperature or for prolonged contact at relatively moderate temperature. The graphite crucible is proposed to play a central role in these enlargement and dissolution mechanisms by providing extra carbon atoms on the seed surface (enlargement) or provoking thermal induced carbon transport toward the sidewall (dissolution). Several differences between the use of 4H and 6H substrates were also observed.

  7. Self-assembly of nanosize coordination cages on si(100) surfaces.

    Science.gov (United States)

    Busi, Marco; Laurenti, Marco; Condorelli, Guglielmo G; Motta, Alessandro; Favazza, Maria; Fragalà, Ignazio L; Montalti, Marco; Prodi, Luca; Dalcanale, Enrico

    2007-01-01

    Bottom-up fabrication of 3D organic nanostructures on Si(100) surfaces has been achieved by a two-step procedure. Tetradentate cavitand 1 was grafted on the Si surface together with 1-octene (Oct) as a spatial spectator by photochemical hydrosilylation. Ligand exchange between grafted cavitand 1 and self-assembled homocage 2, derived from cavitand 5 bearing a fluorescence marker, led to the formation of coordination cages on Si(100). Formation, quantification, and distribution of the nanoscale molecular containers on a silicon surface was assessed by using three complementary analytical techniques (AFM, XPS, and fluorescence) and validated by control experiments on cavitand-free silicon surfaces. Interestingly, the fluorescence of pyrene at approximately 4 nm above the Si(100) surface can be clearly observed.

  8. C and Si delta doping in Ge by CH_3SiH_3 using reduced pressure chemical vapor deposition

    International Nuclear Information System (INIS)

    Yamamoto, Yuji; Ueno, Naofumi; Sakuraba, Masao; Murota, Junichi; Mai, Andreas; Tillack, Bernd

    2016-01-01

    C and Si delta doping in Ge are investigated using a reduced pressure chemical vapor deposition system to establish atomic-order controlled processes. CH_3SiH_3 is exposed at 250 °C to 500 °C to a Ge on Si (100) substrate using H_2 or N_2 carrier gas followed by a Ge cap layer deposition. At 350 °C, C and Si are uniformly adsorbed on the Ge surface and the incorporated C and Si form steep delta profiles below detection limit of SIMS measurement. By using N_2 as carrier gas, the incorporated C and Si doses in Ge are saturated at one mono-layer below 350 °C. At this temperature range, the incorporated C and Si doses are nearly the same, indicating CH_3SiH_3 is adsorbed on the Ge surface without decomposing the C−Si bond. On the other hand, by using H_2 as carrier gas, lower incorporated C is observed in comparison to Si. CH_3SiH_3 injected with H_2 carrier gas is adsorbed on Ge without decomposing the C−Si bond and the adsorbed C is reduced by dissociation of the C−Si bond during temperature ramp up to 550 °C. The adsorbed C is maintained on the Ge surface in N_2 at 550 °C. - Highlights: • C and Si delta doping in Ge is investigated using RPCVD system by CH_3SiH_3 exposure. • Atomically flat C and Si delta layers are fabricated at 350 °C. • Incorporated C and Si doses are saturated at one mono-layer below 350 °C. • CH_3SiH_3 adsorption occurred without decomposing C−Si bond. • Adsorbed C is desorbed due to dissociation by hydrogen during postannealing at 550 °C.

  9. Electronic structure of the BiSI cluster

    Energy Technology Data Exchange (ETDEWEB)

    Audzijonis, A. [Department of Physics, Vilnius Pedagogical University, Studentu 39, LT-08106 Vilnius (Lithuania); Gaigalas, G. [Department of Physics, Vilnius Pedagogical University, Studentu 39, LT-08106 Vilnius (Lithuania); Institute of Theoretical Physics and Astronomy, Vilnius University, A. Gostauto 12, LT-01108 Vilnius (Lithuania); Zigas, L. [Department of Physics, Vilnius Pedagogical University, Studentu 39, LT-08106 Vilnius (Lithuania)]. E-mail: kkol@vpu.lt; Pauliukas, A. [Department of Physics, Vilnius Pedagogical University, Studentu 39, LT-08106 Vilnius (Lithuania); Zaltauskas, R. [Department of Physics, Vilnius Pedagogical University, Studentu 39, LT-08106 Vilnius (Lithuania); Cerskus, A. [Department of Physics, Vilnius Pedagogical University, Studentu 39, LT-08106 Vilnius (Lithuania); Narusis, J. [Department of Physics, Vilnius Pedagogical University, Studentu 39, LT-08106 Vilnius (Lithuania); Institute of Theoretical Physics and Astronomy, Vilnius University, A. Gostauto 12, LT-01108 Vilnius (Lithuania); Kvedaravicius, A. [Department of Physics, Vilnius Pedagogical University, Studentu 39, LT-08106 Vilnius (Lithuania)

    2007-03-15

    The energy levels of valence bands (VB) and core levels (CL) of the BiSI crystals have been investigated theoretically. The molecular model of this crystal was used for calculation of VB and CL by the unrestricted Hartree-Fock method using GAMESS program, with Hw and MINI basis set. The molecular cluster consisting of 20 molecules of BiSI was used for calculations of averaged total density of states including atom vibrations. The spectra of averaged total density of states from VB of BiSI cluster has been compared with experimental X-ray photoelectron spectra (XPS) of VB of SbSI crystal. The results clarify that the atomic vibrations is one of possible reasons for the smoother appearance of the experimental XPS. The investigation of vibrational spectrum reveals new experimental information about the reflection spectrum of BiSI crystals. The cluster model calculations have shown that the splitting of the CL in the BiSI may be caused by the photoelectron emission from the atoms at the surface that is in different valence states. The cluster model calculation showed that splitting energy of CL depends on difference of ionic charges of the same atoms at the edges of BiSI cluster.

  10. Electronic structure of the BiSI cluster

    International Nuclear Information System (INIS)

    Audzijonis, A.; Gaigalas, G.; Zigas, L.; Pauliukas, A.; Zaltauskas, R.; Cerskus, A.; Narusis, J.; Kvedaravicius, A.

    2007-01-01

    The energy levels of valence bands (VB) and core levels (CL) of the BiSI crystals have been investigated theoretically. The molecular model of this crystal was used for calculation of VB and CL by the unrestricted Hartree-Fock method using GAMESS program, with Hw and MINI basis set. The molecular cluster consisting of 20 molecules of BiSI was used for calculations of averaged total density of states including atom vibrations. The spectra of averaged total density of states from VB of BiSI cluster has been compared with experimental X-ray photoelectron spectra (XPS) of VB of SbSI crystal. The results clarify that the atomic vibrations is one of possible reasons for the smoother appearance of the experimental XPS. The investigation of vibrational spectrum reveals new experimental information about the reflection spectrum of BiSI crystals. The cluster model calculations have shown that the splitting of the CL in the BiSI may be caused by the photoelectron emission from the atoms at the surface that is in different valence states. The cluster model calculation showed that splitting energy of CL depends on difference of ionic charges of the same atoms at the edges of BiSI cluster

  11. Conductive atomic force microscopy studies on the transformation of GeSi quantum dots to quantum rings.

    Science.gov (United States)

    Zhang, S L; Xue, F; Wu, R; Cui, J; Jiang, Z M; Yang, X J

    2009-04-01

    Conductive atomic force microscopy has been employed to study the topography and conductance distribution of individual GeSi quantum dots (QDs) and quantum rings (QRs) during the transformation from QDs to QRs by depositing an Si capping layer on QDs. The current distribution changes significantly with the topographic transformation during the Si capping process. Without the capping layer, the QDs are dome-shaped and the conductance is higher at the ring region between the center and boundary than that at the center. After capping with 0.32 nm Si, the shape of the QDs changes to pyramidal and the current is higher at both the center and the arris. When the Si capping layer increases to 2 nm, QRs are formed and the current of individual QRs is higher at the rim than that at the central hole. By comparing the composition distributions obtained by scanning Auger microscopy and atomic force microscopy combined with selective chemical etching, the origin of the current distribution change is discussed.

  12. Effect of local atomic and electronic structures on thermoelectric properties of chemically substituted CoSi

    Science.gov (United States)

    Hsu, C. C.; Pao, C. W.; Chen, J. L.; Chen, C. L.; Dong, C. L.; Liu, Y. S.; Lee, J. F.; Chan, T. S.; Chang, C. L.; Kuo, Y. K.; Lue, C. S.

    2014-05-01

    We report the effects of Ge partial substitution for Si on local atomic and electronic structures of thermoelectric materials in binary compound cobalt monosilicides (\\text{CoSi}_{1-x}\\text{Ge}_{x}\\text{:}\\ 0 \\le x \\le 0.15 ). Correlations between local atomic/electronic structure and thermoelectric properties are investigated by means of X-ray absorption spectroscopy. The spectroscopic results indicate that as Ge is partially substituted onto Si sites at x \\le 0.05 , Co in CoSi1-xGex gains a certain amount of charge in its 3d orbitals. Contrarily, upon further replacing Si with Ge at x \\ge 0.05 , the Co 3d orbitals start to lose some of their charge. Notably, thermopower is strongly correlated with charge redistribution in the Co 3d orbital, and the observed charge transfer between Ge and Co is responsible for the variation of Co 3d occupancy number. In addition to Seebeck coefficient, which can be modified by tailoring the Co 3d states, local lattice disorder may also be beneficial in enhancing the thermoelectric properties. Extended X-ray absorption fine structure spectrum results further demonstrate that the lattice phonons can be enhanced by Ge doping, which results in the formation of the disordered Co-Co pair. Improvements in the thermoelectric properties are interpreted based on the variation of local atomic and electronic structure induced by lattice distortion through chemical substitution.

  13. Drastic reduction in the surface recombination velocity of crystalline silicon passivated with catalytic chemical vapor deposited SiNx films by introducing phosphorous catalytic-doped layer

    International Nuclear Information System (INIS)

    Thi, Trinh Cham; Koyama, Koichi; Ohdaira, Keisuke; Matsumura, Hideki

    2014-01-01

    We improve the passivation property of n-type crystalline silicon (c-Si) surface passivated with a catalytic chemical vapor deposited (Cat-CVD) Si nitride (SiN x ) film by inserting a phosphorous (P)-doped layer formed by exposing c-Si surface to P radicals generated by the catalytic cracking of PH 3 molecules (Cat-doping). An extremely low surface recombination velocity (SRV) of 2 cm/s can be achieved for 2.5 Ω cm n-type (100) floating-zone Si wafers passivated with SiN x /P Cat-doped layers, both prepared in Cat-CVD systems. Compared with the case of only SiN x passivated layers, SRV decreases from 5 cm/s to 2 cm/s. The decrease in SRV is the result of field effect created by activated P atoms (donors) in a shallow P Cat-doped layer. Annealing process plays an important role in improving the passivation quality of SiN x films. The outstanding results obtained imply that SiN x /P Cat-doped layers can be used as promising passivation layers in high-efficiency n-type c-Si solar cells.

  14. Quasi-one-dimensional metals on semiconductor surfaces with defects

    International Nuclear Information System (INIS)

    Hasegawa, Shuji

    2010-01-01

    Several examples are known in which massive arrays of metal atomic chains are formed on semiconductor surfaces that show quasi-one-dimensional metallic electronic structures. In this review, Au chains on Si(557) and Si(553) surfaces, and In chains on Si(111) surfaces, are introduced and discussed with regard to the physical properties determined by experimental data from scanning tunneling microscopy (STM), angle-resolved photoemission spectroscopy (ARPES) and electrical conductivity measurements. They show quasi-one-dimensional Fermi surfaces and parabolic band dispersion along the chains. All of them are known from STM and ARPES to exhibit metal-insulator transitions by cooling and charge-density-wave formation due to Peierls instability of the metallic chains. The electrical conductivity, however, reveals the metal-insulator transition only on the less-defective surfaces (Si(553)-Au and Si(111)-In), but not on a more-defective surface (Si(557)-Au). The latter shows an insulating character over the whole temperature range. Compared with the electronic structure (Fermi surfaces and band dispersions), the transport property is more sensitive to the defects. With an increase in defect density, the conductivity only along the metal atomic chains was significantly reduced, showing that atomic-scale point defects decisively interrupt the electrical transport along the atomic chains and hide the intrinsic property of transport in quasi-one-dimensional systems.

  15. Photoluminescence enhancement in porous SiC passivated by atomic layer deposited Al2O3 films

    DEFF Research Database (Denmark)

    Lu, Weifang; Iwasa, Yoshimi; Ou, Yiyu

    2016-01-01

    Porous SiC co-doped with B and N was passivated by atomic layer deposited (ALD) Al2O3 films to enhance the photoluminescence. After optimizing the deposition conditions, as high as 14.9 times photoluminescence enhancement has been achieved.......Porous SiC co-doped with B and N was passivated by atomic layer deposited (ALD) Al2O3 films to enhance the photoluminescence. After optimizing the deposition conditions, as high as 14.9 times photoluminescence enhancement has been achieved....

  16. Ion beam effects on the surface and near-surface composition of TaSi2

    International Nuclear Information System (INIS)

    Valeri, S.; Di Bona, A.; Ottaviani, G.; Procop, M.

    1991-01-01

    Low-energy (0.7-4.5 keV) ion bombardment effects on polycrystalline TaSi 2 at sputter steady state and in various intermediate steps have been investigated, in the temperature range up to 550degC, to determine the time and temperature dependence of the altered layer formation. This in turn enables a better knowledge of the synergistic effects of the processes mentioned above. At low temperatures (T≤410degC) the surface is silicon depleted, and the depletion is even more severe in the subsurface region up to a depth of several tens of angstroems; silicon preferential sputtering and radiation-enhanced segregation assisted by the displacement mixing-induced motion of atoms are assumed to be responsible for this composition profile, while thermally activated diffusion processes become operative above 410degC, reducing progressively the concentration gradient between the surface and the subsurface zone. The composition at different depths has been determined from Auger peaks for different kinetic energies, by varying the take-off angle and finally by sputter profiling at low in energy the high energy processed surfaces. Quantitative analysis has been performed by XPS and AES by using the elemental standard method. (orig.)

  17. Significant improvements in stability and reproducibility of atomic-scale atomic force microscopy in liquid

    International Nuclear Information System (INIS)

    Akrami, S M R; Nakayachi, H; Fukuma, T; Watanabe-Nakayama, T; Asakawa, H

    2014-01-01

    Recent advancement of dynamic-mode atomic force microscopy (AFM) for liquid-environment applications enabled atomic-scale studies on various interfacial phenomena. However, instabilities and poor reproducibility of the measurements often prevent systematic studies. To solve this problem, we have investigated the effect of various tip treatment methods for atomic-scale imaging and force measurements in liquid. The tested methods include Si coating, Ar plasma, Ar sputtering and UV/O 3 cleaning. We found that all the methods provide significant improvements in both the imaging and force measurements in spite of the tip transfer through the air. Among the methods, we found that the Si coating provides the best stability and reproducibility in the measurements. To understand the origin of the fouling resistance of the cleaned tip surface and the difference between the cleaning methods, we have investigated the tip surface properties by x-ray photoelectron spectroscopy and contact angle measurements. The results show that the contaminations adsorbed on the tip during the tip transfer through the air should desorb from the surface when it is immersed in aqueous solution due to the enhanced hydrophilicity by the tip treatments. The tip surface prepared by the Si coating is oxidized when it is immersed in aqueous solution. This creates local spots where stable hydration structures are formed. For the other methods, there is no active mechanism to create such local hydration sites. Thus, the hydration structure formed under the tip apex is not necessarily stable. These results reveal the desirable tip properties for atomic-scale AFM measurements in liquid, which should serve as a guideline for further improvements of the tip treatment methods. (paper)

  18. Surface passivation by Al2O3 and a-SiNx: H films deposited on wet-chemically conditioned Si surfaces

    NARCIS (Netherlands)

    Bordihn, S.; Mertens, V.; Engelhart, P.; Kersten, K.; Mandoc, M.M.; Müller, J.W.; Kessels, W.M.M.

    2012-01-01

    The surface passivation of p- and n-type silicon by different chemically grown SiO2 films (prepared by HNO3, H2SO4/H2O2 and HCl/H2O2 treatments) was investigated after PECVD of a-SiNx:H and ALD of Al2O3 capping films. The wet chemically grown SiO2 films were compared to thermally grown SiO2 and the

  19. Site preference of metal atoms in Gd_5_-_xM_xTt_4 (M = Zr, Hf; Tt = Si, Ge)

    International Nuclear Information System (INIS)

    Yao, Jinlei; Mozharivskyj, Yurij

    2011-01-01

    Zirconium and hafnium were incorporated into the Gd_5Ge_4 and Gd_5Si_4 parent compounds in order to study the metal-site occupation in the M_5X_4 magnetocaloric phases (M = metals; X = p elements) family. The Gd_5_-_xZr_xGe_4 phases adopt the orthorhombic Sm_5Ge_4-type (space group Pnma) structure for x ≤ 1.49 and the tetragonal Zr_5Si_4-type (P4_12_12) structure for x ≥ 1.77. The Gd_5_-_xHf_xSi_4 compounds crystallize in the orthorhombic Gd_5Si_4-type (Pnma) structure for x ≤ 0.41 and the Zr_5Si_4-type structure for x ≥ 0.7. In both systems, single-crystal X-ray diffraction reveals that the Zr/Hf atoms preferentially occupy the slab-surface M2 and slab-center M3 sites, both of which have a significantly larger Zr/Hf population than the slab-surface M1 site. The metal-site preference, i.e. the coloring problem on the three metal sites, is discussed considering geometric and electronic effects of the local coordination environments. The analysis of the metal-site occupation in Gd_5_-_xZr_xGe_4 and Gd_5_-_xHf_xSi_4 as well as other metal-substituted M_5X_4 systems suggests that both geometric and electronic effects can be used to explain the metal-site occupation. (Copyright copyright 2011 WILEY-VCH Verlag GmbH and Co. KGaA, Weinheim)

  20. Mössbauer spectroscopy study of surfactant sputtering induced Fe silicide formation on a Si surface

    Energy Technology Data Exchange (ETDEWEB)

    Beckmann, C.; Zhang, K. [2nd Institute of Physics, University of Göttingen, Friedrich-Hund-Platz 1, 37077 Göttingen (Germany); Hofsäss, H., E-mail: hans.hofsaess@phys.uni-goettingen.de [2nd Institute of Physics, University of Göttingen, Friedrich-Hund-Platz 1, 37077 Göttingen (Germany); Brüsewitz, C.; Vetter, U. [2nd Institute of Physics, University of Göttingen, Friedrich-Hund-Platz 1, 37077 Göttingen (Germany); Bharuth-Ram, K. [Physics Department, Durban University of Technology, Durban 4001 (South Africa)

    2015-12-01

    Highlights: • We study the formation of self-organized nanoscale dot and ripple patterns on Si. • Patterns are created by keV noble gas ion irradiation and simultaneous {sup 57}Fe co-deposition. • Ion-induced phase separation and the formation of a-FeSi{sub 2} is identified as relevant process. - Abstract: The formation of Fe silicides in surface ripple patterns, generated by erosion of a Si surface with keV Ar and Xe ions and simultaneous co-deposition of Fe, was investigated with conversion electron Mössbauer spectroscopy, atomic force microscopy and Rutherford backscattering spectrometry. For the dot and ripple patterns studied, we find an average Fe concentration in the irradiated layer between 6 and 25 at.%. The Mössbauer spectra clearly show evidence of the formation of Fe disilicides with Fe content close to 33 at.%, but very little evidence of the formation of metallic Fe particles. The results support the process of ion-induced phase separation toward an amorphous Fe disilicide phase as pattern generation mechanism. The observed amorphous phase is in agreement with thermodynamic calculations of amorphous Fe silicides.

  1. Surface tension and density of Si-Ge melts

    Science.gov (United States)

    Ricci, Enrica; Amore, Stefano; Giuranno, Donatella; Novakovic, Rada; Tuissi, Ausonio; Sobczak, Natalia; Nowak, Rafal; Korpala, Bartłomiej; Bruzda, Grzegorz

    2014-06-01

    In this work, the surface tension and density of Si-Ge liquid alloys were determined by the pendant drop method. Over the range of measurements, both properties show a linear temperature dependence and a nonlinear concentration dependence. Indeed, the density decreases with increasing silicon content exhibiting positive deviation from ideality, while the surface tension increases and deviates negatively with respect to the ideal solution model. Taking into account the Si-Ge phase diagram, a simple lens type, the surface tension behavior of the Si-Ge liquid alloys was analyzed in the framework of the Quasi-Chemical Approximation for the Regular Solutions model. The new experimental results were compared with a few data available in the literature, obtained by the containerless method.

  2. Surface-Initiated Atom Transfer Radical Polymerization and Electrografting Technique as a Means For Attaining Tailor-Made Polymer Coatings

    DEFF Research Database (Denmark)

    Chernyy, Sergey

    2012-01-01

    strategies for initiator grafting, physicochemical properties of polymer brushes and basic principles of quartz crystal microbalance technique (QCM) are discussed. In Chapter 2 various ATRP conditions are probed. The effects of solvent polarity, monomer concentration, initiator surface density, ligand nature......Atom transfer radical polymerization initiated from a surface of various substrates (SI-ATRP) has become a progressively popular technique for obtaining thin polymer films with predetermined properties. The present work addresses the main features of SI-ATRP with respect to the controllability...... and temperature on the kinetics of methyl methacrylate polymerization are elucidated. The strategy was based on the observation of dry polymer thickness versus time evolution by means of ellipsometry, profilometry and IR spectroscopy. An alternative approach, constituting Chapter 3, was based on optimization...

  3. Highly selective SiO2 etching over Si3N4 using a cyclic process with BCl3 and fluorocarbon gas chemistries

    Science.gov (United States)

    Matsui, Miyako; Kuwahara, Kenichi

    2018-06-01

    A cyclic process for highly selective SiO2 etching with atomic-scale precision over Si3N4 was developed by using BCl3 and fluorocarbon gas chemistries. This process consists of two alternately performed steps: a deposition step using BCl3 mixed-gas plasma and an etching step using CF4/Ar mixed-gas plasma. The mechanism of the cyclic process was investigated by analyzing the surface chemistry at each step. BCl x layers formed on both SiO2 and Si3N4 surfaces in the deposition step. Early in the etching step, the deposited BCl x layers reacted with CF x radicals by forming CCl x and BF x . Then, fluorocarbon films were deposited on both surfaces in the etching step. We found that the BCl x layers formed in the deposition step enhanced the formation of the fluorocarbon films in the CF4 plasma etching step. In addition, because F radicals that radiated from the CF4 plasma reacted with B atoms while passing through the BCl x layers, the BCl x layers protected the Si3N4 surface from F-radical etching. The deposited layers, which contained the BCl x , CCl x , and CF x components, became thinner on SiO2 than on Si3N4, which promoted the ion-assisted etching of SiO2. This is because the BCl x component had a high reactivity with SiO2, and the CF x component was consumed by the etching reaction with SiO2.

  4. First-principle study of Mg adsorption on Si(111) surfaces

    International Nuclear Information System (INIS)

    Min-Ju, Ying; Ping, Zhang; Xiao-Long, Du

    2009-01-01

    We have carried out first-principle calculations of Mg adsorption on Si(111) surfaces. Different adsorption sites and coverage effects have been considered. We found that the threefold hollow adsorption is energy-favoured in each coverage considered, while for the clean Si(111) surface of metallic feature, we found that 0.25 and 0.5 ML Mg adsorption leads to a semiconducting surface. The results for the electronic behaviour suggest a polarized covalent bonding between the Mg adatom and Si(111) surface. (condensed matter: electronic structure, electrical, magnetic, and optical properties)

  5. Towards atomic scale engineering of rare-earth-doped SiAlON ceramics through aberration-corrected scanning transmission electron microscopy

    International Nuclear Information System (INIS)

    Yurdakul, Hilmi; Idrobo, Juan C.; Pennycook, Stephen J.; Turan, Servet

    2011-01-01

    Direct visualization of rare earths in α- and β-SiAlON unit-cells is performed through Z-contrast imaging technique in an aberration-corrected scanning transmission electron microscope. The preferential occupation of Yb and Ce atoms in different interstitial locations of β-SiAlON lattice is demonstrated, yielding higher solubility for Yb than Ce. The triangular-like host sites in α-SiAlON unit cell accommodate more Ce atoms than hexagonal sites in β-SiAlON. We think that our results will be applicable as guidelines for many kinds of rare-earth-doped materials.

  6. Wet-chemical passivation of atomically flat and structured silicon substrates for solar cell application

    Science.gov (United States)

    Angermann, H.; Rappich, J.; Korte, L.; Sieber, I.; Conrad, E.; Schmidt, M.; Hübener, K.; Polte, J.; Hauschild, J.

    2008-04-01

    Special sequences of wet-chemical oxidation and etching steps were optimised with respect to the etching behaviour of differently oriented silicon to prepare very smooth silicon interfaces with excellent electronic properties on mono- and poly-crystalline substrates. Surface photovoltage (SPV) and photoluminescence (PL) measurements, atomic force microscopy (AFM) and scanning electron microscopy (SEM) investigations were utilised to develop wet-chemical smoothing procedures for atomically flat and structured surfaces, respectively. Hydrogen-termination as well as passivation by wet-chemical oxides were used to inhibit surface contamination and native oxidation during the technological processing. Compared to conventional pre-treatments, significantly lower micro-roughness and densities of surface states were achieved on mono-crystalline Si(100), on evenly distributed atomic steps, such as on vicinal Si(111), on silicon wafers with randomly distributed upside pyramids, and on poly-crystalline EFG ( Edge-defined Film-fed- Growth) silicon substrates. The recombination loss at a-Si:H/c-Si interfaces prepared on c-Si substrates with randomly distributed upside pyramids was markedly reduced by an optimised wet-chemical smoothing procedure, as determined by PL measurements. For amorphous-crystalline hetero-junction solar cells (ZnO/a-Si:H(n)/c-Si(p)/Al) with textured c-Si substrates the smoothening procedure results in a significant increase of short circuit current Isc, fill factor and efficiency η. The scatter in the cell parameters for measurements on different cells is much narrower, as compared to conventional pre-treatments, indicating more well-defined and reproducible surface conditions prior to a-Si:H emitter deposition and/or a higher stability of the c-Si surface against variations in the a-Si:H deposition conditions.

  7. Self-excitation of Rydberg atoms at a metal surface

    DEFF Research Database (Denmark)

    Bordo, Vladimir

    2017-01-01

    The novel effect of self-excitation of an atomic beam propagating above a metal surface is predicted and a theory is developed. Its underlying mechanism is positive feedback provided by the reflective surface for the atomic polarization. Under certain conditions the atomic beam flying in the near...... field of the metal surface acts as an active device that supports sustained atomic dipole oscillations, which generate, in their turn, an electromagnetic field. This phenomenon does not exploit stimulated emission and therefore does not require population inversion in atoms. An experiment with Rydberg...... atoms in which this effect should be most pronounced is proposed and the necessary estimates are given....

  8. Surface acoustic wave devices on AlN/3C–SiC/Si multilayer structures

    International Nuclear Information System (INIS)

    Lin, Chih-Ming; Lien, Wei-Cheng; Riekkinen, Tommi; Senesky, Debbie G; Pisano, Albert P; Chen, Yung-Yu; Felmetsger, Valery V

    2013-01-01

    Surface acoustic wave (SAW) propagation characteristics in a multilayer structure including a piezoelectric aluminum nitride (AlN) thin film and an epitaxial cubic silicon carbide (3C–SiC) layer on a silicon (Si) substrate are investigated by theoretical calculation in this work. Alternating current (ac) reactive magnetron sputtering was used to deposit highly c-axis-oriented AlN thin films, showing the full width at half maximum (FWHM) of the rocking curve of 1.36° on epitaxial 3C–SiC layers on Si substrates. In addition, conventional two-port SAW devices were fabricated on the AlN/3C–SiC/Si multilayer structure and SAW propagation properties in the multilayer structure were experimentally investigated. The surface wave in the AlN/3C–SiC/Si multilayer structure exhibits a phase velocity of 5528 m s −1 and an electromechanical coupling coefficient of 0.42%. The results demonstrate the potential of AlN thin films grown on epitaxial 3C–SiC layers to create layered SAW devices with higher phase velocities and larger electromechanical coupling coefficients than SAW devices on an AlN/Si multilayer structure. Moreover, the FWHM values of rocking curves of the AlN thin film and 3C–SiC layer remained constant after annealing for 500 h at 540 °C in air atmosphere. Accordingly, the layered SAW devices based on AlN thin films and 3C–SiC layers are applicable to timing and sensing applications in harsh environments. (paper)

  9. Tungsten deposition by hydrogen-atom reaction with tungsten hexafluoride

    International Nuclear Information System (INIS)

    Lee, W.W.

    1991-01-01

    Using gaseous hydrogen atoms with WF 6 , tungsten atoms can be produced in a gas-phase reaction. The atoms then deposit in a near-room temperature process, which results in the formation of tungsten films. The W atoms (10 10 -10 11 /cm 3 ) were measured in situ by atomic absorption spectroscopy during the CVD process. Deposited W films were characterized by Auger electron spectroscopy, Rutherford backscattering, and X-ray diffraction. The surface morphology of the deposited films and filled holes was studied using scanning electron microscopy. The deposited films were highly adherent to different substrates, such as Si, SiO 2 , Ti/Si, TiN/Si and Teflon. The reaction mechanism and kinetics were studied. The experimental results indicated that this method has three advantages compared to conventional CVD or PECVD: (1) film growth occurs at low temperatures; (2) deposition takes place in a plasma-free environment; and (3) a low level of impurities results in high-quality adherent films

  10. Single atom self-diffusion on nickel surfaces

    International Nuclear Information System (INIS)

    Tung, R.T.; Graham, W.R.

    1980-01-01

    Results of a field ion microscope study of single atom self-diffusion on Ni(311), (331), (110), (111) and (100) planes are presented, including detailed information on the self-diffusion parameters on (311), (331), and (110) surfaces, and activation energies for diffusion on the (111), and (100) surfaces. Evidence is presented for the existence of two types of adsorption site and surface site geometry for single nickel atoms on the (111) surface. The presence of adsorbed hydrogen on the (110), (311), and (331) surfaces is shown to lower the onset temperature for self-diffusion on these planes. (orig.)

  11. Ripple structures on surfaces and underlying crystalline layers in ion beam irradiated Si wafers

    Energy Technology Data Exchange (ETDEWEB)

    Grenzer, J.; Muecklich, A. [Forschungszentrum Rossendorf, Institut fuer Ionenstrahlphysik und Materialforschung, Dresden (Germany); Biermanns, A.; Grigorian, S.A.; Pietsch, U. [Institute of Physics, University of Siegen (Germany)

    2009-08-15

    We report on the formation of ion beam induced ripples in Si(001) wafers when bombarded with Ar+ ions at an energy of 60 keV. A set of samples varying incidence and azimuthal angles of the ion beam with respect to the crystalline surface orientation was studied by two complementary near surface sensitive techniques, namely atomic force microscopy and depth-resolved X-ray grazing incidence diffraction (GID). Additionally, cross-section TEM investigations were carried out. The ripple-like structures are formed at the sample surface as well as at the buried amorphous-crystalline interface. Best quality of the ripple pattern was found when the irradiating ion beam was aligned parallel to the (111) planes. The quality decreases rapidly if the direction of the ion beam deviates from (111). (Abstract Copyright [2009], Wiley Periodicals, Inc.)

  12. Annealing effect on redistribution of atoms in austenite of Fe-Ni-Mo and Fe-Ni-Si alloys

    International Nuclear Information System (INIS)

    Rodionov, Yu.L.; Isfandiyarov, G.G.; Zambrzhitskij, V.N.

    1980-01-01

    Using the Moessbauer spectrum method, studied has been the change in the fine atomic structure of the Fe-(28-36)%Ni austenite alloys with Mo and Si additives during annealing in the 200-800 deg C range. Also, the energy of the activation of processes, occurring at the annealing temperatures of below 500 deg C has been researched. On the basis of the obtained results a conclusion is drawn that the annealing of the investigated alloys at 300-500 deg C is conducive to the redistribution of the atoms of the alloying element and to the formation of regions with a higher content of Ni and Mo(Si) atoms

  13. Improved interface and electrical properties of atomic layer deposited Al2O3/4H-SiC

    Science.gov (United States)

    Suvanam, Sethu Saveda; Usman, Muhammed; Martin, David; Yazdi, Milad. G.; Linnarsson, Margareta; Tempez, Agnès; Götelid, Mats; Hallén, Anders

    2018-03-01

    In this paper we demonstrate a process optimization of atomic layer deposited Al2O3 on 4H-SiC resulting in an improved interface and electrical properties. For this purpose the samples have been treated with two pre deposition surface cleaning processes, namely CP1 and CP2. The former is a typical surface cleaning procedure used in SiC processing while the latter have an additional weak RCA1 cleaning step. In addition to the cleaning and deposition, the effects of post dielectric annealing (PDA) at various temperatures in N2O ambient have been investigated. Analyses by scanning electron microscopy show the presence of structural defects on the Al2O3 surface after annealing at 500 and 800 °C. These defects disappear after annealing at 1100 °C, possibly due to densification of the Al2O3 film. Interface analyses have been performed using X-ray photoelectron spectroscopy (XPS) and time-of-flight medium energy ion scattering (ToF MEIS). Both these measurements show the formation of an interfacial SiOx (0 < x < 2) layer for both the CP1 and CP2, displaying an increased thickness for higher temperatures. Furthermore, the quality of the sub-oxide interfacial layer was found to depend on the pre deposition cleaning. In conclusion, an improved interface with better electrical properties is shown for the CP2 sample annealed at 1100 °C, resulting in lower oxide charges, strongly reduced flatband voltage and leakage current, as well as higher breakdown voltage.

  14. Irradiation effects of Ar cluster ion beams on Si substrates

    International Nuclear Information System (INIS)

    Ishii, Masahiro; Sugahara, Gaku; Takaoka, G.H.; Yamada, Isao

    1993-01-01

    Gas-cluster ion beams can be applied to new surface modification techniques such as surface cleaning, low damage sputtering and shallow junction formation. The effects of energetic Ar cluster impacts on solid surface were studied for cluster energies of 10-30keV. Irradiation effects were studied by RBS. For Si(111) substrates, irradiated with Ar ≥500 clusters to a dose of 1x10 15 ion/cm 2 at acceleration voltage 15kV, 2x10 14 atoms/cm 2 implanted Ar atoms were detected. In this case, the energy per cluster atom was smaller than 30eV; at this energy, no significant implantation occurs in the case of monomer ions. Ar cluster implantation into Si substrates occurred due to the high energy density irradiation. (author)

  15. Accurate atom-solid kinetic energy shifts from the simultaneous measurement of the KLL Auger spectra for Na, Mg, Al and Si

    International Nuclear Information System (INIS)

    Aksela, S; Turunen, P; Kantia, T; Aksela, H

    2011-01-01

    KLL Auger-energy shifts between free atoms and their solid surfaces were determined from spectra measured simultaneously in identical experimental conditions. Essentially, the shift values obtained for Na, Mg, Al and Si were more accurate than those achieved by combining the results from separate vapour and solid measurements. Using atomic Auger energies and determined shifts, reliable absolute solid state Auger energies with respect to the vacuum level were also obtained. Experimental shift values were also compared with calculations obtained with the excited atom model. 2s and 2p binding energy shifts were estimated from recent high resolution and due to open shell strongly split vapour phase spectra and corresponding published solid state results. Also, the question of the extent to which the 2s and 2p shifts deviate has been discussed here. (paper)

  16. Local electronic and geometric structures of silicon atoms implanted in graphite

    International Nuclear Information System (INIS)

    Baba, Yuji; Sekiguchi, Tetsuhiro; Shimoyama, Iwao

    2002-01-01

    Low-energy Si + ions were implanted in highly oriented pyrolitic graphite (HOPG) up to 1% of surface atomic concentration, and the local electronic and geometric structures around the silicon atoms were in situ investigated by means of the Si K-edge X-ray absorption near-edge structure (XANES) and X-ray photoelectron spectroscopy using linearly polarized synchrotron radiation. The resonance peak appeared at 1839.5 eV in the Si K-edge XANES spectra for Si + -implanted HOPG. This energy is lower than those of the Si 1s→σ * resonance peaks in any other Si-containing materials. The intensity of the resonance peak showed strong polarization dependence, which suggests that the final state orbitals around the implanted Si atoms have π * -like character. It is concluded that the σ-type Si-C bonds produced by the Si + -ion implantation are nearly parallel to the graphite plane, and Si x C phase forms two-dimensionally spread graphite-like layer with sp 2 bonds

  17. High-efficient photo-electron transport channel in SiC constructed by depositing cocatalysts selectively on specific surface sites for visible-light H{sub 2} production

    Energy Technology Data Exchange (ETDEWEB)

    Wang, Da; Peng, Yuan; Wang, Qi; Pan, Nanyan; Guo, Zhongnan; Yuan, Wenxia, E-mail: wxyuanwz@163.com [Department of Chemistry, School of Chemistry and Biological Engineering, University of Science and Technology Beijing, Beijing 100083 (China)

    2016-04-18

    Control cocatalyst location on a metal-free semiconductor to promote surface charge transfer for decreasing the electron-hole recombination is crucial for enhancing solar energy conversion. Based on the findings that some metals have an affinity for bonding with the specific atoms of polar semiconductors at a heterostructure interface, we herein control Pt deposition selectively on the Si sites of a micro-SiC photocatalyst surface via in-situ photo-depositing. The Pt-Si bond forming on the interface constructs an excellent channel, which is responsible for accelerating photo-electron transfer from SiC to Pt and then reducing water under visible-light. The hydrogen production is enhanced by two orders of magnitude higher than that of bare SiC, and 2.5 times higher than that of random-depositing nano-Pt with the same loading amount.

  18. Effect of hydrogen plasma treatment on the surface morphology, microstructure and electronic transport properties of nc-Si:H

    International Nuclear Information System (INIS)

    Dutta, P.; Paul, S.; Galipeau, D.; Bommisetty, V.

    2010-01-01

    Hydrogenated nanocrystalline silicon (nc-Si:H) films, deposited by reactive radio-frequency sputtering with 33% hydrogen dilution in argon at 200 o C, were treated with low-power hydrogen plasma at room temperature at various power densities (0.1-0.5 W/cm 2 ) and durations (10 s-10 min). Plasma treatment reduced the surface root mean square roughness and increased the average grain size. This was attributed to the mass transport of Si atoms on the surface by surface and grain boundary diffusion. Plasma treatment under low power density (0.1 W/cm 2 ) for short duration (10 s) caused a significant enhancement of crystalline volume fraction and electrical conductivity, compared to as-deposited film. While higher power (0.5 W/cm 2 ) hydrogen plasma treatment for longer durations (up to 10 min) caused moderate improvement in crystalline fraction and electrical properties; however, the magnitude of improvement is not significant compared to low-power (0.1 W/cm 2 )/short-duration (10 s) plasma exposure. The results indicate that low-power hydrogen plasma treatment at room temperature can be an effective tool to improve the structural and electrical properties of nc-Si:H.

  19. First principles-based adsorption comparison of group IV elements (C, Si, Ge, and Sn) on Au(111)/Ag(111) surface

    International Nuclear Information System (INIS)

    Chakraborty, Sudip; Rajesh, Ch.

    2012-01-01

    We have reported a first-principle investigation of the structural properties of monomer and dimer for group IV elements (C, Si, Ge, and Sn) adsorbed on the Au(111) and Ag(111) surfaces. The calculations were performed by means of a plane wave based pseudopotential method under the framework of density functional theory. The results reveal the preference of adatom to be adsorbed on the hexagonal closed packed site of the metal (111) surfaces with strong binding energy. The structures introduce interlayer forces in the adsorbate. The strong bonding with the surface atoms is a result of p–d hybridization. The adsorption energy follows a sequence as one goes down in the group IV elements which imply that the interaction of the group IV elements with Au/Ag is decreasing as the atomic number increases.

  20. Adsorption and combing of DNA on HOPG surfaces of bulk crystals and nanosheets: application to the bridging of DNA between HOPG/Si heterostructures

    International Nuclear Information System (INIS)

    Rose, F; Martin, P; Fujita, H; Kawakatsu, H

    2006-01-01

    Controlled and reproducible combing of λ-phage DNA molecules can be realized in predetermined orientations on highly oriented pyrolitic graphite (HOPG) surfaces. Observations by atomic force microscopy (AFM) show that DNA adsorption onto HOPG surfaces leads to different hierarchical organizations such as balls, networks, films, and fractal structures. HOPG nanosheets (3.5-100 nm thick) were created by simply rubbing a HOPG crystal onto a silicon oxide surface, and then patterned with a focused ion beam (FIB) to fabricate HOPG/Si heterostructures (arrays of silicon micropillars and microtracks decorated on their top surface with HOPG nanosheets). The surface reactivity of HOPG nanosheets toward DNA is found to be the same as of HOPG bulk crystals. Finally, combing is used to attach and suspend bundles of approximately 20-50 DNA molecules between HOPG/Si heterostructures

  1. Ion beam effects on the surface and near-surface composition of TaSi sub 2

    Energy Technology Data Exchange (ETDEWEB)

    Valeri, S.; Di Bona, A.; Ottaviani, G. (Dipt. di Fisica, Univ. di Modena (Italy)); Procop, M. (Zentralinstitut fuer Elektronenphysik, Berlin (Germany))

    1991-07-01

    Low-energy (0.7-4.5 keV) ion bombardment effects on polycrystalline TaSi{sub 2} at sputter steady state and in various intermediate steps have been investigated, in the temperature range up to 550degC, to determine the time and temperature dependence of the altered layer formation. This in turn enables a better knowledge of the synergistic effects of the processes mentioned above. At low temperatures (T{<=}410degC) the surface is silicon depleted, and the depletion is even more severe in the subsurface region up to a depth of several tens of angstroems; silicon preferential sputtering and radiation-enhanced segregation assisted by the displacement mixing-induced motion of atoms are assumed to be responsible for this composition profile, while thermally activated diffusion processes become operative above 410degC, reducing progressively the concentration gradient between the surface and the subsurface zone. The composition at different depths has been determined from Auger peaks for different kinetic energies, by varying the take-off angle and finally by sputter profiling at low in energy the high energy processed surfaces. Quantitative analysis has been performed by XPS and AES by using the elemental standard method. (orig.).

  2. Diffusion of single Au, Ag and Cu atoms inside Si(111)-(7 × 7) half unit cells: A comparative study

    Energy Technology Data Exchange (ETDEWEB)

    Liu, Qin [Department of Physics, Southern University of Science and Technology, Shenzhen, Guangdong 518055 (China); Department of Physics, The Chinese University of Hong Kong, Shatin, New Territory, Hong Kong (China); Science and Technology on Surface Physics and Chemistry Laboratory, Mianyang, Sichuan 621908 (China); Fu, Qiang [Institut für Physik and IRIS Adlershof, Humboldt-Universität zu Berlin, Zum Großen Windkanal 6, 12489 Berlin (Germany); Shao, Xiji; Ma, Xuhang; Wu, Xuefeng [Department of Physics, Southern University of Science and Technology, Shenzhen, Guangdong 518055 (China); Wang, Kedong, E-mail: wangkd@sustc.edu.cn [Department of Physics, Southern University of Science and Technology, Shenzhen, Guangdong 518055 (China); Xiao, Xudong, E-mail: xdxiao@phy.cuhk.edu.hk [Department of Physics, The Chinese University of Hong Kong, Shatin, New Territory, Hong Kong (China)

    2017-04-15

    Highlights: • Diffusions of Au, Ag and Cu atoms in the half unit cells of Si(111)-(7×7) have been studied by using a STM-based I-t method. • Despite their similar absorption sites, the diffusion dynamics show obvious differences between Ag and the other two. • Theoretical calculations suggest that different potential energy profiles are responsible for the observed differences. - Abstract: The diffusion behaviors of single Au, Ag and Cu atoms on Si(111)-(7 × 7) half unit cells have been investigated via combining scanning tunneling microscopy and first-principles calculations. Despite the similar adsorption sites between both half unit cells among these elements, the diffusion dynamics show obvious differences between Ag and the other two. Although obvious asymmetry has been found in the diffusion behaviors of Au and Cu atoms in two half unit cells of Si(111)-(7 × 7), the asymmetry behaves in a way different from that of Ag atoms and no dual-time character has been observed for the diffusions of Au and Cu in both half unit cells. Theoretical calculations suggest a different potential energy profile caused by the stronger hybridization between d states of Au (Cu) and Si states make the concept of basin useless for the diffusion of Au and Cu atoms inside the half unit cells of Si(111)-(7 × 7).

  3. Characterization of anodic SiO2 films on P-type 4H-SiC

    International Nuclear Information System (INIS)

    Woon, W.S.; Hutagalung, S.D.; Cheong, K.Y.

    2009-01-01

    The physical and electronic properties of 100-120-nm thick anodic silicon dioxide film grown on p-type 4H-SiC wafer and annealed at different temperatures (500, 600, 700, and 800 deg. C ) have been investigated and reported. Chemical bonding of the films has been analyzed by Fourier transform infra red spectroscopy. Smooth and defect-free film surface has been revealed under field emission scanning electron microscope. Atomic force microscope has been used to study topography and surface roughness of the films. Electronic properties of the film have been investigated by high frequency capacitance-voltage and current-voltage measurements. As the annealing temperature increased, refractive index, dielectric constant, film density, SiC surface roughness, effective oxide charge, and leakage current density have been reduced until 700 deg. C . An increment of these parameters has been observed after this temperature. However, a reversed trend has been demonstrated in porosity of the film and barrier height between conduction band edge of SiO 2 and SiC

  4. Origin of Si(LMM) Auger Electron Emission from Silicon and Si-Alloys by keV Ar+ Ion Bombardment

    Science.gov (United States)

    Iwami, Motohiro; Kim, Su Chol; Kataoka, Yoshihide; Imura, Takeshi; Hiraki, Akio; Fujimoto, Fuminori

    1980-09-01

    Si(LMM) Auger electrons emitted from specimens of pure silicon and several Si-alloys (Ni-Si, Pd-Si and Cu-Si) under keV Ar+ ion bombardment, were examined. In the Auger spectra from all specimens studied there were four peaks at energies of 92, 86, 76 and 66 eV. The Auger signal intensity varied considerably with both the incident angle and the energy of the primary ion beam. It is proposed that the Auger electrons are emitted from silicon atoms (or ions) just beneath the specimen surface but free from the bulk network.

  5. Ge quantum dot arrays grown by ultrahigh vacuum molecular-beam epitaxy on the Si(001) surface: nucleation, morphology, and CMOS compatibility.

    Science.gov (United States)

    Yuryev, Vladimir A; Arapkina, Larisa V

    2011-09-05

    Issues of morphology, nucleation, and growth of Ge cluster arrays deposited by ultrahigh vacuum molecular beam epitaxy on the Si(001) surface are considered. Difference in nucleation of quantum dots during Ge deposition at low (≲600°C) and high (≳600°C) temperatures is studied by high resolution scanning tunneling microscopy. The atomic models of growth of both species of Ge huts--pyramids and wedges-- are proposed. The growth cycle of Ge QD arrays at low temperatures is explored. A problem of lowering of the array formation temperature is discussed with the focus on CMOS compatibility of the entire process; a special attention is paid upon approaches to reduction of treatment temperature during the Si(001) surface pre-growth cleaning, which is at once a key and the highest-temperature phase of the Ge/Si(001) quantum dot dense array formation process. The temperature of the Si clean surface preparation, the final high-temperature step of which is, as a rule, carried out directly in the MBE chamber just before the structure deposition, determines the compatibility of formation process of Ge-QD-array based devices with the CMOS manufacturing cycle. Silicon surface hydrogenation at the final stage of its wet chemical etching during the preliminary cleaning is proposed as a possible way of efficient reduction of the Si wafer pre-growth annealing temperature.

  6. Ge quantum dot arrays grown by ultrahigh vacuum molecular-beam epitaxy on the Si(001 surface: nucleation, morphology, and CMOS compatibility

    Directory of Open Access Journals (Sweden)

    Yuryev Vladimir

    2011-01-01

    Full Text Available Abstract Issues of morphology, nucleation, and growth of Ge cluster arrays deposited by ultrahigh vacuum molecular beam epitaxy on the Si(001 surface are considered. Difference in nucleation of quantum dots during Ge deposition at low (≲600°C and high (≳600°C temperatures is studied by high resolution scanning tunneling microscopy. The atomic models of growth of both species of Ge huts--pyramids and wedges-- are proposed. The growth cycle of Ge QD arrays at low temperatures is explored. A problem of lowering of the array formation temperature is discussed with the focus on CMOS compatibility of the entire process; a special attention is paid upon approaches to reduction of treatment temperature during the Si(001 surface pre-growth cleaning, which is at once a key and the highest-temperature phase of the Ge/Si(001 quantum dot dense array formation process. The temperature of the Si clean surface preparation, the final high-temperature step of which is, as a rule, carried out directly in the MBE chamber just before the structure deposition, determines the compatibility of formation process of Ge-QD-array based devices with the CMOS manufacturing cycle. Silicon surface hydrogenation at the final stage of its wet chemical etching during the preliminary cleaning is proposed as a possible way of efficient reduction of the Si wafer pre-growth annealing temperature.

  7. Topological states in a two-dimensional metal alloy in Si surface: BiAg/Si(111)-4 ×4 surface

    Science.gov (United States)

    Zhang, Xiaoming; Cui, Bin; Zhao, Mingwen; Liu, Feng

    2018-02-01

    A bridging topological state with a conventional semiconductor platform offers an attractive route towards future spintronics and quantum device applications. Here, based on first-principles and tight-binding calculations, we demonstrate the existence of topological states hosted by a two-dimensional (2D) metal alloy in a Si surface, the BiAg/Si(111)-4 ×4 surface, which has already been synthesized experimentally. It exhibits a topological insulating state with an energy gap of 71 meV (˜819 K ) above the Fermi level and a topological metallic state with quasiquantized conductance below the Fermi level. The underlying mechanism leading to the formation of such nontrivial states is revealed by analysis of the "charge-transfer" and "orbital-filtering" effect of the Si substrate. A minimal effective tight-binding model is employed to reveal the formation mechanism of the topological states. Our finding opens opportunities to detect topological states and measure its quantized conductance in a large family of 2D surface metal alloys, which have been or are to be grown on semiconductor substrates.

  8. Hydrogel brushes grafted from stainless steel via surface-initiated atom transfer radical polymerization for marine antifouling

    Energy Technology Data Exchange (ETDEWEB)

    Wang, Jingjing, E-mail: jjwang1@hotmail.com; Wei, Jun

    2016-09-30

    Highlights: • Crosslinked hydrogel brushes were grafted from SS surfaces for marine antifouling. • All brush-coated SS surfaces could effectively reduce the adhesion of biofouling. • The antifouling efficacy increased with the crosslinking density of hydrogels. - Abstract: Crosslinked hydrogel brushes were grafted from stainless steel (SS) surfaces for marine antifouling. The brushes were prepared by surface-initiated atom transfer radical polymerization (SI-ATRP) of 2-methacryloyloxyethyl phosphorylcholine (MPC) and poly(ethylene glycol) methyl ether methacrylate (PEGMA) respectively with different fractions of crosslinker in the feed. The grafted layers prepared with different thickness were characterized by X-ray photoelectron spectroscopy (XPS), atomic force microscopy (AFM), ellipsometry and water contact angle measurements. With the increase in the fraction of crosslinker in the feed, the thickness of the grafted layer increased and the surface became smooth. All the brush-coated SS surfaces could effectively reduce the adhesion of bacteria and microalgae and settlement of barnacle cyprids, as compared to the pristine SS surface. The antifouling efficacy of the PEGMA polymer (PPEGMA)-grafted surface was higher than that of the MPC polymer (PMPC)-grafted surfaces. Furthermore, the crosslinked hydrogel brush-grafted surfaces exhibited better fouling resistance than the non-crosslinked polymer brush-grafted surfaces, and the antifouling efficacy increased with the crosslinking density. These hydrogel coatings of low toxicity and excellent anti-adhesive characteristics suggested their useful applications as environmentally friendly antifouling coatings.

  9. Hydrogel brushes grafted from stainless steel via surface-initiated atom transfer radical polymerization for marine antifouling

    International Nuclear Information System (INIS)

    Wang, Jingjing; Wei, Jun

    2016-01-01

    Highlights: • Crosslinked hydrogel brushes were grafted from SS surfaces for marine antifouling. • All brush-coated SS surfaces could effectively reduce the adhesion of biofouling. • The antifouling efficacy increased with the crosslinking density of hydrogels. - Abstract: Crosslinked hydrogel brushes were grafted from stainless steel (SS) surfaces for marine antifouling. The brushes were prepared by surface-initiated atom transfer radical polymerization (SI-ATRP) of 2-methacryloyloxyethyl phosphorylcholine (MPC) and poly(ethylene glycol) methyl ether methacrylate (PEGMA) respectively with different fractions of crosslinker in the feed. The grafted layers prepared with different thickness were characterized by X-ray photoelectron spectroscopy (XPS), atomic force microscopy (AFM), ellipsometry and water contact angle measurements. With the increase in the fraction of crosslinker in the feed, the thickness of the grafted layer increased and the surface became smooth. All the brush-coated SS surfaces could effectively reduce the adhesion of bacteria and microalgae and settlement of barnacle cyprids, as compared to the pristine SS surface. The antifouling efficacy of the PEGMA polymer (PPEGMA)-grafted surface was higher than that of the MPC polymer (PMPC)-grafted surfaces. Furthermore, the crosslinked hydrogel brush-grafted surfaces exhibited better fouling resistance than the non-crosslinked polymer brush-grafted surfaces, and the antifouling efficacy increased with the crosslinking density. These hydrogel coatings of low toxicity and excellent anti-adhesive characteristics suggested their useful applications as environmentally friendly antifouling coatings.

  10. Shape-dependent conversion efficiency of Si nanowire solar cells with polygonal cross-sections

    International Nuclear Information System (INIS)

    He, Yan; Yu, Wangbing; Ouyang, Gang

    2016-01-01

    A deeper insight into shape-dependent power conversion efficiency (PCE) of Si nanowire (SiNW) solar cells with polygonal cross-sectional shapes, including trigon, tetragon, hexagon, and circle, has been explored based on the atomic-bond-relaxation approach and detailed balance principle. It has been found that the surface effect induced by the loss-coordination atoms located at edges and surfaces, as well as the thermal effect, plays the dominant roles for the band shift and PCE of SiNWs due to the lattice strain occurrence at the self-equilibrium state. Our predictions are consistent with the available evidences, providing an important advance in the development of Si-based nanostructures for the desirable applications.

  11. Constructing Functional Ionic Membrane Surface by Electrochemically Mediated Atom Transfer Radical Polymerization

    Directory of Open Access Journals (Sweden)

    Fen Ran

    2016-01-01

    Full Text Available The sodium polyacrylate (PAANa contained polyethersulfone membrane that was fabricated by preparation of PES-NH2 via nonsolvent phase separation method, the introduction of bromine groups as active sites by grafting α-Bromoisobutyryl bromide, and surface-initiated electrochemically atom transfer radical polymerization (SI-eATRP of sodium acrylate (AANa on the surface of PES membrane. The polymerization could be controlled by reaction condition, such as monomer concentration, electric potential, polymerization time, and modifier concentration. The membrane surface was uniform when the monomer concentration was 0.9 mol/L, the electric potential was −0.12 V, the polymerization time was 8 h, and the modifier concentration was 2 wt.%. The membrane showed excellent hydrophilicity and blood compatibility. The water contact angle decreased from 84° to 68° and activated partial thromboplastin increased from 51 s to 84 s after modification of the membranes.

  12. Microstrucural characterization of gas atomized Fe{sub 73.5}Si{sub 13.5}B{sub 9}Nb{sub 3}Cu{sub 1} and Fe{sub 97}Si{sub 3} alloys

    Energy Technology Data Exchange (ETDEWEB)

    Garcia-Escorial, A., E-mail: age@cenim.csic.es [CENIM-CSIC, Avda. Gregorio del Amo, 8, 28040 Madrid (Spain); Lieblich, M. [CENIM-CSIC, Avda. Gregorio del Amo, 8, 28040 Madrid (Spain); Lopez, M.; Marin, P. [Instituto de Magnetismo Aplicado, P.O. Box 155, 28230 Madrid (Spain)

    2011-06-15

    Research highlights: > Two FeSi-base alloys as precursors for small dimension soft magnets. > Small particles rapidly solidified by gas atomisation. > Increase effective magnetic anisotropy constant by alloying segregation. > Magnetic hardenning due to volume decrease. - Abstract: Powder particles of Fe{sub 73.5}Si{sub 13.5}B{sub 9}Nb{sub 3}Cu{sub 1} and Fe{sub 97}Si{sub 3} soft magnetic alloys have been prepared by gas atomization. The gas atomized powder was microstructurally characterized and the dependence of coercivity with the composition and powder particle size investigated. As-atomized powder particles of both compositions were constituted by a bcc {alpha}-Fe (Si) solid solution. The Fe{sub 73.5}Si{sub 13.5}B{sub 9}Nb{sub 3}Cu{sub 1} powder particles presented a grain microstructure with dendrite structure, which dendrite arms were enriched in Nb. The coercivity increased as the particle size decreased, with a minimum coercivity, of 5 Oe, measured in the Fe{sub 97}Si{sub 3} alloy in the range of 50-100 {mu}m powder particle size. The coercive fields were quite higher in the Fe{sub 73.5}Si{sub 13.5}B{sub 9}Nb{sub 3}Cu{sub 1} than in the Fe{sub 97}Si{sub 3} powder, due to the Nb addition, which produced a phase segregation that leads to a noticeable magnetic hardening.

  13. Oxidation of aqueous HF-treated Si(001) surface induced by translational kinetic energy of O sub 2 at room temperature

    CERN Document Server

    Yoshigoe, A

    2003-01-01

    The oxidation induced by the translational kinetic energy of O sub 2 on the Si(001) surface treated with HF solution were investigated by combining synchrotron radiation photoemission spectroscopy with the supersonic molecular beam techniques. The oxidation at room temperature did not progress up to 3600 L of O sub 2 exposure with incident energy of 0.04 eV, whereas the oxidation states of up to Si sup 4 sup + species were formed in the case of 3.0 eV. The oxide-layer thickness was estimated to be 0.26 nm at the final oxidation stages. We concluded that the Si atoms at the top layers were oxidized by the incident energy of 3.0 eV.

  14. Surface spins disorder in uncoated and SiO2 coated maghemite nanoparticles

    International Nuclear Information System (INIS)

    Zeb, F.; Nadeem, K.; Shah, S. Kamran Ali; Kamran, M.; Gul, I. Hussain; Ali, L.

    2017-01-01

    We studied the surface spins disorder in uncoated and silica (SiO 2 ) coated maghemite (γ-Fe 2 O 3 ) nanoparticles using temperature and time dependent magnetization. The average crystallite size for SiO 2 coated and uncoated nanoparticles was about 12 and 29 nm, respectively. Scanning electron microscopy (SEM) showed that the nanoparticles are spherical in shape and well separated. Temperature scans of zero field cooled (ZFC)/field cooled (FC) magnetization measurements showed lower average blocking temperature (T B ) for SiO 2 coated maghemite nanoparticles as compared to uncoated nanoparticles. The saturation magnetization (M s ) of SiO 2 coated maghemite nanoparticles was also lower than the uncoated nanoparticles and is attributed to smaller average crystallite size of SiO 2 coated nanoparticles. For saturation magnetization vs. temperature data, Bloch's law (M(T)= M(0).(1− BT b )) was fitted well for both uncoated and SiO 2 coated nanoparticles and yields: B =3×10 −7 K -b , b=2.22 and B=0.0127 K -b , b=0.57 for uncoated and SiO 2 coated nanoparticles, respectively. Higher value of B for SiO 2 coated nanoparticles depicts decrease in exchange coupling due to enhanced surface spins disorder (broken surface bonds) as compared to uncoated nanoparticles. The Bloch's exponent b was decreased for SiO 2 coated nanoparticles which is due to their smaller average crystallite size or finite size effects. Furthermore, a sharp increase of coercivity at low temperatures (<25 K) was observed for SiO 2 coated nanoparticles which is also due to contribution of increased surface anisotropy or frozen surface spins in these smaller nanoparticles. The FC magnetic relaxation data was fitted to stretched exponential law which revealed slower magnetic relaxation for SiO 2 coated nanoparticles. All these measurements revealed smaller average crystallite size and enhanced surface spins disorder in SiO 2 coated nanoparticles than in uncoated γ-Fe 2 O 3 nanoparticles

  15. Second harmonic generation spectroscopy on Si surfaces and interfaces

    DEFF Research Database (Denmark)

    Pedersen, Kjeld

    2010-01-01

    Optical second harmonic generation (SHG) spectroscopy studies of Si(111) surfaces and interfaces are reviewed for two types of systems: (1) clean 7 x 7 and root 3 x root 3-Ag reconstructed surfaces prepared under ultra-high vacuum conditions where surface states are excited and (2) interfaces...... in silicon-on-insulator (SOI) structures and thin metal films on Si surfaces where several interfaces contribute to the SHG. In all the systems resonances are seen at interband transitions near the bulk critical points E-1 and E-2. On the clean surfaces a number of resonances appear below the onset of bulk...

  16. Growth of aluminum oxide on silicon carbide with an atomically sharp interface

    DEFF Research Database (Denmark)

    Silva, Ana Gomes; Pedersen, Kjeld; Li, Zheshen

    2017-01-01

    this system up to around 600 °C (all in ultrahigh vacuum). This converts all the SiO2 into a uniform layer of Al2O3 with an atomically sharp interface between the Al2O3 and the Si surface. In the present work, the same procedures are applied to form Al2O3 on a SiC film grown on top of Si (111). The results...... indicate that a similar process, resulting in a uniform layer of 1-2 nm of Al2O3 with an atomically sharp Al2O3/SiC interface, also works in this case.......The development of SiC wafers with properties suitable for electronic device fabrication is now well established commercially. A critical issue for developing metal-oxide-semiconductor field effect transistor devices of SiC is the choice of dielectric materials for surface passivation...

  17. Pt Single Atoms Embedded in the Surface of Ni Nanocrystals as Highly Active Catalysts for Selective Hydrogenation of Nitro Compounds.

    Science.gov (United States)

    Peng, Yuhan; Geng, Zhigang; Zhao, Songtao; Wang, Liangbing; Li, Hongliang; Wang, Xu; Zheng, Xusheng; Zhu, Junfa; Li, Zhenyu; Si, Rui; Zeng, Jie

    2018-06-13

    Single-atom catalysts exhibit high selectivity in hydrogenation due to their isolated active sites, which ensure uniform adsorption configurations of substrate molecules. Compared with the achievement in catalytic selectivity, there is still a long way to go in exploiting the catalytic activity of single-atom catalysts. Herein, we developed highly active and selective catalysts in selective hydrogenation by embedding Pt single atoms in the surface of Ni nanocrystals (denoted as Pt 1 /Ni nanocrystals). During the hydrogenation of 3-nitrostyrene, the TOF numbers based on surface Pt atoms of Pt 1 /Ni nanocrystals reached ∼1800 h -1 under 3 atm of H 2 at 40 °C, much higher than that of Pt single atoms supported on active carbon, TiO 2 , SiO 2 , and ZSM-5. Mechanistic studies reveal that the remarkable activity of Pt 1 /Ni nanocrystals derived from sufficient hydrogen supply because of spontaneous dissociation of H 2 on both Pt and Ni atoms as well as facile diffusion of H atoms on Pt 1 /Ni nanocrystals. Moreover, the ensemble composed of the Pt single atom and nearby Ni atoms in Pt 1 /Ni nanocrystals leads to the adsorption configuration of 3-nitrostyrene favorable for the activation of nitro groups, accounting for the high selectivity for 3-vinylaniline.

  18. Direct atomic fabrication and dopant positioning in Si using electron beams with active real-time image-based feedback

    Science.gov (United States)

    Jesse, Stephen; Hudak, Bethany M.; Zarkadoula, Eva; Song, Jiaming; Maksov, Artem; Fuentes-Cabrera, Miguel; Ganesh, Panchapakesan; Kravchenko, Ivan; Snijders, Panchapakesan C.; Lupini, Andrew R.; Borisevich, Albina Y.; Kalinin, Sergei V.

    2018-06-01

    Semiconductor fabrication is a mainstay of modern civilization, enabling the myriad applications and technologies that underpin everyday life. However, while sub-10 nanometer devices are already entering the mainstream, the end of the Moore’s law roadmap still lacks tools capable of bulk semiconductor fabrication on sub-nanometer and atomic levels, with probe-based manipulation being explored as the only known pathway. Here we demonstrate that the atomic-sized focused beam of a scanning transmission electron microscope can be used to manipulate semiconductors such as Si on the atomic level, inducing growth of crystalline Si from the amorphous phase, reentrant amorphization, milling, and dopant front motion. These phenomena are visualized in real-time with atomic resolution. We further implement active feedback control based on real-time image analytics to automatically control the e-beam motion, enabling shape control and providing a pathway for atom-by-atom correction of fabricated structures in the near future. These observations open a new epoch for atom-by-atom manufacturing in bulk, the long-held dream of nanotechnology.

  19. Direct atomic fabrication and dopant positioning in Si using electron beams with active real-time image-based feedback.

    Science.gov (United States)

    Jesse, Stephen; Hudak, Bethany M; Zarkadoula, Eva; Song, Jiaming; Maksov, Artem; Fuentes-Cabrera, Miguel; Ganesh, Panchapakesan; Kravchenko, Ivan; Snijders, Panchapakesan C; Lupini, Andrew R; Borisevich, Albina Y; Kalinin, Sergei V

    2018-06-22

    Semiconductor fabrication is a mainstay of modern civilization, enabling the myriad applications and technologies that underpin everyday life. However, while sub-10 nanometer devices are already entering the mainstream, the end of the Moore's law roadmap still lacks tools capable of bulk semiconductor fabrication on sub-nanometer and atomic levels, with probe-based manipulation being explored as the only known pathway. Here we demonstrate that the atomic-sized focused beam of a scanning transmission electron microscope can be used to manipulate semiconductors such as Si on the atomic level, inducing growth of crystalline Si from the amorphous phase, reentrant amorphization, milling, and dopant front motion. These phenomena are visualized in real-time with atomic resolution. We further implement active feedback control based on real-time image analytics to automatically control the e-beam motion, enabling shape control and providing a pathway for atom-by-atom correction of fabricated structures in the near future. These observations open a new epoch for atom-by-atom manufacturing in bulk, the long-held dream of nanotechnology.

  20. Enhanced corrosion resistance of A3xx.x/SiCp composites in chloride media by La surface treatments

    International Nuclear Information System (INIS)

    Pardo, A.; Merino, M.C.; Arrabal, R.; Feliu, S.; Viejo, F.; Carboneras, M.

    2006-01-01

    The influence of silicon carbide particles (SiCp) proportion and matrix composition of aluminium metal matrix composites (A3xx.x/SiCp) modified by lanthanum-based conversion or electrolysis coating was evaluated in 3.5 wt% NaCl aerated solution. The intermetallic compounds were preferentially covered by lanthanum-based conversion coatings obtained by immersion in 50 deg. C solution of La(III) salt, and the intermetallic compounds, SiCp and aluminium matrix were covered by lanthanum electrolysis treatment. The corrosion process was studied on the basis of gravimetric tests and electrochemical impedance spectroscopy (EIS) during immersion in 3.5 wt% NaCl aerated solution. The composition of both La coating and corrosion products was analyzed before and after accelerated testing, by scanning electron microscopy (SEM), atomic force microscopy (AFM), low-angle X-ray diffraction (XRD) and X-ray photoelectron spectroscopy (XPS) to determine the influence of surface microstructural changes on corrosion behaviour during exposure to the corrosive environment. The corrosion process was more influenced by the concentration of alloy elements in the matrix than by the proportion of SiCp reinforcement. Both lanthanum treated surfaces presented better behaviour to chloride solution corrosion than original composite surfaces without treatment; however, electrolysis afforded a higher degree of protection than the conversion treatment because the coating was more extensive

  1. AFM and FTIR characterization of microcrystalline Si obtained from isothermal annealing of Al/a-Si:H

    Energy Technology Data Exchange (ETDEWEB)

    Rojas-Lopez, M.; Orduna-Diaz, A.; Delgado-Macuil, R. [Centro de Investigacion en Biotecnologia Aplicada (CIBA), IPN, Tlaxcala, Tlax. 72197 (Mexico); Olvera-Hernandez, J. [Centro de Investigacion en Dispositivos Semiconductores (CIDS), BUAP, Puebla, Pue. 72570 (Mexico); Navarro-Contreras, H.; Vidal, M.A.; Saucedo, N.; Mendez-Garcia, V.H. [Instituto de Investigacion en Comunicacion Optica (IICO), UASLP, San Luis Potosi, S.L.P. 78100 (Mexico)

    2007-04-15

    Atomic force microscopy and Fourier transform infrared spectroscopy were used to investigate the morphology of the microcrystalline surface, and also the amorphous-crystalline structural transformation of a-Si:H films, isothermally annealed during several hours. Crystallization process was strongly influenced by the deposition of an Al layer on the surface of a-Si:H samples. Representative AFM images show the presence of grains, which increase in diameter with the annealing time. Relative crystallized fraction as a function of the annealing time can be described adequately by using the Avrami equation. The kinetic of this crystallization process suggest a two-dimensional growth of the Si nuclei. Fourier transform infrared measurements show the presence of an intense band near 512 cm{sup -1} associated to Si-Si bonding. We observed the relative diminishing of the intensity of the Si-H wagging mode at 694 cm{sup -1} with annealing time, suggesting effusion of hydrogen to the surface of microcrystalline films. (copyright 2007 WILEY-VCH Verlag GmbH and Co. KGaA, Weinheim) (orig.)

  2. Interface Engineering for Atomic Layer Deposited Alumina Gate Dielectric on SiGe Substrates.

    OpenAIRE

    Zhang, L; Guo, Y; Hassan, VV; Tang, K; Foad, MA; Woicik, JC; Pianetta, P; Robertson, John; McIntyre, PC

    2016-01-01

    Optimization of the interface between high-k dielectrics and SiGe substrates is a challenging topic due to the complexity arising from the coexistence of Si and Ge interfacial oxides. Defective high-k/SiGe interfaces limit future applications of SiGe as a channel material for electronic devices. In this paper, we identify the surface layer structure of as-received SiGe and Al2O3/SiGe structures based on soft and hard X-ray photoelectron spectroscopy. As-received SiGe substrates have native Si...

  3. Global structural optimizations of surface systems with a genetic algorithm

    International Nuclear Information System (INIS)

    Chuang, Feng-Chuan

    2005-01-01

    Global structural optimizations with a genetic algorithm were performed for atomic cluster and surface systems including aluminum atomic clusters, Si magic clusters on the Si(111) 7 x 7 surface, silicon high-index surfaces, and Ag-induced Si(111) reconstructions. First, the global structural optimizations of neutral aluminum clusters Al n (n up to 23) were performed using a genetic algorithm coupled with a tight-binding potential. Second, a genetic algorithm in combination with tight-binding and first-principles calculations were performed to study the structures of magic clusters on the Si(111) 7 x 7 surface. Extensive calculations show that the magic cluster observed in scanning tunneling microscopy (STM) experiments consist of eight Si atoms. Simulated STM images of the Si magic cluster exhibit a ring-like feature similar to STM experiments. Third, a genetic algorithm coupled with a highly optimized empirical potential were used to determine the lowest energy structure of high-index semiconductor surfaces. The lowest energy structures of Si(105) and Si(114) were determined successfully. The results of Si(105) and Si(114) are reported within the framework of highly optimized empirical potential and first-principles calculations. Finally, a genetic algorithm coupled with Si and Ag tight-binding potentials were used to search for Ag-induced Si(111) reconstructions at various Ag and Si coverages. The optimized structural models of √3 x √3, 3 x 1, and 5 x 2 phases were reported using first-principles calculations. A novel model is found to have lower surface energy than the proposed double-honeycomb chained (DHC) model both for Au/Si(111) 5 x 2 and Ag/Si(111) 5 x 2 systems

  4. Experimental investigation of slow-positron emission from 4H-SiC and 6H-SiC surfaces

    International Nuclear Information System (INIS)

    Ling, C.C.; Beling, C.D.; Fung, S.; Weng, H.M.

    2002-01-01

    Slow-positron emission from the surfaces of as-grown n-type 4H-SiC and 6H-SiC (silicon carbide) with a conversion efficiency of ∼10 -4 has been observed. After 30 min of 1000 deg. C annealing in forming gas, the conversion efficiency of the n-type 6H-SiC sample was observed to be enhanced by 75% to 1.9x10 -4 , but it then dropped to ∼10 -5 upon a further 30 min annealing at 1400 deg. C. The positron work function of the n-type 6H-SiC was found to increase by 29% upon 1000 deg. C annealing. For both p-type 4H-SiC and p-type 6H-SiC materials, the conversion efficiency was of the order of ∼10 -5 , some ten times lower than that for the n-type materials. This was attributed to the band bending at the p-type material surface which caused positrons to drift away from the positron emitting surface. (author)

  5. Characterization of semiconductor surfaces and interfaces by high energy ion scattering

    International Nuclear Information System (INIS)

    Narusawa, Tadashi; Kobayashi, K.L.I.; Nakashima, Hisao

    1984-01-01

    The use of surface peak, which appears in MeV ion channeling experiments, is demonstrated as a local probe for direct and quantitative measurements of atomic displacements smaller than --0.1A. The atomic structures of GaAs(001)-c(4x4) clean surface and hydrogen-absorbed (1x1) surface are analyzed by this technique as well as the interface atomic structures of GaAs(001)-SiOsub(x) and Si(111)-Pd systems. (author)

  6. Photodesorption of Na atoms from rough Na surfaces

    DEFF Research Database (Denmark)

    Balzer, Frank; Gerlach, R.; Manson, J.R.

    1997-01-01

    We investigate the desorption of Na atoms from large Na clusters deposited on dielectric surfaces. High-resolution translational energy distributions of the desorbing atoms are determined by three independent methods, two-photon laser-induced fluorescence, as well as single-photon and resonance......-enhanced two-photon ionization techniques. Upon variation of surface temperature and for different substrates (mica vs lithium fluoride) clear non-Maxwellian time-of-flight distributions are observed with a cos θ angular dependence and most probable kinetic energies below that expected of atoms desorbing from...... atoms are scattered by surface vibrations. Recent experiments providing time constants for the decay of the optical excitations in the clusters support this model. The excellent agreement between experiment and theory indicates the importance of both absorption of the laser photons via direct excitation...

  7. STM investigation of epitaxial Si growth for the fabrication of a Si-based quantum computer

    Energy Technology Data Exchange (ETDEWEB)

    Oberbeck, Lars; Hallam, Toby; Curson, Neil J.; Simmons, Michelle Y.; Clark, Robert G

    2003-05-15

    We investigate the morphology of epitaxial Si layers grown on clean and on hydrogen terminated Si(0 0 1) to explore the growth strategy for the fabrication of a Si-based quantum computer. We use molecular beam epitaxy to deposit 5 monolayers of silicon at a temperature of 250 deg. C and scanning tunnelling microscopy to image the surface at room temperature after growth and after various rapid annealing steps in the temperature range of 350-600 deg. C. The epitaxial layer grown on the hydrogenated surface shows a significantly higher surface roughness due to a lower mobility of silicon surface atoms in the presence of hydrogen. Annealing at temperatures {>=}550 deg. C reduces the roughness of both epitaxial layers to the value of a clean silicon surface. However, the missing dimer defect density of the epitaxial layer grown on the hydrogenated surface remains higher by a factor of two compared to the layer grown on clean Si(0 0 1). Our results suggest a quantum computer growth strategy in which the hydrogen resist layer is desorbed before the epitaxial silicon layer is grown at low temperature to encapsulate phosphorus quantum bits.

  8. Surface Defect Passivation and Reaction of c-Si in H2S.

    Science.gov (United States)

    Liu, Hsiang-Yu; Das, Ujjwal K; Birkmire, Robert W

    2017-12-26

    A unique passivation process of Si surface dangling bonds through reaction with hydrogen sulfide (H 2 S) is demonstrated in this paper. A high-level passivation quality with an effective minority carrier lifetime (τ eff ) of >2000 μs corresponding to a surface recombination velocity of passivation by monolayer coverage of S on the Si surface. However, S passivation of the Si surface is highly unstable because of thermodynamically favorable reaction with atmospheric H 2 O and O 2 . This instability can be eliminated by capping the S-passivated Si surface with a protective thin film such as low-temperature-deposited amorphous silicon nitride.

  9. Growth rate and surface morphology of 4H-SiC crystals grown from Si-Cr-C and Si-Cr-Al-C solutions under various temperature gradient conditions

    Science.gov (United States)

    Mitani, Takeshi; Komatsu, Naoyoshi; Takahashi, Tetsuo; Kato, Tomohisa; Fujii, Kuniharu; Ujihara, Toru; Matsumoto, Yuji; Kurashige, Kazuhisa; Okumura, Hajime

    2014-09-01

    The growth rate and surface morphology of 4H-SiC crystals prepared by solution growth with Si1-xCrx and Si1-x-yCrxAly (x=0.4, 0.5 and 0.6; y=0.04) solvents were investigated under various temperature conditions. The growth rate was examined as functions of the temperature difference between the growth surface and C source, the amount of supersaturated C and supersaturation at the growth surface. We found that generation of trench-like surface defects in 4H-SiC crystals was suppressed using Si1-x-yCrxAly solvents even under highly supersaturated conditions where the growth rate exceeded 760 μm/h. Conversely, trench-like defects were observed in crystals grown with Si1-xCrx solvents under all experimental conditions. Statistical observation of the macrostep structure showed that the macrostep height in crystals grown with Si1-x-yCrxAly solvents was maintained at lower levels than that obtained using Si1-xCrx solvents. Addition of Al prevents the macrosteps from developing into large steps, which are responsible for the generation of trench-like surface defects.

  10. Hydrogen intercalation of single and multiple layer graphene synthesized on Si-terminated SiC(0001) surface

    International Nuclear Information System (INIS)

    Sołtys, Jakub; Piechota, Jacek; Ptasinska, Maria; Krukowski, Stanisław

    2014-01-01

    Ab initio density functional theory simulations were used to investigate the influence of hydrogen intercalation on the electronic properties of single and multiple graphene layers deposited on the SiC(0001) surface (Si-face). It is shown that single carbon layer, known as a buffer layer, covalently bound to the SiC substrate, is liberated after hydrogen intercalation, showing characteristic Dirac cones in the band structure. This is in agreement with the results of angle resolved photoelectron spectroscopy measurements of hydrogen intercalation of SiC-graphene samples. In contrast to that hydrogen intercalation has limited impact on the multiple sheet graphene, deposited on Si-terminated SiC surface. The covalently bound buffer layer is liberated attaining its graphene like structure and dispersion relation typical for multilayer graphene. Nevertheless, before and after intercalation, the four layer graphene preserved the following dispersion relations in the vicinity of K point: linear for (AAAA) stacking, direct parabolic for Bernal (ABAB) stacking and “wizard hat” parabolic for rhombohedral (ABCA) stacking

  11. Atomic-scale Ge diffusion in strained Si revealed by quantitative scanning transmission electron microscopy

    Science.gov (United States)

    Radtke, G.; Favre, L.; Couillard, M.; Amiard, G.; Berbezier, I.; Botton, G. A.

    2013-05-01

    Aberration-corrected scanning transmission electron microscopy is employed to investigate the local chemistry in the vicinity of a Si0.8Ge0.2/Si interface grown by molecular-beam epitaxy. Atomic-resolution high-angle annular dark field contrast reveals the presence of a nonuniform diffusion of Ge from the substrate into the strained Si thin film. On the basis of multislice calculations, a model is proposed to quantify the experimental contrast, showing that the Ge concentration in the thin film reaches about 4% at the interface and decreases monotonically on a typical length scale of 10 nm. Diffusion occurring during the growth process itself therefore appears as a major factor limiting the abruptness of interfaces in the Si-Ge system.

  12. Atomic scale Monte Carlo simulations of BF3 plasma immersion ion implantation in Si

    International Nuclear Information System (INIS)

    La Magna, Antonino; Fisicaro, Giuseppe; Nicotra, Giuseppe; Spiegel, Yohann; Torregrosa, Frank

    2014-01-01

    We present a numerical model aimed to accurately simulate the plasma immersion ion implantation (PIII) process in micro and nano-patterned Si samples. The code, based on the Monte Carlo approach, is designed to reproduce all the relevant physical phenomena involved in the process. The particle based simulation technique is fundamental to efficiently compute the material modifications promoted by the plasma implantation at the atomic resolution. The accuracy in the description of the process kinetic is achieved linking (one to one) each virtual Monte Carlo event to each possible atomic phenomenon (e.g. ion penetration, neutral absorption, ion induced surface modification, etc.). The code is designed to be coupled with a generic plasma status, characterized by the particle types (ions and neutrals), their flow rates and their energy/angle distributions. The coupling with a Poisson solver allows the simulation of the correct trajectories of charged particles in the void regions of the micro-structures. The implemented model is able to predict the implantation 2D profiles and significantly support the process design. (copyright 2014 WILEY-VCH Verlag GmbH and Co. KGaA, Weinheim) (orig.)

  13. Monolayer assembly and striped architecture of Co nanoparticles on organic functionalized Si surfaces

    Energy Technology Data Exchange (ETDEWEB)

    Bae, S.-S.; Lim, D.K.; Park, J.-I.; Kim, S. [Korea Advanced Institute of Science and Technology, Department of Chemistry and School of Molecular Science (BK 21), Daejeon (Korea); Cheon, J. [Yonsei University, Department of Chemistry, College of Sciences, Seoul (Korea); Jeon, I.C. [Chonbuk National University, Department of Chemistry, College of Natural Sciences, Chonbuk (Korea)

    2005-03-01

    We present a new strategy to fabricate a monolayer assembly of Br-terminated Co nanoparticles on functionalized Si surfaces by using chemical covalent bonding and microcontact printing method. Self-assembled monolayers (SAMs) of the Co nanoparticles formed on the hydroxyl-terminated Si surface exhibit two-dimensional island networks with locally ordered arrays via covalent linkage between nanoparticles and surface. On the other hand, SAMs of the nanoparticles on the aminopropyl-terminated Si surface show an individual and random distribution over an entire surface. Furthermore, we have fabricated striped architectures of Co nanoparticles using a combination of microcontact printing and covalent linkage. Microcontact printing of octadecyltrichlorosilane and selective covalent linkage between nanoparticles and functionalized Si surfaces lead to a hybrid nanostructure with selectively assembled nanoparticles stripes on the patterned functionalized Si surfaces. (orig.)

  14. Surface modification of Al–Si alloy by excimer laser pulse processing

    Energy Technology Data Exchange (ETDEWEB)

    Mahanty, S., E-mail: soumitro@iitk.ac.in; Gouthama

    2016-04-15

    The laser irradiation on Al-Si alloy sample is carried out by excimer laser in ambient conditions for 30 or 45 pulses. Microstructural investigation of laser treated sample is done by OM, SEM and TEM and the surface hardness is evaluated by Vickers micro indentation. Laser treated, samples suggested the dissolution of coarse primary Si and β-AlFeSi particle in α-Al matrix. The SEM/EDS study shows the enhancement of retained Si in α-Al matrix. The interface analysis of laser treated sample suggested the effected modified depth is ∼6 μm. TEM investigation shows the formation of nanocrystalline Si in size ∼2–15 nm. The cellular structures of size range ∼30–50 nm are observed after 45 pulses. The α-Al cells and Si precipitates sizes were considerably refined at higher number of pulses. The fine Si precipitates are found to be dispersed in the intercellular boundaries. An improvement in surface hardness from ∼1.6 to 1.8 is observed 30 and 45 pulse treatment, respectively. The mechanism involves for improvement in surface properties are non-equilibrium solidification, metastable phase formation and microstructural refinement. - Highlights: • Coarse Si and β phase intermetallic are melted and the constituent elements dispersed into the matrix during re-solidification. • The solid solubility of the Si at the surface enhanced after the laser treatment. • The Cellular structure with the size range ∼30–50 nm observed in α-Al after 45 laser pulses. • Si nano particles in size ∼ 2–15 nm were observed in the intercellular region. • Surface hardness increased after laser processing.

  15. Simulated non-contact atomic force microscopy for GaAs surfaces based on real-space pseudopotentials

    International Nuclear Information System (INIS)

    Kim, Minjung; Chelikowsky, James R.

    2014-01-01

    We simulate non-contact atomic force microscopy (AFM) with a GaAs(1 1 0) surface using a real-space ab initio pseudopotential method. While most ab initio simulations include an explicit model for the AFM tip, our method does not introduce the tip modeling step. This approach results in a considerable reduction of computational work, and also provides complete AFM images, which can be directly compared to experiment. By analyzing tip-surface interaction forces in both our results and previous ab initio simulations, we find that our method provides very similar force profile to the pure Si tip results. We conclude that our method works well for systems in which the tip is not chemically active.

  16. Surface Damage Mechanism of Monocrystalline Si Under Mechanical Loading

    Science.gov (United States)

    Zhao, Qingliang; Zhang, Quanli; To, Suet; Guo, Bing

    2017-03-01

    Single-point diamond scratching and nanoindentation on monocrystalline silicon wafer were performed to investigate the surface damage mechanism of Si under the contact loading. The results showed that three typical stages of material removal appeared during dynamic scratching, and a chemical reaction of Si with the diamond indenter and oxygen occurred under the high temperature. In addition, the Raman spectra of the various points in the scratching groove indicated that the Si-I to β-Sn structure (Si-II) and the following β-Sn structure (Si-II) to amorphous Si transformation appeared under the rapid loading/unloading condition of the diamond grit, and the volume change induced by the phase transformation resulted in a critical depth (ductile-brittle transition) of cut (˜60 nm ± 15 nm) much lower than the theoretical calculated results (˜387 nm). Moreover, it also led to abnormal load-displacement curves in the nanoindentation tests, resulting in the appearance of elbow and pop-out effects (˜270 nm at 20 s, 50 mN), which were highly dependent on the loading/unloading conditions. In summary, phase transformation of Si promoted surface deformation and fracture under both static and dynamic mechanical loading.

  17. The electrical, elemental, optical, and surface properties of Si-doped ZnO thin films prepared by thermionic vacuum arc

    Science.gov (United States)

    Mohammadigharehbagh, Reza; Özen, Soner; Yudar, Hafizittin Hakan; Pat, Suat; Korkmaz, Şadan

    2017-09-01

    The purpose of this work is to study the properties of Si-doped ZnO (SZO) thin films, which were prepared using the non-reactive thermionic vacuum arc technique. The analysis of the elemental, optical, and surface properties of ZnO:Si thin films was carried out using energy dispersive x-ray spectroscopy, UV-VIS spectrophotometry, atomic force microscopy, and scanning electron microscopy, respectively. The current-voltage measurement was employed in order to study the electrical properties of the films. The effect of Si doping on the physical properties of ZnO films was investigated. The film thicknesses were measured as 55 and 35 nm for glass and PET substrates, respectively. It was clearly observed from the x-ray diffraction results that the Si and ZnO peaks were present in the coated SZO films for all samples. The morphological studies showed that the deposited surfaces are homogenous, dense, and have a uniform surface, with the existence of some cracks only on the glass substrate. The elemental composition has confirmed the existence of Zn, Si, and O elements within the prepared films. Using a UV-VIS spectrophotometer, the optical parameters such as transmittance, absorbance, refractive index, and reflectance were calculated. It should be noted that the transparency and refractive indices obtained from the measurements decrease with increasing Si concentration. The obtained optical bandgap values using transmittance spectra were determined to be 3.74 and 3.84 eV for the glass and PET substrates, respectively. An increase in the bandgap results demonstrates that the Si doping concentration is comparable to the pure ZnO thin films. The current versus voltage curves revealed the ohmic nature of the films. Subsequently, the development and fabrication of excellent transparent conducting electrodes enabled the appropriate use of Si-doped ZnO thin films.

  18. The atomic arrangement of iimoriite-(Y), Y2(SiO4)(CO3)

    Science.gov (United States)

    Hughes, J.M.; Foord, E.E.; Jai-Nhuknan, J.; Bell, J.M.

    1996-01-01

    Iimoriite-(Y) from Bokan Mountain, Prince of Wales Island, Alaska has been studied using single-crystal X-ray-diffraction techniques. The mineral, ideally Y2(SiO4)(CO3), crystallizes in space group P1, with a 6.5495(13), b 6.6291(14), c 6.4395(11)A??, ?? 116.364(15), ?? 92.556(15) and ?? 95.506(17)??. The atomic arrangement has been solved and refined to an R value of 0.019. The arrangement of atoms consists of alternating (011) slabs of orthosilicate groups and carbonate groups, with no sharing of oxygen atoms between anionic complexes in adjacent slabs. Y1 atoms separate adjacent tetrahedra along [100] within the orthosilicate slab, and Y2 atoms separate adjacent carbonate groups along [100] within the carbonate slab. Adjacent orthosilicate and carbonate slabs are linked in (100) by bonding Y atoms from each slab to oxygen atoms of adjacent slabs, in the form of YO8 polyhedra. The Y1 atoms exist in Y12O14 dimers in the orthosilicate slab, and the Y2 atoms exist in continuous [011] ribbons of edge-sharing Y2O8 polyhedra in the carbonate slab.

  19. Microstructural characterization and grain growth kinetics of atomized Fe-6%Si alloy

    Energy Technology Data Exchange (ETDEWEB)

    Florio Filho, A.; Bolfarini, C.; Kiminami, C.S. [Dept. de Engenharia de Materiais, Univ. Federal de Sao Carlos, Sao Carlos SP (Brazil)

    2001-07-01

    The microstructural characterization of the overspray powders is considered an important step to evaluate the as-cast microstructure of preforms fabricated by spray forming process. The particles generated during the high pressure gas atomization fly toward a substrate located at the middle height into the atomization chamber and consolidate to a dense deposit. The solidification process begins already during the flight of the droplets and high cooling rate can be achieved by the droplets of the molten metal during the atomization step. Consequently, the microstructure of the preform has some typical features presented by rapidly solidified metals as low level of porosity and segregation and it is strongly influenced by the thermal history of the droplets during flight. In the present work the microstructure of the particles of the Fe-6%Si alloy was analysed by light microscopy and scanning electron microscopy (SEM). The experimental determination of the kinetic exponent n for grain boundary migration in both powder and preform was determined by isothermal treatment under argon atmosphere. It has been stated that the larger the particle size the greater the grain size in Fe-6%Si alloy. It was observed also that the interface morphology is strongly related to the particle size. Furthermore, the grain growth kinetic in the preform seems to not obey the migration mechanism where the self diffusion of elemental Fe drive the boundary displacement. (orig.)

  20. C and Si delta doping in Ge by CH{sub 3}SiH{sub 3} using reduced pressure chemical vapor deposition

    Energy Technology Data Exchange (ETDEWEB)

    Yamamoto, Yuji, E-mail: yamamoto@ihp-microelectronics.com [IHP, Im Technologiepark 25, 15236 Frankfurt (Oder) (Germany); Ueno, Naofumi; Sakuraba, Masao [Laboratory for Nanoelectronics and Spintronics, Research Institute of Electrical Communication, Tohoku University, 2-1-1, Katahira, Aoba-Ku, Sendai 980-8577 (Japan); Murota, Junichi [Micro System Integration Center, Tohoku University, 519-1176, Aramaki aza Aoba, Aoba-ku, Sendai 980-0845 (Japan); Mai, Andreas [IHP, Im Technologiepark 25, 15236 Frankfurt (Oder) (Germany); Tillack, Bernd [IHP, Im Technologiepark 25, 15236 Frankfurt (Oder) (Germany); Technische Universität Berlin, HFT4, Einsteinufer 25, 10587 Berlin (Germany)

    2016-03-01

    C and Si delta doping in Ge are investigated using a reduced pressure chemical vapor deposition system to establish atomic-order controlled processes. CH{sub 3}SiH{sub 3} is exposed at 250 °C to 500 °C to a Ge on Si (100) substrate using H{sub 2} or N{sub 2} carrier gas followed by a Ge cap layer deposition. At 350 °C, C and Si are uniformly adsorbed on the Ge surface and the incorporated C and Si form steep delta profiles below detection limit of SIMS measurement. By using N{sub 2} as carrier gas, the incorporated C and Si doses in Ge are saturated at one mono-layer below 350 °C. At this temperature range, the incorporated C and Si doses are nearly the same, indicating CH{sub 3}SiH{sub 3} is adsorbed on the Ge surface without decomposing the C−Si bond. On the other hand, by using H{sub 2} as carrier gas, lower incorporated C is observed in comparison to Si. CH{sub 3}SiH{sub 3} injected with H{sub 2} carrier gas is adsorbed on Ge without decomposing the C−Si bond and the adsorbed C is reduced by dissociation of the C−Si bond during temperature ramp up to 550 °C. The adsorbed C is maintained on the Ge surface in N{sub 2} at 550 °C. - Highlights: • C and Si delta doping in Ge is investigated using RPCVD system by CH{sub 3}SiH{sub 3} exposure. • Atomically flat C and Si delta layers are fabricated at 350 °C. • Incorporated C and Si doses are saturated at one mono-layer below 350 °C. • CH{sub 3}SiH{sub 3} adsorption occurred without decomposing C−Si bond. • Adsorbed C is desorbed due to dissociation by hydrogen during postannealing at 550 °C.

  1. Radicals and ions controlling by adjusting the antenna-substrate distance in a-Si:H deposition using a planar ICP for c-Si surface passivation

    Energy Technology Data Exchange (ETDEWEB)

    Zhou, H.P., E-mail: haipzhou@uestc.edu.cn [School of Energy Science and Engineering, University of Electronic Science and Technology of China, 2006 Xiyuan Ave., West High-Tech Zone, Chengdu, Sichuan, 611731 (China); Plasma Sources and Application Center, NIE, and Institute of Advanced Studies, Nanyang Technological University, 637616 (Singapore); Xu, S., E-mail: shuyan.xu@nie.edu.sg [Plasma Sources and Application Center, NIE, and Institute of Advanced Studies, Nanyang Technological University, 637616 (Singapore); Xu, M. [Key Laboratory of Information Materials of Sichuan Province & School of Electrical and Information Engineering, Southwest University for Nationalities, Chengdu, 610041 (China); Xu, L.X.; Wei, D.Y. [Plasma Sources and Application Center, NIE, and Institute of Advanced Studies, Nanyang Technological University, 637616 (Singapore); Xiang, Y. [School of Energy Science and Engineering, University of Electronic Science and Technology of China, 2006 Xiyuan Ave., West High-Tech Zone, Chengdu, Sichuan, 611731 (China); Xiao, S.Q. [Key Laboratory of Advanced Process Control for Light Industry (Ministry of Education), Department of Electronic Engineering, Jiangnan University, Wuxi, 214122 (China)

    2017-02-28

    Highlights: • A planar ICP was used to grow a-Si:H films for c-Si surface passivation. • The direct- and remote-plasma was compared for high-quality c-Si surface passivation. • The remote ICP with controlled plasma species and ion bombardments is preferable for the surface passivation of c-Si. - Abstract: Being a key issue in the research and fabrication of silicon heterojunction (SHJ) solar cells, crystalline silicon (c-Si) surface passivation is theoretically and technologically intricate due to its complicate dependence on plasma characteristics, material properties, and plasma-material interactions. Here amorphous silicon (a-Si:H) grown by a planar inductively coupled plasma (ICP) reactor working under different antenna-substrate distances of d was used for the surface passivation of low-resistivity p-type c-Si. It is found that the microstructures (i.e., the crystallinity, Si-H bonding configuration etc.) and passivation function on c-Si of the deposited a-Si:H were profoundly influenced by the parameter of d, which primarily determines the types of growing precursors of SiH{sub n}/H contributing to the film growth and the interaction between the plasma and growing surface. c-Si surface passivation is analyzed in terms of the d-dependent a-Si:H properties and plasma characteristics. The controlling of radical types and ion bombardment on the growing surface through adjusting parameter d is emphasized.

  2. Intercalation of Si between MoS2 layers

    Directory of Open Access Journals (Sweden)

    Rik van Bremen

    2017-09-01

    Full Text Available We report a combined experimental and theoretical study of the growth of sub-monolayer amounts of silicon (Si on molybdenum disulfide (MoS2. At room temperature and low deposition rates we have found compelling evidence that the deposited Si atoms intercalate between the MoS2 layers. Our evidence relies on several experimental observations: (1 Upon the deposition of Si on pristine MoS2 the morphology of the surface transforms from a smooth surface to a hill-and-valley surface. The lattice constant of the hill-and-valley structure amounts to 3.16 Å, which is exactly the lattice constant of pristine MoS2. (2 The transitions from hills to valleys are not abrupt, as one would expect for epitaxial islands growing on-top of a substrate, but very gradual. (3 I(V scanning tunneling spectroscopy spectra recorded at the hills and valleys reveal no noteworthy differences. (4 Spatial maps of dI/dz reveal that the surface exhibits a uniform work function and a lattice constant of 3.16 Å. (5 X-ray photo-electron spectroscopy measurements reveal that sputtering of the MoS2/Si substrate does not lead to a decrease, but an increase of the relative Si signal. Based on these experimental observations we have to conclude that deposited Si atoms do not reside on the MoS2 surface, but rather intercalate between the MoS2 layers. Our conclusion that Si intercalates upon the deposition on MoS2 is at variance with the interpretation by Chiappe et al. (Adv. Mater. 2014, 26, 2096–2101 that silicon forms a highly strained epitaxial layer on MoS2. Finally, density functional theory calculations indicate that silicene clusters encapsulated by MoS2 are stable.

  3. Theoretical investigation of lead vapor adsorption on kaolinite surfaces with DFT calculations

    Energy Technology Data Exchange (ETDEWEB)

    Wang, Xinye [Key Laboratory of Energy Thermal Conversion and Control of Ministry of Education, School of Energy and Environment, Southeast University, Nanjing 210096 (China); Huang, Yaji, E-mail: heyyj@seu.edu.cn [Key Laboratory of Energy Thermal Conversion and Control of Ministry of Education, School of Energy and Environment, Southeast University, Nanjing 210096 (China); Pan, Zhigang [College of Materials Science and Engineering, Nanjing Tech University, Nanjing 210009 (China); Wang, Yongxing; Liu, Changqi [Key Laboratory of Energy Thermal Conversion and Control of Ministry of Education, School of Energy and Environment, Southeast University, Nanjing 210096 (China)

    2015-09-15

    Highlights: • Al surface after dehydroxylation is active while Si surface is inert. • The active sites are the unsaturated Al atoms and O atoms losing H atom. • PbO is the most suitable species for adsorption. • Increasing the activities of Al atoms can enhance the performance of kaolinite. • Produce of amorphous silica is a potential path to enhance the performance of kaolinite. - Abstract: Kaolinite can be used as the in-furnace sorbent/additive to adsorb lead (Pb) vapor at high temperature. In this paper, the adsorptions of Pb atom, PbO molecule and PbCl{sub 2} molecule on kaolinie surfaces were investigated by density functional theory (DFT) calculation. Si surface is inert to Pb vapor adsorption while Al surfaces with dehydroxylation are active for the unsaturated Al atoms and the O atoms losing H atoms. The adsorption energy of PbO is much higher than that of Pb atom and PbCl{sub 2}. Considering the energy barriers, it is easy for PbO and PbCl{sub 2} to adsorb on Al surfaces but difficult to escape. The high energy barriers of de–HCl process cause the difficulties of PbCl{sub 2} to form PbO·Al{sub 2}O{sub 3}·2SiO{sub 2} with kaolinite. Considering the inertia of Si atoms and the activity of Al atoms after dehydroxylation, calcination, acid/alkali treatment and some other treatment aiming at amorphous silica producing and Al activity enhancement can be used as the modification measures to improve the performance of kaolinite as the in-furnace metal capture sorbent.

  4. Observation of atomic arrangement by using photoelectron holography and atomic stereo-photograph

    International Nuclear Information System (INIS)

    Matsushita, Tomohiro; Guo, Fang Zhun; Agui, Akane; Matsui, Fumihiko; Daimon, Hiroshi

    2006-01-01

    Both a photoelectron holography and atomic stereo-photograph are the atomic structure analysis methods on the basis of photoelectron diffraction. They have six special features such as 1) direct determination of atomic structure, 2) measurement of three dimensional atomic arrangements surrounding of specific element in the sample, 3) determination of position of atom in spite of electron cloud, 4) unnecessary of perfect periodic structure, 5) good sensitivity of structure in the neighborhood of surface and 6) information of electron structure. Photoelectron diffraction, the principle and measurement system of photoelectron holography and atomic stereo-photograph is explained. As application examples of atomic stereo-photograph, the single crystal of cupper and graphite are indicated. For examples of photoelectron holography, Si(001)2p and Ge(001)3s are explained. (S.Y.)

  5. Effect of surface roughness of trench sidewalls on electrical properties in 4H-SiC trench MOSFETs

    Science.gov (United States)

    Kutsuki, Katsuhiro; Murakami, Yuki; Watanabe, Yukihiko; Onishi, Toru; Yamamoto, Kensaku; Fujiwara, Hirokazu; Ito, Takahiro

    2018-04-01

    The effects of the surface roughness of trench sidewalls on electrical properties have been investigated in 4H-SiC trench MOSFETs. The surface roughness of trench sidewalls was well controlled and evaluated by atomic force microscopy. The effective channel mobility at each measurement temperature was analyzed on the basis of the mobility model including optical phonon scattering. The results revealed that surface roughness scattering had a small contribution to channel mobility, and at the arithmetic average roughness in the range of 0.4-1.4 nm, there was no correlation between the experimental surface roughness and the surface roughness scattering mobility. On the other hand, the characteristics of the gate leakage current and constant current stress time-dependent dielectric breakdown tests demonstrated that surface morphology had great impact on the long-term reliability of gate oxides.

  6. Mechanism of yttrium atom formation in electrothermal atomization from metallic and metal-carbide surfaces of a heated graphite atomizer in atomic absorption spectrometry

    International Nuclear Information System (INIS)

    Wahab, H.S.; Chakrabarti, C.L.

    1981-01-01

    Mechanism of Y atom formation from pyrocoated graphite, tantalum and tungsten metal surfaces of a graphite tube atomizer has been studied and a mechanism for the formation for Y atoms is proposed for the first time. (author)

  7. Effects of temperature and surface orientation on migration behaviours of helium atoms near tungsten surfaces

    Energy Technology Data Exchange (ETDEWEB)

    Wang, Xiaoshuang; Wu, Zhangwen; Hou, Qing, E-mail: qhou@scu.edu.cn

    2015-10-15

    Molecular dynamics simulations were performed to study the dependence of migration behaviours of single helium atoms near tungsten surfaces on the surface orientation and temperature. For W{100} and W{110} surfaces, He atoms can quickly escape out near the surface without accumulation even at a temperature of 400 K. The behaviours of helium atoms can be well-described by the theory of continuous diffusion of particles in a semi-infinite medium. For a W{111} surface, the situation is complex. Different types of trap mutations occur within the neighbouring region of the W{111} surface. The trap mutations hinder the escape of He atoms, resulting in their accumulation. The probability of a He atom escaping into vacuum from a trap mutation depends on the type of the trap mutation, and the occurrence probabilities of the different types of trap mutations are dependent on the temperature. This finding suggests that the escape rate of He atoms on the W{111} surface does not show a monotonic dependence on temperature. For instance, the escape rate at T = 1500 K is lower than the rate at T = 1100 K. Our results are useful for understanding the structural evolution and He release on tungsten surfaces and for designing models in other simulation methods beyond molecular dynamics.

  8. Atom-surface interaction: Zero-point energy formalism

    International Nuclear Information System (INIS)

    Paranjape, V.V.

    1985-01-01

    The interaction energy between an atom and a surface formed by a polar medium is derived with use of a new approach based on the zero-point energy formalism. It is shown that the energy depends on the separation Z between the atom and the surface. With increasing Z, the energy decreases according to 1/Z 3 , while with decreasing Z the energy saturates to a finite value. It is also shown that the energy is affected by the velocity of the atom, but this correction is small. Our result for large Z is consistent with the work of Manson and Ritchie [Phys. Rev. B 29, 1084 (1984)], who follow a more traditional approach to the problem

  9. Removal of foreign atoms from a metal surface bombarded with fast atomic particles

    Energy Technology Data Exchange (ETDEWEB)

    Dolotov, S.K.; Evstigneev, S.A.; Luk' yanov, S.Yu.; Martynenko, Yu.V.; Chicherov, V.M.

    1976-07-01

    A metal surface coated with foreign atoms was irradiated with periodically repeating ion current pulses. The energy of the ions bombarding the target was 20 to 30 keV, and inert gas ions were used. A study of the time dependences of the current of the dislodged foreign atoms showed that the rate of their removal from the target surface is determined by the sputtering coefficient of the substrate metal.

  10. Removal of foreign atoms from a metal surface bombarded with fast atomic particles

    International Nuclear Information System (INIS)

    Dolotov, S.K.; Evstigneev, S.A.; Luk'yanov, S.Yu.; Martynenko, Yu.V.; Chicherov, V.M.

    A metal surface coated with foreign atoms was irradiated with periodically repeating ion current pulses. The energy of the ions bombarding the target was 20 to 30 keV, and inert gas ions were used. A study of the time dependences of the current of the dislodged foreign atoms showed that the rate of their removal from the target surface is determined by the sputtering coefficient of the substrate metal

  11. Fabrication of ultrahydrophobic poly(lauryl acrylate) brushes on silicon wafer via surface-initiated atom transfer radical polymerization

    Energy Technology Data Exchange (ETDEWEB)

    Oztuerk, Esra; Turan, Eylem [Department of Chemistry, Faculty of Art and Science, Gazi University, 06500 Besevler, Ankara (Turkey); Caykara, Tuncer, E-mail: caykara@gazi.edu.tr [Department of Chemistry, Faculty of Art and Science, Gazi University, 06500 Besevler, Ankara (Turkey)

    2010-11-15

    In this report, ultrahydrophobic poly(lauryl acrylate) [poly(LA)] brushes were synthesized by surface-initiated atom transfer radical polymerization (SI-ATRP) of lauryl acrylate (LA) in N,N-dimethylformamide (DMF) at 90 deg. C. The formation of ultrahydrophobic poly(LA) films, whose thickness can be turned by changing polymerization time, is evidenced by using the combination of ellipsometry, X-ray photoelectron spectroscopy (XPS), grazing angle attenuated total reflectance-Fourier transform infrared spectroscopy (GATR-FTIR), atomic force microscopy (AFM), gel permeation chromatography (GPC), and water contact angle measurements. The SI-ATRP can be conducted in a well-controlled manner, as revealed by the linear kinetic plot, linear evolution of number-average molecular weights (M-bar{sub n}) versus monomer conversions, and the relatively narrow PDI (<1.28) of the grafted poly(LA) chains. The calculation of grafting parameters from experimental measurements indicated the synthesis of densely grafted poly(LA) films and allowed us to predict a 'brushlike' conformation for the chains in good solvent. The poly(LA) brushes exhibited high water contact angle of 163.3 {+-} 2.8{sup o}.

  12. Mo-Co catalyst nanoparticles: Comparative study between TiN and Si surfaces for single-walled carbon nanotube growth

    Energy Technology Data Exchange (ETDEWEB)

    Morant, C., E-mail: c.morant@uam.es [Departamento de Fisica Aplicada, C-XII, Universidad Autonoma de Madrid, Cantoblanco, 28049 Madrid (Spain); Campo, T. [Departamento de Fisica Aplicada, C-XII, Universidad Autonoma de Madrid, Cantoblanco, 28049 Madrid (Spain); Marquez, F. [School of Science and Technology, University of Turabo, 00778-PR (United States); Domingo, C. [Instituto de Estructura de la Materia, CSIC, Serrano 123, 28006 Madrid (Spain); Sanz, J.M.; Elizalde, E. [Departamento de Fisica Aplicada, C-XII, Universidad Autonoma de Madrid, Cantoblanco, 28049 Madrid (Spain)

    2012-06-01

    Highly pure single-walled carbon nanotubes (SWNT) were synthesized by alcohol catalytic chemical vapor deposition on silicon substrates partially covered by a thin layer of TiN. The TiN coating selectively prevented the growth of carbon nanotubes. Field emission scanning electron microscopy and Raman spectroscopy revealed the formation of high purity vertically aligned SWNT in the Si region. X-ray Photoelectron Spectroscopy and Atomic Force Microscopy indicated that Co nanoparticles are present on the Si regions, and not on the TiN regions. This clearly explains the obtained experimental results: the SWNT only grow where the Co is presented as nanoparticles, i.e. on the Si regions. - Highlights: Black-Right-Pointing-Pointer Single-wall carbon nanotubes (SWNT) ontained by catalytic chemical vapor-deposition. Black-Right-Pointing-Pointer Substrate/Co-Mo catalyst behaviour plays a key role in the SWNT growth. Black-Right-Pointing-Pointer Co nanoparticles (the effective catalyst) have been only observed on the Si region. Black-Right-Pointing-Pointer High purity SWNT were spatially confined in specific locations (Si regions). Black-Right-Pointing-Pointer TiN-coated surfaces, adjacent to a Si oxide region, prevent the growth of SWNT.

  13. Preparation of crosslinked polysiloxane/SiO2 nanocomposite via in-situ condensation and its surface modification on cotton fabrics

    Science.gov (United States)

    Hao, Lifen; Gao, Tingting; Xu, Wei; Wang, Xuechuan; Yang, Shuqin; Liu, Xiangguo

    2016-05-01

    Novel crosslinked polysiloxane/SiO2 nanocomposite (CLPS-SiO2) was successfully prepared via the in-situ condensation reaction of silica sols and crosslinked polysiloxane with end-capped triethoxysilane in solvent, which was firstly fabricated through the modification of our previously developed crosslinked polysiloxane with end-capped epoxy groups using aminopropyltriethoxysilane (APTES) and noted as APTES-CLPS. Chemical structures and thermal properties of the as-prepared resultants were characterized by Fourier transform infrared spectroscopy (FTIR), nuclear magnetic resonance spectra (1H/13C NMR) and thermogravimetric analysis (TGA). CLPS-SiO2 was applied as surface modification agent to treat cotton fabrics. Film morphologies and surface properties were examined with scanning electron microscopy (SEM), atomic force microscopy (AFM), X-ray photoelectron spectroscopy (XPS), contact angle measurements, and other instruments. FTIR and NMR confirmed structure of the products. CLPS-SiO2 showed better thermal stability than APTES-CLPS due to anchor of the nanosilica. APTES-CLPS could deposit a smooth film on cotton fiber surface. Besides, CLPS-SiO2 also coated the fibers with many nano-scaled tubercles beneath this smooth film by SEM. However, the APTES-CLPS film and the CLPS-SiO2 film on silicon-wafer were never homogeneous and had a few low or high peaks. The root mean square roughness (Rq) of APTES-CLPS film reached to 0.441 nm in 2 × 2 μm2 scanning field and at 5 nm data scale. Owing to the incorporation of nanosilica, that of CLPS-SiO2 film continuously increased and could attain 4.528 nm in 2 × 2 μm2 scanning field and at 20 nm data scale. XPS analysis further demonstrates that there was a CLPS-SiO2 film covered on the cotton surface and the silyl groups had the tendency to enrich at the film-air interface. In addition, hydrophobicity of the CLPS-SiO2 treated fabric would be enhanced with augment of the amount of nanocomposite. Water contact angle of this

  14. Nanoscale fabrication and characterization of chemically modified silicon surfaces using conductive atomic force microscopy in liquids

    Science.gov (United States)

    Kinser, Christopher Reagan

    This dissertation examines the modification and characterization of hydrogen-terminated silicon surfaces in organic liquids. Conductive atomic force microscope (cAFM) lithography is used to fabricate structures with sub-100 nm line width on H:Si(111) in n-alkanes, 1-alkenes, and 1-alkanes. Nanopatterning is accomplished by applying a positive (n-alkanes and 1-alkenes) or a negative (1-alkanes) voltage pulse to the silicon substrate with the cAFM tip connected to ground. The chemical and kinetic behavior of the patterned features is characterized using AFM, lateral force microscopy, time-of-flight secondary ion mass spectroscopy (TOF SIMS), and chemical etching. Features patterned in hexadecane, 1-octadecene, and undecylenic acid methyl ester exhibited chemical and kinetic behavior consistent with AFM field induced oxidation. The oxide features are formed due to capillary condensation of a water meniscus at the AFM tip-sample junction. A space-charge limited growth model is proposed to explain the observed growth kinetics. Surface modifications produced in the presence of neat 1-dodecyne and 1-octadecyne exhibited a reduced lateral force compared to the background H:Si(111) substrate and were resistant to a hydrofluoric acid etch, characteristics which indicate that the patterned features are not due to field induced oxidation and which are consistent with the presence of the methyl-terminated 1-alkyne bound directly to the silicon surface through silicon-carbon bonds. In addition to the cAFM patterned surfaces, full monolayers of undecylenic acid methyl ester (SAM-1) and undec-10-enoic acid 2-bromoethyl ester (SAM-2) were grown on H:Si(111) substrates using ultraviolet light. The structure and chemistry of the monolayers were characterized using AFM, TOF SIMS, X-ray photoelectron spectroscopy (XPS), X-ray reflectivity (XRR), X-ray standing waves (XSW), and X-ray fluorescence (XRF). These combined analyses provide evidence that SAM-1 and SAM-2 form dense monolayers

  15. Half-metallic properties of the (1 1 0) surface of alkali earth metal monosilicides in the zinc blende phase

    International Nuclear Information System (INIS)

    Bialek, B; Lee, J I

    2011-01-01

    An all electron ab initio method was employed to study the electronic and magnetic properties of the (1 1 0) surface of alkaline-earth metal silicides: CaSi, SrSi and BaSi, in the zinc blende structure. The three surfaces are found to conserve the half-metallic properties of their bulk structures with a wide semiconducting energy gap in the spin-up channel. Half-metallic energy gap at the surfaces is small. In the CaSi surface it is of the order of k B T, which indicates that in the CaSi (1 1 0) a transition to a metallic state is possible due to temperature fluctuations. At the same time, the CaSi surface exhibits the strongest magnetic properties with 0.91 μ B magnetic moment on the Si atom in the topmost layer and 0.21 μ B magnetic moment on the Ca atom. In each of the three surfaces we observe a reduction of magnetic moments on the atoms in the subsurface layer and the enhancement of the magnetic moment on the atoms in the topmost layer, as compared with the properties of atoms in the bulk. An analysis of the calculated total and atom projected densities of states leads to a conclusion that the surface effects in the structures are short-range phenomena

  16. Origin of Si(LMM) Auger electron emission from silicon and Si-alloys by keV Ar/sup +/ ion bombardment

    Energy Technology Data Exchange (ETDEWEB)

    Iwami, M; Kim, S; Kataoka, Y; Imura, T; Hiraki, A [Osaka Univ., Suita (Japan). Faculty of Engineering

    1980-09-01

    Si(LMM) Auger electrons emitted from specimens of pure silicon and several Si-alloys (Ni-Si, Pd-Si and Cu-Si) under keV Ar/sup +/ ion bombardment, were examined. In the Auger spectra from all specimens studied there were four peaks at energies of 92, 86, 76 and 66 eV. The Auger signal intensity varied considerably with both the incident angle and the energy of the primary ion beam. It is proposed that the Auger electrons are emitted from silicon atoms (or ions) just beneath the specimen surface but free from the bulk network.

  17. Toward intrinsic graphene surfaces: a systematic study on thermal annealing and wet-chemical treatment of SiO2-supported graphene devices.

    Science.gov (United States)

    Cheng, Zengguang; Zhou, Qiaoyu; Wang, Chenxuan; Li, Qiang; Wang, Chen; Fang, Ying

    2011-02-09

    By combining atomic force microscopy and trans-port measurements, we systematically investigated effects of thermal annealing on surface morphologies and electrical properties of single-layer graphene devices fabricated by electron beam lithography on silicon oxide (SiO(2)) substrates. Thermal treatment above 300 °C in vacuum was required to effectively remove resist residues on graphene surfaces. However, annealing at high temperature was found to concomitantly bring graphene in close contact with SiO(2) substrates and induce increased coupling between them, which leads to heavy hole doping and severe degradation of mobilities in graphene devices. To address this problem, a wet-chemical approach employing chloroform was developed in our study, which was shown to enable both intrinsic surfaces and enhanced electrical properties of graphene devices. Upon the recovery of intrinsic surfaces of graphene, the adsorption and assisted fibrillation of amyloid β-peptide (Aβ1-42) on graphene were electrically measured in real time.

  18. Controlled surface chemistry of diamond/β-SiC composite films for preferential protein adsorption.

    Science.gov (United States)

    Wang, Tao; Handschuh-Wang, Stephan; Yang, Yang; Zhuang, Hao; Schlemper, Christoph; Wesner, Daniel; Schönherr, Holger; Zhang, Wenjun; Jiang, Xin

    2014-02-04

    Diamond and SiC both process extraordinary biocompatible, electronic, and chemical properties. A combination of diamond and SiC may lead to highly stable materials, e.g., for implants or biosensors with excellent sensing properties. Here we report on the controllable surface chemistry of diamond/β-SiC composite films and its effect on protein adsorption. For systematic and high-throughput investigations, novel diamond/β-SiC composite films with gradient composition have been synthesized using the hot filament chemical vapor deposition (HFCVD) technique. As revealed by scanning electron microscopy (SEM), the diamond/β-SiC ratio of the composite films shows a continuous change from pure diamond to β-SiC over a length of ∼ 10 mm on the surface. X-ray photoelectron spectroscopy (XPS) and time-of-flight secondary ion mass spectrometry (ToF-SIMS) was employed to unveil the surface termination of chemically oxidized and hydrogen treated surfaces. The surface chemistry of the composite films was found to depend on diamond/β-SiC ratio and the surface treatment. As observed by confocal fluorescence microscopy, albumin and fibrinogen were preferentially adsorbed from buffer: after surface oxidation, the proteins preferred to adsorb on diamond rather than on β-SiC, resulting in an increasing amount of proteins adsorbed to the gradient surfaces with increasing diamond/β-SiC ratio. By contrast, for hydrogen-treated surfaces, the proteins preferentially adsorbed on β-SiC, leading to a decreasing amount of albumin adsorbed on the gradient surfaces with increasing diamond/β-SiC ratio. The mechanism of preferential protein adsorption is discussed by considering the hydrogen bonding of the water self-association network to OH-terminated surfaces and the change of the polar surface energy component, which was determined according to the van Oss method. These results suggest that the diamond/β-SiC gradient film can be a promising material for biomedical applications which

  19. Adsorption and pathways of single atomistic processes on NbN (0 0 1) and (1 1 1) surfaces: A first-principle study

    International Nuclear Information System (INIS)

    Ren, Yuan; Liu, Xuejie; Tan, Xin; Sun, Shiyang; Wei, Huai; Lu, Feng

    2014-01-01

    Highlights: • We investigate the behaviors of Nb, Si, N atom on NbN(0 0 1) and (1 1 1) surfaces. • The adsorption and diffusion of single atom on NbN(0 0 1) and (1 1 1) were calculated by DFT. • The potential energy surface of single atom on NbN(0 0 1) and (1 1 1) surfaces were investigated. • The diffusion process of single on NbN(0 0 1) and (1 1 1) were calculated by nudged elastic band. - Abstract: The adsorption and pathway processes of atomistic Nb, Si, and N at high-symmetry sites on NbN (0 0 1) and (1 1 1) surfaces were studied using first-principle method, which is based on the density functional theory. This investigation presents some of the results obtained. The potential energy surface (PES) was obtained by calculating the adsorption of Nb, Si, and N atoms on NbN (0 0 1). The most energetic site for the Nb atom adsorbed on NbN(0 0 1) was the site ‘on-top of face–center cubic’ (HL), whereas those for N and Si were both at the site ‘between TopN and HL’ (TopN-HL). The minimum energy paths of the single atom on NbN (0 0 1) surface diffusion were obtained using the PES calculation results. The Nb and Si atoms were diffused from the TopN to the HL position. The N atom was diffused from the TopNb, whereas the TopN–HL to HL position. The diffusion energies of the Nb, Si, and N atoms on the NbN (0 0 1) surface were 0.32, 0.69, and 1.32 eV, respectively. The pathways of the atomistic diffusion involved the diffusion of atoms from the FCC to the HCP site on the NbN (1 1 1) surface. The results showed that the diffusion energy of Si on the Nb layer was smaller than that on the N layer. Si and N can easily form stable structures while bonding on the N layer. Moreover, Si atoms can stabilize the activity of N atoms while promoting the spread of Nb atoms during deposition

  20. Molecular modeling of alkyl monolayers on the Si (100)-2 x 1 surface

    NARCIS (Netherlands)

    Lee, M.V.; Guo, D.; Linford, M.R.; Zuilhof, H.

    2004-01-01

    Molecular modeling was used to simulate various surfaces derived from the addition of 1-alkenes and 1-alkynes to Si=Si dimers on the Si(100)-2 × 1 surface. The primary aim was to better understand the interactions between adsorbates on the surface and distortions of the underlying silicon crystal

  1. Mechanical torques generated by optically pumped atomic spin relaxation at surfaces

    International Nuclear Information System (INIS)

    Herman, R.M.

    1982-01-01

    It is argued that a valuable method of observing certain types of surface-atom interactions may lie in mechanical torques generated through the spin-orbit relaxation of valence electronic spins of optically pumped atoms at surfaces. The unusual feature of this phenomenon is that the less probable spin-orbit relaxation becomes highly visible as compared with the much more rapid paramagnetic relaxation, because of an enhancement, typically by as much as a factor 10 9 , in the torques delivered to mechanical structures, by virtue of a very large effective moment arm. Spin-orbit relaxation operates through an exchange of translational momentum which, in turn, can be identified with the delivery of a gigantic angular momentum (in units of h) relative to a distant axis about which mechanical motion is referred. The spin-orbit relaxation strongly depends upon the atomic number of the surface atoms and the strength of interaction with the optically pumped atoms. Being dominated by high-atomic-number surface atoms, spin-orbit relaxation rates may not be too strongly influenced by minor surface contamination of lighter-weight optically active atoms

  2. Mechanical torques generated by optically pumped atomic spin relaxation at surfaces

    Science.gov (United States)

    Herman, R. M.

    1982-03-01

    It is argued that a valuable method of observing certain types of surface-atom interactions may lie in mechanical torques generated through the spin-orbit relaxation of valence electronic spins of optically pumped atoms at surfaces. The unusual feature of this phenomenon is that the less probable spin-orbit relaxation becomes highly visible as compared with the much more rapid paramagnetic relaxation, because of an enhancement, typically by as much as a factor 109, in the torques delivered to mechanical structures, by virtue of a very large effective moment arm. Spin-orbit relaxation operates through an exchange of translational momentum which, in turn, can be identified with the delivery of a gigantic angular momentum (in units of ℏ) relative to a distant axis about which mechanical motion is referred. The spin-orbit relaxation strongly depends upon the atomic number of the surface atoms and the strength of interaction with the optically pumped atoms. Being dominated by high-atomic-number surface atoms, spin-orbit-relaxation rates may not be too strongly influenced by minor surface contamination of lighter-weight optically active atoms.

  3. The effects of surface conditions on electronic transportation mechanism of Al/SnO2/p-Si/Al structure

    International Nuclear Information System (INIS)

    Karadeniz, S.

    2001-01-01

    In the present work it was aimed to determine the effect of surface states on the electronic conductivity of Al/SnO 2 /p-Si/Al structure. In order to realize that goal, the Al/SnO 2 /p-Si/Al diodes were prepared p-types, 0.8 Ω-cm resistivity from single crystalline silicon of orientation (111). A spraying system was established in order to produce SnO 2 thin films. The morphology of SnO 2 thin films were measured by means of Atomic Force Microscope (AFM). The physical parameters which effected on the electronical conductivity of the structures were determined. The forward current-voltage (I-V) measurements were performed in the temperature range of 173-313 K. The reverse capacitance-voltage (C-V) characteristics were measured at 100 Hz, 1 kHz,10 kHz, 100 kHz, 500 kHz and 1 MHz frequencies at the same temperature range. The energy profile of the surface states effecting on diodes were determined by using high-low frequency capacitance method, Chattopadhyay method and the relation between surface states and ideality factor

  4. Facile and efficient synthesis of the surface tantalum hydride (≡SiO)2TaIIIH and tris-siloxy tantalum (≡SiO)3TaIII starting from novel tantalum surface species (≡SiO)TaMe4 and (≡SiO)2TaMe 3

    KAUST Repository

    Chen, Yin

    2014-03-10

    By grafting of TaMe5 (1) on the surface of silica partially dehydroxylated at 500 C (silica500), a mixture of (≡SiO)TaMe4 (2a; major, 65 ± 5%) and (≡SiO) 2TaMe3 (2b; minor, 35 ± 5%) was produced, which has been characterized by microanalysis, IR, and SS NMR (1H, 13C, 1H-13C HETCOR, proton double and triple quantum). After grafting, these surface organometallic compounds are more stable than the precursor TaMe5. Treatment of 2a,b with water and H 2 resulted in the formation of methane in amount of 3.6 ± 0.2 and 3.4 ± 0.2 mol/grafted Ta, respectively. 2a,b react with H2 (800 mbar) to form (≡SiO)2TaH. After (≡SiO) 2TaH was heated to 500 C under hydrogen or vacuum, [(≡SiO) 3Ta][≡SiH] was produced, and the structure was confirmed by IR, NMR, and EXAFS. Considering the difficulty of the previous preparation method, these syntheses represent a facile and convenient way to prepare tantalum surface species (≡SiO)2TaH and (≡SiO)3Ta via the intermediate of the new surface organometallic precursors: (≡SiO)TaMe4/(≡SiO)2TaMe3. (≡SiO)2TaH and (≡SiO)3Ta exhibit equal reactivities in alkane metathesis and ethylene polymerization in comparison to those in previous reports. © 2014 American Chemical Society.

  5. Facile and efficient synthesis of the surface tantalum hydride (≡SiO)2TaIIIH and tris-siloxy tantalum (≡SiO)3TaIII starting from novel tantalum surface species (≡SiO)TaMe4 and (≡SiO)2TaMe 3

    KAUST Repository

    Chen, Yin; Ould-Chikh, Samy; Abou-Hamad, Edy; Callens, Emmanuel; Mohandas, Janet Chakkamadathil; Khalid, Syed M.; Basset, Jean-Marie

    2014-01-01

    By grafting of TaMe5 (1) on the surface of silica partially dehydroxylated at 500 C (silica500), a mixture of (≡SiO)TaMe4 (2a; major, 65 ± 5%) and (≡SiO) 2TaMe3 (2b; minor, 35 ± 5%) was produced, which has been characterized by microanalysis, IR, and SS NMR (1H, 13C, 1H-13C HETCOR, proton double and triple quantum). After grafting, these surface organometallic compounds are more stable than the precursor TaMe5. Treatment of 2a,b with water and H 2 resulted in the formation of methane in amount of 3.6 ± 0.2 and 3.4 ± 0.2 mol/grafted Ta, respectively. 2a,b react with H2 (800 mbar) to form (≡SiO)2TaH. After (≡SiO) 2TaH was heated to 500 C under hydrogen or vacuum, [(≡SiO) 3Ta][≡SiH] was produced, and the structure was confirmed by IR, NMR, and EXAFS. Considering the difficulty of the previous preparation method, these syntheses represent a facile and convenient way to prepare tantalum surface species (≡SiO)2TaH and (≡SiO)3Ta via the intermediate of the new surface organometallic precursors: (≡SiO)TaMe4/(≡SiO)2TaMe3. (≡SiO)2TaH and (≡SiO)3Ta exhibit equal reactivities in alkane metathesis and ethylene polymerization in comparison to those in previous reports. © 2014 American Chemical Society.

  6. Atomic forces between noble gas atoms, alkali ions, and halogen ions for surface interactions

    Science.gov (United States)

    Wilson, J. W.; Outlaw, R. A.; Heinbockel, J. H.

    1988-01-01

    The components of the physical forces between noble gas atoms, alkali ions, and halogen ions are analyzed and a data base developed from analysis of the two-body potential data, the alkali-halide molecular data, and the noble gas crystal and salt crystal data. A satisfactory global fit to this molecular and crystal data is then reproduced by the model to within several percent. Surface potentials are evaluated for noble gas atoms on noble gas surfaces and salt crystal surfaces with surface tension neglected. Within this context, the noble gas surface potentials on noble gas and salt crystals are considered to be accurate to within several percent.

  7. Atomic layer deposition precursor step repetition and surface plasma pretreatment influence on semiconductor–insulator–semiconductor heterojunction solar cell

    Energy Technology Data Exchange (ETDEWEB)

    Talkenberg, Florian, E-mail: florian.talkenberg@ipht-jena.de; Illhardt, Stefan; Schmidl, Gabriele; Schleusener, Alexander; Sivakov, Vladimir [Leibniz Institute of Photonic Technology, Albert-Einstein-Str. 9, D-07745 Jena (Germany); Radnóczi, György Zoltán; Pécz, Béla [Centre for Energy Research, Institute of Technical Physics and Materials Science, Konkoly-Thege Miklós u. 29-33, H-1121 Budapest (Hungary); Dikhanbayev, Kadyrjan; Mussabek, Gauhar [Department of Physics and Engineering, al-Farabi Kazakh National University, 71 al-Farabi Ave., 050040 Almaty (Kazakhstan); Gudovskikh, Alexander [Nanotechnology Research and Education Centre, St. Petersburg Academic University, Russian Academy of Sciences, Hlopina Str. 8/3, 194021 St. Petersburg (Russian Federation)

    2015-07-15

    Semiconductor–insulator–semiconductor heterojunction solar cells were prepared using atomic layer deposition (ALD) technique. The silicon surface was treated with oxygen and hydrogen plasma in different orders before dielectric layer deposition. A plasma-enhanced ALD process was applied to deposit dielectric Al{sub 2}O{sub 3} on the plasma pretreated n-type Si(100) substrate. Aluminum doped zinc oxide (Al:ZnO or AZO) was deposited by thermal ALD and serves as transparent conductive oxide. Based on transmission electron microscopy studies the presence of thin silicon oxide (SiO{sub x}) layer was detected at the Si/Al{sub 2}O{sub 3} interface. The SiO{sub x} formation depends on the initial growth behavior of Al{sub 2}O{sub 3} and has significant influence on solar cell parameters. The authors demonstrate that a hydrogen plasma pretreatment and a precursor dose step repetition of a single precursor improve the initial growth behavior of Al{sub 2}O{sub 3} and avoid the SiO{sub x} generation. Furthermore, it improves the solar cell performance, which indicates a change of the Si/Al{sub 2}O{sub 3} interface states.

  8. Study of the interface in n{sup +}{mu}c-Si/p-type c-Si heterojunctions: role of the fluorine chemistry in the interface passivation

    Energy Technology Data Exchange (ETDEWEB)

    Losurdo, M.; Grimaldi, A.; Sacchetti, A.; Capezzuto, P.; Ambrico, M.; Bruno, G.; Roca, Francesco

    2003-03-03

    Investigation of n-p heterojunction solar cells obtained by depositing a n-type thin silicon films either amorphous or microcrystalline on p-type c-Si is carried out. The study is focused on the improvement of the c-Si surface and emitter layer/c-Si substrate interface. The peculiarity is the use of SiF{sub 4}-based plasmas for the in situ dry cleaning and passivation of the c-Si surface and for the PECVD deposition of the emitter layer that can be either amorphous (a-Si:H,F) or microcrystalline ({mu}c-Si). The use of SiF{sub 4} instead of the conventional SiH{sub 4} results in a lower hydrogen content in the film and in a reduction of the interaction of the c-Si surface with hydrogen atoms. Furthermore, the dependence of the heterojunction solar cell photovoltaic parameters on the insertion of an intrinsic buffer layer between the n-type thin silicon layer and the p-type c-Si substrate is discussed.

  9. Fast atom diffraction for grazing scattering of Ne atoms from a LiF(0 0 1) surface

    International Nuclear Information System (INIS)

    Gravielle, M.S.; Schueller, A.; Winter, H.; Miraglia, J.E.

    2011-01-01

    Angular distributions of fast Ne atoms after grazing collisions with a LiF(0 0 1) surface under axial surface channeling conditions are experimentally and theoretically studied. We use the surface eikonal approximation to describe the quantum interference of scattered projectiles, while the atom-surface interaction is represented by means of a pairwise additive potential, including the polarization of the projectile atom. Experimental data serve as a benchmark to investigate the performance of the proposed potential model, analyzing the role played by the projectile polarization.

  10. Fast atom diffraction for grazing scattering of Ne atoms from a LiF(0 0 1) surface

    Energy Technology Data Exchange (ETDEWEB)

    Gravielle, M.S., E-mail: msilvia@iafe.uba.ar [Instituto de Astronomia y Fisica del Espacio (CONICET-UBA), Casilla de correo 67, sucursal 28 C1428EGA, Buenos Aires (Argentina); Departamento de Fisica, Fac. de Ciencias Exactas y Naturales, Universidad de Buenos Aires (Argentina); Schueller, A.; Winter, H. [Institut fuer Physik, Humboldt Universitaet zu Berlin, Newtonstrasse 15, D-12489 Berlin-Adlershof (Germany); Miraglia, J.E. [Instituto de Astronomia y Fisica del Espacio (CONICET-UBA), Casilla de correo 67, sucursal 28 C1428EGA, Buenos Aires (Argentina); Departamento de Fisica, Fac. de Ciencias Exactas y Naturales, Universidad de Buenos Aires (Argentina)

    2011-06-01

    Angular distributions of fast Ne atoms after grazing collisions with a LiF(0 0 1) surface under axial surface channeling conditions are experimentally and theoretically studied. We use the surface eikonal approximation to describe the quantum interference of scattered projectiles, while the atom-surface interaction is represented by means of a pairwise additive potential, including the polarization of the projectile atom. Experimental data serve as a benchmark to investigate the performance of the proposed potential model, analyzing the role played by the projectile polarization.

  11. SiN sub x passivation of silicon surfaces

    Science.gov (United States)

    Olsen, L. C.

    1986-01-01

    The objectives were to perform surface characterization of high efficiency n+/p and p+/n silicon cells, to relate surface density to substrate dopant concentration, and to identify dominant current loss mechanisms in high efficiency cells. The approach was to measure density of states on homogeneously doped substrates with high frequency C-V and Al/SiN sub x/Si structures; to investigate density of states and photoresponse of high efficiency N+/P and P+/N cells; and to conduct I-V-T studies to identify current loss nechanisms in high efficiency cells. Results are given in tables and graphs.

  12. Improved study of electric dipoles on the Si(100)-2 × 1 surface by non-contact scanning nonlinear dielectric microscopy

    International Nuclear Information System (INIS)

    Suzuki, Masataka; Yamasue, Kohei; Cho, Yasuo; Abe, Masayuki; Sugimoto, Yoshiaki

    2014-01-01

    We studied a Si(100)-2 × 1 surface by non-contact scanning nonlinear dielectric microscopy (NC-SNDM). Simultaneously taken images of the topography and electric dipole moment distribution show that negative electric dipole moments are locally formed on individual dimers on the surface. In addition, we obtained the dc bias voltage dependence of the ε local (3) signal on a specific dimer by using an atom-tracking technique with NC-SNDM. We observed that the electric dipole induced a surface potential of around −250 mV on the dimer.

  13. Correlations between atomic structure and giant magnetoresistance ratio in Co2(Fe,Mn)Si spin valves

    International Nuclear Information System (INIS)

    Lari, L; Sizeland, J; Gilks, D; Uddin, G M; Nedelkoski, Z; Hasnip, P J; Lazarov, V K; Yoshida, K; Galindo, P L; Sato, J; Oogane, M; Ando, Y; Hirohata, A

    2014-01-01

    We show that the magnetoresistance of Co 2 Fe x Mn 1−x Si-based spin valves, over 70% at low temperature, is directly related to the structural ordering in the electrodes and at the electrodes/spacer (Co 2 Fe x Mn 1−x Si/Ag) interfaces. Aberration-corrected atomic resolution Z-contrast scanning transmission electron microscopy of device structures reveals that annealing at 350 °C and 500 °C creates partial B2/L2 1 and fully L2 1 ordering of electrodes, respectively. Interface structural studies show that the Ag/Co 2 Fe x Mn 1−x Si interface is more ordered compared to the Co 2 Fe x Mn 1−x Si/Ag interface. The release of interface strain is mediated by misfit dislocations that localize the strain around the dislocation cores, and the effect of this strain is assessed by first principles electronic structure calculations. This study suggests that by improving the atomic ordering and strain at the interfaces, further enhancement of the magnetoresistance of CFMS-based current-perpendicular-to-plane spin valves is possible. (fast track communication)

  14. Recombination of chlorine atoms on plasma-conditioned stainless steel surfaces in the presence of adsorbed Cl{sub 2}

    Energy Technology Data Exchange (ETDEWEB)

    Stafford, Luc; Poirier, Jean-Sebastien; Margot, Joelle [Departement de Physique, Universite de Montreal, Montreal, Quebec, H3C 3J7 (Canada); Khare, Rohit; Guha, Joydeep; Donnelly, Vincent M, E-mail: luc.stafford@umontreal.c, E-mail: vmdonnelly@uh.ed [Department of Chemical and Biomolecular Engineering, University of Houston, Houston, TX 77204 (United States)

    2009-03-07

    We investigated the interactions of atomic and molecular chlorine with plasma-conditioned stainless steel surfaces through both experiments and modelling. The recombination of Cl during adsorption and desorption of Cl{sub 2} was characterized using a rotating-substrate technique in which portions of the cylindrical substrate surface are periodically exposed to an inductively coupled chlorine plasma and then to an Auger electron spectrometer in separate, differentially pumped chambers. After several hours of exposure to the Cl{sub 2} plasma, the stainless steel substrate became coated with a Si-oxychloride-based layer (Fe : Si : O : Cl {approx} 1 : 13 : 13 : 3) due to chlorine adsorption and the erosion of the silica discharge tube. Desorption of Cl{sub 2} from this surface was monitored through measurements of pressure rises in the Auger chamber as a function of substrate rotation frequency. Significant adsorption and desorption of Cl{sub 2} was observed with the plasma off, similar to that observed previously on plasma-conditioned anodized aluminium surfaces, but with much faster desorption rates that are most likely attributable to the smoother and non-porous stainless steel surface morphology. When the plasma was turned on, a much larger pressure rise was observed due to Langmuir-Hinshelwood recombination of Cl atoms. Recombination coefficients, {gamma}{sub Cl}, ranged from 0.004 to 0.03 and increased with Cl-to-Cl{sub 2} number density ratio. This behaviour was observed previously for anodized aluminium surfaces, and was explained by the blocking of Cl recombination sites by adsorbed Cl{sub 2}. Application of this variable recombination coefficient to the modelling of high-density chlorine plasmas gives a much better agreement with measured Cl{sub 2} percent dissociations compared with predictions obtained with a recombination coefficient that is independent of plasma conditions.

  15. Alkyl-terminated Si(111) surfaces: A high-resolution, core level photoelectron spectroscopy study

    Energy Technology Data Exchange (ETDEWEB)

    Terry, J.; Linford, M.R.; Wigren, C.; Cao, R.; Pianetta, P.; Chidsey, C.E. [Stanford University, Stanford, California 94309 (United States)

    1999-01-01

    The bonding of alkyl monolayers to Si(111) surfaces has been studied with high-resolution core level photoelectron spectroscopy (PES). Two very different wet-chemical methods have been used to prepare the alkyl monolayers: (i) Olefin insertion into the H{endash}Si bond of the H{endash}Si(111) surface, and (ii) replacement of Cl on the Cl{endash}Si(111) surface by an alkyl group from an alkyllithium reagent. In both cases, PES has revealed a C 1s component shifted to lower binding energy and a Si 2p component shifted to higher binding energy. Both components are attributed to the presence of a C{endash}Si bond at the interface. Along with photoelectron diffraction data [Appl. Phys. Lett. {bold 71}, 1056, (1997)], these data are used to show that these two synthetic methods can be used to functionalize the Si(111) surface. {copyright} {ital 1999 American Institute of Physics.}

  16. Polarized luminescence of nc-Si-SiO x nanostructures on silicon substrates with patterned surface

    Science.gov (United States)

    Michailovska, Katerina; Mynko, Viktor; Indutnyi, Ivan; Shepeliavyi, Petro

    2018-05-01

    Polarization characteristics and spectra of photoluminescence (PL) of nc-Si-SiO x structures formed on the patterned and plane c-Si substrates are studied. The interference lithography with vacuum chalcogenide photoresist and anisotropic wet etching are used to form a periodic relief (diffraction grating) on the surface of the substrates. The studied nc-Si-SiO x structures were produced by oblique-angle deposition of Si monoxide in vacuum and the subsequent high-temperature annealing. The linear polarization memory (PM) effect in PL of studied structure on plane substrate is manifested only after the treatment of the structures in HF and is explained by the presence of elongated Si nanoparticles in the SiO x nanocolumns. But the PL output from the nc-Si-SiO x structure on the patterned substrate depends on how this radiation is polarized with respect to the grating grooves and is much less dependent on the polarization of the exciting light. The measured reflection spectra of nc-Si-SiO x structure on the patterned c-Si substrate confirmed the influence of pattern on the extraction of polarized PL.

  17. Surface spins disorder in uncoated and SiO{sub 2} coated maghemite nanoparticles

    Energy Technology Data Exchange (ETDEWEB)

    Zeb, F. [Nanoscience and Technology Laboratory, International Islamic University, H-10, 44000 Islamabad (Pakistan); Nadeem, K., E-mail: kashif.nadeem@iiu.edu.pk [Nanoscience and Technology Laboratory, International Islamic University, H-10, 44000 Islamabad (Pakistan); Shah, S. Kamran Ali; Kamran, M. [Nanoscience and Technology Laboratory, International Islamic University, H-10, 44000 Islamabad (Pakistan); Gul, I. Hussain [School of Chemical & Materials Engineering, National University of Sciences and Technology (NUST), H-12, 44000 Islamabad, Pakistan (Pakistan); Ali, L. [Materials Research Laboratory, International Islamic University, H-10, 44000 Islamabad (Pakistan)

    2017-05-01

    We studied the surface spins disorder in uncoated and silica (SiO{sub 2}) coated maghemite (γ-Fe{sub 2}O{sub 3}) nanoparticles using temperature and time dependent magnetization. The average crystallite size for SiO{sub 2} coated and uncoated nanoparticles was about 12 and 29 nm, respectively. Scanning electron microscopy (SEM) showed that the nanoparticles are spherical in shape and well separated. Temperature scans of zero field cooled (ZFC)/field cooled (FC) magnetization measurements showed lower average blocking temperature (T{sub B}) for SiO{sub 2} coated maghemite nanoparticles as compared to uncoated nanoparticles. The saturation magnetization (M{sub s}) of SiO{sub 2} coated maghemite nanoparticles was also lower than the uncoated nanoparticles and is attributed to smaller average crystallite size of SiO{sub 2} coated nanoparticles. For saturation magnetization vs. temperature data, Bloch's law (M(T)= M(0).(1− BT{sup b})) was fitted well for both uncoated and SiO{sub 2} coated nanoparticles and yields: B =3×10{sup −7} K{sup -b}, b=2.22 and B=0.0127 K{sup -b}, b=0.57 for uncoated and SiO{sub 2} coated nanoparticles, respectively. Higher value of B for SiO{sub 2} coated nanoparticles depicts decrease in exchange coupling due to enhanced surface spins disorder (broken surface bonds) as compared to uncoated nanoparticles. The Bloch's exponent b was decreased for SiO{sub 2} coated nanoparticles which is due to their smaller average crystallite size or finite size effects. Furthermore, a sharp increase of coercivity at low temperatures (<25 K) was observed for SiO{sub 2} coated nanoparticles which is also due to contribution of increased surface anisotropy or frozen surface spins in these smaller nanoparticles. The FC magnetic relaxation data was fitted to stretched exponential law which revealed slower magnetic relaxation for SiO{sub 2} coated nanoparticles. All these measurements revealed smaller average crystallite size and enhanced surface

  18. Atomic imaging of an InSe single-crystal surface with atomic force microscope

    OpenAIRE

    Uosaki, Kohei; Koinuma, Michio

    1993-01-01

    The atomic force microscope was employed to observed in air the surface atomic structure of InSe, one of III-VI compound semiconductors with layered structures. Atomic arrangements were observed in both n-type and p-type materials. The observed structures are in good agreement with those expected from bulk crystal structures. The atomic images became less clear by repeating the imaging process. Wide area imaging after the imaging of small area clearly showed that a mound was created at the sp...

  19. Al- or Si-decorated graphene oxide: A favorable metal-free catalyst for the N2O reduction

    Science.gov (United States)

    Esrafili, Mehdi D.; Sharifi, Fahimeh; Nematollahi, Parisa

    2016-11-01

    The structural and catalytic properties of Al- or Si-decorated graphene oxide (Al-/Si-GO) are studied by means of density functional theory calculations. The relatively large adsorption energy together with the small Alsbnd O or Sisbnd O binding distances indicate that the epoxy groups over the GO surface can strongly stabilize the single Al or Si atom. Hence, Al-GO and Si-GO are stable enough to be utilized in catalytic reduction of N2O by CO molecule. It is found that the adsorption and decomposition of N2O molecule over Si-GO is more favorable than over Al-GO, due to its larger adsorption energy (Eads) and charge transfer (qCT) values. On the other hand, the CO molecule is physically adsorbed over both surfaces, with relatively small Eads and qCT values. Therefore, at the presence of N2O and CO molecules as the reaction gas, the Al or Si atom of the surface should be dominantly covered by N2O molecule. Our results indicate that the N2O decomposition process can take place with a negligible activation energy over Al-/Si-GO surface, where the N2 molecule can be easily released from the surface. Then, the activated oxygen atom (Oads) which remains over the surface reacts with the CO molecule to form the CO2 molecule via the reaction Oads + CO → CO2. Based on the calculated activation energies, it is suggested that both Al-GO and Si-GO can be used as an efficient metal-free catalyst for the reduction of N2O molecule at ambient conditions.

  20. Band alignment of atomic layer deposited SiO2 and HfSiO4 with (\\bar{2}01) β-Ga2O3

    Science.gov (United States)

    Carey, Patrick H., IV; Ren, Fan; Hays, David C.; Gila, Brent P.; Pearton, Stephen J.; Jang, Soohwan; Kuramata, Akito

    2017-07-01

    The valence band offset at both SiO2/β-Ga2O3 and HfSiO4/β-Ga2O3 heterointerfaces was measured using X-ray photoelectron spectroscopy. Both dielectrics were deposited by atomic layer deposition (ALD) onto single-crystal β-Ga2O3. The bandgaps of the materials were determined by reflection electron energy loss spectroscopy as 4.6 eV for Ga2O3, 8.7 eV for Al2O3 and 7.0 eV for HfSiO4. The valence band offset was determined to be 1.23 ± 0.20 eV (straddling gap, type I alignment) for ALD SiO2 on β-Ga2O3 and 0.02 ± 0.003 eV (also type I alignment) for HfSiO4. The respective conduction band offsets were 2.87 ± 0.70 eV for ALD SiO2 and 2.38 ± 0.50 eV for HfSiO4, respectively.

  1. SiGe Based Low Temperature Electronics for Lunar Surface Applications

    Science.gov (United States)

    Mojarradi, Mohammad M.; Kolawa, Elizabeth; Blalock, Benjamin; Cressler, John

    2012-01-01

    The temperature at the permanently shadowed regions of the moon's surface is approximately -240 C. Other areas of the lunar surface experience temperatures that vary between 120 C and -180 C during the day and night respectively. To protect against the large temperature variations of the moon surface, traditional electronics used in lunar robotics systems are placed inside a thermally controlled housing which is bulky, consumes power and adds complexity to the integration and test. SiGe Based electronics have the capability to operate over wide temperature range like that of the lunar surface. Deploying low temperature SiGe electronics in a lander platform can minimize the need for the central thermal protection system and enable the development of a new generation of landers and mobility platforms with highly efficient distributed architecture. For the past five years a team consisting of NASA, university and industry researchers has been examining the low temperature and wide temperature characteristic of SiGe based transistors for developing electronics for wide temperature needs of NASA environments such as the Moon, Titan, Mars and Europa. This presentation reports on the status of the development of wide temperature SiGe based electronics for the landers and lunar surface mobility systems.

  2. Observation of He bubbles in ion irradiated fusion materials by conductive atomic force microscopy

    Energy Technology Data Exchange (ETDEWEB)

    Fan, Hongyu [School of Physics and Materials Engineering, Dalian Nationalities University, Dalian 116600 (China); Li, Ruihuan [School of Physics and Optoelectronic Engineering, Dalian University of Technology, Dalian 116024 (China); Yang, Deming [School of Physics and Materials Engineering, Dalian Nationalities University, Dalian 116600 (China); School of Science, Changchun University of Science and Technology, Changchun, Jilin 130022 (China); Wu, Yunfeng; Niu, Jinhai; Yang, Qi [School of Physics and Materials Engineering, Dalian Nationalities University, Dalian 116600 (China); Zhao, Jijun [School of Physics and Optoelectronic Engineering, Dalian University of Technology, Dalian 116024 (China); Liu, Dongping, E-mail: dongping.liu@dlnu.edu.cn [School of Physics and Materials Engineering, Dalian Nationalities University, Dalian 116600 (China); Fujian Key Laboratory for Plasma and Magnetic Resonance, Department of Electronic Science, Aeronautics, School of Physics and Mechanical and Electrical Engineering, Xiamen University, Xiamen, Fujian 361005 (China)

    2013-10-15

    Using a non-destructive conductive atomic force microscope combined with the Ar{sup +} etching technique, we demonstrate that nanoscale and conductive He bubbles are formed in the implanted layer of single-crystalline 6H-SiC irradiated with 100 keV He{sup +}. We find that the surface swelling of irradiated SiC samples is well correlated with the growth of elliptic He bubbles in the implanted layer. First-principle calculations are performed to estimate the internal pressure of the He bubble in the void of SiC. Analysis indicates that nanoscale He bubbles acting as a captor capture the He atoms diffusing along the implanted layer at an evaluated temperature and result in the surface swelling of irradiated SiC materials.

  3. Immunogold labels: cell-surface markers in atomic force microscopy

    NARCIS (Netherlands)

    Putman, Constant A.J.; Putman, C.A.J.; de Grooth, B.G.; Hansma, Paul K.; van Hulst, N.F.; Greve, Jan

    1993-01-01

    The feasibility of using immunogold labels as cell-surface markers in atomic force microscopy is shown in this paper. The atomic force microscope (AFM) was used to image the surface of immunogold-labeled human lymphocytes. The lymphocytes were isolated from whole blood and labeled by an indirect

  4. Single-Layer Limit of Metallic Indium Overlayers on Si(111).

    Science.gov (United States)

    Park, Jae Whan; Kang, Myung Ho

    2016-09-09

    Density-functional calculations are used to identify one-atom-thick metallic In phases grown on the Si(111) surface, which have long been sought in quest of the ultimate two-dimensional (2D) limit of metallic properties. We predict two metastable single-layer In phases, one sqrt[7]×sqrt[3] phase with a coverage of 1.4 monolayer (ML; here 1 ML refers to one In atom per top Si atom) and the other sqrt[7]×sqrt[7] phase with 1.43 ML, which indeed agree with experimental evidences. Both phases reveal quasi-1D arrangements of protruded In atoms, leading to 2D-metallic but anisotropic band structures and Fermi surfaces. This directional feature contrasts with the free-electron-like In-overlayer properties that are known to persist up to the double-layer thickness, implying that the ultimate 2D limit of In overlayers may have been achieved in previous studies of double-layer In phases.

  5. Crystalline and lattice matched Ba0.7Si0.3O layers on plane and vicinal Si(001) surfaces

    International Nuclear Information System (INIS)

    Zachariae, J.

    2006-01-01

    In this work the low temperature growth conditions of epitaxial and lattice-matched Ba 0.7 Sr 0.3 O layers on Si(100) were investigated using the combination of low energy electron diffraction (LEED), x-ray photoemission (XPS) and electron energy loss spectroscopy (EELS). With these methods crystallinity, stoichiometry and electronic structure of both occupied and unoccupied levels were studied as a function of layer thickness. Oxide layers were generated by evaporating the metals in oxygen ambient pressure with the sample at room temperature. Perfect crystallinity and lattice matching was only obtained starting with a preadsorbed monolayer (ML) of Sr or Ba at a concentration close to one monolayer. The XPS analysis shows that Ba 0.7 Sr 0.3 O as a high-K gate dielectric offers an adequate band gap, an appropriate band alignment and a atomically sharp interface to the Si(001) substrate. No silicide and silicate species, or SiO 2 formation at the interface after oxidation were found. To show that Ba 0.7 Sr 0.3 O is really appropriate to replace SiO 2 as a gate dielectric, first C-V and I-V curves of MOS-diodes with SrO, BaO and Ba 0.7 Sr 0.3 O as gateoxide were measured under ambient conditions. Besides other results, it turns out that the measured dielectric constant of Ba 0.7 Sr 0.3 O conforms with the expected value of ε ∼ 25 - 30. Exploring ways for self-organized structuring of insulating films, the possibility to produce replicas of step trains, given by a vicinal Si(001)-4 [110] surface, in layers of crystalline and perfectly lattice matched Ba 0.7 Sr 0.3 O were investigated. For this purpose high-resolution spot profile analyses in low-energy electron diffraction (SPA-LEED) both on flat Si(001) and on vicinal Si(001)-4 [110] were carried out. The G(S) analysis of these mixed oxide layers reveals a strong influence of local compositional fluctuations of Sr and Ba ions and their respective scattering phases, which appears as an unphysically large variation

  6. Al- or Si-decorated graphene oxide: A favorable metal-free catalyst for the N2O reduction

    International Nuclear Information System (INIS)

    Esrafili, Mehdi D.; Sharifi, Fahimeh; Nematollahi, Parisa

    2016-01-01

    Highlights: • The reduction of N 2 O by CO molecule is investigated over Al- and Si-decorated graphene oxides (Al-/Si-GO). • The N 2 O decomposition process can take place with a negligible activation energy over both surfaces. • Al-GO and Si-GO can be used as an efficient metal-free catalyst for the reduction of N 2 O molecule at ambient conditions. - Abstract: The structural and catalytic properties of Al- or Si-decorated graphene oxide (Al-/Si-GO) are studied by means of density functional theory calculations. The relatively large adsorption energy together with the small Al−O or Si−O binding distances indicate that the epoxy groups over the GO surface can strongly stabilize the single Al or Si atom. Hence, Al-GO and Si-GO are stable enough to be utilized in catalytic reduction of N 2 O by CO molecule. It is found that the adsorption and decomposition of N 2 O molecule over Si-GO is more favorable than over Al-GO, due to its larger adsorption energy (E ads ) and charge transfer (q CT ) values. On the other hand, the CO molecule is physically adsorbed over both surfaces, with relatively small E ads and q CT values. Therefore, at the presence of N 2 O and CO molecules as the reaction gas, the Al or Si atom of the surface should be dominantly covered by N 2 O molecule. Our results indicate that the N 2 O decomposition process can take place with a negligible activation energy over Al-/Si-GO surface, where the N 2 molecule can be easily released from the surface. Then, the activated oxygen atom (O ads ) which remains over the surface reacts with the CO molecule to form the CO 2 molecule via the reaction O ads + CO → CO 2 . Based on the calculated activation energies, it is suggested that both Al-GO and Si-GO can be used as an efficient metal-free catalyst for the reduction of N 2 O molecule at ambient conditions.

  7. Interaction between solute atoms and radiation defects in Fe-Ni-Si and Fe-Mn-Si alloys under irradiation with proton ions at low-temperature

    Energy Technology Data Exchange (ETDEWEB)

    Murakami, Kenta, E-mail: murakami@tokai.t.u-tokyo.ac.jp [Nuclear Professional School, School of Engineering, The University of Tokyo, 2-22 Shirakata-Shirane, Tokai-mura, Ibaraki, 319-1188 (Japan); Iwai, Takeo, E-mail: iwai@med.id.yamagata-u.ac.jp [Faculty of Medicine, Yamagata University, 2-2-2 Iida-Nishi, Yamagata, Yamagata-shi, 990-9585 (Japan); Abe, Hiroaki [Nuclear Professional School, School of Engineering, The University of Tokyo, 2-22 Shirakata-Shirane, Tokai-mura, Ibaraki, 319-1188 (Japan); Sekimura, Naoto, E-mail: sekimura@n.t.u-tokyo.ac.jp [Department of Nuclear Engineering and Management, School of Engineering, The University of Tokyo, 7-3-1, Tokyo, Hongo, Bunkyo, 113-8656 (Japan)

    2016-12-15

    Isochronal annealing followed by residual resistivity measurements at 12 K was performed in Fe-0.6Ni-0.6Si and Fe-1.5Mn-0.6Si alloys irradiated with 1 MeV proton ions below 70 K, and recovery stages were compared with those of Fe–0.6Ni and Fe–1.5Mn. The effects of silicon addition in the Fe-Ni alloy was observed as the appearance of a new recovery stage at 282–372 K, presumably corresponding to clustering of solute atoms in matrix, and as a change in mixed dumbbell migration at 122–142 K. Silicon addition mitigated the manganese effect in Fe–Mn alloy that is obstructing the recovery of radiation defects. Reduction of resistivity in Fe-Mn-Si alloy also suggested formation of small solute atom clusters.

  8. Site-selective substitutional doping with atomic precision on stepped Al (111) surface by single-atom manipulation.

    Science.gov (United States)

    Chen, Chang; Zhang, Jinhu; Dong, Guofeng; Shao, Hezhu; Ning, Bo-Yuan; Zhao, Li; Ning, Xi-Jing; Zhuang, Jun

    2014-01-01

    In fabrication of nano- and quantum devices, it is sometimes critical to position individual dopants at certain sites precisely to obtain the specific or enhanced functionalities. With first-principles simulations, we propose a method for substitutional doping of individual atom at a certain position on a stepped metal surface by single-atom manipulation. A selected atom at the step of Al (111) surface could be extracted vertically with an Al trimer-apex tip, and then the dopant atom will be positioned to this site. The details of the entire process including potential energy curves are given, which suggests the reliability of the proposed single-atom doping method.

  9. Study on the GaAs(110) surface using emitted atom spectrometry

    International Nuclear Information System (INIS)

    Gayone, J.E.; Sanchez, E.A.; Grizzi, O.; Universidad Nacional de Cuyo, Mendoza

    1998-01-01

    The facilities implemented at Bariloche for the ion scattering spectrometry is described, and recent examples of the technique application to determine the atomic structure and the composition of metallic and semiconductor surfaces, pure and with different adsorbates. The surface analysis technique using emitted atom spectrometry is discussed. The sensitivity to the GaAs(110) surface atomic relaxation is presented, and the kinetic of hydrogen adsorption by the mentioned surface is studied

  10. Strained Si engineering for nanoscale MOSFETs

    International Nuclear Information System (INIS)

    Park, Jea-Gun; Lee, Gon-Sub; Kim, Tae-Hyun; Hong, Seuck-Hoon; Kim, Seong-Je; Song, Jin-Hwan; Shim, Tae-Hun

    2006-01-01

    We have revealed a strain relaxation mechanism for strained Si grown on a relaxed SiGe-on-insulator structure fabricated by the bonding, dislocation sink, or condensation method. Strain relaxation for both the bonding and dislocation sink methods was achieved by grading the Ge concentration; in contrast, the relaxation for the condensation method was achieved through Ge atom condensation during oxidation. In addition, we estimated the surface roughness and threading-dislocation pit density for relaxed SiGe layer fabricated by the bonding, dislocation sink, or condensation method. The surface roughness and threading-dislocation pit density for the bonding, dislocation sink, and condensation methods were 2.45, 0.46, and 0.40 nm and 5.0 x 10 3 , 9 x 10 3 , and 0, respectively. In terms of quality and cost-effectiveness, the condensation method was superior to the bonding and dislocation sink methods for forming strained Si on a relaxed SiGe-on-insulator structure

  11. Interaction of epitaxial silicene with overlayers formed by exposure to Al atoms and O2 molecules.

    Science.gov (United States)

    Friedlein, R; Van Bui, H; Wiggers, F B; Yamada-Takamura, Y; Kovalgin, A Y; de Jong, M P

    2014-05-28

    As silicene is not chemically inert, the study and exploitation of its electronic properties outside of ultrahigh vacuum environments require the use of insulating capping layers. In order to understand if aluminum oxide might be a suitable encapsulation material, we used high-resolution synchrotron photoelectron spectroscopy to study the interactions of Al atoms and O2 molecules, as well as the combination of both, with epitaxial silicene on thin ZrB2(0001) films grown on Si(111). The deposition of Al atoms onto silicene, up to the coverage of about 0.4 Al per Si atoms, has little effect on the chemical state of the Si atoms. The silicene-terminated surface is also hardly affected by exposure to O2 gas, up to a dose of 4500 L. In contrast, when Al-covered silicene is exposed to the same dose, a large fraction of the Si atoms becomes oxidized. This is attributed to dissociative chemisorption of O2 molecules by Al atoms at the surface, producing reactive atomic oxygen species that cause the oxidation. It is concluded that aluminum oxide overlayers prepared in this fashion are not suitable for encapsulation since they do not prevent but actually enhance the degradation of silicene.

  12. Density functional study of TaSin (n = 1-3, 12) clusters adsorbed to graphene surface

    International Nuclear Information System (INIS)

    Guo Ping; Zheng Lin; Zheng Jiming; Zhang Ruizhi; Yang Luna; Ren, Zhaoyu

    2011-01-01

    A plane-wave density functional theory (DFT) calculations have been performed to investigate structural and electronic properties of TaSi n (n = 1-3, 12) clusters supported by graphene surface. The resulting adsorption structures are described and discussed in terms of stability, bonding, and electron transfer between the cluster and the graphene. The TaSi n clusters on graphene surface favor their free-standing ground-state structures. Especially in the cases of the linear TaSi 2 and the planar TaSi 3 , the graphene surface may catalyze the transition of the TaSi n clusters from an isomer of lower dimensionality into the ground-state structure. The adsorption site and configuration of TaSi n on graphene surface are dominated by the interaction between Ta atom and graphene. Ta atom prefers to adsorb on the hollow site of graphene, and Si atoms tend to locate on the bridge site. Further, the electron transfer is found to proceed from the cluster to the surface for n = 1 and 2, while its direction reverses as n > 2. For the case of TaSi, chemisorption is shown to prevail over physisorption as the dominant mode of surface-adsorbate interaction by charge density analysis.

  13. Effect of input power and gas pressure on the roughening and selective etching of SiO2/Si surfaces in reactive plasmas

    International Nuclear Information System (INIS)

    Zhong, X. X.; Huang, X. Z.; Tam, E.; Ostrikov, K.; Colpo, P.; Rossi, F.

    2010-01-01

    We report on the application low-temperature plasmas for roughening Si surfaces which is becoming increasingly important for a number of applications ranging from Si quantum dots to cell and protein attachment for devices such as 'laboratory on a chip' and sensors. It is a requirement that Si surface roughening is scalable and is a single-step process. It is shown that the removal of naturally forming SiO 2 can be used to assist in the roughening of the surface using a low-temperature plasma-based etching approach, similar to the commonly used in semiconductor micromanufacturing. It is demonstrated that the selectivity of SiO 2 /Si etching can be easily controlled by tuning the plasma power, working gas pressure, and other discharge parameters. The achieved selectivity ranges from 0.4 to 25.2 thus providing an effective means for the control of surface roughness of Si during the oxide layer removal, which is required for many advance applications in bio- and nanotechnology.

  14. Adsorption Mechanisms of NH3 on Chlorinated Si(100)-2 x 1 Surface

    International Nuclear Information System (INIS)

    Lee, Hee Soon; Choi, Cheol Ho

    2012-01-01

    The potential energy surfaces of ammonia molecule adsorptions on the symmetrically chlorinated Si(100)- 2 x 1 surface were explored with SIMOMM:MP2/6-31G(d). It was found that the initial nucleophilic attack by ammonia nitrogen to the surface Si forms a S N 2 type transition state, which eventually leads to an HCl molecular desorption. The second ammonia molecule adsorption requires much less reaction barrier, which can be rationalized by the surface cooperative effect. In general, it was shown that the surface Si-Cl bonds can be easily subjected to the substitution reactions by ammonia molecules yielding symmetric surface Si-NH 2 bonds, which can be a good initial template for subsequent surface chemical modifications. The ammonia adsorptions are in general more facile than the corresponding water adsorption, since ammonia is better nucleophile

  15. Study of surface segregation of Si on palladium silicide using Auger electron spectroscopy

    International Nuclear Information System (INIS)

    Abhaya, S; Amarendra, G; Gopalan, Padma; Reddy, G L N; Saroja, S

    2004-01-01

    The transformation of Pd/Si to Pd 2 Si/Si is studied using Auger electron spectroscopy over a wide temperature range of 370-1020 K. The Pd film gets totally converted to Pd 2 Si upon annealing at 520 K, and beyond 570 K, Si starts segregating on the surface of silicide. It is found that the presence of surface oxygen influences the segregation of Si. The time evolution study of Si segregation reveals that segregation kinetics is very fast and the segregated Si concentration increases as the temperature is increased. Scanning electron microscopy measurements show that Pd 2 Si is formed in the form of islands, which grow as the annealing temperature is increased

  16. Selective scanning tunnelling microscope electron-induced reactions of single biphenyl molecules on a Si(100) surface.

    Science.gov (United States)

    Riedel, Damien; Bocquet, Marie-Laure; Lesnard, Hervé; Lastapis, Mathieu; Lorente, Nicolas; Sonnet, Philippe; Dujardin, Gérald

    2009-06-03

    Selective electron-induced reactions of individual biphenyl molecules adsorbed in their weakly chemisorbed configuration on a Si(100) surface are investigated by using the tip of a low-temperature (5 K) scanning tunnelling microscope (STM) as an atomic size source of electrons. Selected types of molecular reactions are produced, depending on the polarity of the surface voltage during STM excitation. At negative surface voltages, the biphenyl molecule diffuses across the surface in its weakly chemisorbed configuration. At positive surface voltages, different types of molecular reactions are activated, which involve the change of adsorption configuration from the weakly chemisorbed to the strongly chemisorbed bistable and quadristable configurations. Calculated reaction pathways of the molecular reactions on the silicon surface, using the nudge elastic band method, provide evidence that the observed selectivity as a function of the surface voltage polarity cannot be ascribed to different activation energies. These results, together with the measured threshold surface voltages and the calculated molecular electronic structures via density functional theory, suggest that the electron-induced molecular reactions are driven by selective electron detachment (oxidation) or attachment (reduction) processes.

  17. Fabrication of a bionic microstructure on a C/SiC brake lining surface: Positive applications of surface defects for surface wetting control

    Science.gov (United States)

    Wu, M. L.; Ren, C. Z.; Xu, H. Z.; Zhou, C. L.

    2018-05-01

    The material removal processes generate interesting surface topographies, unfortunately, that was usually considered to be surface defects. To date, little attention has been devoted to the positive applications of these interesting surface defects resulted from laser ablation to improve C/SiC surface wettability. In this study, the formation mechanism behind surface defects (residual particles) is discussed first. The results showed that the residual particles with various diameters experienced regeneration and migration, causing them to accumulate repeatedly. The effective accumulation of these residual particles with various diameters provides a new method about fabricating bionic microstructures for surface wetting control. The negligible influence of ablation processes on the chemical component of the subsurface was studied by comparing the C-O-Si weight percentage at the C/SiC subsurface. A group of microstructures were fabricated under different laser trace and different laser parameters. Surface wettability experimental results for different types of microstructures were compared. The results showed that the surface wettability increased as the laser scanning speed decreased. The surface wettability increased with the density of the laser scanning trace. We also demonstrated the application of optimized combination of laser parameters and laser trace to simulate a lotus leaf's microstructure on C/SiC surfaces. The parameter selection depends on the specific material properties.

  18. Measurement of near neighbor separations of surface atoms

    International Nuclear Information System (INIS)

    Cohen, P.I.

    Two techniques are being developed to measure the nearest neighbor distances of atoms at the surfaces of solids. Both measures extended fine structure in the excitation probability of core level electrons which are excited by an incident electron beam. This is an important problem because the structures of most surface systems are as yet unknown, even though the location of surface atoms is the basis for any quantitative understanding of the chemistry and physics of surfaces and interfaces. These methods would allow any laboratory to make in situ determinations of surface structure in conjunction with most other laboratory probes of surfaces. Each of these two techniques has different advantages; further, the combination of the two will increase confidence in the results by reducing systematic error in the data analysis

  19. Hydroxyl group induced adsorption of four-nitro benzoic acid on Si(100) 2x1 surface

    International Nuclear Information System (INIS)

    Ihm, K.; Kang, T.-H.; Hwang, C.C.; Kim, K.-J.; Hwang, H.-N.; Kim, H.-D.; Han, J.H.; Moon, S.; Kim, B.; POSTECH

    2004-01-01

    Full text: A number of studies have been conducted on self-assembled monolayers (SAMs) in order to study the adhesion of polymer films on various substrates. Recently, the studies on SAMs on the semiconductor substrate are more motivated because of their possible application to nanoscale devices. For the electronic and chemical properties suitable for various applications, the aromatic ring has been used as a building block of various molecules forming SAMs. Here, we used four-nitro benzoic acid (4-NBA) as a model planar aromatic compound, in which the phenyl ring, the carboxylic functional group, and NO2 are on the same plane. The adsorption mechanism of 4-NBA on the in-situ prepared OH/Si(100) 2x1 surface was investigated using x-ray photoelectron spectroscopy and near-edge x-ray absorption e structure. The results revealed that the 4-NBA molecule reacts with the hydroxyl group on the Si(100) 2x1 surface through deprotonation of the carboxyl group. The saturation coverage of 4-NBA estimated by the O 1s ratio is 1/2 ML. Additionally, we could observe the desorption of the oxygen atom from the NO2 moiety of the 4-NBA upon irradiating the surface by photons of 500 eV

  20. Secondary growth mechanism of SiGe islands deposited on a mixed-phase microcrystalline Si by ion beam co-sputtering.

    Science.gov (United States)

    Ke, S Y; Yang, J; Qiu, F; Wang, Z Q; Wang, C; Yang, Y

    2015-11-06

    We discuss the SiGe island co-sputtering deposition on a microcrystalline silicon (μc-Si) buffer layer and the secondary island growth based on this pre-SiGe island layer. The growth phenomenon of SiGe islands on crystalline silicon (c-Si) is also investigated for comparison. The pre-SiGe layer grown on μc-Si exhibits a mixed-phase structure, including SiGe islands and amorphous SiGe (a-SiGe) alloy, while the layer deposited on c-Si shows a single-phase island structure. The preferential growth and Ostwald ripening growth are shown to be the secondary growth mechanism of SiGe islands on μc-Si and c-Si, respectively. This difference may result from the effect of amorphous phase Si (AP-Si) in μc-Si on the island growth. In addition, the Si-Ge intermixing behavior of the secondary-grown islands on μc-Si is interpreted by constructing the model of lateral atomic migration, while this behavior on c-Si is ascribed to traditional uphill atomic diffusion. It is found that the aspect ratios of the preferential-grown super islands are higher than those of the Ostwald-ripening ones. The lower lateral growth rate of super islands due to the lower surface energy of AP-Si on the μc-Si buffer layer for the non-wetting of Ge at 700 °C and the stronger Si-Ge intermixing effect at 730 °C may be responsible for this aspect ratio difference.

  1. Chemical modifications of Au/SiO2 template substrates for patterned biofunctional surfaces.

    Science.gov (United States)

    Briand, Elisabeth; Humblot, Vincent; Landoulsi, Jessem; Petronis, Sarunas; Pradier, Claire-Marie; Kasemo, Bengt; Svedhem, Sofia

    2011-01-18

    The aim of this work was to create patterned surfaces for localized and specific biochemical recognition. For this purpose, we have developed a protocol for orthogonal and material-selective surface modifications of microfabricated patterned surfaces composed of SiO(2) areas (100 μm diameter) surrounded by Au. The SiO(2) spots were chemically modified by a sequence of reactions (silanization using an amine-terminated silane (APTES), followed by amine coupling of a biotin analogue and biospecific recognition) to achieve efficient immobilization of streptavidin in a functional form. The surrounding Au was rendered inert to protein adsorption by modification by HS(CH(2))(10)CONH(CH(2))(2)(OCH(2)CH(2))(7)OH (thiol-OEG). The surface modification protocol was developed by testing separately homogeneous SiO(2) and Au surfaces, to obtain the two following results: (i) SiO(2) surfaces which allowed the grafting of streptavidin, and subsequent immobilization of biotinylated antibodies, and (ii) Au surfaces showing almost no affinity for the same streptavidin and antibody solutions. The surface interactions were monitored by quartz crystal microbalance with dissipation monitoring (QCM-D), and chemical analyses were performed by polarization modulation-reflexion absorption infrared spectroscopy (PM-RAIRS) and X-ray photoelectron spectroscopy (XPS) to assess the validity of the initial orthogonal assembly of APTES and thiol-OEG. Eventually, microscopy imaging of the modified Au/SiO(2) patterned substrates validated the specific binding of streptavidin on the SiO(2)/APTES areas, as well as the subsequent binding of biotinylated anti-rIgG and further detection of fluorescent rIgG on the functionalized SiO(2) areas. These results demonstrate a successful protocol for the preparation of patterned biofunctional surfaces, based on microfabricated Au/SiO(2) templates and supported by careful surface analysis. The strong immobilization of the biomolecules resulting from the described

  2. Theoretical reconsideration of antiferromagnetic Fermi surfaces in URu2Si2

    International Nuclear Information System (INIS)

    Yamagami, Hiroshi

    2011-01-01

    In an itinerant 5f-band model, the antiferromagnetic (AFM) Fermi surfaces of URu 2 Si 2 are reconsidered using a relativistic LAPW method within a local spin-density approximation, especially taking into account the lattice parameters dependent on pressures. The reduction of the z-coordinate of the Si sites results in the effect of flattening the Ru-Si layers of URu 2 Si 2 crystal structure, thus weakening a hybridization/mixing between the U-5f and Ru-4d states in the band structure. Consequently the 5f bands around the Fermi level are more flat in the dispersion with decreasing the z-coordinate, thus producing three closed Fermi surfaces like 'curing-stone', 'rugby-ball' and 'ball'. The origins of de Haas-van Alphen branches can be qualitatively interpreted from the obtained AFM Fermi surfaces.

  3. MarsSI: Martian surface data processing information system

    Science.gov (United States)

    Quantin-Nataf, C.; Lozac'h, L.; Thollot, P.; Loizeau, D.; Bultel, B.; Fernando, J.; Allemand, P.; Dubuffet, F.; Poulet, F.; Ody, A.; Clenet, H.; Leyrat, C.; Harrisson, S.

    2018-01-01

    MarsSI (Acronym for Mars System of Information, https://emars.univ-lyon1.fr/MarsSI/, is a web Geographic Information System application which helps managing and processing martian orbital data. The MarsSI facility is part of the web portal called PSUP (Planetary SUrface Portal) developed by the Observatories of Paris Sud (OSUPS) and Lyon (OSUL) to provide users with efficient and easy access to data products dedicated to the martian surface. The portal proposes 1) the management and processing of data thanks to MarsSI and 2) the visualization and merging of high level (imagery, spectral, and topographic) products and catalogs via a web-based user interface (MarsVisu). The portal PSUP as well as the facility MarsVisu is detailed in a companion paper (Poulet et al., 2018). The purpose of this paper is to describe the facility MarsSI. From this application, users are able to easily and rapidly select observations, process raw data via automatic pipelines, and get back final products which can be visualized under Geographic Information Systems. Moreover, MarsSI also contains an automatic stereo-restitution pipeline in order to produce Digital Terrain Models (DTM) on demand from HiRISE (High Resolution Imaging Science Experiment) or CTX (Context Camera) pair-images. This application is funded by the European Union's Seventh Framework Programme (FP7/2007-2013) (ERC project eMars, No. 280168) and has been developed in the scope of Mars, but the design is applicable to any other planetary body of the solar system.

  4. Functionalized polymer film surfaces via surface-initiated atom transfer radical polymerization

    International Nuclear Information System (INIS)

    Hu, Y.; Li, J.S.; Yang, W.T.; Xu, F.J.

    2013-01-01

    The ability to manipulate and control the surface properties of polymer films, without altering the substrate properties, is crucial to their wide-spread applications. In this work, a simple one-step method for the direct immobilization of benzyl chloride groups (as the effective atom transfer radical polymerization (ATRP) initiators) on the polymer films was developed via benzophenone-induced coupling of 4-vinylbenzyl chloride (VBC). Polyethylene (PE) and nylon films were selected as examples of polymer films to illustrate the functionalization of film surfaces via surface-initiated ATRP. Functional polymer brushes of (2-dimethylamino)ethyl methacrylate, sodium 4-styrenesulfonate, 2-hydroxyethyl methacrylate and glycidyl methacrylate, as well as their block copolymer brushes, have been prepared via surface-initiated ATRP from the VBC-coupled PE or nylon film surfaces. With the development of a simple approach to the covalent immobilization of ATRP initiators on polymer film surfaces and the inherent versatility of surface-initiated ATRP, the surface functionality of polymer films can be precisely tailored. - Highlights: ► Atom transfer radical polymerization initiators were simply immobilized. ► Different functional polymer brushes were readily prepared. ► Their block copolymer brushes were also readily prepared

  5. Atomic and molecular layer deposition for surface modification

    Energy Technology Data Exchange (ETDEWEB)

    Vähä-Nissi, Mika, E-mail: mika.vaha-nissi@vtt.fi [VTT Technical Research Centre of Finland, PO Box 1000, FI‐02044 VTT (Finland); Sievänen, Jenni; Salo, Erkki; Heikkilä, Pirjo; Kenttä, Eija [VTT Technical Research Centre of Finland, PO Box 1000, FI‐02044 VTT (Finland); Johansson, Leena-Sisko, E-mail: leena-sisko.johansson@aalto.fi [Aalto University, School of Chemical Technology, Department of Forest Products Technology, PO Box 16100, FI‐00076 AALTO (Finland); Koskinen, Jorma T.; Harlin, Ali [VTT Technical Research Centre of Finland, PO Box 1000, FI‐02044 VTT (Finland)

    2014-06-01

    Atomic and molecular layer deposition (ALD and MLD, respectively) techniques are based on repeated cycles of gas–solid surface reactions. A partial monolayer of atoms or molecules is deposited to the surface during a single deposition cycle, enabling tailored film composition in principle down to molecular resolution on ideal surfaces. Typically ALD/MLD has been used for applications where uniform and pinhole free thin film is a necessity even on 3D surfaces. However, thin – even non-uniform – atomic and molecular deposited layers can also be used to tailor the surface characteristics of different non-ideal substrates. For example, print quality of inkjet printing on polymer films and penetration of water into porous nonwovens can be adjusted with low-temperature deposited metal oxide. In addition, adhesion of extrusion coated biopolymer to inorganic oxides can be improved with a hybrid layer based on lactic acid. - Graphical abstract: Print quality of a polylactide film surface modified with atomic layer deposition prior to inkjet printing (360 dpi) with an aqueous ink. Number of printed dots illustrated as a function of 0, 5, 15 and 25 deposition cycles of trimethylaluminum and water. - Highlights: • ALD/MLD can be used to adjust surface characteristics of films and fiber materials. • Hydrophobicity after few deposition cycles of Al{sub 2}O{sub 3} due to e.g. complex formation. • Same effect on cellulosic fabrics observed with low temperature deposited TiO{sub 2}. • Different film growth and oxidation potential with different precursors. • Hybrid layer on inorganic layer can be used to improve adhesion of polymer melt.

  6. Revision of the Li13Si4 structure.

    Science.gov (United States)

    Zeilinger, Michael; Fässler, Thomas F

    2013-11-06

    Besides Li17Si4, Li16.42Si4, and Li15Si4, another lithium-rich representative in the Li-Si system is the phase Li13Si4 (trideca-lithium tetra-silicide), the structure of which has been determined previously [Frank et al. (1975 ▶). Z. Naturforsch. Teil B, 30, 10-13]. A careful analysis of X-ray diffraction patterns of Li13Si4 revealed discrepancies between experimentally observed and calculated Bragg positions. Therefore, we redetermined the structure of Li13Si4 on the basis of single-crystal X-ray diffraction data. Compared to the previous structure report, decisive differences are (i) the introduction of a split position for one Li site [occupancy ratio 0.838 (7):0.162 (7)], (ii) the anisotropic refinement of atomic displacement parameters for all atoms, and (iii) a high accuracy of atom positions and unit-cell parameters. The asymmetric unit of Li13Si4 contains two Si and seven Li atoms. Except for one Li atom situated on a site with symmetry 2/m, all other atoms are on mirror planes. The structure consists of isolated Si atoms as well as Si-Si dumbbells surrounded by Li atoms. Each Si atom is either 12- or 13-coordinated. The isolated Si atoms are situated in the ab plane at z = 0 and are strictly separated from the Si-Si dumbbells at z = 0.5.

  7. Surface Passivation of Silicon Using HfO2 Thin Films Deposited by Remote Plasma Atomic Layer Deposition System.

    Science.gov (United States)

    Zhang, Xiao-Ying; Hsu, Chia-Hsun; Lien, Shui-Yang; Chen, Song-Yan; Huang, Wei; Yang, Chih-Hsiang; Kung, Chung-Yuan; Zhu, Wen-Zhang; Xiong, Fei-Bing; Meng, Xian-Guo

    2017-12-01

    Hafnium oxide (HfO 2 ) thin films have attracted much attention owing to their usefulness in equivalent oxide thickness scaling in microelectronics, which arises from their high dielectric constant and thermodynamic stability with silicon. However, the surface passivation properties of such films, particularly on crystalline silicon (c-Si), have rarely been reported upon. In this study, the HfO 2 thin films were deposited on c-Si substrates with and without oxygen plasma pretreatments, using a remote plasma atomic layer deposition system. Post-annealing was performed using a rapid thermal processing system at different temperatures in N 2 ambient for 10 min. The effects of oxygen plasma pretreatment and post-annealing on the properties of the HfO 2 thin films were investigated. They indicate that the in situ remote plasma pretreatment of Si substrate can result in the formation of better SiO 2 , resulting in a better chemical passivation. The deposited HfO 2 thin films with oxygen plasma pretreatment and post-annealing at 500 °C for 10 min were effective in improving the lifetime of c-Si (original lifetime of 1 μs) to up to 67 μs.

  8. Preparation of crosslinked polysiloxane/SiO{sub 2} nanocomposite via in-situ condensation and its surface modification on cotton fabrics

    Energy Technology Data Exchange (ETDEWEB)

    Hao, Lifen, E-mail: haolifen@sust.edu.cn [College of Chemistry and Chemical Engineering, Shaanxi University of Science and Technology, Xi' an, Shaanxi 710021 (China); Zibo Dahuanjiu Polygrace Tannery Group Co. Ltd., Zibo, Shandong 256400 (China); Gao, Tingting [College of Chemistry and Chemical Engineering, Shaanxi University of Science and Technology, Xi' an, Shaanxi 710021 (China); Xu, Wei [College of Resource and Environment, Shaanxi University of Science and Technology, Xi' an, Shaanxi 710021 (China); Zibo Dahuanjiu Polygrace Tannery Group Co. Ltd., Zibo, Shandong 256400 (China); Wang, Xuechuan [College of Resource and Environment, Shaanxi University of Science and Technology, Xi' an, Shaanxi 710021 (China); Yang, Shuqin; Liu, Xiangguo [Zibo Dahuanjiu Polygrace Tannery Group Co. Ltd., Zibo, Shandong 256400 (China)

    2016-05-15

    Highlights: • We used a two-step method to fabricate novel crosslinked polysiloxane/SiO{sub 2} nanocomposite (CLPS-SiO{sub 2}). • Superhydrophobic surface on cotton fiber can be conveniently constructed by CLPS-SiO{sub 2}. • Color and softness of the CLPS-SiO{sub 2} treated fabric would not be influenced at all. • The CLPS-SiO{sub 2} treated fabric possessed good washing durability. - Abstract: Novel crosslinked polysiloxane/SiO{sub 2} nanocomposite (CLPS-SiO{sub 2}) was successfully prepared via the in-situ condensation reaction of silica sols and crosslinked polysiloxane with end-capped triethoxysilane in solvent, which was firstly fabricated through the modification of our previously developed crosslinked polysiloxane with end-capped epoxy groups using aminopropyltriethoxysilane (APTES) and noted as APTES-CLPS. Chemical structures and thermal properties of the as-prepared resultants were characterized by Fourier transform infrared spectroscopy (FTIR), nuclear magnetic resonance spectra ({sup 1}H/{sup 13}C NMR) and thermogravimetric analysis (TGA). CLPS-SiO{sub 2} was applied as surface modification agent to treat cotton fabrics. Film morphologies and surface properties were examined with scanning electron microscopy (SEM), atomic force microscopy (AFM), X-ray photoelectron spectroscopy (XPS), contact angle measurements, and other instruments. FTIR and NMR confirmed structure of the products. CLPS-SiO{sub 2} showed better thermal stability than APTES-CLPS due to anchor of the nanosilica. APTES-CLPS could deposit a smooth film on cotton fiber surface. Besides, CLPS-SiO{sub 2} also coated the fibers with many nano-scaled tubercles beneath this smooth film by SEM. However, the APTES-CLPS film and the CLPS-SiO{sub 2} film on silicon-wafer were never homogeneous and had a few low or high peaks. The root mean square roughness (Rq) of APTES-CLPS film reached to 0.441 nm in 2 × 2 μm{sup 2} scanning field and at 5 nm data scale. Owing to the incorporation of

  9. Fabrication of Si surface pattern by Ar beam irradiation and annealing method

    International Nuclear Information System (INIS)

    Zhang, J.; Momota, S.; Maeda, K.; Terauchi, H.; Furuta, M.; Kawaharamura, T.; Nitta, N.; Wang, D.

    2012-01-01

    The fabrication process of crater structures on Si crystal has been studied by an irradiation of Ar beam and a thermal annealing at 600 °C. The fabricated surface was measured by field emission scanning electron microscope and atomic force microscope. The results have shown the controllability of specifications of crater formation such as density, diameter and depth by changing two irradiation parameters, fluence and energy of Ar ions. By changing the fluence over a range of 1 ∼ 10 × 10 16 /cm 2 , we could control a density of crater 0 ∼ 39 counts/100μm 2 . By changing the energy over a range of 90 ∼ 270 keV, we could control a diameter and a depth of crater in 0.8 ∼ 4.1μm and 99 ∼ 229nm, respectively. The present result is consistent with the previously proposed model that the crater structure would be arising from an exfoliated surface layer of silicon. The present result has indicated the possibility of the crater production phenomena as a hopeful method to fabricate the surface pattern on a micro-nano meter scale.

  10. Sub-Angstrom oscillation amplitude non-contact atomic force microscopy for lateral force gradient measurement

    International Nuclear Information System (INIS)

    Atabak, Mehrdad; Unverdi, Ozhan; Ozer, H. Ozguer; Oral, Ahmet

    2009-01-01

    We report the first results from novel sub-Angstrom oscillation amplitude non-contact atomic force microscopy developed for lateral force gradient measurements. Quantitative lateral force gradients between a tungsten tip and Si(1 1 1)-(7 x 7) surface can be measured using this microscope. Simultaneous lateral force gradient and scanning tunnelling microscope images of single and multi atomic steps are obtained. In our measurement, tunnel current is used as feedback. The lateral stiffness contrast has been observed to be 2.5 N/m at single atomic step, in contrast to 13 N/m at multi atomic step on Si(1 1 1) surface. We also carried out a series of lateral stiffness-distance spectroscopy. We observed lateral stiffness-distance curves exhibit sharp increase in the stiffness as the sample is approached towards the surface. We usually observed positive stiffness and sometimes going into slightly negative region.

  11. Pd adsorption on Si(1 1 3) surface: STM and XPS study

    International Nuclear Information System (INIS)

    Hara, Shinsuke; Yoshimura, Masamichi; Ueda, Kazuyuki

    2008-01-01

    Pd-induced surface structures on Si(1 1 3) have been studied by scanning tunneling microscopy (STM) and X-ray photoelectron spectroscopy (XPS). In the initial process of the Pd adsorption below 0.10 ML, Pd silicide (Pd 2 Si) clusters are observed to form randomly on the surface. By increasing the Pd coverage to 0.10 ML, the clusters cover the entire surface, and an amorphous layer is formed. After annealing the Si(1 1 3)-Pd surface at 600 deg. C, various types of islands and chain protrusions appears. The agglomeration, coalescence and crystallization of these islands are observed by using high temperature (HT-) STM. It is also found by XPS that the islands correspond to Pd 2 Si structure. On the basis of these results, evolution of Pd-induced structures at high temperatures is in detail discussed

  12. Surface structure investigations using noncontact atomic force microscopy

    International Nuclear Information System (INIS)

    Kolodziej, J.J.; Such, B.; Goryl, M.; Krok, F.; Piatkowski, P.; Szymonski, M.

    2006-01-01

    Surfaces of several A III B V compound semiconductors (InSb, GaAs, InP, InAs) of the (0 0 1) orientation have been studied with noncontact atomic force microscopy (NC-AFM). Obtained atomically resolved patterns have been compared with structural models available in the literature. It is shown that NC-AFM is an efficient tool for imaging complex surface structures in real space. It is also demonstrated that the recent structural models of III-V compound surfaces provide a sound base for interpretation of majority of features present in recorded patterns. However, there are also many new findings revealed by the NC-AFM method that is still new experimental technique in the context of surface structure determination

  13. Interaction of epitaxial silicene with overlayers formed by exposure to Al atoms and O{sub 2} molecules

    Energy Technology Data Exchange (ETDEWEB)

    Friedlein, R.; Yamada-Takamura, Y. [Japan Advanced Institute of Science and Technology, School of Materials Science, Nomi, Ishikawa 923-1292 (Japan); Van Bui, H.; Wiggers, F. B.; Kovalgin, A. Y.; Jong, M. P. de, E-mail: M.P.deJong@utwente.nl [MESA Institute for Nanotechnology, University of Twente, 7500 AE Enschede (Netherlands)

    2014-05-28

    As silicene is not chemically inert, the study and exploitation of its electronic properties outside of ultrahigh vacuum environments require the use of insulating capping layers. In order to understand if aluminum oxide might be a suitable encapsulation material, we used high-resolution synchrotron photoelectron spectroscopy to study the interactions of Al atoms and O{sub 2} molecules, as well as the combination of both, with epitaxial silicene on thin ZrB{sub 2}(0001) films grown on Si(111). The deposition of Al atoms onto silicene, up to the coverage of about 0.4 Al per Si atoms, has little effect on the chemical state of the Si atoms. The silicene-terminated surface is also hardly affected by exposure to O{sub 2} gas, up to a dose of 4500 L. In contrast, when Al-covered silicene is exposed to the same dose, a large fraction of the Si atoms becomes oxidized. This is attributed to dissociative chemisorption of O{sub 2} molecules by Al atoms at the surface, producing reactive atomic oxygen species that cause the oxidation. It is concluded that aluminum oxide overlayers prepared in this fashion are not suitable for encapsulation since they do not prevent but actually enhance the degradation of silicene.

  14. Electrical properties of SiO{sub 2}/SiC interfaces on 2°-off axis 4H-SiC epilayers

    Energy Technology Data Exchange (ETDEWEB)

    Vivona, M., E-mail: marilena.vivona@imm.cnr.it [CNR-IMM, Strada VIII, n. 5 – Zona Industriale, I-95121 Catania (Italy); Fiorenza, P. [CNR-IMM, Strada VIII, n. 5 – Zona Industriale, I-95121 Catania (Italy); Sledziewski, T.; Krieger, M. [Friedrich-Alexander-University (FAU) Erlangen-Nuremberg, Department of Physics, Staudtstrasse 7/Bld. A3, D-91058 Erlangen (Germany); Chassagne, T.; Zielinski, M. [NOVASiC, Savoie Technolac, BP267, F-73375 Le Bourget-du-Lac Cedex (France); Roccaforte, F. [CNR-IMM, Strada VIII, n. 5 – Zona Industriale, I-95121 Catania (Italy)

    2016-02-28

    Graphical abstract: - Highlights: • Processing and electrical characterization of MOS capacitors fabricated on 4H-SiC epilayers grown on 2°-off axis heavily doped substrates. • Excellent characteristics of the SiO{sub 2}/4H-SiC interface in terms of flatness, interface state density and oxide reliability. • Electrical behavior of the MOS devices comparable with that obtained for the state-of-the-art of 4°-off axis 4H-SiC material. • Demonstration of the maturity of the 2°-off axis material for application in 4H-SiC MOSFET device technology. - Abstract: In this paper, the electrical properties of the SiO{sub 2}/SiC interface on silicon carbide (4H-SiC) epilayers grown on 2°-off axis substrates were studied. After epilayer growth, chemical mechanical polishing (CMP) allowed to obtain an atomically flat surface with a roughness of 0.14 nm. Metal-oxide-semiconductor (MOS) capacitors, fabricated on this surface, showed an interface state density of ∼1 × 10{sup 12} eV{sup −1} cm{sup −2} below the conduction band, a value which is comparable to the standard 4°-off-axis material commonly used for 4H-SiC MOS-based device fabrication. Moreover, the Fowler–Nordheim and time-zero-dielectric breakdown analyses confirmed an almost ideal behavior of the interface. The results demonstrate the maturity of the 2°-off axis material for 4H-SiC MOSFET device fabrication.

  15. Effect of hydrogen on passivation quality of SiNx/Si-rich SiNx stacked layers deposited by catalytic chemical vapor deposition on c-Si wafers

    International Nuclear Information System (INIS)

    Thi, Trinh Cham; Koyama, Koichi; Ohdaira, Keisuke; Matsumura, Hideki

    2015-01-01

    We investigate the role of hydrogen content and fixed charges of catalytic chemical vapor deposited (Cat-CVD) SiN x /Si-rich SiN x stacked layers on the quality of crystalline silicon (c-Si) surface passivation. Calculated density of fixed charges is on the order of 10 12 cm −2 , which is high enough for effective field effect passivation. Hydrogen content in the films is also found to contribute significantly to improvement in passivation quality of the stacked layers. Furthermore, Si-rich SiN x films deposited with H 2 dilution show better passivation quality of SiN x /Si-rich SiN x stacked layers than those prepared without H 2 dilution. Effective minority carrier lifetime (τ eff ) in c-Si passivated by SiN x /Si-rich SiN x stacked layers is as high as 5.1 ms when H 2 is added during Si-rich SiN x deposition, which is much higher than the case of using Si-rich SiN x films prepared without H 2 dilution showing τ eff of 3.3 ms. - Highlights: • Passivation mechanism of Si-rich SiN x /SiN x stacked layers is investigated. • H atoms play important role in passivation quality of the stacked layer. • Addition of H 2 gas during Si-rich SiN x film deposition greatly enhances effective minority carrier lifetime (τ eff ). • For a Si-rich SiN x film with refractive index of 2.92, τ eff improves from 3.3 to 5.1 ms by H 2 addition

  16. Charge exchange cross sections in slow collisions of Si3+ with Hydrogen atom

    Science.gov (United States)

    Joseph, Dwayne; Quashie, Edwin; Saha, Bidhan

    2011-05-01

    In recent years both the experimental and theoretical studies of electron transfer in ion-atom collisions have progressed considerably. Accurate determination of the cross sections and an understanding of the dynamics of the electron-capture process by multiply charged ions from atomic hydrogen over a wide range of projectile velocities are important in various field ranging from fusion plasma to astrophysics. The soft X-ray emission from comets has been explained by charge transfer of solar wind ions, among them Si3+, with neutrals in the cometary gas vapor. The cross sections are evaluated using the (a) full quantum and (b) semi-classical molecular orbital close coupling (MOCC) methods. Adiabatic potentials and wave functions for relavent singlet and triplet states are generated using the MRDCI structure codes. Details will be presented at the conference. In recent years both the experimental and theoretical studies of electron transfer in ion-atom collisions have progressed considerably. Accurate determination of the cross sections and an understanding of the dynamics of the electron-capture process by multiply charged ions from atomic hydrogen over a wide range of projectile velocities are important in various field ranging from fusion plasma to astrophysics. The soft X-ray emission from comets has been explained by charge transfer of solar wind ions, among them Si3+, with neutrals in the cometary gas vapor. The cross sections are evaluated using the (a) full quantum and (b) semi-classical molecular orbital close coupling (MOCC) methods. Adiabatic potentials and wave functions for relavent singlet and triplet states are generated using the MRDCI structure codes. Details will be presented at the conference. Work supported by NSF CREST project (grant #0630370).

  17. Interplay between switching driven by the tunneling current andatomic force of a bistable four-atom Si quantum dot

    Czech Academy of Sciences Publication Activity Database

    Yamazaki, S.; Maeda, K.; Sugimoto, Y.; Abe, M.; Zobač, Vladimír; Pou, P.; Rodrigo, L.; Mutombo, Pingo; Perez, R.; Jelínek, Pavel; Morita, S.

    2015-01-01

    Roč. 15, č. 7 (2015), 4356-4363 ISSN 1530-6984 R&D Projects: GA ČR(CZ) GA14-02079S Institutional support: RVO:68378271 Keywords : atomic manipulation * atomic switch * Si quantum dot * scanning tunneling microscopy Subject RIV: BM - Solid Matter Physics ; Magnetism Impact factor: 13.779, year: 2015

  18. Atomic mixing effects on high fluence Ge implantation into Si at 40 keV

    International Nuclear Information System (INIS)

    Gras-Marti, A.; Jimenez-Rodriguez, J.J.; Peon-Fernandez, J.; Rodriguez-Vidal, M.; Tognetti, N.P.; Carter, G.; Nobes, M.J.; Armour, D.G.

    1982-01-01

    Ion implanted profiles of 40 keV Ge + into Si at fluences ranging from approx. equal to 10 15 ions/cm 2 up to saturation have been measured using the RBS technique. The profiles compare well with the predictions of an analytical model encompasing sputter erosion plus atomic relocation. (orig.)

  19. Simulating evaporation of surface atoms of thorium-alloyed tungsten in strong electronic fields

    International Nuclear Information System (INIS)

    Bochkanov, P.V.; Mordyuk, V.S.; Ivanov, Yu.I.

    1984-01-01

    By the Monte Carlo method simulating evaporation of surface atoms of thorium - alloyed tungsten in strong electric fields is realized. The strongest evaporation of surface atoms of pure tungsten as compared with thorium-alloyed tungsten in the contentration range of thorium atoms in tungsten matrix (1.5-15%) is shown. The evaporation rate increases with thorium atoms concentration. Determined is in relative units the surface atoms evaporation rate depending on surface temperature and electric field stront

  20. White light emission from fluorescent SiC with porous surface

    DEFF Research Database (Denmark)

    Lu, Weifang; Ou, Yiyu; Fiordaliso, Elisabetta Maria

    2017-01-01

    We report for the frst time a NUV light to white light conversion in a N-B co-doped 6H-SiC (fuorescent SiC) layer containing a hybrid structure. The surface of fuorescent SiC sample contains porous structures fabricated by anodic oxidation method. After passivation by 20nm thick Al2O3, the photol......We report for the frst time a NUV light to white light conversion in a N-B co-doped 6H-SiC (fuorescent SiC) layer containing a hybrid structure. The surface of fuorescent SiC sample contains porous structures fabricated by anodic oxidation method. After passivation by 20nm thick Al2O3...... the bulk fuorescent SiC layer. A high color rendering index of 81.1 has been achieved. Photoluminescence spectra in porous layers fabricated in both commercial n-type and lab grown N-B co-doped 6H-SiC show two emission peaks centered approximately at 460nm and 530nm. Such bluegreen emission phenomenon can......, the photoluminescence intensity from the porous layer was signifcant enhanced by a factor of more than 12. Using a porous layer of moderate thickness (~10µm), high-quality white light emission was realized by combining the independent emissions of blue-green emission from the porous layer and yellow emission from...

  1. Revision of the Li13Si4 structure

    Directory of Open Access Journals (Sweden)

    Thomas F. Fässler

    2013-12-01

    Full Text Available Besides Li17Si4, Li16.42Si4, and Li15Si4, another lithium-rich representative in the Li–Si system is the phase Li13Si4 (tridecalithium tetrasilicide, the structure of which has been determined previously [Frank et al. (1975. Z. Naturforsch. Teil B, 30, 10–13]. A careful analysis of X-ray diffraction patterns of Li13Si4 revealed discrepancies between experimentally observed and calculated Bragg positions. Therefore, we redetermined the structure of Li13Si4 on the basis of single-crystal X-ray diffraction data. Compared to the previous structure report, decisive differences are (i the introduction of a split position for one Li site [occupancy ratio 0.838 (7:0.162 (7], (ii the anisotropic refinement of atomic displacement parameters for all atoms, and (iii a high accuracy of atom positions and unit-cell parameters. The asymmetric unit of Li13Si4 contains two Si and seven Li atoms. Except for one Li atom situated on a site with symmetry 2/m, all other atoms are on mirror planes. The structure consists of isolated Si atoms as well as Si–Si dumbbells surrounded by Li atoms. Each Si atom is either 12- or 13-coordinated. The isolated Si atoms are situated in the ab plane at z = 0 and are strictly separated from the Si–Si dumbbells at z = 0.5.

  2. Fracture surface analysis on nano-SiO{sub 2}/epoxy composite

    Energy Technology Data Exchange (ETDEWEB)

    Zhao Rongguo [Institute of Fundamental Mechanics and Material Engineering, Xiangtan University, Hunan 411105 (China); Key Laboratory of Low Dimensional Materials and Application Technology, Xiangtan University, Ministry of Education, Hunan 411105 (China)], E-mail: zhaorongguo@xtu.edu.cn; Luo Wenbo [Institute of Fundamental Mechanics and Material Engineering, Xiangtan University, Hunan 411105 (China); Key Laboratory of Low Dimensional Materials and Application Technology, Xiangtan University, Ministry of Education, Hunan 411105 (China)

    2008-06-15

    Fracture surface morphologies of nano-SiO{sub 2}/epoxy composite with different weight percentage of SiO{sub 2} are investigated using scanning electron microscopy. Two types of curing agent, dimethylbenzanthracene (DMBA) and methyltetrahydrophthalic anhydride (MeTHPA), are individually used for preparing the composites. It is found that the fracture surface morphology of the composite cured by DMBA shows as radial striations, which suggests a rapid brittle fracture mode, while the fracture surface morphology of the composite cured by MeTHPA shows as regularly spaced 'rib' markings, which indicates a stick-slip motion during the fracture process. Furthermore, the uniaxial tensile behavior under constant loading rate and ambient temperature are investigated. It is shown that the elastic modulus of the composite cured by DMBA firstly increases, and then decreases with the mass fraction of nano-SiO{sub 2} particles, but the elongation of the composite cured by MeTHPA is reversed with increasing fraction of nano-SiO{sub 2} particles. For nano-SiO{sub 2}/epoxy composite cured with MeTHPA that possesses a suitable fraction of nano-SiO{sub 2}, an excellent synthetic mechanical property on elastic modulus and elongation is obtained.

  3. Surface passivation at low temperature of p- and n-type silicon wafers using a double layer a-Si:H/SiNx:H

    International Nuclear Information System (INIS)

    Focsa, A.; Slaoui, A.; Charifi, H.; Stoquert, J.P.; Roques, S.

    2009-01-01

    Surface passivation of bare silicon or emitter region is of great importance towards high efficiency solar cells. Nowadays, this is usually accomplished by depositing an hydrogenated amorphous silicon nitride (a-SiNx:H) layer on n + p structures that serves also as an excellent antireflection layer. On the other hand, surface passivation of p-type silicon is better assured by an hydrogenated amorphous silicon (a-Si:H) layer but suffers from optical properties. In this paper, we reported the surface passivation of p-type and n-type silicon wafers by using an a-Si:H/SiNx:H double layer formed at low temperature (50-400 deg. C) with ECR-PECVD technique. We first investigated the optical properties (refraction index, reflectance, and absorbance) and structural properties by FTIR (bonds Si-H, N-H) of the deposited films. The hydrogen content in the layers was determined by elastic recoil detection analysis (ERDA). The passivation effect was monitored by measuring the minority carrier effective lifetime vs. different parameters such as deposition temperature and amorphous silicon layer thickness. We have found that a 10-15 nm a-Si film with an 86 nm thick SiN layer provides an optimum of the minority carriers' lifetime. It increases from an initial value of about 50-70 μs for a-Si:H to about 760 and 800 μs for a-Si:H/SiNx:H on Cz-pSi and FZ-nSi, respectively, at an injection level 2 x 10 15 cm -3 . The effective surface recombination velocity, S eff , for passivated double layer on n-type FZ Si reached 11 cm/s and for FZ-pSi-14 cm/s, and for Cz-pSi-16-20 cm/s. Effect of hydrogen in the passivation process is discussed.

  4. Replication performance of Si-N-DLC-coated Si micro-molds in micro-hot-embossing

    International Nuclear Information System (INIS)

    Saha, B; Tor, S B; Liu, E; Khun, N W; Hardt, D E; Chun, J H

    2010-01-01

    Micro-hot-embossing is an emerging technology with great potential to form micro- and nano-scale patterns into polymers with high throughput and low cost. Despite its rapid progress, there are still challenges when this technology is employed, as demolding stress is usually very high due to large friction and adhesive forces induced during the process. Surface forces are dominating parameters in micro- and nano-fabrication technologies because of a high surface-to-volume ratio of products. This work attempted to improve the surface properties of Si micro-molds by means of silicon- and nitrogen-doped diamond-like carbon (Si-N-DLC) coatings deposited by dc magnetron cosputtering on the molds. The bonding structure, surface roughness, surface energy, adhesive strength and tribological behavior of the coated samples were characterized with micro Raman spectroscopy, atomic force microscopy (AFM), contact angle measurement, microscratch test and ball-on-disk sliding tribological test, respectively. It was observed that the doping condition had a great effect on the performance of the coatings. The Si-N-DLC coating deposited with 5 × 10 −6 m 3 min −1 N 2 had lowest surface roughness and energy of about 1.2 nm and 38.2 × 10 −3 N m −1 , respectively, while the coatings deposited with 20 × 10 −6 and 25 × 10 −6 m 3 min −1 N 2 showed lowest friction coefficients. The uncoated and Si-N-DLC-coated Si micro-molds were tested in a micro-hot-embossing process for a comparative study of their replication performance and lifetime. The experimental results showed that the performance of the Si micro-molds was improved by the Si-N-DLC coatings, and well-defined micro-features with a height of about 100 µm were fabricated successfully into cyclic olefin copolymer (COC) sheets using the Si-N-DLC-coated micro-molds.

  5. Selective electrochemical gold deposition onto p-Si (1 0 0) surfaces

    Energy Technology Data Exchange (ETDEWEB)

    Santinacci, L; Etcheberry, A [Institut Lavoisier de Versailles (UMR CNRS 8180), University of Versailles-Saint-Quentin, 45 avenue des Etats-Unis, F-78035 Versailles cedex (France); Djenizian, T [Laboratoire Chimie Provence (UMR CNRS 6264), University of Aix-Marseille I-II-III, Centre Saint-Jerome, F-13397 Marseille Cedex 20 (France); Schwaller, P [Laboratory for Mechanics of Materials and Nanostructures, Swiss Federal Laboratory for Materials Testing and Research, Feuerwerkstr. 39, CH-3602 Thun (Switzerland); Suter, T [Laboratory for Corrosion and Materials Integrity, Swiss Federal Laboratory for Materials Testing and Research, Ueberlandstr. 129, CH-8600 Duebendorf (Switzerland); Schmuki, P [Department of Materials Science, LKO-WW4, Friedrich-Alexander-University Erlangen-Nuremberg, Martensstr. 7, D-91058 Erlangen (Germany)], E-mail: lionel.santinacci@uvsq.fr

    2008-09-07

    In this paper, we report selective electrochemical gold deposition onto p-type Si (1 0 0) into nanoscratches produced through a thin oxide layer using an atomic force microscope. A detailed description of the substrate engraving process is presented. The influence of the main scratching parameters such as the normal applied force, the number of scans and the scanning velocity are investigated as well as the mechanical properties of the substrate. Gold deposition is carried out in a KAu(CN){sub 2} + KCN solution by applying cathodic voltages for various durations. The gold deposition process is investigated by cyclic voltammetry. Reactivity enhancement at the scratched locations was studied by comparing the electrochemical behaviour of intact and engraved surfaces using a micro-electrochemical setup. Selective electrochemical gold deposition is achieved: metallic patterns with a sub-500 nm lateral resolution are obtained demonstrating, therefore, the bearing potential of this patterning technique.

  6. Theory of inelastic effects in resonant atom-surface scattering

    International Nuclear Information System (INIS)

    Evans, D.K.

    1983-01-01

    The progress of theoretical and experimental developments in atom-surface scattering is briefly reviewed. The formal theory of atom-surface resonant scattering is reviewed and expanded, with both S and T matrix approaches being explained. The two-potential formalism is shown to be useful for dealing with the problem in question. A detailed theory based on the S-matrix and the two-potential formalism is presented. This theory takes account of interactions between the incident atoms and the surface phonons, with resonant effects being displayed explicitly. The Debye-Waller attenuation is also studied. The case in which the atom-surface potential is divided into an attractive part V/sub a/ and a repulsive part V/sub r/ is considered at length. Several techniques are presented for handling the scattering due to V/sub r/, for the case in which V/sub r/ is taken to be the hard corrugated surface potential. The theory is used to calculate the scattered intensities for the system 4 He/LiF(001). A detailed comparison with experiment is made, with polar scans, azimuthal scans, and time-of-flight measurements being considered. The theory is seen to explain the location and signature of resonant features, and to provide reasonable overall agreement with the experimental results

  7. Surface effects on the photoluminescence of Si quantum dots

    International Nuclear Information System (INIS)

    Wang, Chiang-Jing; Tsai, Meng-Yen; Chi, Cheng Chung; Perng, Tsong-Pyng

    2009-01-01

    Si quantum dots (SiQDs) with sizes ranging from 5 to 20 nm were fabricated by vapor condensation. They showed red photoluminescence (PL) in vacuum with the peak located at around 750 nm. After the specimen was exposed to air, the PL intensity became higher, and continued to increase during the PL test with a cycling of vacuum-air-vacuum. In pure oxygen, the PL intensity exhibited an irreversible decrease, while in nitrogen a smaller amount of reversible increase of PL intensity was observed. Furthermore, the PL intensity exhibited a remarkable enhancement if the SiQDs were treated with water. With HF treatment, the PL peak position showed a blue-shift to 680 nm, and was recovered after subsequent exposure to air. Si-O-H complexes were suggested to be responsible for this red luminescence. The irreversible decrease of PL intensity due to oxygen adsorption was speculated to be caused by the modification of chemical bonds on the surface. In the case of nitrogen adsorption, the PL change was attributed to the surface charging during adsorption.

  8. First-principles calculations of orientation dependence of Si thermal oxidation based on Si emission model

    Science.gov (United States)

    Nagura, Takuya; Kawachi, Shingo; Chokawa, Kenta; Shirakawa, Hiroki; Araidai, Masaaki; Kageshima, Hiroyuki; Endoh, Tetsuo; Shiraishi, Kenji

    2018-04-01

    It is expected that the off-state leakage current of MOSFETs can be reduced by employing vertical body channel MOSFETs (V-MOSFETs). However, in fabricating these devices, the structure of the Si pillars sometimes cannot be maintained during oxidation, since Si atoms sometimes disappear from the Si/oxide interface (Si missing). Thus, in this study, we used first-principles calculations based on the density functional theory, and investigated the Si emission behavior at the various interfaces on the basis of the Si emission model including its atomistic structure and dependence on Si crystal orientation. The results show that the order in which Si atoms are more likely to be emitted during thermal oxidation is (111) > (110) > (310) > (100). Moreover, the emission of Si atoms is enhanced as the compressive strain increases. Therefore, the emission of Si atoms occurs more easily in V-MOSFETs than in planar MOSFETs. To reduce Si missing in V-MOSFETs, oxidation processes that induce less strain, such as wet or pyrogenic oxidation, are necessary.

  9. Occupied and unoccupied electronic states on vicinal Si(111) surfaces decorated with monoatomic gold chains; Besetzte und unbesetzte elektronische Zustaende vizinaler Si(111)-Oberflaechen mit atomaren Goldketten

    Energy Technology Data Exchange (ETDEWEB)

    Biedermann, Kerstin

    2012-07-12

    -dimensional gold chains, spin-polarized silicon atoms at the step edges, which are coupled anti-ferromagnetically. This leads to the occurrence of intrinsic magnetism on a non-magnetic material. The related strongly localized states could be detected experimentally in both the occupied and the unoccupied part of the band structure for the very first time and therefore their existence is confirmed. All these surfaces resemble each other with respect to the n=1 image-potential resonance, which is excited from initial states of even and odd parity. The negative dispersion of this state in the direction along the chains with an effective mass in the range of the mass of the light-hole valence-band maximum is particularly noteworthy. In conjunction with the photon-energy dependence this leads to the assumption that the dispersion of the image-potential resonances is dominated by the initial states from which they are excited. Their lifetimes of 10 fs to 20 fs resemble the lifetime of the image-potential resonance on Si(001). A further analogy regarding the dynamics of the Si(111)-Au surfaces is the occurrence of intensity for UV-pump-IR-probe processes in a range of one to one and a half electron volts below the vacuum energy. Within this range there is no clear evidence for intermediate states, to which lifetimes - decreasing with their distance from the vacuum level - of several tens of femtoseconds could be attributed. For this phenomenon no final explanation could be found. The most interesting part of the complex carrier dynamics on the Si(111)-Au surfaces, however, takes place inside the bulk band gap of silicon. On all these surfaces there is at least one strongly localized surface state with a lifetime between 80 fs and 150 fs inside the bulk band gap. This intermediate state is not only populated by an IR-pump process, but also filled via surface recombination. This additional population takes place on a timescale of several hundreds of femtoseconds or even one picosecond

  10. Influence of laser power on atom probe tomographic analysis of boron distribution in silicon

    Energy Technology Data Exchange (ETDEWEB)

    Tu, Y., E-mail: ytu@imr.tohoku.ac.jp [The Oarai Center, Institute for Materials Research, Tohoku University, Oarai, Ibaraki 311-1313 (Japan); Takamizawa, H.; Han, B.; Shimizu, Y.; Inoue, K.; Toyama, T. [The Oarai Center, Institute for Materials Research, Tohoku University, Oarai, Ibaraki 311-1313 (Japan); Yano, F. [The Oarai Center, Institute for Materials Research, Tohoku University, Oarai, Ibaraki 311-1313 (Japan); Tokyo City University, Setagaya, Tokyo 158-8557 (Japan); Nishida, A. [Renesas Electronics Corporation, Hitachinaka, Ibaraki 312-8504 (Japan); Nagai, Y. [The Oarai Center, Institute for Materials Research, Tohoku University, Oarai, Ibaraki 311-1313 (Japan)

    2017-02-15

    The relationship between the laser power and the three-dimensional distribution of boron (B) in silicon (Si) measured by laser-assisted atom probe tomography (APT) is investigated. The ultraviolet laser employed in this study has a fixed wavelength of 355 nm. The measured distributions are almost uniform and homogeneous when using low laser power, while clear B accumulation at the low-index pole of single-crystalline Si and segregation along the grain boundaries in polycrystalline Si are observed when using high laser power (100 pJ). These effects are thought to be caused by the surface migration of atoms, which is promoted by high laser power. Therefore, for ensuring a high-fidelity APT measurement of the B distribution in Si, high laser power is not recommended. - Highlights: • Influence of laser power on atom probe tomographic analysis of B distribution in Si is investigated. • When using high laser power, inhomogeneous distributions of B in single-crystalline and polycrystalline Si are observed. • Laser promoted migration of B atoms over the specimen is proposed to explain these effects.

  11. Simulation of nucleation and growth of atomic layer deposition phosphorus for doping of advanced FinFETs

    International Nuclear Information System (INIS)

    Seidel, Thomas E.; Goldberg, Alexander; Halls, Mat D.; Current, Michael I.

    2016-01-01

    Simulations for the nucleation and growth of phosphorus films were carried out using density functional theory. The surface was represented by a Si 9 H 12 truncated cluster surface model with 2 × 1-reconstructured (100) Si-OH terminations for the initial reaction sites. Chemistries included phosphorous halides (PF 3 , PCl 3 , and PBr 3 ) and disilane (Si 2 H 6 ). Atomic layer deposition (ALD) reaction sequences were illustrated with three-dimensional molecular models using sequential PF 3 and Si 2 H 6 reactions and featuring SiFH 3 as a byproduct. Exothermic reaction pathways were developed for both nucleation and growth for a Si-OH surface. Energetically favorable reactions for the deposition of four phosphorus atoms including lateral P–P bonding were simulated. This paper suggests energetically favorable thermodynamic reactions for the growth of elemental phosphorus on (100) silicon. Phosphorus layers made by ALD are an option for doping advanced fin field-effect transistors (FinFETs). Phosphorus may be thermally diffused into the silicon or recoil knocked in; simulations of the recoil profile of phosphorus into a FinFET surface are illustrated

  12. Haemocompatibility evaluation of DLC- and SiC-coated surfaces

    Directory of Open Access Journals (Sweden)

    Nurdin N.

    2003-06-01

    Full Text Available Diamond-like carbon (DLC and silicon carbide (SiC coatings are attractive because of low friction coefficient, high hardness, chemical inertness and smooth finish, which they provide to biomedical devices. Silicon wafers (Siwaf and silicone rubber (Sirub plates were coated using plasma-enhanced chemical vapour deposition (PE-CVD techniques. This article describes: 1- the characterization of modified surfaces using attenuated total reflection-Fourier transform infrared spectroscopy (ATR/FTIR and contact angle measurements, 2- the results of three in-vitro haemocompatibility assays. Coated surfaces were compared to uncoated materials and various substrates such as polymethylmethacrylate (PMMA, polyethylene (LDPE, polydimethylsiloxane (PDMS and medical steel (MS. Thrombin generation, blood platelet adhesion and complement convertase activity tests revealed the following classification, from the most to the least heamocompatible surface: Sirub/ DLC-Sirub/ DLC-Siwaf/ LDPE/ PDMS/ SiC-Siwaf/ Siwaf/ PMMA/ MS. The DLC coating surfaces delayed the clotting time, tended to inhibit the platelet and complement convertase activation, whereas SiC-coated silicon wafer can be considered as thrombogenic. This study has taken into account three events of the blood activation: coagulation, platelet activation and inflammation. The response to those events is an indicator of the in vitro haemocompatibility of the different surfaces and it allows us to select biomaterials for further in vivo blood contacting investigations.

  13. Influence of a-Si:H deposition power on surface passivation property and thermal stability of a-Si:H/SiNx:H stacks

    Directory of Open Access Journals (Sweden)

    Hua Li

    2012-06-01

    Full Text Available The effectiveness of hydrogenated amorphous silicon (a-Si:H layers for passivating crystalline silicon surfaces has been well documented in the literature for well over a decade. One limitation of such layers however has arisen from their inability to withstand temperatures much above their deposition temperature without significant degradation. This limitation is of importance particularly with multicrystalline silicon materials where temperatures of at least 400°C are needed for effective hydrogenation of the crystallographic defects such as grain boundaries. To address this limitation, in this work the surface passivation quality and thermal stability of a stack passivating system, combining a layer of intrinsic a-Si:H and a capping layer of silicon nitride (SiNx:H, on p-type crystalline silicon wafers is studied and optimized. In particular the sensitivity of different microwave (MW power levels for underlying a-Si:H layer deposition are examined. Both effective minority carrier lifetime (ζeff measurement and Fourier transform infrared (FTIR spectrometry were employed to study the bonding configurations, passivating quality and thermal stability of the a-Si:H/SiNx:H stacks. It is established that the higher MW power could result in increased as-deposited ζeff and implied Voc (iVoc values, indicating likely improved surface passivation quality, but that this combination degrades more quickly when exposed to prolonged thermal treatments. The more dihydride-rich film composition corresponding to the higher MW power appears to be beneficial for bond restructuring by hydrogen interchanges when exposed to short term annealing, however it also appears more susceptible to providing channels for hydrogen out-effusion which is the likely cause of the poorer thermal stability for prolonged high temperature exposure compared with stacks with underlying a-Si:H deposited with lower MW power.

  14. Metastability of a-SiO{sub x}:H thin films for c-Si surface passivation

    Energy Technology Data Exchange (ETDEWEB)

    Serenelli, L., E-mail: luca.serenelli@enea.it [ENEA Research centre “Casaccia”, via Anguillarese 301, 00123 Rome (Italy); DIET University of Rome “Sapienza”, via Eudossiana 18, 00184 Rome (Italy); Martini, L. [DIET University of Rome “Sapienza”, via Eudossiana 18, 00184 Rome (Italy); Imbimbo, L. [ENEA Research centre “Casaccia”, via Anguillarese 301, 00123 Rome (Italy); DIET University of Rome “Sapienza”, via Eudossiana 18, 00184 Rome (Italy); Asquini, R. [DIET University of Rome “Sapienza”, via Eudossiana 18, 00184 Rome (Italy); Menchini, F.; Izzi, M.; Tucci, M. [ENEA Research centre “Casaccia”, via Anguillarese 301, 00123 Rome (Italy)

    2017-01-15

    Highlights: • a-SiO{sub x}:H film deposition by RF-PECVD is optimized from SiH{sub 4}, CO{sub 2} and H{sub 2} gas mixture. • Metastability of a-SiO{sub x}:H/c-Si passivation is investigated under thermal annealing and UV exposure. • A correlation between passivation metastability and Si−H bonds is found by FTIR spectra. • A metastability model is proposed. - Abstract: The adoption of a-SiO{sub x}:H films obtained by PECVD in heterojunction solar cells is a key to further increase their efficiency, because of its transparency in the UV with respect to the commonly used a-Si:H. At the same time this layer must guarantee high surface passivation of the c-Si to be suitable in high efficiency solar cell manufacturing. On the other hand the application of amorphous materials like a-Si:H and SiN{sub x} on the cell frontside expose them to the mostly energetic part of the sun spectrum, leading to a metastability of their passivation properties. Moreover as for amorphous silicon, thermal annealing procedures are considered as valuable steps to enhance and stabilize thin film properties, when performed at opportune temperature. In this work we explored the reliability of a-SiO{sub x}:H thin film layers surface passivation on c-Si substrates under UV exposition, in combination with thermal annealing steps. Both p- and n-type doped c-Si substrates were considered. To understand the effect of UV light soaking we monitored the minority carriers lifetime and Si−H and Si−O bonding, by FTIR spectra, after different exposure times to light coming from a deuterium lamp, filtered to UV-A region, and focused on the sample to obtain a power density of 50 μW/cm{sup 2}. We found a certain lifetime decrease after UV light soaking in both p- and n-type c-Si passivated wafers according to a a-SiO{sub x}:H/c-Si/a-SiO{sub x}:H structure. The role of a thermal annealing, which usually enhances the as-deposited SiO{sub x} passivation properties, was furthermore considered. In

  15. The influence of the surface atomic structure on surface diffusion

    International Nuclear Information System (INIS)

    Ghaleb, Dominique

    1984-03-01

    This work represents the first quantitative study of the influence of the surface atomic structure on surface diffusion (in the range: 0.2 Tf up 0.5 Tf; Tf: melting temperature of the substrate). The analysis of our results on a microscopic scale shows low formation and migration energies for adatoms; we can describe the diffusion on surfaces with a very simple model. On (110) surfaces at low temperature the diffusion is controlled by the exchange mechanism; at higher temperature direct jumps of adatoms along the channels contribute also to the diffusion process. (author) [fr

  16. A low-temperature synthesis of electrochemical active Pt nanoparticles and thin films by atomic layer deposition on Si(111) and glassy carbon surfaces

    Energy Technology Data Exchange (ETDEWEB)

    Liu, Rui [Joint Center for Artificial Photosynthesis, California Institute of Technology, Pasadena, CA 91125 (United States); Han, Lihao [Joint Center for Artificial Photosynthesis, California Institute of Technology, Pasadena, CA 91125 (United States); Photovoltaic Materials and Devices (PVMD) Laboratory, Delft University of Technology, P.O. Box 5031, GA Delft 2600 (Netherlands); Huang, Zhuangqun; Ferrer, Ivonne M. [Joint Center for Artificial Photosynthesis, California Institute of Technology, Pasadena, CA 91125 (United States); Division of Chemistry and Chemical Engineering, California Institute of Technology, 210 Noyes Laboratory 127-72, Pasadena, CA 91125 (United States); Smets, Arno H.M.; Zeman, Miro [Photovoltaic Materials and Devices (PVMD) Laboratory, Delft University of Technology, P.O. Box 5031, GA Delft 2600 (Netherlands); Brunschwig, Bruce S., E-mail: bsb@caltech.edu [Beckman Institute, California Institute of Technology, Pasadena, CA 91125 (United States); Lewis, Nathan S., E-mail: nslewis@caltech.edu [Joint Center for Artificial Photosynthesis, California Institute of Technology, Pasadena, CA 91125 (United States); Beckman Institute, California Institute of Technology, Pasadena, CA 91125 (United States); Division of Chemistry and Chemical Engineering, California Institute of Technology, 210 Noyes Laboratory 127-72, Pasadena, CA 91125 (United States); Kavli Nanoscience Institute, California Institute of Technology, Pasadena, CA 91125 (United States)

    2015-07-01

    Atomic layer deposition (ALD) was used to deposit nanoparticles and thin films of Pt onto etched p-type Si(111) wafers and glassy carbon discs. Using precursors of MeCpPtMe{sub 3} and ozone and a temperature window of 200–300 °C, the growth rate was 80–110 pm/cycle. X-ray photoelectron spectroscopy (XPS), atomic force microscopy (AFM), and scanning electron microscopy (SEM) were used to analyze the composition, structure, morphology, and thickness of the ALD-grown Pt nanoparticle films. The catalytic activity of the ALD-grown Pt for the hydrogen evolution reaction was shown to be equivalent to that of e-beam evaporated Pt on glassy carbon electrode. - Highlights: • Pure Pt films were grown by atomic layer deposition (ALD) using MeCpPtMe3 and ozone. • ALD-grown Pt thin films had high growth rates of 110 pm/cycle. • ALD-grown Pt films were electrocatalytic for hydrogen evolution from water. • Electrocatalytic activity of the ALD Pt films was equivalent to e-beam deposited Pt. • No carbon species were detected in the ALD-grown Pt films.

  17. A low-temperature synthesis of electrochemical active Pt nanoparticles and thin films by atomic layer deposition on Si(111) and glassy carbon surfaces

    International Nuclear Information System (INIS)

    Liu, Rui; Han, Lihao; Huang, Zhuangqun; Ferrer, Ivonne M.; Smets, Arno H.M.; Zeman, Miro; Brunschwig, Bruce S.; Lewis, Nathan S.

    2015-01-01

    Atomic layer deposition (ALD) was used to deposit nanoparticles and thin films of Pt onto etched p-type Si(111) wafers and glassy carbon discs. Using precursors of MeCpPtMe 3 and ozone and a temperature window of 200–300 °C, the growth rate was 80–110 pm/cycle. X-ray photoelectron spectroscopy (XPS), atomic force microscopy (AFM), and scanning electron microscopy (SEM) were used to analyze the composition, structure, morphology, and thickness of the ALD-grown Pt nanoparticle films. The catalytic activity of the ALD-grown Pt for the hydrogen evolution reaction was shown to be equivalent to that of e-beam evaporated Pt on glassy carbon electrode. - Highlights: • Pure Pt films were grown by atomic layer deposition (ALD) using MeCpPtMe3 and ozone. • ALD-grown Pt thin films had high growth rates of 110 pm/cycle. • ALD-grown Pt films were electrocatalytic for hydrogen evolution from water. • Electrocatalytic activity of the ALD Pt films was equivalent to e-beam deposited Pt. • No carbon species were detected in the ALD-grown Pt films

  18. An important atomic process in the CVD growth of graphene: Sinking and up-floating of carbon atom on copper surface

    International Nuclear Information System (INIS)

    Li, Yingfeng; Li, Meicheng; Gu, TianSheng; Bai, Fan; Yu, Yue; Trevor, Mwenya; Yu, Yangxin

    2013-01-01

    By density functional theory (DFT) calculations, the early stages of the growth of graphene on copper (1 1 1) surface are investigated. At the very first time of graphene growth, the carbon atom sinks into subsurface. As more carbon atoms are adsorbed nearby the site, the sunken carbon atom will spontaneously form a dimer with one of the newly adsorbed carbon atoms, and the formed dimer will up-float on the top of the surface. We emphasize the role of the co-operative relaxation of the co-adsorbed carbon atoms in facilitating the sinking and up-floating of carbon atoms. In detail: when two carbon atoms are co-adsorbed, their co-operative relaxation will result in different carbon–copper interactions for the co-adsorbed carbon atoms. This difference facilitates the sinking of a single carbon atom into the subsurface. As a third carbon atom is co-adsorbed nearby, it draws the sunken carbon atom on top of the surface, forming a dimer. Co-operative relaxations of the surface involving all adsorbed carbon atoms and their copper neighbors facilitate these sinking and up-floating processes. This investigation is helpful for the deeper understanding of graphene synthesis and the choosing of optimal carbon sources or process.

  19. Atomic-layer chemical-vapor-deposition of TiN thin films on Si(100) and Si(111)

    CERN Document Server

    Kim, Y S; Kim, Y D; Kim, W M

    2000-01-01

    An atomic-layer chemical vapor deposition (AL-CVD) system was used to deposit TiN thin films on Si(100) and Si(111) substrates by cyclic exposures of TiCl sub 4 and NH sub 3. The growth rate was measured by using the number of deposition cycles, and the physical properties were compared with those of TiN films grown by using conventional deposition methods. To investigate the growth mechanism, we suggest a growth model for TiN n order to calculate the growth rate per cycle with a Cerius program. The results of the calculation with the model were compared with the experimental values for the TiN film deposited using the AL-CVD method. The stoichiometry of the TiN film was examined by using Auger electron spectroscopy, and the chlorine and the oxygen impurities were examined. The x-ray diffraction and the transmission electron microscopy results for the TiN film exhibited a strong (200) peak and a randomly oriented columnar microstructure. The electrical resistivity was found to decrease with increasing deposit...

  20. Effect of surface passivation by SiN/SiO2 of AlGaN/GaN high-electron mobility transistors on Si substrate by deep level transient spectroscopy method

    International Nuclear Information System (INIS)

    Gassoumi, Malek; Mosbahi, Hana; Zaidi, Mohamed Ali; Gaquiere, Christophe; Maaref, Hassen

    2013-01-01

    Device performance and defects in AlGaN/GaN high-electron mobility transistors have been correlated. The effect of SiN/SiO 2 passivation of the surface of AlGaN/GaN high-electron mobility transistors on Si substrates is reported on DC characteristics. Deep level transient spectroscopy (DLTS) measurements were performed on the device after the passivation by a (50/100 nm) SiN/SiO 2 film. The DLTS spectra from these measurements showed the existence of the same electron trap on the surface of the device