WorldWideScience

Sample records for surface roughness parameter

  1. Surface excitation parameter for rough surfaces

    International Nuclear Information System (INIS)

    Da, Bo; Salma, Khanam; Ji, Hui; Mao, Shifeng; Zhang, Guanghui; Wang, Xiaoping; Ding, Zejun

    2015-01-01

    Graphical abstract: - Highlights: • Instead of providing a general mathematical model of roughness, we directly use a finite element triangle mesh method to build a fully 3D rough surface from the practical sample. • The surface plasmon excitation can be introduced to the realistic sample surface by dielectric response theory and finite element method. • We found that SEP calculated based on ideal plane surface model are still reliable for real sample surface with common roughness. - Abstract: In order to assess quantitatively the importance of surface excitation effect in surface electron spectroscopy measurement, surface excitation parameter (SEP) has been introduced to describe the surface excitation probability as an average number of surface excitations that electrons can undergo when they move through solid surface either in incoming or outgoing directions. Meanwhile, surface roughness is an inevitable issue in experiments particularly when the sample surface is cleaned with ion beam bombardment. Surface roughness alters not only the electron elastic peak intensity but also the surface excitation intensity. However, almost all of the popular theoretical models for determining SEP are based on ideal plane surface approximation. In order to figure out whether this approximation is efficient or not for SEP calculation and the scope of this assumption, we proposed a new way to determine the SEP for a rough surface by a Monte Carlo simulation of electron scattering process near to a realistic rough surface, which is modeled by a finite element analysis method according to AFM image. The elastic peak intensity is calculated for different electron incident and emission angles. Assuming surface excitations obey the Poisson distribution the SEPs corrected for surface roughness are then obtained by analyzing the elastic peak intensity for several materials and for different incident and emission angles. It is found that the surface roughness only plays an

  2. Optimization of surface roughness parameters in dry turning

    OpenAIRE

    R.A. Mahdavinejad; H. Sharifi Bidgoli

    2009-01-01

    Purpose: The precision of machine tools on one hand and the input setup parameters on the other hand, are strongly influenced in main output machining parameters such as stock removal, toll wear ratio and surface roughnes.Design/methodology/approach: There are a lot of input parameters which are effective in the variations of these output parameters. In CNC machines, the optimization of machining process in order to predict surface roughness is very important.Findings: From this point of view...

  3. Estimation of gloss from rough surface parameters

    Science.gov (United States)

    Simonsen, Ingve; Larsen, Åge G.; Andreassen, Erik; Ommundsen, Espen; Nord-Varhaug, Katrin

    2005-12-01

    Gloss is a quantity used in the optical industry to quantify and categorize materials according to how well they scatter light specularly. With the aid of phase perturbation theory, we derive an approximate expression for this quantity for a one-dimensional randomly rough surface. It is demonstrated that gloss depends in an exponential way on two dimensionless quantities that are associated with the surface randomness: the root-mean-square roughness times the perpendicular momentum transfer for the specular direction, and a correlation function dependent factor times a lateral momentum variable associated with the collection angle. Rigorous Monte Carlo simulations are used to access the quality of this approximation, and good agreement is observed over large regions of parameter space.

  4. Influence of Wire Electrical Discharge Machining (WEDM) process parameters on surface roughness

    Science.gov (United States)

    Yeakub Ali, Mohammad; Banu, Asfana; Abu Bakar, Mazilah

    2018-01-01

    In obtaining the best quality of engineering components, the quality of machined parts surface plays an important role. It improves the fatigue strength, wear resistance, and corrosion of workpiece. This paper investigates the effects of wire electrical discharge machining (WEDM) process parameters on surface roughness of stainless steel using distilled water as dielectric fluid and brass wire as tool electrode. The parameters selected are voltage open, wire speed, wire tension, voltage gap, and off time. Empirical model was developed for the estimation of surface roughness. The analysis revealed that off time has a major influence on surface roughness. The optimum machining parameters for minimum surface roughness were found to be at a 10 V open voltage, 2.84 μs off time, 12 m/min wire speed, 6.3 N wire tension, and 54.91 V voltage gap.

  5. Analysis of WEDM Process Parameters on Surface Roughness and Kerf using Taguchi Method

    Directory of Open Access Journals (Sweden)

    Asfana Banu

    2017-12-01

    Full Text Available In obtaining the best quality of engineering parts, the quality of machined surface plays an essential role. The fatigue strength, wear resistance, and corrosion of workpiece are some of the aspects of the qualities that can be improved. This paper investigates the effect of wire electrical discharge machining (WEDM process parameters on surface roughness and kerf on stainless steel using distilled water as dielectric fluid and brass wire as tool electrode. The selected process parameters are voltage open, wire speed, wire tension, voltage gap, and off time. Empirical models using Taguchi method were developed for the estimation of surface roughness and kerf. The analysis revealed that off time has major influence on surface roughness and kerf. The optimum machining parameters for minimum surface roughness and kerf were found to be 10 V open voltage, 2.84 µs off time, 12 m/min wire speed, 6.3 N wire tension, and 54.91 V voltage gap.

  6. Modeling and Parameter Optimization for Surface Roughness and Residual Stress in Dry Turning Process

    Directory of Open Access Journals (Sweden)

    M. H. El-Axir

    2017-10-01

    Full Text Available The influence of some turning variables and tool overhang on surface roughness parameters and residual stress induced due to machining 6061-T6 aluminum alloy is investigated in this paper. Four input parameters (cutting speed, feed rate, depth of cut and tool overhang are considered. Tests are carried out by precision turning operation on a lathe. Design of experiment techniques, i.e. response surface methodology (RSM and Taguchi's technique have been used to accomplish the objective of the experimental study. Surface roughness parameters are measured using a portable surface roughness device while residual stresses are measured employing deflection-etching technique using electrochemical analysis. The results obtained reveal that feed and rotational speed play significant role in determining the average surface roughness. Furthermore, the depth of cut and tool overhang are less significant parameters, whereas tool overhang interacts with feed rate. The best result of surface roughness was obtained using low or medium values of overhang with low speed and /or feed rate. Minimum maximum tensile residual stress can be obtained with a combination of tool overhang of 37 mm with very low depth of cut, low rotational speed and feed rate of 0.188 mm/rev.

  7. Reducing surface roughness by optimising the turning parameters

    Directory of Open Access Journals (Sweden)

    Senthil Kumar, K.

    2013-08-01

    Full Text Available Modern manufacturers worldwide look for the cheapest quality-manufactured machined components to compete in the market. Good surface quality is desired for the proper functioning of the parts produced. The surface quality is influenced by the cutting speed, feed rate, depth of cut, and many other parameters. In this paper, the Taguchi method a powerful tool to design optimisation for quality is used to find the optimal machining parameters for the turning operation. An orthogonal array, the signal-to-noise (S/N ratio, and the analysis of variance (ANOVA are employed to investigate the machining characteristics of super duplex stainless steel bars using uncoated carbide cutting tools. The effect of machining parameters on surface roughness was discovered. Confirmation tests were conducted at optimal conditions to compare the experimental results with the predicted values.

  8. Role of roughness parameters on the tribology of randomly nano-textured silicon surface.

    Science.gov (United States)

    Gualtieri, E; Pugno, N; Rota, A; Spagni, A; Lepore, E; Valeri, S

    2011-10-01

    This experimental work is oriented to give a contribution to the knowledge of the relationship among surface roughness parameters and tribological properties of lubricated surfaces; it is well known that these surface properties are strictly related, but a complete comprehension of such correlations is still far to be reached. For this purpose, a mechanical polishing procedure was optimized in order to induce different, but well controlled, morphologies on Si(100) surfaces. The use of different abrasive papers and slurries enabled the formation of a wide spectrum of topographical irregularities (from the submicro- to the nano-scale) and a broad range of surface profiles. An AFM-based morphological and topographical campaign was carried out to characterize each silicon rough surface through a set of parameters. Samples were subsequently water lubricated and tribologically characterized through ball-on-disk tribometer measurements. Indeed, the wettability of each surface was investigated by measuring the water droplet contact angle, that revealed a hydrophilic character for all the surfaces, even if no clear correlation with roughness emerged. Nevertheless, this observation brings input to the purpose, as it allows to exclude that the differences in surface profile affect lubrication. So it is possible to link the dynamic friction coefficient of rough Si samples exclusively to the opportune set of surface roughness parameters that can exhaustively describe both height amplitude variations (Ra, Rdq) and profile periodicity (Rsk, Rku, Ic) that influence asperity-asperity interactions and hydrodynamic lift in different ways. For this main reason they cannot be treated separately, but with dependent approach through which it was possible to explain even counter intuitive results: the unexpected decreasing of friction coefficient with increasing Ra is justifiable by a more consistent increasing of kurtosis Rku.

  9. Four-parameter model for polarization-resolved rough-surface BRDF.

    Science.gov (United States)

    Renhorn, Ingmar G E; Hallberg, Tomas; Bergström, David; Boreman, Glenn D

    2011-01-17

    A modeling procedure is demonstrated, which allows representation of polarization-resolved BRDF data using only four parameters: the real and imaginary parts of an effective refractive index with an added parameter taking grazing incidence absorption into account and an angular-scattering parameter determined from the BRDF measurement of a chosen angle of incidence, preferably close to normal incidence. These parameters allow accurate predictions of s- and p-polarized BRDF for a painted rough surface, over three decades of variation in BRDF magnitude. To characterize any particular surface of interest, the measurements required to determine these four parameters are the directional hemispherical reflectance (DHR) for s- and p-polarized input radiation and the BRDF at a selected angle of incidence. The DHR data describes the angular and polarization dependence, as well as providing the overall normalization constraint. The resulting model conserves energy and fulfills the reciprocity criteria.

  10. The effect of machining parameters on surface roughness during turning of stainless steel

    International Nuclear Information System (INIS)

    El-Belazi, Khalid M.

    1991-03-01

    Surface roughness is a direct consequence of the cutting tool action, its assessment and control represent an effective way by which the machining process can be studied. The control of surface roughness has become increasingly important during the last thirty years, because the quality of surface is extremely important for machined components that have been designed to stand to static and cyclic loads. This work has two major goals. The first is to develop a new theoretical model based on the assumption that the shape of the cutting tool nose is elliptical to evaluate the surface roughness parameters. The second is to investigate the effect of cutting speed, feed rate, overhang length, tool nose radius (circular sharp), and depth of cut on surface roughness of turned surfaces of austenitic stainless steel grade 12X18H10T. It was found from the theoretical part that the surface roughness values obtained from the elliptical model are much better than those obtained from the other models. It was found from the experimental work that the surface roughness values increase by increasing cutting speed, feed rate, depth of cut, and overhang length, and fluctuates when using cutting tools with various nose radii, during turning of the above mentioned steel by using a brazed carbide cutting tool. (author)

  11. MODELING AND OPTIMIZATION OF CYLINDRICAL GRINDING PARAMETERS FOR MRR AND SURFACE ROUGHNESS

    OpenAIRE

    Kshitij R Patil1, Rupesh J Karande2, Dadaso D. Mohite3, Vishwas S Jadhav4

    2017-01-01

    Cylindrical grinding is one of the important metal cutting processes used extensively in the finishing operations. The grinding process plays an important role in every manufacturing activity. The surface properties can be altered by changing various grinding parameters in order to achieve best surface finish resulting in low surface roughness value and with possible maximum metal removal rate. Four parameters, namely spindle speed, feed rate, depth of cut and hardness of material were identi...

  12. Wind direction dependent vertical wind shear and surface roughness parameter in two different coastal environments

    International Nuclear Information System (INIS)

    Bagavathsingh, A.; Srinivas, C.V.; Baskaran, R.; Venkatraman, B.; Sardar Maran, P.

    2016-01-01

    Atmospheric boundary layer parameters and surface layer parameterizations are important prerequisites for air pollution dispersion analysis. The turbulent flow characteristics vary at coastal and inland sites where the nuclear facilities are situated. Many pollution sources and their dispersion occur within the roughness sub layer in the lower atmosphere. In this study analysis of wind direction dependence vertical wind shear, surface roughness lengths and surface layer wind condition has been carried out at a coastal and the urban coastal site for the different wind flow regime. The differential response of the near coastal and inland urban site SBL parameters (wind shear, roughness length, etc) was examined as a function of wind direction

  13. DETERMINATION OF OPTIMAL BALL BURNISHING PARAMETERS FOR SURFACE ROUGHNESS OF ALUMINUM ALLOY

    Directory of Open Access Journals (Sweden)

    D.B. Patel

    2013-06-01

    Full Text Available Burnishing is a cold-working process, which easily produces a smooth and work-hardened surface through the plastic deformation of surface irregularities. In the present work, the influences of the main burnishing parameters (speed, feed, force, number of tool passes, and ball diameter on the surface roughness are studied. It is found that the burnishing forces and the number of tool passes are the parameters that have the greatest effect on the workpiece surface during the burnishing process.

  14. Effect of laser parameters on surface roughness of laser modified tool steel after thermal cyclic loading

    Science.gov (United States)

    Lau Sheng, Annie; Ismail, Izwan; Nur Aqida, Syarifah

    2018-03-01

    This study presents the effects of laser parameters on the surface roughness of laser modified tool steel after thermal cyclic loading. Pulse mode Nd:YAG laser was used to perform the laser surface modification process on AISI H13 tool steel samples. Samples were then treated with thermal cyclic loading experiments which involved alternate immersion in molten aluminium (800°C) and water (27°C) for 553 cycles. A full factorial design of experiment (DOE) was developed to perform the investigation. Factors for the DOE are the laser parameter namely overlap rate (η), pulse repetition frequency (f PRF) and peak power (Ppeak ) while the response is the surface roughness after thermal cyclic loading. Results indicate the surface roughness of the laser modified surface after thermal cyclic loading is significantly affected by laser parameter settings.

  15. Evaluation of The Effects of Cutting Parameters On The Surface Roughness During The Turning of Hadfield Steel With Response Surface Methodology

    Directory of Open Access Journals (Sweden)

    Ergün EKİCİ

    2014-12-01

    Full Text Available Hadfield steel (X120Mn12 is widely used in the engineering applications due to its excellent wear resistance. In this study, the effects of the cutting parameters on the surface roughness were investigated in relation to the lathe process carried out on Hadfield steel. The experiments were conducted at a cutting speed of 80, 110, 140 m/min, feed rate of 0.2, 0.3, 0.4 mm/rev and depth of cut 0.2, 0.4, 0.6 mm, using coated carbide tools. Regarding the evaluation of the machinability of Hadfield steel, a model was formed utilizing the response surface method (RSM. For the determination of the effects of the cutting parameters on the surface roughness, the central composite design (CCD and variance analysis (ANOVA were used. By means of the model formed as a result of the experimental study, it was demonstrated that among the cutting parameters, the feed rate is the most effective parameter on the surface roughness, with a contribution ratio of 90.28%. It was determined that the surface roughness increases with increasing feed rate. With respect to the effect on the surface roughness, the feed rate was followed by the cutting speed with a contribution ratio of 3.1% and the cutting depth with a contribution ratio of 1.7%.

  16. Experimental Research and Mathematical Modeling of Parameters Effecting on Cutting Force and SurfaceRoughness in CNC Turning Process

    Science.gov (United States)

    Zeqiri, F.; Alkan, M.; Kaya, B.; Toros, S.

    2018-01-01

    In this paper, the effects of cutting parameters on cutting forces and surface roughness based on Taguchi experimental design method are determined. Taguchi L9 orthogonal array is used to investigate the effects of machining parameters. Optimal cutting conditions are determined using the signal/noise (S/N) ratio which is calculated by average surface roughness and cutting force. Using results of analysis, effects of parameters on both average surface roughness and cutting forces are calculated on Minitab 17 using ANOVA method. The material that was investigated is Inconel 625 steel for two cases with heat treatment and without heat treatment. The predicted and calculated values with measurement are very close to each other. Confirmation test of results showed that the Taguchi method was very successful in the optimization of machining parameters for maximum surface roughness and cutting forces in the CNC turning process.

  17. Surface correlations of hydrodynamic drag for transitionally rough engineering surfaces

    Science.gov (United States)

    Thakkar, Manan; Busse, Angela; Sandham, Neil

    2017-02-01

    Rough surfaces are usually characterised by a single equivalent sand-grain roughness height scale that typically needs to be determined from laboratory experiments. Recently, this method has been complemented by a direct numerical simulation approach, whereby representative surfaces can be scanned and the roughness effects computed over a range of Reynolds number. This development raises the prospect over the coming years of having enough data for different types of rough surfaces to be able to relate surface characteristics to roughness effects, such as the roughness function that quantifies the downward displacement of the logarithmic law of the wall. In the present contribution, we use simulation data for 17 irregular surfaces at the same friction Reynolds number, for which they are in the transitionally rough regime. All surfaces are scaled to the same physical roughness height. Mean streamwise velocity profiles show a wide range of roughness function values, while the velocity defect profiles show a good collapse. Profile peaks of the turbulent kinetic energy also vary depending on the surface. We then consider which surface properties are important and how new properties can be incorporated into an empirical model, the accuracy of which can then be tested. Optimised models with several roughness parameters are systematically developed for the roughness function and profile peak turbulent kinetic energy. In determining the roughness function, besides the known parameters of solidity (or frontal area ratio) and skewness, it is shown that the streamwise correlation length and the root-mean-square roughness height are also significant. The peak turbulent kinetic energy is determined by the skewness and root-mean-square roughness height, along with the mean forward-facing surface angle and spanwise effective slope. The results suggest feasibility of relating rough-wall flow properties (throughout the range from hydrodynamically smooth to fully rough) to surface

  18. Application of function-oriented roughness parameters using confocal microscopy

    Directory of Open Access Journals (Sweden)

    K. Klauer

    2018-06-01

    Full Text Available Optical measuring instruments are widely used for the functional characterization of surface topography. However, due to the interaction of the surface with the incident light, effects occur that can influence the measured topography height values and the obtained surface texture parameters. Therefore, we describe a systematic investigation of the influences of optical surface topography measurement on the acquisition of function-oriented roughness parameters. The same evaluation areas of varying cylinder liners which represent a typical application of function-oriented roughness parameters were measured with a confocal microscope and a stylus instrument. Functional surface texture parameters as given in the standards ISO 13565–2, ISO 13565–3 and ISO 25178–2 were evaluated for both measurement methods and compared. The transmission of specific surface features was described and a correlation analysis for the surface topographies obtained with the different measurement methods and their resulting functional roughness parameters was carried out. Keywords: Functional surface characterization, Optical metrology, Topography measurement, Roughness

  19. Effects of cutting parameters and machining environments on surface roughness in hard turning using design of experiment

    Science.gov (United States)

    Mia, Mozammel; Bashir, Mahmood Al; Dhar, Nikhil Ranjan

    2016-07-01

    Hard turning is gradually replacing the time consuming conventional turning process, which is typically followed by grinding, by producing surface quality compatible to grinding. The hard turned surface roughness depends on the cutting parameters, machining environments and tool insert configurations. In this article the variation of the surface roughness of the produced surfaces with the changes in tool insert configuration, use of coolant and different cutting parameters (cutting speed, feed rate) has been investigated. This investigation was performed in machining AISI 1060 steel, hardened to 56 HRC by heat treatment, using coated carbide inserts under two different machining environments. The depth of cut, fluid pressure and material hardness were kept constant. The Design of Experiment (DOE) was performed to determine the number and combination sets of different cutting parameters. A full factorial analysis has been performed to examine the effect of main factors as well as interaction effect of factors on surface roughness. A statistical analysis of variance (ANOVA) was employed to determine the combined effect of cutting parameters, environment and tool configuration. The result of this analysis reveals that environment has the most significant impact on surface roughness followed by feed rate and tool configuration respectively.

  20. Rock discontinuity surface roughness variation with scale

    Science.gov (United States)

    Bitenc, Maja; Kieffer, D. Scott; Khoshelham, Kourosh

    2017-04-01

    ABSTRACT: Rock discontinuity surface roughness refers to local departures of the discontinuity surface from planarity and is an important factor influencing the shear resistance. In practice, the Joint Roughness Coefficient (JRC) roughness parameter is commonly relied upon and input to a shear strength criterion such as developed by Barton and Choubey [1977]. The estimation of roughness by JRC is hindered firstly by the subjective nature of visually comparing the joint profile to the ten standard profiles. Secondly, when correlating the standard JRC values and other objective measures of roughness, the roughness idealization is limited to a 2D profile of 10 cm length. With the advance of measuring technologies that provide accurate and high resolution 3D data of surface topography on different scales, new 3D roughness parameters have been developed. A desirable parameter is one that describes rock surface geometry as well as the direction and scale dependency of roughness. In this research a 3D roughness parameter developed by Grasselli [2001] and adapted by Tatone and Grasselli [2009] is adopted. It characterizes surface topography as the cumulative distribution of local apparent inclination of asperities with respect to the shear strength (analysis) direction. Thus, the 3D roughness parameter describes the roughness amplitude and anisotropy (direction dependency), but does not capture the scale properties. In different studies the roughness scale-dependency has been attributed to data resolution or size of the surface joint (see a summary of researches in [Tatone and Grasselli, 2012]). Clearly, the lower resolution results in lower roughness. On the other hand, have the investigations of surface size effect produced conflicting results. While some studies have shown a decrease in roughness with increasing discontinuity size (negative scale effect), others have shown the existence of positive scale effects, or both positive and negative scale effects. We

  1. Statistical analysis of surface roughness in turning based on cutting parameters and tool vibrations with response surface methodology (RSM)

    Science.gov (United States)

    Touati, Soufiane; Mekhilef, Slimane

    2018-03-01

    In this paper, we present an experimental study to determine the effect of the cutting conditions and tool vibration on the surface roughness in finish turning of 32CrMoV12-28 steel, using carbide cutting tool YT15. For these purposes, a linear quadratic model in interaction of connecting surface roughness (Ra, Rz) with different combinations of cutting parameters such as cutting speed, feed rate, depth of cut and tool vibration, in radial and in tangential cutting force directions (Vy) and (Vz) is elaborated. In order to express the degree of interaction of cutting parameters and tool vibration, a multiple linear regression and response surface methodology are adopted. The application of this statistical technique for predicting the surface roughness shows that the feed rate is the most dominant factor followed by the cutting speed. However, the depth of the cut and tool vibrations have secondary effect. The presented models have some interest since they are used in the cutting process optimization.

  2. Investigation on Surface Roughness in Cylindrical Grinding

    Science.gov (United States)

    Rudrapati, Ramesh; Bandyopadhyay, Asish; Pal, Pradip Kumar

    2011-01-01

    Cylindrical grinding is a complex machining process. And surface roughness is often a key factor in any machining process while considering the machine tool or machining performance. Further, surface roughness is one of the measures of the technological quality of the product and is a factor that greatly influences cost and quality. The present work is related to some aspects of surface finish in the context of traverse-cut cylindrical grinding. The parameters considered have been: infeed, longitudinal feed and work speed. Taguchi quality design is used to design the experiments and to identify the significantly import parameter(s) affecting the surface roughness. By utilization of Response Surface Methodology (RSM), second order differential equation has been developed and attempts have also been made for optimization of the process in the context of surface roughness by using C- programming.

  3. Effects of Spatial Sampling Interval on Roughness Parameters and Microwave Backscatter over Agricultural Soil Surfaces

    Directory of Open Access Journals (Sweden)

    Matías Ernesto Barber

    2016-06-01

    Full Text Available The spatial sampling interval, as related to the ability to digitize a soil profile with a certain number of features per unit length, depends on the profiling technique itself. From a variety of profiling techniques, roughness parameters are estimated at different sampling intervals. Since soil profiles have continuous spectral components, it is clear that roughness parameters are influenced by the sampling interval of the measurement device employed. In this work, we contributed to answer which sampling interval the profiles needed to be measured at to accurately account for the microwave response of agricultural surfaces. For this purpose, a 2-D laser profiler was built and used to measure surface soil roughness at field scale over agricultural sites in Argentina. Sampling intervals ranged from large (50 mm to small ones (1 mm, with several intermediate values. Large- and intermediate-sampling-interval profiles were synthetically derived from nominal, 1 mm ones. With these data, the effect of sampling-interval-dependent roughness parameters on backscatter response was assessed using the theoretical backscatter model IEM2M. Simulations demonstrated that variations of roughness parameters depended on the working wavelength and was less important at L-band than at C- or X-band. In any case, an underestimation of the backscattering coefficient of about 1-4 dB was observed at larger sampling intervals. As a general rule a sampling interval of 15 mm can be recommended for L-band and 5 mm for C-band.

  4. Research on the Effects of Process Parameters on Surface Roughness in Wet-Activated Silicon Direct Bonding Base on Orthogonal Experiments

    Directory of Open Access Journals (Sweden)

    Lei NIE

    2015-11-01

    Full Text Available Surface roughness is a very important index in silicon direct bonding and it is affected by processing parameters in the wet-activated process. These parameters include the concentration of activation solution, holding time and treatment temperature. The effects of these parameters were investigated by means of orthogonal experiments. In order to analyze the wafer roughness more accurately, the bear ratio of the surface was used as the evaluation index. From the results of the experiments, it could be concluded that the concentration of the activation solution affected the roughness directly and the higher the concentration, the lower the roughness. Holding time did not affect the roughness as acutely as that of the concentration, but a reduced activation time decreased the roughness perceptibly. It was also discovered that the treatment temperature had a weak correlation with the surface roughness. Based on these conclusions, the parameters of concentration, temperature and holding time were optimized respectively as NH4OH:H2O2=1:1 (without water, 70 °C and 5 min. The results of bonding experiments proved the validity of the conclusions of orthogonal experiments.DOI: http://dx.doi.org/10.5755/j01.ms.21.4.9711

  5. Optimal Machining Parameters for Achieving the Desired Surface Roughness in Turning of Steel

    Directory of Open Access Journals (Sweden)

    LB Abhang

    2012-06-01

    Full Text Available Due to the widespread use of highly automated machine tools in the metal cutting industry, manufacturing requires highly reliable models and methods for the prediction of output performance in the machining process. The prediction of optimal manufacturing conditions for good surface finish and dimensional accuracy plays a very important role in process planning. In the steel turning process the tool geometry and cutting conditions determine the time and cost of production which ultimately affect the quality of the final product. In the present work, experimental investigations have been conducted to determine the effect of the tool geometry (effective tool nose radius and metal cutting conditions (cutting speed, feed rate and depth of cut on surface finish during the turning of EN-31 steel. First and second order mathematical models are developed in terms of machining parameters by using the response surface methodology on the basis of the experimental results. The surface roughness prediction model has been optimized to obtain the surface roughness values by using LINGO solver programs. LINGO is a mathematical modeling language which is used in linear and nonlinear optimization to formulate large problems concisely, solve them, and analyze the solution in engineering sciences, operation research etc. The LINGO solver program is global optimization software. It gives minimum values of surface roughness and their respective optimal conditions.

  6. Modeling Surface Roughness to Estimate Surface Moisture Using Radarsat-2 Quad Polarimetric SAR Data

    Science.gov (United States)

    Nurtyawan, R.; Saepuloh, A.; Budiharto, A.; Wikantika, K.

    2016-08-01

    Microwave backscattering from the earth's surface depends on several parameters such as surface roughness and dielectric constant of surface materials. The two parameters related to water content and porosity are crucial for estimating soil moisture. The soil moisture is an important parameter for ecological study and also a factor to maintain energy balance of land surface and atmosphere. Direct roughness measurements to a large area require extra time and cost. Heterogeneity roughness scale for some applications such as hydrology, climate, and ecology is a problem which could lead to inaccuracies of modeling. In this study, we modeled surface roughness using Radasat-2 quad Polarimetric Synthetic Aperture Radar (PolSAR) data. The statistical approaches to field roughness measurements were used to generate an appropriate roughness model. This modeling uses a physical SAR approach to predicts radar backscattering coefficient in the parameter of radar configuration (wavelength, polarization, and incidence angle) and soil parameters (surface roughness and dielectric constant). Surface roughness value is calculated using a modified Campbell and Shepard model in 1996. The modification was applied by incorporating the backscattering coefficient (σ°) of quad polarization HH, HV and VV. To obtain empirical surface roughness model from SAR backscattering intensity, we used forty-five sample points from field roughness measurements. We selected paddy field in Indramayu district, West Java, Indonesia as the study area. This area was selected due to intensive decreasing of rice productivity in the Northern Coast region of West Java. Third degree polynomial is the most suitable data fitting with coefficient of determination R2 and RMSE are about 0.82 and 1.18 cm, respectively. Therefore, this model is used as basis to generate the map of surface roughness.

  7. Influence of roughness parameters on coefficient of friction under ...

    Indian Academy of Sciences (India)

    Surface texture and thus roughness parameters influence coefficient of friction during sliding. In the present ... It was observed that the surface roughness parameter, namely, R a , for different textured surfaces was comparable to one another although they were prepared by different machining techniques. It was also ...

  8. Roughness analysis of graphite surfaces of casting elements

    Directory of Open Access Journals (Sweden)

    M. Wieczorowski

    2010-01-01

    Full Text Available In the paper profilometric measurements of graphite casting elements were described. Basic topics necessary to assess roughness of their surfaces and influence of asperities on various properties related to manufacturing and use were discussed. Stylus profilometer technique of surface irregularities measurements including its limits resulting from pickup geometry and its contact with measured object were ana-lyzed. Working principle of tactile profilometer and phenomena taking place during movement of a probe on a measured surface were shown. One of the important aspects is a flight phenomenon, which means movement of a pickup without contact with a surface during inspection resulting from too high scanning speed. results of comparison research for graphite elements of new and used mould and pin composing a set were presented. Using some surface roughness, waviness and primary profile parameters (arithmetical mean of roughness profile heights Ra, biggest roughness profile height Rz, maximum primary profile height Pt as well as maximum waviness profile height Wt a possibility of using surface asperities parameters as a measure of wear of chill graphite elements was proved. The most often applied parameter is Ra, but with a help of parameters from W and P family it was shown, that big changes occur not only for roughness but also for other components of surface irregularities.

  9. Prediction of surface roughness in turning of Ti-6Al-4V using cutting parameters, forces and tool vibration

    Science.gov (United States)

    Sahu, Neelesh Kumar; Andhare, Atul B.; Andhale, Sandip; Raju Abraham, Roja

    2018-04-01

    Present work deals with prediction of surface roughness using cutting parameters along with in-process measured cutting force and tool vibration (acceleration) during turning of Ti-6Al-4V with cubic boron nitride (CBN) inserts. Full factorial design is used for design of experiments using cutting speed, feed rate and depth of cut as design variables. Prediction model for surface roughness is developed using response surface methodology with cutting speed, feed rate, depth of cut, resultant cutting force and acceleration as control variables. Analysis of variance (ANOVA) is performed to find out significant terms in the model. Insignificant terms are removed after performing statistical test using backward elimination approach. Effect of each control variables on surface roughness is also studied. Correlation coefficient (R2 pred) of 99.4% shows that model correctly explains the experiment results and it behaves well even when adjustment is made in factors or new factors are added or eliminated. Validation of model is done with five fresh experiments and measured forces and acceleration values. Average absolute error between RSM model and experimental measured surface roughness is found to be 10.2%. Additionally, an artificial neural network model is also developed for prediction of surface roughness. The prediction results of modified regression model are compared with ANN. It is found that RSM model and ANN (average absolute error 7.5%) are predicting roughness with more than 90% accuracy. From the results obtained it is found that including cutting force and vibration for prediction of surface roughness gives better prediction than considering only cutting parameters. Also, ANN gives better prediction over RSM models.

  10. Effects of Processing Parameters on Surface Roughness of Additive Manufactured Ti-6Al-4V via Electron Beam Melting

    Science.gov (United States)

    Sin, Wai Jack; Nai, Mui Ling Sharon; Wei, Jun

    2017-01-01

    As one of the powder bed fusion additive manufacturing technologies, electron beam melting (EBM) is gaining more and more attention due to its near-net-shape production capacity with low residual stress and good mechanical properties. These characteristics also allow EBM built parts to be used as produced without post-processing. However, the as-built rough surface introduces a detrimental influence on the mechanical properties of metallic alloys. Thereafter, understanding the effects of processing parameters on the part’s surface roughness, in turn, becomes critical. This paper has focused on varying the processing parameters of two types of contouring scanning strategies namely, multispot and non-multispot, in EBM. The results suggest that the beam current and speed function are the most significant processing parameters for non-multispot contouring scanning strategy. While for multispot contouring scanning strategy, the number of spots, spot time, and spot overlap have greater effects than focus offset and beam current. The improved surface roughness has been obtained in both contouring scanning strategies. Furthermore, non-multispot contouring scanning strategy gives a lower surface roughness value and poorer geometrical accuracy than the multispot counterpart under the optimized conditions. These findings could be used as a guideline for selecting the contouring type used for specific industrial parts that are built using EBM. PMID:28937638

  11. Optimization of Surface Roughness Parameters of Al-6351 Alloy in EDC Process: A Taguchi Coupled Fuzzy Logic Approach

    Science.gov (United States)

    Kar, Siddhartha; Chakraborty, Sujoy; Dey, Vidyut; Ghosh, Subrata Kumar

    2017-10-01

    This paper investigates the application of Taguchi method with fuzzy logic for multi objective optimization of roughness parameters in electro discharge coating process of Al-6351 alloy with powder metallurgical compacted SiC/Cu tool. A Taguchi L16 orthogonal array was employed to investigate the roughness parameters by varying tool parameters like composition and compaction load and electro discharge machining parameters like pulse-on time and peak current. Crucial roughness parameters like Centre line average roughness, Average maximum height of the profile and Mean spacing of local peaks of the profile were measured on the coated specimen. The signal to noise ratios were fuzzified to optimize the roughness parameters through a single comprehensive output measure (COM). Best COM obtained with lower values of compaction load, pulse-on time and current and 30:70 (SiC:Cu) composition of tool. Analysis of variance is carried out and a significant COM model is observed with peak current yielding highest contribution followed by pulse-on time, compaction load and composition. The deposited layer is characterised by X-Ray Diffraction analysis which confirmed the presence of tool materials on the work piece surface.

  12. Armor Plate Surface Roughness Measurements

    National Research Council Canada - National Science Library

    Stanton, Brian; Coburn, William; Pizzillo, Thomas J

    2005-01-01

    ...., surface texture and coatings) that could become important at high frequency. We measure waviness and roughness of various plates to know the parameter range for smooth aluminum and rolled homogenous armor (RHA...

  13. Surface roughness characterization of cast components using 3D optical methods

    DEFF Research Database (Denmark)

    Nwaogu, Ugochukwu Chibuzoh; Tiedje, Niels Skat; Hansen, Hans Nørgaard

    scanning probe image processor (SPIP) software and the results of the surface roughness parameters obtained were subjected to statistical analyses. The bearing area ratio was introduced and applied to the surface roughness analysis. From the results, the surface quality of the standard comparators...... is successfully characterised and it was established that the areal parameters are more informative for sand cast components. The roughness values of the standard visual comparators can serve as a control for the cast components and for order specifications in the foundry industry. A series of iron castings were...... made in green sand moulds and the surface roughness parameter (Sa) values were compared with those of the standards. Sa parameter suffices for the evaluation of casting surface texture. The S series comparators showed a better description of the surface of castings after shot blasting than the A series...

  14. Comparison of optical methods for surface roughness characterization

    DEFF Research Database (Denmark)

    Feidenhans'l, Nikolaj Agentoft; Hansen, Poul Erik; Pilny, Lukas

    2015-01-01

    We report a study of the correlation between three optical methods for characterizing surface roughness: a laboratory scatterometer measuring the bi-directional reflection distribution function (BRDF instrument), a simple commercial scatterometer (rBRDF instrument), and a confocal optical profiler....... For each instrument, the effective range of spatial surface wavelengths is determined, and the common bandwidth used when comparing the evaluated roughness parameters. The compared roughness parameters are: the root-mean-square (RMS) profile deviation (Rq), the RMS profile slope (Rdq), and the variance...... of the scattering angle distribution (Aq). The twenty-two investigated samples were manufactured with several methods in order to obtain a suitable diversity of roughness patterns.Our study shows a one-to-one correlation of both the Rq and the Rdq roughness values when obtained with the BRDF and the confocal...

  15. The effect of drilling parameters for surface roughness in drilling of AA7075 alloy

    Directory of Open Access Journals (Sweden)

    Yaşar Nafiz

    2017-01-01

    Full Text Available AA7075 aluminum alloy has been very popular significantly interest in the production of structural components in automotive and aviation applications due to its high strength, low density, good plasticity and better machinability comparable to many metals. Particularly, final products must have uniformly high quality to ensure essential safety standards in the aircraft industry. The optimization of hole quality which can variable according to tool geometry and drilling parameters is important in spite of high machinability rate of AA7075 alloy. In this study, the effects of drilling parameters on average surface roughness (Ra has been investigated in drilling of AA7075 with tungsten carbide drills. Machining experiments were performed with three different drill point angles and three different levels of cutting parameters (feed rate, cutting speed. The effects of drilling parameters on thrust force has been determined with ANOVA in %95 confidence level. Feed rate was determined as the most important factor on Ra according to ANOVA results. Moreover, it was shown that increasing feed rate leads to increase of Ra while increasing drill point angle leads to decrease of Ra. The optimum surface roughness was obtained with point angle of 130°, cutting speed of 40 m/min and feed rate of 0.1 mm/rev, thereby the validity of optimization was confirmed with Taguchi method.

  16. Effect of turning parameters on surface roughness of A356/5% SiC composite produced by electromagnetic stir casting

    Energy Technology Data Exchange (ETDEWEB)

    Dwivedi, S. P.; Kumar, Sudhir; Kumar, Ajay [Noida Institute of Engineering Technology, U.P (India)

    2012-12-15

    In the present investigation, A356 alloy 5 wt% SiC composite is fabricated by electromagnetic stir casting process. An attempt has been made to investigate the effect of CNC lathe process parameters like cutting speed, depth of cut, and feed rate on surface roughness during machining of A356 alloy 5 wt% SiC particulate metal-matrix composites in dry condition. Response surface methodology (Box Behnken Method) is chosen to design the experiments. The results reveal that cutting speed increases surface roughness decreases, whereas depth of cut and feed increase surface roughness increase. Optimum values of speed (190 m/min), feed (0.14 mm/rev) and depth of cut (0.20 mm) during turning of A356 alloy 5 wt% SiC composites to minimize the surface roughness (3.15>m) have been find out. The mechanical properties of A356 alloy 5 wt% SiC were also analyzed.

  17. Wavelet theory and belt finishing process, influence of wavelet shape on the surface roughness parameter values

    International Nuclear Information System (INIS)

    Khawaja, Z; Mazeran, P-E; Bigerelle, M; Guillemot, G; Mansori, M El

    2011-01-01

    This article presents a multi-scale theory based on wavelet decomposition to characterize the evolution of roughness in relation with a finishing process or an observed surface property. To verify this approach in production conditions, analyses were developed for the finishing process of the hardened steel by abrasive belts. These conditions are described by seven parameters considered in the Tagushi experimental design. The main objective of this work is to identify the most relevant roughness parameter and characteristic length allowing to assess the influence of finishing process, and to test the relevance of the measurement scale. Results show that wavelet approach allows finding this scale.

  18. Surface Roughness of a 3D-Printed Ni-Cr Alloy Produced by Selective Laser Melting: Effect of Process Parameters.

    Science.gov (United States)

    Hong, Min-Ho; Son, Jun Sik; Kwon, Tae-Yub

    2018-03-01

    The selective laser melting (SLM) process parameters, which directly determine the melting behavior of the metallic powders, greatly affect the nanostructure and surface roughness of the resulting 3D object. This study investigated the effect of various laser process parameters (laser power, scan rate, and scan line spacing) on the surface roughness of a nickel-chromium (Ni-Cr) alloy that was three-dimensionally (3D) constructed using SLM. Single-line formation tests were used to determine the optimal laser power of 200 W and scan rate of 98.8 mm/s, which resulted in beads with an optimal profile. In the subsequent multi-layer formation tests, the 3D object with the smoothest surface (Ra = 1.3 μm) was fabricated at a scan line spacing of 60 μm (overlap ratio = 73%). Narrow scan line spacing (and thus large overlap ratios) was preferred over wide scan line spacing to reduce the surface roughness of the 3D body. The findings of this study suggest that the laser power, scan rate, and scan line spacing are the key factors that control the surface quality of Ni-Cr alloys produced by SLM.

  19. The role of the roughness spectral breadth in elastic contact of rough surfaces

    Science.gov (United States)

    Yastrebov, Vladislav A.; Anciaux, Guillaume; Molinari, Jean-François

    2017-10-01

    We study frictionless and non-adhesive contact between elastic half-spaces with self-affine surfaces. Using a recently suggested corrective technique, we ensure an unprecedented accuracy in computation of the true contact area evolution under increasing pressure. This accuracy enables us to draw conclusions on the role of the surface's spectrum breadth (Nayak parameter) in the contact area evolution. We show that for a given normalized pressure, the contact area decreases logarithmically with the Nayak parameter. By linking the Nayak parameter with the Hurst exponent (or fractal dimension), we show the effect of the latter on the true contact area. This effect, undetectable for surfaces with poor spectral content, is quite strong for surfaces with rich spectra. Numerical results are compared with analytical models and other available numerical results. A phenomenological equation for the contact area growth is suggested with coefficients depending on the Nayak parameter. Using this equation, the pressure-dependent friction coefficient is deduced based on the adhesive theory of friction. Some observations on Persson's model of rough contact, whose prediction does not depend on Nayak parameter, are reported. Overall, the paper provides a unifying picture of rough elastic contact and clarifies discrepancies between preceding results.

  20. Fuzzy Linguistic Optimization on Surface Roughness for CNC Turning

    Directory of Open Access Journals (Sweden)

    Tian-Syung Lan

    2010-01-01

    Full Text Available Surface roughness is often considered the main purpose in contemporary computer numerical controlled (CNC machining industry. Most existing optimization researches for CNC finish turning were either accomplished within certain manufacturing circumstances or achieved through numerous equipment operations. Therefore, a general deduction optimization scheme is deemed to be necessary for the industry. In this paper, the cutting depth, feed rate, speed, and tool nose runoff with low, medium, and high level are considered to optimize the surface roughness for finish turning based on L9(34 orthogonal array. Additionally, nine fuzzy control rules using triangle membership function with respective to five linguistic grades for surface roughness are constructed. Considering four input and twenty output intervals, the defuzzification using center of gravity is then completed. Thus, the optimum general fuzzy linguistic parameters can then be received. The confirmation experiment result showed that the surface roughness from the fuzzy linguistic optimization parameters is significantly advanced compared to that from the benchmark. This paper certainly proposes a general optimization scheme using orthogonal array fuzzy linguistic approach to the surface roughness for CNC turning with profound insight.

  1. ANFIS Modeling of the Surface Roughness in Grinding Process

    OpenAIRE

    H. Baseri; G. Alinejad

    2011-01-01

    The objective of this study is to design an adaptive neuro-fuzzy inference system (ANFIS) for estimation of surface roughness in grinding process. The Used data have been generated from experimental observations when the wheel has been dressed using a rotary diamond disc dresser. The input parameters of model are dressing speed ratio, dressing depth and dresser cross-feed rate and output parameter is surface roughness. In the experimental procedure the grinding conditions...

  2. Investigation on Surface Roughness of Inconel 718 in Photochemical Machining

    Directory of Open Access Journals (Sweden)

    Nitin D. Misal

    2017-01-01

    Full Text Available The present work is focused on estimating the optimal machining parameters required for photochemical machining (PCM of an Inconel 718 and effects of these parameters on surface topology. An experimental analysis was carried out to identify optimal values of parameters using ferric chloride (FeCl3 as an etchant. The parameters considered in this analysis are concentration of etchant, etching time, and etchant temperature. The experimental analysis shows that etching performance as well as surface topology improved by appropriate selection of etching process parameters. Temperature of the etchant found to be dominant parameter in the PCM of Inconel 718 for surface roughness. At optimal etching conditions, surface roughness was found to be 0.201 μm.

  3. Calibration of surface roughness standards

    DEFF Research Database (Denmark)

    Thalmann, R.; Nicolet, A.; Meli, F.

    2016-01-01

    organisations. Five surface texture standards of different type were circulated and on each of the standards several roughness parameters according to the standard ISO 4287 had to be determined. 32 out of 395 individual results were not consistent with the reference value. After some corrective actions...

  4. Qualitative internal surface roughness classification using acoustic emission

    International Nuclear Information System (INIS)

    Mohd Hafizi Zohari; Mohd Hanif Saad

    2009-04-01

    This paper describes a novel new nondestructive method of qualitative internal surface roughness classification for pipes utilizing Acoustic Emission (AE) signal. Two different flowrate are introduced in a pipe obstructed using normally available components (e.g.: valve). The AE signal at suitable location from the obstruction are obtained and the peak amplitudes, RMS amplitude and energy of the AE signal are obtained. A dimensionless number, the Bangi Number, AB, is then calculated as a ratio of the AE parameters (peak amplitude, RMS amplitude or energy) in low flowrate measurement compared to the AE parameters in high flowrate measurement. It was observed that the Bangi Number, AB obtained can then be used to successfully discriminate between rough and smooth internal surface roughness. (author)

  5. Influence of Cutting Parameters on the Surface Roughness and Hole Diameter of Drilling Making Parts of Alluminium Alloy

    Directory of Open Access Journals (Sweden)

    Andrius Stasiūnas

    2013-02-01

    Full Text Available The article researches the drilling process of an aluminium alloy. The paper is aimed at analyzing the influence of cutting speed, feed and hole depth considering hole diameter and hole surface roughness of aluminum alloy 6082 in the dry drilling process and at making empirical formulas for cutting parameters. The article also describes experimental techniques and equipment, tools and measuring devices. Experimental studies have been carried out using different cutting parameters. The obtained results have been analyzed using computer software. According to the existing techniques for measuring, surface roughness and hole diameters have been measured, empirical models have been created and the results of the conducted experiments have been inspected. The findings and recommendations are presented at the end of the work.Artcile in Lithuanian

  6. Soil surface roughness decay in contrasting climates, tillage types and management systems

    Science.gov (United States)

    Vidal Vázquez, Eva; Bertol, Ildegardis; Tondello Barbosa, Fabricio; Paz-Ferreiro, Jorge

    2014-05-01

    Soil surface roughness describes the variations in the elevation of the soil surface. Such variations define the soil surface microrelief, which is characterized by a high spatial variability. Soil surface roughness is a property affecting many processes such as depression storage, infiltration, sediment generation, storage and transport and runoff routing. Therefore the soil surface microrelief is a key element in hydrology and soil erosion processes at different spatial scales as for example at the plot, field or catchment scale. In agricultural land soil surface roughness is mainly created by tillage operations, which promote to different extent the formation of microdepressions and microelevations and increase infiltration and temporal retention of water. The decay of soil surface roughness has been demonstrated to be mainly driven by rain height and rain intensity, and to depend also on runoff, aggregate stability, soil reface porosity and soil surface density. Soil roughness formation and decay may be also influenced by antecedent soil moisture (either before tillage or rain), quantity and type of plant residues over the soil surface and soil composition. Characterization of the rate and intensity of soil surface roughness decay provides valuable information about the degradation of the upper most soil surface layer before soil erosion has been initiated or at the very beginning of soil runoff and erosion processes. We analyzed the rate of decay of soil surface roughness from several experiments conducted in two regions under temperate and subtropical climate and with contrasting land use systems. The data sets studied were obtained both under natural and simulated rainfall for various soil tillage and management types. Soil surface roughness decay was characterized bay several parameters, including classic and single parameters such as the random roughness or the tortuosity and parameters based on advanced geostatistical methods or on the fractal theory. Our

  7. Bidirectional reflectance distribution function modeling of one-dimensional rough surface in the microwave band

    International Nuclear Information System (INIS)

    Guo Li-Xin; Gou Xue-Yin; Zhang Lian-Bo

    2014-01-01

    In this study, the bidirectional reflectance distribution function (BRDF) of a one-dimensional conducting rough surface and a dielectric rough surface are calculated with different frequencies and roughness values in the microwave band by using the method of moments, and the relationship between the bistatic scattering coefficient and the BRDF of a rough surface is expressed. From the theory of the parameters of the rough surface BRDF, the parameters of the BRDF are obtained using a genetic algorithm. The BRDF of a rough surface is calculated using the obtained parameter values. Further, the fitting values and theoretical calculations of the BRDF are compared, and the optimization results are in agreement with the theoretical calculation results. Finally, a reference for BRDF modeling of a Gaussian rough surface in the microwave band is provided by the proposed method. (electromagnetism, optics, acoustics, heat transfer, classical mechanics, and fluid dynamics)

  8. Procedure to approximately estimate the uncertainty of material ratio parameters due to inhomogeneity of surface roughness

    International Nuclear Information System (INIS)

    Hüser, Dorothee; Thomsen-Schmidt, Peter; Hüser, Jonathan; Rief, Sebastian; Seewig, Jörg

    2016-01-01

    Roughness parameters that characterize contacting surfaces with regard to friction and wear are commonly stated without uncertainties, or with an uncertainty only taking into account a very limited amount of aspects such as repeatability of reproducibility (homogeneity) of the specimen. This makes it difficult to discriminate between different values of single roughness parameters. Therefore uncertainty assessment methods are required that take all relevant aspects into account. In the literature this is rarely performed and examples specific for parameters used in friction and wear are not yet given. We propose a procedure to derive the uncertainty from a single profile employing a statistical method that is based on the statistical moments of the amplitude distribution and the autocorrelation length of the profile. To show the possibilities and the limitations of this method we compare the uncertainty derived from a single profile with that derived from a high statistics experiment. (paper)

  9. Remote measurement of surface roughness, surface reflectance, and body reflectance with LiDAR.

    Science.gov (United States)

    Li, Xiaolu; Liang, Yu

    2015-10-20

    Light detection and ranging (LiDAR) intensity data are attracting increasing attention because of the great potential for use of such data in a variety of remote sensing applications. To fully investigate the data potential for target classification and identification, we carried out a series of experiments with typical urban building materials and employed our reconstructed built-in-lab LiDAR system. Received intensity data were analyzed on the basis of the derived bidirectional reflectance distribution function (BRDF) model and the established integration method. With an improved fitting algorithm, parameters involved in the BRDF model can be obtained to depict the surface characteristics. One of these parameters related to surface roughness was converted to a most used roughness parameter, the arithmetical mean deviation of the roughness profile (Ra), which can be used to validate the feasibility of the BRDF model in surface characterizations and performance evaluations.

  10. Effects of surface roughness on plastic strain localization in polycrystalline aggregates

    Directory of Open Access Journals (Sweden)

    Guilhem Yoann

    2014-06-01

    Full Text Available The surface state of mechanical components differs according to applied loadings. Industrial processes may produce specific features at the surface, such as roughness, local hardening, residual stresses or recrystallization. Under fatigue loading, all these parameters will affect the component lifetime, but in different manner. A better understanding of each surface state parameter, separately first and then all combined, will provide a better prediction of fatigue life. The study focuses on the effect of surface roughness. Crystal plasticity finite element computations have been carried out on three-dimensional polycrystalline aggregates with different roughness levels. Local mechanical fields have been analyzed both at the surface and inside the bulk to highlight the competition between crystallography and roughness to impose localization patterns. As soon as surface roughness is strong enough, classical localization bands driven by grains orientation are replaced by localizations patterns driven by the local roughness topology. Nevertheless, this effect tends to decrease gradually under the surface, and it becomes usually negligible after the first layer of grains. The discussion allows us to characterize the influence of the surface state on the local mechanical fields.

  11. Comparison of optical methods for surface roughness characterization

    International Nuclear Information System (INIS)

    Feidenhans’l, Nikolaj A; Hansen, Poul-Erik; Madsen, Morten H; Petersen, Jan C; Pilný, Lukáš; Bissacco, Giuliano; Taboryski, Rafael

    2015-01-01

    We report a study of the correlation between three optical methods for characterizing surface roughness: a laboratory scatterometer measuring the bi-directional reflection distribution function (BRDF instrument), a simple commercial scatterometer (rBRDF instrument), and a confocal optical profiler. For each instrument, the effective range of spatial surface wavelengths is determined, and the common bandwidth used when comparing the evaluated roughness parameters. The compared roughness parameters are: the root-mean-square (RMS) profile deviation (Rq), the RMS profile slope (Rdq), and the variance of the scattering angle distribution (Aq). The twenty-two investigated samples were manufactured with several methods in order to obtain a suitable diversity of roughness patterns.Our study shows a one-to-one correlation of both the Rq and the Rdq roughness values when obtained with the BRDF and the confocal instruments, if the common bandwidth is applied. Likewise, a correlation is observed when determining the Aq value with the BRDF and the rBRDF instruments.Furthermore, we show that it is possible to determine the Rq value from the Aq value, by applying a simple transfer function derived from the instrument comparisons. The presented method is validated for surfaces with predominantly 1D roughness, i.e. consisting of parallel grooves of various periods, and a reflectance similar to stainless steel. The Rq values are predicted with an accuracy of 38% at the 95% confidence interval. (paper)

  12. Simple model of surface roughness for binary collision sputtering simulations

    Science.gov (United States)

    Lindsey, Sloan J.; Hobler, Gerhard; Maciążek, Dawid; Postawa, Zbigniew

    2017-02-01

    It has been shown that surface roughness can strongly influence the sputtering yield - especially at glancing incidence angles where the inclusion of surface roughness leads to an increase in sputtering yields. In this work, we propose a simple one-parameter model (the "density gradient model") which imitates surface roughness effects. In the model, the target's atomic density is assumed to vary linearly between the actual material density and zero. The layer width is the sole model parameter. The model has been implemented in the binary collision simulator IMSIL and has been evaluated against various geometric surface models for 5 keV Ga ions impinging an amorphous Si target. To aid the construction of a realistic rough surface topography, we have performed MD simulations of sequential 5 keV Ga impacts on an initially crystalline Si target. We show that our new model effectively reproduces the sputtering yield, with only minor variations in the energy and angular distributions of sputtered particles. The success of the density gradient model is attributed to a reduction of the reflection coefficient - leading to increased sputtering yields, similar in effect to surface roughness.

  13. Finite element method analysis of surface roughness transfer in micro flexible rolling

    Directory of Open Access Journals (Sweden)

    Qu Feijun

    2016-01-01

    Full Text Available Micro flexible rolling aims to fabricate submillimeter thick strips with varying thickness profile, where the surface quality of products is mainly determined by initial workpiece surface roughness and subsequent surface asperity flattening process, which is affected by process parameters during rolling. This paper shows a 3D finite element model for flexible rolling of a 250 μm thick workpiece with reduction of 20 to 50%, and rolling phase with thinner thickness indicates a better ability to decrease the surface roughness. Four types of initial workpiece surface roughness are studied in the simulation, and the influences of process parameters, such as friction coefficient, rolling speed and roll gap adjusting speed, on surface asperity flattening of workpieces with different initial surface roughness have been numerically investigated and analysed.

  14. Correlation between Surface Roughness Characteristics in CO2 Laser Cutting of Mild Steel

    Directory of Open Access Journals (Sweden)

    M. Radovanović

    2012-12-01

    Full Text Available CO2 laser oxygen cutting of mild steel is widely used industrial application. Cut surface quality is a very important characteristic of laser cutting that ensures an advantage over other contour cutting processes. In this paper mathematical models for estimating characteristics of surface quality such as average surface roughness and ten-point mean roughness in CO2 laser cutting of mild steel based on laser cutting parameters were developed. Empirical models were developed using artificial neural networks and experimental data collected. Taguchi’s orthogonal array was implemented for experimental plan. From the analysis of the developed mathematical models it was observed that functional dependence between laser cutting parameters, their interactions and surface roughness characteristics is complex and non-linear. It was also observed that there exist region of minimal average surface roughness to ten-point mean roughness ratio. The relationship between average surface roughness and ten-point mean roughness was found to be nonlinear and can be expressed with a second degree polynomial.

  15. Simple model of surface roughness for binary collision sputtering simulations

    Energy Technology Data Exchange (ETDEWEB)

    Lindsey, Sloan J. [Institute of Solid-State Electronics, TU Wien, Floragasse 7, A-1040 Wien (Austria); Hobler, Gerhard, E-mail: gerhard.hobler@tuwien.ac.at [Institute of Solid-State Electronics, TU Wien, Floragasse 7, A-1040 Wien (Austria); Maciążek, Dawid; Postawa, Zbigniew [Institute of Physics, Jagiellonian University, ul. Lojasiewicza 11, 30348 Kraków (Poland)

    2017-02-15

    Highlights: • A simple model of surface roughness is proposed. • Its key feature is a linearly varying target density at the surface. • The model can be used in 1D/2D/3D Monte Carlo binary collision simulations. • The model fits well experimental glancing incidence sputtering yield data. - Abstract: It has been shown that surface roughness can strongly influence the sputtering yield – especially at glancing incidence angles where the inclusion of surface roughness leads to an increase in sputtering yields. In this work, we propose a simple one-parameter model (the “density gradient model”) which imitates surface roughness effects. In the model, the target’s atomic density is assumed to vary linearly between the actual material density and zero. The layer width is the sole model parameter. The model has been implemented in the binary collision simulator IMSIL and has been evaluated against various geometric surface models for 5 keV Ga ions impinging an amorphous Si target. To aid the construction of a realistic rough surface topography, we have performed MD simulations of sequential 5 keV Ga impacts on an initially crystalline Si target. We show that our new model effectively reproduces the sputtering yield, with only minor variations in the energy and angular distributions of sputtered particles. The success of the density gradient model is attributed to a reduction of the reflection coefficient – leading to increased sputtering yields, similar in effect to surface roughness.

  16. Simple model of surface roughness for binary collision sputtering simulations

    International Nuclear Information System (INIS)

    Lindsey, Sloan J.; Hobler, Gerhard; Maciążek, Dawid; Postawa, Zbigniew

    2017-01-01

    Highlights: • A simple model of surface roughness is proposed. • Its key feature is a linearly varying target density at the surface. • The model can be used in 1D/2D/3D Monte Carlo binary collision simulations. • The model fits well experimental glancing incidence sputtering yield data. - Abstract: It has been shown that surface roughness can strongly influence the sputtering yield – especially at glancing incidence angles where the inclusion of surface roughness leads to an increase in sputtering yields. In this work, we propose a simple one-parameter model (the “density gradient model”) which imitates surface roughness effects. In the model, the target’s atomic density is assumed to vary linearly between the actual material density and zero. The layer width is the sole model parameter. The model has been implemented in the binary collision simulator IMSIL and has been evaluated against various geometric surface models for 5 keV Ga ions impinging an amorphous Si target. To aid the construction of a realistic rough surface topography, we have performed MD simulations of sequential 5 keV Ga impacts on an initially crystalline Si target. We show that our new model effectively reproduces the sputtering yield, with only minor variations in the energy and angular distributions of sputtered particles. The success of the density gradient model is attributed to a reduction of the reflection coefficient – leading to increased sputtering yields, similar in effect to surface roughness.

  17. Minimization of Surface Roughness and Tool Vibration in CNC Milling Operation

    Directory of Open Access Journals (Sweden)

    Sukhdev S. Bhogal

    2015-01-01

    Full Text Available Tool vibration and surface roughness are two important parameters which affect the quality of the component and tool life which indirectly affect the component cost. In this paper, the effect of cutting parameters on tool vibration, and surface roughness has been investigated during end milling of EN-31 tool steel. Response surface methodology (RSM has been used to develop mathematical model for predicting surface finish, tool vibration and tool wear with different combinations of cutting parameters. The experimental results show that feed rate is the most dominating parameter affecting surface finish, whereas cutting speed is the major factor effecting tool vibration. The results of mathematical model are in agreement with experimental investigations done to validate the mathematical model.

  18. Response Ant Colony Optimization of End Milling Surface Roughness

    Directory of Open Access Journals (Sweden)

    Ahmed N. Abd Alla

    2010-03-01

    Full Text Available Metal cutting processes are important due to increased consumer demands for quality metal cutting related products (more precise tolerances and better product surface roughness that has driven the metal cutting industry to continuously improve quality control of metal cutting processes. This paper presents optimum surface roughness by using milling mould aluminium alloys (AA6061-T6 with Response Ant Colony Optimization (RACO. The approach is based on Response Surface Method (RSM and Ant Colony Optimization (ACO. The main objectives to find the optimized parameters and the most dominant variables (cutting speed, feedrate, axial depth and radial depth. The first order model indicates that the feedrate is the most significant factor affecting surface roughness.

  19. Influence of Selective Laser Melting Processing Parameters of Co-Cr-W Powders on the Roughness of Exterior Surfaces

    Science.gov (United States)

    Baciu, M. A.; Baciu, E. R.; Bejinariu, C.; Toma, S. L.; Danila, A.; Baciu, C.

    2018-06-01

    Selective Laser Melting (SLM) represents an Additive Manufacturing method widely used in medical practice, mainly in dental medicine. The powder of 59% Co, 25% Cr, 2.5% W alloy (Starbond CoS Powder 55, S&S Scheftner C, Germany) was processed (SLM) on a Realizer SLM 50 device (SLM Solution, Germany). After laser processing and simple sanding with Al2O3 or two-phase sanding (Al2O3 and glass balls), measurements of surface roughness were conducted. This paper presents the influences exercised by laser power (P = 60 W, 80 W and 100 W), the scanning speed (vscan = 333 mm/s, 500 mm/s and 1000 mm/s) and exposure time (te = 20 µs, 40 µs and 60 µs) on the roughness of surfaces obtained by SLM processing. Based on the experimental results obtained for roughness (Ra), some recommendations regarding the choice of favorable combinations among the values of technological parameters under study in order to obtain the surface quality necessary for subsequent applications of the processed parts (SLM) have been made.

  20. Determination of forest road surface roughness by Kinect depth imaging

    Directory of Open Access Journals (Sweden)

    Francesco Marinello

    2017-12-01

    Full Text Available Roughness is a dynamic property of the gravel road surface that affects safety, ride comfort as well as vehicle tyre life and maintenance costs. A rapid survey of gravel road condition is fundamental for an effective maintenance planning and definition of the intervention priorities.Different non-contact techniques such as laser scanning, ultrasonic sensors and photogrammetry have recently been proposed to reconstruct three-dimensional topography of road surface and allow extraction of roughness metrics. The application of Microsoft Kinect™ depth camera is proposed and discussed here for collection of 3D data sets from gravel roads, to be implemented in order to allow quantification of surface roughness.The objectives are to: i verify the applicability of the Kinect sensor for characterization of different forest roads, ii identify the appropriateness and potential of different roughness parameters and iii analyse the correlation with vibrations recoded by 3-axis accelerometers installed on different vehicles. The test took advantage of the implementation of the Kinect depth camera for surface roughness determination of 4 different forest gravel roads and one well-maintained asphalt road as reference. Different vehicles (mountain bike, off-road motorcycle, ATV vehicle, 4WD car and compact crossover were included in the experiment in order to verify the vibration intensity when travelling on different road surface conditions. Correlations between the extracted roughness parameters and vibration levels of the tested vehicles were then verified. Coefficients of determination of between 0.76 and 0.97 were detected between average surface roughness and standard deviation of relative accelerations, with higher values in the case of lighter vehicles.

  1. The surface roughness effect on the performance of supersonic ejectors

    Science.gov (United States)

    Brezgin, D. V.; Aronson, K. E.; Mazzelli, F.; Milazzo, A.

    2017-07-01

    The paper presents the numerical simulation results of the surface roughness influence on gas-dynamic processes inside flow parts of a supersonic ejector. These simulations are performed using two commercial CFD solvers (Star- CCM+ and Fluent). The results are compared to each other and verified by a full-scale experiment in terms of global flow parameters (the entrainment ratio: the ratio between secondary to primary mass flow rate - ER hereafter) and local flow parameters distribution (the static pressure distribution along the mixing chamber and diffuser walls). A detailed comparative study of the employed methods and approaches in both CFD packages is carried out in order to estimate the roughness effect on the logarithmic law velocity distribution inside the boundary layer. Influence of the surface roughness is compared with the influence of the backpressure (static pressure at the ejector outlet). It has been found out that increasing either the ejector backpressure or the surface roughness height, the shock position displaces upstream. Moreover, the numerical simulation results of an ejector with rough walls in the both CFD solvers are well quantitatively agreed with each other in terms of the mean ER and well qualitatively agree in terms of the local flow parameters distribution. It is found out that in the case of exceeding the "critical roughness height" for the given boundary conditions and ejector's geometry, the ejector switches to the "off-design" mode and its performance decreases considerably.

  2. Influence of roughness parameters on coefficient of friction under ...

    Indian Academy of Sciences (India)

    S¯adhan¯a Vol. 33, Part 3, June 2008, pp. ... Surface texture and thus roughness parameters influence coefficient of friction during sliding. ..... tural irregularities and complexities of the natural system, fractal is widely used to explain the natural ...

  3. Comparison of contact skidded and skidless techniques which are used for surface roughness characterization

    Energy Technology Data Exchange (ETDEWEB)

    Tomov, Mite; Kuzinovski, Mikolaj [Faculty of Mechanical Engineering, ' Ss. Cyril and Methodius' University, Skopje (Macedonia, The Former Yugoslav Republic of); Cichosz, Piotr [Institute of Production Engineering and Automation of the Wroclaw University of Technology, Wroclw, (Poland)

    2014-07-01

    In this study included several dilemmas arising from the recommendations in the international standards referring to surface roughness measurement with using skidded and skidless measurement instruments. Also, this paper explained the role and the impact of the skid as mechanical reference in the construction of the surface roughness measuring instruments. In order to determine the impact from the different constructive performances of the measurement instruments on the surface roughness value, are measured more periodic and non-periodic etalon surfaces representative of various machining process (turning, milling, grinding and lapping). Comparative analysis of the values and differences for the roughness parameters and primary profile parameters are displayed. (Author)

  4. Geometrical properties of rough metallic surfaces and their implication in electromagnetic problems

    International Nuclear Information System (INIS)

    Hernandez, A.; Chicon, R.; Ortuno, M.; Abellan, J.

    1987-01-01

    We analyze the geometrical properties and their implications in the effective surface resistance and wall losses of rough metallic surfaces. The power spectrum and the autocorrelation function are calculated for a simple model that adequately represent the rough surface. The roughness parameters are obtained through average values of the roughness and its derivative. We calculate the density profile, directly related to the depth-dependent effective conductivity. The data from the profilometer are corrected to take into account the finite size of the tip. (author)

  5. Modeling superhydrophobic surfaces comprised of random roughness

    Science.gov (United States)

    Samaha, M. A.; Tafreshi, H. Vahedi; Gad-El-Hak, M.

    2011-11-01

    We model the performance of superhydrophobic surfaces comprised of randomly distributed roughness that resembles natural surfaces, or those produced via random deposition of hydrophobic particles. Such a fabrication method is far less expensive than ordered-microstructured fabrication. The present numerical simulations are aimed at improving our understanding of the drag reduction effect and the stability of the air-water interface in terms of the microstructure parameters. For comparison and validation, we have also simulated the flow over superhydrophobic surfaces made up of aligned or staggered microposts for channel flows as well as streamwise or spanwise ridge configurations for pipe flows. The present results are compared with other theoretical and experimental studies. The numerical simulations indicate that the random distribution of surface roughness has a favorable effect on drag reduction, as long as the gas fraction is kept the same. The stability of the meniscus, however, is strongly influenced by the average spacing between the roughness peaks, which needs to be carefully examined before a surface can be recommended for fabrication. Financial support from DARPA, contract number W91CRB-10-1-0003, is acknowledged.

  6. Investigation of surface roughness on etched glass surfaces

    International Nuclear Information System (INIS)

    Papa, Z.; Budai, J.; Farkas, B.; Toth, Z.

    2011-01-01

    Roughening the surface of solar cells is a common practice within the photovoltaic industry as it reduces reflectance, and thus enhances the performance of devices. In this work the relationship between reflectance characterized by the haze parameter, surface roughness and optical properties was investigated. To achieve this goal, model samples were prepared by hydrofluoric acid etching of glass for various times and measured by optical microscopy, spectroscopic ellipsometry, scanning electron microscopy, and atomic force microscopy. Our investigation showed that the surface reflectance was decreased not only by the roughening of the surface but also by the modification of the depth profile and lowering of the refractive index of the surface domain of the samples.

  7. AN ARTIFICIAL INTELLIGENCE APPROACH FOR THE PREDICTION OF SURFACE ROUGHNESS IN CO2 LASER CUTTING

    Directory of Open Access Journals (Sweden)

    MILOŠ MADIĆ

    2012-12-01

    Full Text Available In laser cutting, the cut quality is of great importance. Multiple non-linear effects of process parameters and their interactions make very difficult to predict cut quality. In this paper, artificial intelligence (AI approach was applied to predict the surface roughness in CO2 laser cutting. To this aim, artificial neural network (ANN model of surface roughness was developed in terms of cutting speed, laser power and assist gas pressure. The experimental results obtained from Taguchi’s L25 orthogonal array were used to develop ANN model. The ANN mathematical model of surface roughness was expressed as explicit nonlinear function of the selected input parameters. Statistical results indicate that the ANN model can predict the surface roughness with good accuracy. It was showed that ANNs may be used as a good alternative in analyzing the effects of cutting parameters on the surface roughness.

  8. Surface Forces Apparatus measurements of interactions between rough and reactive calcite surfaces.

    Science.gov (United States)

    Dziadkowiec, Joanna; Javadi, Shaghayegh; Bratvold, Jon Einar; Nilsen, Ola; Røyne, Anja

    2018-05-28

    Nm-range forces acting between calcite surfaces in water affect macroscopic properties of carbonate rocks and calcite-based granular materials, and are significantly influenced by calcite surface recrystallization. We suggest that the repulsive mechanical effects related to nm-scale surface recrystallization of calcite in water could be partially responsible for the observed decrease of cohesion in calcitic rocks saturated with water. Using the Surface Forces Apparatus (SFA), we simultaneously followed the calcite reactivity and measured the forces in water in two surface configurations: between two rough calcite surfaces (CC), or between rough calcite and a smooth mica surface (CM). We used nm-scale rough, polycrystalline calcite films prepared by Atomic Layer Deposition (ALD). We measured only repulsive forces in CC in CaCO 3 -saturated water, which was related to roughness and possibly to repulsive hydration effects. Adhesive or repulsive forces were measured in CM in CaCO 3 -saturated water depending on calcite roughness, and the adhesion was likely enhanced by electrostatic effects. The pull-off adhesive force in CM became stronger with time and this increase was correlated with a decrease of roughness at contacts, which parameter could be estimated from the measured force-distance curves. That suggested a progressive increase of real contact areas between the surfaces, caused by gradual pressure-driven deformation of calcite surface asperities during repeated loading-unloading cycles. Reactivity of calcite was affected by mass transport across nm to µm-thick gaps between the surfaces. Major roughening was observed only for the smoothest calcite films, where gaps between two opposing surfaces were nm-thick over µm-sized areas, and led to force of crystallization that could overcome confining pressures of the order of MPa. Any substantial roughening of calcite caused a significant increase of the repulsive mechanical force contribution.

  9. Surface roughness optimization in machining of AZ31 magnesium alloy using ABC algorithm

    Directory of Open Access Journals (Sweden)

    Abhijith

    2018-01-01

    Full Text Available Magnesium alloys serve as excellent substitutes for materials traditionally used for engine block heads in automobiles and gear housings in aircraft industries. AZ31 is a magnesium alloy finds its applications in orthopedic implants and cardiovascular stents. Surface roughness is an important parameter in the present manufacturing sector. In this work optimization techniques namely firefly algorithm (FA, particle swarm optimization (PSO and artificial bee colony algorithm (ABC which are based on swarm intelligence techniques, have been implemented to optimize the machining parameters namely cutting speed, feed rate and depth of cut in order to achieve minimum surface roughness. The parameter Ra has been considered for evaluating the surface roughness. Comparing the performance of ABC algorithm with FA and PSO algorithm, which is a widely used optimization algorithm in machining studies, the results conclude that ABC produces better optimization when compared to FA and PSO for optimizing surface roughness of AZ 31.

  10. Surface roughness retrieval by inversion of the Hapke model: A multiscale approach

    Science.gov (United States)

    Labarre, S.; Ferrari, C.; Jacquemoud, S.

    2017-07-01

    Surface roughness is a key property of soils that controls many surface processes and influences the scattering of incident electromagnetic waves at a wide range of scales. Hapke (2012b) designed a photometric model providing an approximate analytical solution of the Bidirectional Reflectance Distribution Function (BRDF) of a particulate medium: he introduced the effect of surface roughness as a correction factor of the BRDF of a smooth surface. This photometric roughness is defined as the mean slope angle of the facets composing the surface, integrated over all scales from the grain size to the local topography. Yet its physical meaning is still a question at issue, as the scale at which it occurs is not clearly defined. This work aims at better understanding the relative influence of roughness scales on soil BRDF and to test the ability of the Hapke model to retrieve a roughness that depicts effectively the ground truth. We apply a wavelet transform on millimeter digital terrain models (DTM) acquired over volcanic terrains. This method allows splitting the frequency band of a signal in several sub-bands, each corresponding to a spatial scale. We demonstrate that sub-centimeter surface features dominate both the integrated roughness and the BRDF shape. We investigate the suitability of the Hapke model for surface roughness retrieval by inversion on optical data. A global sensitivity analysis of the model shows that soil BRDF is very sensitive to surface roughness, nearly as much as the single scattering albedo according to the phase angle, but also that these two parameters are strongly correlated. Based on these results, a simplified two-parameter model depending on surface albedo and roughness is proposed. Inversion of this model on BRDF data simulated by a ray-tracing code over natural targets shows a good estimation of surface roughness when the assumptions of the model are verified, with a priori knowledge on surface albedo.

  11. Influence of Cutting Fluid Flow Rate and Cutting Parameters on the Surface Roughness and Flank Wear of TiAlN Coated Tool In Turning AISI 1015 Steel Using Taguchi Method

    Directory of Open Access Journals (Sweden)

    Moganapriya C.

    2017-09-01

    Full Text Available This paper presents the influence of cutting parameters (Depth of cut, feed rate, spindle speed and cutting fluid flow rate on the surface roughness and flank wear of physical vapor deposition (PVD Cathodic arc evaporation coated TiAlN tungsten carbide cutting tool insert during CNC turning of AISI 1015 mild steel. Analysis of Variance has been applied to determine the critical influence of cutting parameters. Taguchi orthogonal test design has been employed to optimize the process parameters affecting surface roughness and tool wear. Depth of cut was found to be the most dominant factor contributing to high surface roughness (67.5% of the inserts. However, cutting speed, feed rate and flow rate of cutting fluid showed minimal contribution to surface roughness. On the other hand, cutting speed (45.6% and flow rate of cutting fluid (23% were the dominant factors influencing tool wear. The optimum cutting conditions for desired surface roughness constitutes the following parameters such as medium cutting speed, low feed rate, low depth of cut and high cutting fluid flow rate. Minimal tool wear was achieved for the following process parameters such as low cutting speed, low feed rate, medium depth of cut and high cutting fluid flow rate.

  12. Modeling of surface roughness effects on Stokes flow in circular pipes

    Science.gov (United States)

    Song, Siyuan; Yang, Xiaohu; Xin, Fengxian; Lu, Tian Jian

    2018-02-01

    Fluid flow and pressure drop across a channel are significantly influenced by surface roughness on a channel wall. The present study investigates the effects of periodically structured surface roughness upon flow field and pressure drop in a circular pipe at low Reynolds numbers. The periodic roughness considered exhibits sinusoidal, triangular, and rectangular morphologies, with the relative roughness (i.e., ratio of the amplitude of surface roughness to hydraulic diameter of the pipe) no more than 0.2. Based upon a revised perturbation theory, a theoretical model is developed to quantify the effect of roughness on fully developed Stokes flow in the pipe. The ratio of static flow resistivity and the ratio of the Darcy friction factor between rough and smooth pipes are expressed in four-order approximate formulations, which are validated against numerical simulation results. The relative roughness and the wave number are identified as the two key parameters affecting the static flow resistivity and the Darcy friction factor.

  13. Nox diffusion-simulation in an urban area in using the vertical diffusion diagram including a surface roughness parameter

    Energy Technology Data Exchange (ETDEWEB)

    Kono, Hitoshi; Fujimoto, Akira; Nakano, Hiroshi

    1988-03-31

    In recent years, in order to attain a total quantity regulation of air pollution and to prepare a local air-control program, a diffusion simulation is often made using a Gaussian plume model. NOx diffusion simulation of the urban area was carried out using a vertical diffusion width by taking a parameter of ground-surface roughness using Smith's correction to the Gaussian model. For the diffusion of car exhaust gas, comparison was made for the estimate and the measurement by jointly using the values of ground-surface roughness and the initial diffusion width. As a result, change in the diffusion width of the car exhaust gas due to the urban buildings was expressed at a necessary practical level by giving the height of the point of calculation, 1 - 3 m in the central part and 30 cm at the peripheral part, and giving the initial diffusion width of roughly half to equal size of initial diffusion width to the average height of the buildings. (2 figs, 8 tabs, 20 refs)

  14. Investigation of ellipsometric parameters of 2D microrough surfaces by FDTD.

    Science.gov (United States)

    Qiu, J; Ran, D F; Liu, Y B; Liu, L H

    2016-07-10

    Ellipsometry is a powerful method for measuring the optical constants of materials and is very sensitive to surface roughness. In previous ellipsometric measurement of optical constants of solid materials with rough surfaces, researchers frequently used effective medium approximation (EMA) with roughness already known to fit the complex refractive index of the material. However, the ignored correlation length, the other important parameter of rough surfaces, will definitely result in fitting errors. Hence it is necessary to consider the influence of surface roughness and correlation length on the ellipsometric parameters Δ (phase difference) and Ψ (azimuth) characterizing practical systems. In this paper, the influence of roughness of two-dimensional randomly microrough surfaces (relative roughness σ/λ ranges from 0.001 to 0.025) of silicon on ellipsometric parameters was simulated by the finite-difference time-domain method which was validated with experimental results. The effects of incident angle, relative roughness, and correlation length were numerically investigated for two-dimensional Gaussian distributed randomly microrough surfaces, respectively. The simulated results showed that compared with the smooth surface, only tiny changes of the ellipsometric parameter Δ could be observed for microrough silicon surface in the vicinity of the Brewster angle, but obviously changes of Ψ occur especially in the vicinity of the Brewster angle. More differences between the ellipsometric parameters of the rough surface and smooth surface can been seen especially in the vicinity of the Brewster angle as the relative roughness σ/λ increases or correlation length τ decreases. The results reveal that when we measure the optical constants of solid materials by ellipsometry, the smaller roughness, larger correlation length and larger incident wavelength will lead to the higher precision of measurements.

  15. Friction and adhesion of gecko-inspired PDMS flaps on rough surfaces.

    Science.gov (United States)

    Yu, Jing; Chary, Sathya; Das, Saurabh; Tamelier, John; Turner, Kimberly L; Israelachvili, Jacob N

    2012-08-07

    Geckos have developed a unique hierarchical structure to maintain climbing ability on surfaces with different roughness, one of the extremely important parameters that affect the friction and adhesion forces between two surfaces. Although much attention has been paid on fabricating various structures that mimic the hierarchical structure of a gecko foot, yet no systematic effort, in experiment or theory, has been made to quantify the effect of surface roughness on the performance of the fabricated structures that mimic the hierarchical structure of geckos. Using a modified surface forces apparatus (SFA), we measured the adhesion and friction forces between microfabricated tilted PDMS flaps and optically smooth SiO(2) and rough SiO(2) surfaces created by plasma etching. Anisotropic adhesion and friction forces were measured when sliding the top glass surface along (+y) and against (-y) the tilted direction of the flaps. Increasing the surface roughness first increased the adhesion and friction forces measured between the flaps and the rough surface due to topological matching of the two surfaces but then led to a rapid decrease in both of these forces. Our results demonstrate that the surface roughness significantly affects the performance of gecko mimetic adhesives and that different surface textures can either increase or decrease the adhesion and friction forces of the fabricated adhesives.

  16. A new peak shear strength criterion for rock joints which includes spectral parameters as roughness measures

    International Nuclear Information System (INIS)

    Kulatilake, P.H.S.W.; Shou, G.; Huang, T.H.

    1996-01-01

    Most of the natural rock joint surface profiles do not belong to the self similar fractal category. In general, roughness profiles of rock joints consist of non-stationary and stationary components. At the simplest level, only one parameter is sufficient to quantify non-stationary joint roughness. The average inclination angle I, along with the direction considered for the joint surface, is suggested to capture the non-stationary roughness. It is shown that even though the fractal dimension D is a useful parameter, it alone is insufficient to quantify the stationary roughness of non-self similar profiles

  17. Surface roughness and cutting force estimation in the CNC turning using artificial neural networks

    Directory of Open Access Journals (Sweden)

    Mohammad Ramezani

    2015-04-01

    Full Text Available Surface roughness and cutting forces are considered as important factors to determine machinability rate and the quality of product. A number of factors like cutting speed, feed rate, depth of cutting and tool noise radius influence the surface roughness and cutting forces in turning process. In this paper, an Artificial Neural Network (ANN model was used to forecast surface roughness and cutting forces with related inputs, including cutting speed, feed rate, depth of cut and tool noise radius. The machined surface roughness and cutting force parameters related to input parameters are the outputs of the ANN model. In this work, 24 samples of experimental data were used to train the network. Moreover, eight other experimental tests were implemented to test the network. The study concludes that ANN was a reliable and accurate method for predicting machining parameters in CNC turning operation.

  18. Application of silver films with different roughness parameter for septic human serum albumin detection by Surface Enhanced Raman Spectroscopy

    Science.gov (United States)

    Zyubin, A. Y.; Konstantinova, E. I.; Matveeva, K. I.; Slezhkin, V. A.; Samusev, I. G.; Demin, M. V.; Bryukhanov, V. V.

    2018-01-01

    In this paper, the rough silver films parameters investigation, used as media for surface enhancement Raman spectroscopy for health and septic human serum albumin (HSA) study results have been presented. The detection of small concentrations of HSA isolated from blood serum and it main vibrational groups identification has been done.

  19. Multifractal scaling analysis of autopoisoning reactions over a rough surface

    International Nuclear Information System (INIS)

    Chaudhari, Ajay; Yan, Ching-Cher Sanders; Lee, S.-L.

    2003-01-01

    Decay type diffusion-limited reactions (DLR) over a rough surface generated by a random deposition model were performed. To study the effect of the decay profile on the reaction probability distribution (RPD), multifractal scaling analysis has been carried out. The dynamics of these autopoisoning reactions are controlled by the two parameters in the decay function, namely, the initial sticking probability (P ini ) of every site and the decay rate (m). The smaller the decay rate, the narrower is the range of α values in the α-f(α) multifractal spectrum. The results are compared with the earlier work of DLR over a surface of diffusion-limited aggregation (DLA). We also considered here the autopoisoning reactions over a smooth surface for comparing our results, which show clearly how the roughness affects the chemical reactions. The q-τ(q) multifractal curves for the smooth surface are linear whereas those for the rough surface are nonlinear. The range of α values in the case of a rough surface is wider than that of the smooth surface

  20. Analysis and optimisation of vertical surface roughness in micro selective laser melting

    International Nuclear Information System (INIS)

    Abele, Eberhard; Kniepkamp, Michael

    2015-01-01

    Surface roughness is a major disadvantage of many additive manufacturing technologies like selective laser melting (SLM) compared to established processes like milling or drilling. With recent advancements the resolution of the SLM process could be increased to layer heights of less than 10 μm leading to a new process called micro selective laser melting (μSLM). The purpose of this paper is to analyze the influence of the μSLM process parameters and exposure strategies on the morphology of vertical surfaces. Contour scanning using varying process parameters was used to increase the surface quality. It is shown that it is possible to achieve average surface roughness of less than 1.7 μm using low scan speeds compared to 8–10 μm without contour scanning. Furthermore it is shown that a contour exposure prior to the core exposure leads to surface defects and thus increased roughness. (paper)

  1. The surface roughness and planetary boundary layer

    Science.gov (United States)

    Telford, James W.

    1980-03-01

    Applications of the entrainment process to layers at the boundary, which meet the self similarity requirements of the logarithmic profile, have been studied. By accepting that turbulence has dominating scales related in scale length to the height above the surface, a layer structure is postulated wherein exchange is rapid enough to keep the layers internally uniform. The diffusion rate is then controlled by entrainment between layers. It has been shown that theoretical relationships derived on the basis of using a single layer of this type give quantitatively correct factors relating the turbulence, wind and shear stress for very rough surface conditions. For less rough surfaces, the surface boundary layer can be divided into several layers interacting by entrainment across each interface. This analysis leads to the following quantitatively correct formula compared to published measurements. 1 24_2004_Article_BF00877766_TeX2GIFE1.gif {σ _w }/{u^* } = ( {2/{9Aa}} )^{{1/4}} ( {1 - 3^{{1/2}{ a/k{d_n }/z{σ _w }/{u^* }z/L} )^{{1/4}} = 1.28(1 - 0.945({{σ _w }/{u^* }}}) {{z/L}})^{{1/4 where u^* = ( {{tau/ρ}}^{{1/2}}, σ w is the standard deviation of the vertical velocity, z is the height and L is the Obukhov scale lenght. The constants a, A, k and d n are the entrainment constant, the turbulence decay constant, Von Karman's constant, and the layer depth derived from the theory. Of these, a and A, are universal constants and not empirically determined for the boundary layer. Thus the turbulence needed for the plume model of convection, which resides above these layers and reaches to the inversion, is determined by the shear stress and the heat flux in the surface layers. This model applies to convection in cool air over a warm sea. The whole field is now determined except for the temperature of the air relative to the water, and the wind, which need a further parameter describing sea surface roughness. As a first stop to describing a surface where roughness elements

  2. Modeling and analysis for surface roughness and material removal ...

    African Journals Online (AJOL)

    The cutting parameters considered were tool nose radius, tool rake angle, feed rate, cutting speed, depth of cut and cutting environment (dry, wet and cooled) on the surface roughness and material removal ... A second order mathematical model in terms of cutting parameters is also developed using regression modeling.

  3. Surface roughness when diamond turning RSA 905 optical aluminium

    Science.gov (United States)

    Otieno, T.; Abou-El-Hossein, K.; Hsu, W. Y.; Cheng, Y. C.; Mkoko, Z.

    2015-08-01

    Ultra-high precision machining is used intensively in the photonics industry for the production of various optical components. Aluminium alloys have proven to be advantageous and are most commonly used over other materials to make various optical components. Recently, the increasing demand from optical systems for optical aluminium with consistent material properties has led to the development of newly modified grades of aluminium alloys produced by rapid solidification in the foundry process. These new aluminium grades are characterised by their finer microstructures and refined mechanical and physical properties. However the machining database of these new optical aluminium grades is limited and more research is still required to investigate their machinability performance when they are diamond turned in ultrahigh precision manufacturing environment. This work investigates the machinability of rapidly solidified aluminium RSA 905 by varying a number of diamond-turning cutting parameters and measuring the surface roughness over a cutting distance of 4 km. The machining parameters varied in this study were the cutting speed, feed rate and depth of cut. The results showed a common trend of decrease in surface roughness with increasing cutting distance. The lowest surface roughness Ra result obtained after 4 km in this study was 3.2 nm. This roughness values was achieved using a cutting speed of 1750 rpm, feed rate of 5 mm/min and depth of cut equal to 25 μm.

  4. Cassie state robustness of plasma generated randomly nano-rough surfaces

    Energy Technology Data Exchange (ETDEWEB)

    Di Mundo, Rosa, E-mail: rosa.dimundo@poliba.it; Bottiglione, Francesco; Carbone, Giuseppe

    2014-10-15

    Graphical abstract: - Highlights: • Superhydrophobic randomly rough surfaces are generated by plasma etching. • Statistical analysis of roughness allows calculation of theWenzel roughness factor, r{sub W.} • A r{sub W} threshold is theoretically determined, above which superhydrophobicity is “robust”. • Dynamic wetting, e.g. with high speed impacting drops, confirms this prediction. - Abstract: Superhydrophobic surfaces are effective in practical applications provided they are “robust superhydrophobic”, i.e. able to retain the Cassie state, i.e. with water suspended onto the surface protrusions, even under severe conditions (high pressure, vibrations, high speed impact, etc.). We show that for randomly rough surfaces, given the Young angle, Cassie states are robust when a threshold value of the Wenzel roughness factor, r{sub W}, is exceeded. In particular, superhydrophobic nano-textured surfaces have been generated by self-masked plasma etching. In view of their random roughness, topography features, acquired by Atomic Force Microscopy, have been statistically analyzed in order to gain information on statistical parameters such as power spectral density, fractal dimension and Wenzel roughness factor (r{sub W}), which has been used to assess Cassie state robustness. Results indicate that randomly rough surfaces produced by plasma at high power or long treatment duration, which are also fractal self-affine, have a r{sub W} higher than the theoretical threshold, thus for them a robust superhydrophobicity is predicted. In agreement with this, under dynamic wetting conditionson these surfaces the most pronounced superhydrophobic character has been appreciated: they show the lowest contact angle hysteresis and result in the sharpest bouncing when hit by drops at high impact velocity.

  5. Roughness modification of surfaces treated by a pulsed dielectric barrier discharge

    CERN Document Server

    Dumitrascu, N; Apetroaei, N; Popa, G

    2002-01-01

    Local modifications of surface roughness are very important in many applications, as this surface property is able to generate new mechano-physical characteristics of a large category of materials. Roughness is one of the most important parameters used to characterize and control the surface morphology, and techniques that allow modifying and controlling the surface roughness present increasing interest. In this respect we propose the dielectric barrier discharge (DBD) as a simple and low cost method that can be used to induce controlled roughness on various surfaces in the nanoscale range. DBD is produced in helium, at atmospheric pressure, by a pulsed high voltage, 28 kV peak to peak, 13.5 kHz frequency and 40 W power. This type of discharge is a source of energy capable of modifying the physico-chemical properties of the surfaces without affecting their bulk properties. The discharge is characterized by means of electrical probes and, in order to analyse the heat transfer rate from the discharge to the tre...

  6. Surface Roughness of the Moon Derived from Multi-frequency Radar Data

    Science.gov (United States)

    Fa, W.

    2011-12-01

    globally using the regolith composition and the relation among the dielectric constant, bulk density, and regolith composition. The statistical properties of lunar surface roughness are described by the root mean square (RMS) height and correlation length, which represent the vertical and horizontal scale of the roughness. The correlation length and its scale dependence are studied using the topography data from laser altimeter observations from recent lunar missions. As these two parameters are known, surface roughness (RMS slope) can be estimated by minimizing the difference between the observed and modeled radar echo strength. Surface roughness of several regions over Oceanus Procellarum and southeastern highlands on lunar nearside are studied, and preliminary results show that maira is smoother than highlands at 70 cm scale, whereas the situation turns opposite at 12 and 4 cm scale. Surface roughness of young craters is in general higher than that of maria and highlands, indicating large rock population produced during impacting process.

  7. Selective Laser Sintering of PA2200: Effects of print parameters on density, accuracy, and surface roughness

    Energy Technology Data Exchange (ETDEWEB)

    Bajric, Sendin [Los Alamos National Lab. (LANL), Los Alamos, NM (United States)

    2017-06-12

    Additive manufacturing needs a broader selection of materials for part production. In order for the Los Alamos National Laboratory (LANL) to investigate new materials for selective laser sintering (SLS), this paper reviews research on the effect of print parameters on part density, accuracy, and surface roughness of polyamide 12 (PA12, PA2200). The literature review serves to enhance the understanding of how changing the laser powder, scan speed, etc. will affect the mechanical properties of a commercial powder. By doing so, this understanding will help the investigation of new materials for SLS.

  8. Dependence of the surface roughness of MAPLE-deposited films on the solvent parameters

    Science.gov (United States)

    Caricato, A. P.; Leggieri, G.; Martino, M.; Vantaggiato, A.; Valerini, D.; Cretì, A.; Lomascolo, M.; Manera, M. G.; Rella, R.; Anni, M.

    2010-12-01

    Matrix-assisted pulsed laser evaporation (MAPLE) was used to deposit layers of poly(9,9-dioctylfluorene) (PFO) to study the relation between the solvent properties (laser light absorption, boiling temperature and solubility parameters) and the morphology of the deposited films. To this end, the polymer was diluted (0.5 wt%) in tetrahydrofuran—THF, toluene and toluene/hexane mixtures. The thickness of the films was equal to 70±20 nm. The morphology and uniformity of the films was investigated by Atomic Force Microscopy and by the photoluminescence emission properties of the polymer films, respectively. It is shown that, although the solubility parameters of the solvents are important in controlling the film roughness and morphology, the optical absorption properties and boiling temperature play a very important role, too. In fact, for matrices characterized by the same total solubility parameter, lower roughness values are obtained for films prepared using solvents with lower penetration depth of the laser radiation and higher boiling temperatures.

  9. Effect of Cutting Parameters on Thrust Force and Surface Roughness in Drilling of Al-2219/B4C/Gr Metal Matrix Composites

    Science.gov (United States)

    Ravindranath, V. M.; Basavarajappa, G. S. Shiva Shankar S.; Suresh, R.

    2016-09-01

    In aluminium matrix composites, reinforcement of hard ceramic particle present inside the matrix which causes tool wear, high cutting forces and poor surface finish during machining. This paper focuses on effect of cutting parameters on thrust force, surface roughness and burr height during drilling of MMCs. In the present work, discuss the influence of spindle speed and feed rate on drilling the pure base alloy (Al-2219), mono composite (Al- 2219+8% B4C) and hybrid composite (Al-2219+8%B4C+3%Gr). The composites were fabricated using liquid metallurgy route. The drilling experiments were conducted by CNC machine with TiN coated HSS tool, M42 (Cobalt grade) and carbide tools at various spindle speeds and feed rates. The thrust force, surface roughness and burr height of the drilled hole were investigated in mono composite and hybrid composite containing graphite particles, the experimental results show that the feed rate has more influence on thrust force and surface roughness. Lesser thrust force and discontinuous chips were produced during machining of hybrid composites when compared with mono and base alloy during drilling process. It is due to solid lubricant property of graphite which reduces the lesser thrust force, burr height and lower surface roughness. When machining with Carbide tool at low feed and high speeds good surface finish was obtained compared to other two types of cutting tool materials.

  10. Investigation and modelling of rubber stationary friction on rough surfaces

    International Nuclear Information System (INIS)

    Le Gal, A; Klueppel, M

    2008-01-01

    This paper presents novel aspects regarding the physically motivated modelling of rubber stationary sliding friction on rough surfaces. The description of dynamic contact is treated within the framework of a generalized Greenwood-Williamson theory for rigid/soft frictional pairings. Due to the self-affinity of rough surfaces, both hysteresis and adhesion friction components arise from a multi-scale excitation of surface roughness. Beside a complete analytical formulation of contact parameters, the morphology of macrotexture is considered via the introduction of a second scaling range at large length scales which mostly contribute to hysteresis friction. Moreover, adhesion friction is related to the real area of contact combined with the kinetics of interfacial peeling effects. Friction experiments carried out with different rubbers on rough granite and asphalt point out the relevance of hysteresis and adhesion friction concepts on rough surfaces. The two scaling ranges approach significantly improves the description of wet and dry friction behaviour within the range of low sliding velocity. In addition, material and surface effects are predicted and understood on a physical basis. The applicability of such modelling is of high interest for materials developers and road constructors regarding the prediction of wet grip performance of tyres on road tracks

  11. Investigation and modelling of rubber stationary friction on rough surfaces

    Energy Technology Data Exchange (ETDEWEB)

    Le Gal, A; Klueppel, M [Deutsches Institut fuer Kautschuktechnologie, Eupener Strasse 33, D-30519 Hannover (Germany)

    2008-01-09

    This paper presents novel aspects regarding the physically motivated modelling of rubber stationary sliding friction on rough surfaces. The description of dynamic contact is treated within the framework of a generalized Greenwood-Williamson theory for rigid/soft frictional pairings. Due to the self-affinity of rough surfaces, both hysteresis and adhesion friction components arise from a multi-scale excitation of surface roughness. Beside a complete analytical formulation of contact parameters, the morphology of macrotexture is considered via the introduction of a second scaling range at large length scales which mostly contribute to hysteresis friction. Moreover, adhesion friction is related to the real area of contact combined with the kinetics of interfacial peeling effects. Friction experiments carried out with different rubbers on rough granite and asphalt point out the relevance of hysteresis and adhesion friction concepts on rough surfaces. The two scaling ranges approach significantly improves the description of wet and dry friction behaviour within the range of low sliding velocity. In addition, material and surface effects are predicted and understood on a physical basis. The applicability of such modelling is of high interest for materials developers and road constructors regarding the prediction of wet grip performance of tyres on road tracks.

  12. Adhesive friction for elastic-plastic contacting rough surfaces considering asperity interaction

    International Nuclear Information System (INIS)

    Sahoo, Prasanta

    2006-01-01

    The paper describes a theoretical study of adhesive friction at the contact between rough surfaces taking asperity interaction into consideration and using an elastic-plastic model of contact deformation that is based on an accurate finite element analysis of an elastic-plastic single asperity contact. The micro-contact model of asperity interactions, developed by Zhao and Chang, is integrated into the improved elastic-plastic rough surface adhesive contact analysis to consider the adhesive friction behaviour of rough surfaces. The model considers a large range of interference values from fully elastic through elastic-plastic to fully plastic regimes of contacting asperities. Two well-established adhesion indices are used to consider different conditions that arise as a result of varying load, surface and material parameters. Results are obtained for the coefficient of friction against applied load for various combinations of these parameters. The results show that the coefficient of friction depends strongly on the applied load for the no-interaction case while it becomes insensitive to the load for interaction consideration. Moreover, the inclusion of elastic-plastic asperities further reduces the friction coefficient

  13. Analytical fitting model for rough-surface BRDF.

    Science.gov (United States)

    Renhorn, Ingmar G E; Boreman, Glenn D

    2008-08-18

    A physics-based model is developed for rough surface BRDF, taking into account angles of incidence and scattering, effective index, surface autocovariance, and correlation length. Shadowing is introduced on surface correlation length and reflectance. Separate terms are included for surface scatter, bulk scatter and retroreflection. Using the FindFit function in Mathematica, the functional form is fitted to BRDF measurements over a wide range of incident angles. The model has fourteen fitting parameters; once these are fixed, the model accurately describes scattering data over two orders of magnitude in BRDF without further adjustment. The resulting analytical model is convenient for numerical computations.

  14. An experimental result of surface roughness machining performance in deep hole drilling

    Directory of Open Access Journals (Sweden)

    Mohamad Azizah

    2016-01-01

    Full Text Available This study presents an experimental result of a deep hole drilling process for Steel material at different machining parameters which are feed rate (f, spindle speed (s, the depth of the hole (d and MQL, number of drops (m on surface roughness, Ra. The experiment was designed using two level full factorial design of experiment (DoE with centre points to collect surface roughness, Ra values. The signal to noise (S/N ratio analysis was used to discover the optimum level for each machining parameters in the experiment.

  15. Surface roughness prediction of particulate composites using artificial neural networks in turning operation

    Directory of Open Access Journals (Sweden)

    Mohammad Ramezani

    2015-07-01

    Full Text Available A number of factors, e.g. cutting speed and feed rate, affect the surface roughness in machining process. In this paper, an Artificial Neural Network model was used to forecast surface roughness with related inputs, including cutting speed and feed rate. The output of the ANN model input parameters related to the machined surface roughness parameters. In this research, twelve samples of experimental data were used to train the network. Moreover, four other experimental tests were implemented to test the network. The study concludes that ANN was a reliable and accurate method for predicting machining parameters in CNC turning operation of Particulate Reinforced Aluminum Matrix Composites (PAMCs specimens with 0%, 5%, 10% and 15% filler. The aim of this work is to decrease the production cost and consequently increase the production rate of these materials for industry without any trial and error method procedure.

  16. Electromagnetic Scattering from Rough Sea Surface with PM Spectrum Covered by an Organic Film

    International Nuclear Information System (INIS)

    Wang Rui; Guo Li-Xin; Wang An-Qi; Wu Zhen-Sen

    2011-01-01

    The rough sea surface covered by an organic film will cause attenuation of capillarity waves, which implies that the organic films play an important role in rough sea surface processes. We focus on a one-dimensional (1D) rough sea surface with the Pierson—Moskowitz (PM) spectrum distributed to the homogeneous insoluble organic slicks. First, the impact of the organic film on the PM surface spectrum is presented, as well as that of the correlation length, the rms height and slope of the rough sea surface. The damping effect of the organic film changes the physical parameters of the rough sea surface. For example, the organic film will reduce the rms height and slopee of the rough sea surface, which results in the attenuation of the high-frequency components of the PM spectrum leading to modification of the surface PM spectrum. Then, the influence of the organic film on the electromagnetic (EM) scattering coefficients from PM rough sea surface covered by the organic film is investigated and discussed in detail, compared with the clean PM rough sea surface through the method of moments. (fundamental areas of phenomenology(including applications))

  17. Three-tier rough superhydrophobic surfaces

    International Nuclear Information System (INIS)

    Cao, Yuanzhi; Yuan, Longyan; Hu, Bin; Zhou, Jun

    2015-01-01

    A three-tier rough superhydrophobic surface was fabricated by growing hydrophobic modified (fluorinated silane) zinc oxide (ZnO)/copper oxide (CuO) hetero-hierarchical structures on silicon (Si) micro-pillar arrays. Compared with the other three control samples with a less rough tier, the three-tier surface exhibits the best water repellency with the largest contact angle 161° and the lowest sliding angle 0.5°. It also shows a robust Cassie state which enables the water to flow with a speed over 2 m s"−"1. In addition, it could prevent itself from being wetted by the droplet with low surface tension (mixed water and ethanol 1:1 in volume) which reveals a flow speed of 0.6 m s"−"1 (dropped from the height of 2 cm). All these features prove that adding another rough tier on a two-tier rough surface could futher improve its water-repellent properties. (paper)

  18. Experimental investigation of Surface Roughness and Cutting force in CNC Turning - A Review

    Directory of Open Access Journals (Sweden)

    Dhiraj Patel

    2014-08-01

    Full Text Available The main purpose of this review paper is to check whether quality lies within desired tolerance level which can be accepted by the customers. So, experimental investigation surface roughness and cutting force using various CNC machining parameters including spindle speed (N, feed rate (f, and depth of cut (d,flow rate (Q and insert nose radius (r. As such, a solemn attempt is made in this paper to investigate the response parameters, viz., Cutting force and Surface Roughness (Ra a by experimentation on EN 19 turning process. The Design of experiments is carried-out considering Taguchi Technique with four input parameters, namely, spindle speed, feed rate, and depth of cut, flow rate and insert nose radius .The experiments are conducted considering the above materials for L16 and then the impact of each parameter is estimated by ANOAVA. Then the regression analysis is carried-out to find the trend of the response of each material. This experimental study aims at taguchi method has been applied for finding the effect on surface roughness and cutting force by various process parameters. And after that we can easily find out that which parameter will be more affect.

  19. Effect of machining parameters on surface finish of Inconel 718 in end milling

    Directory of Open Access Journals (Sweden)

    Sarkar Bapi

    2017-01-01

    Full Text Available Surface finish is an important criteria in machining process and selection of proper machining parameters is important to obtain good surface finish. In the present work effects of the machining parameters in end milling of Inconel 718 were investigated. Central composite design was used to design the total number of experiments. A Mathematical model for surface roughness has been developed using response surface methodology. In this study, the influence of cutting parameters such as cutting speed, feed rate and depth of cut on surface roughness was analyzed. The study includes individual effect of cutting parameters on surface roughness as well as their interaction. The analysis of variance (ANOVA was employed to find the validity of the developed model. The results show that depth of cut mostly affected the surface roughness. It is also observed that surface roughness values are comparable in both dry and wet machining conditions.

  20. Dissolution of minerals with rough surfaces

    Science.gov (United States)

    de Assis, Thiago A.; Aarão Reis, Fábio D. A.

    2018-05-01

    We study dissolution of minerals with initial rough surfaces using kinetic Monte Carlo simulations and a scaling approach. We consider a simple cubic lattice structure, a thermally activated rate of detachment of a molecule (site), and rough surface configurations produced by fractional Brownian motion algorithm. First we revisit the problem of dissolution of initial flat surfaces, in which the dissolution rate rF reaches an approximately constant value at short times and is controlled by detachment of step edge sites. For initial rough surfaces, the dissolution rate r at short times is much larger than rF ; after dissolution of some hundreds of molecular layers, r decreases by some orders of magnitude across several time decades. Meanwhile, the surface evolves through configurations of decreasing energy, beginning with dissolution of isolated sites, then formation of terraces with disordered boundaries, their growth, and final smoothing. A crossover time to a smooth configuration is defined when r = 1.5rF ; the surface retreat at the crossover is approximately 3 times the initial roughness and is temperature-independent, while the crossover time is proportional to the initial roughness and is controlled by step-edge site detachment. The initial dissolution process is described by the so-called rough rates, which are measured for fixed ratios between the surface retreat and the initial roughness. The temperature dependence of the rough rates indicates control by kink site detachment; in general, it suggests that rough rates are controlled by the weakest microscopic bonds during the nucleation and formation of the lowest energy configurations of the crystalline surface. Our results are related to recent laboratory studies which show enhanced dissolution in polished calcite surfaces. In the application to calcite dissolution in alkaline environment, the minimal values of recently measured dissolution rate spectra give rF ∼10-9 mol/(m2 s), and the calculated rate

  1. Numerical analysis of the effect of surface roughness on mechanical fields in polycrystalline aggregates

    Science.gov (United States)

    Guilhem, Yoann; Basseville, Stéphanie; Curtit, François; Stéphan, Jean-Michel; Cailletaud, Georges

    2018-06-01

    This paper is dedicated to the study of the influence of surface roughness on local stress and strain fields in polycrystalline aggregates. Finite element computations are performed with a crystal plasticity model on a 316L stainless steel polycrystalline material element with different roughness states on its free surface. The subsequent analysis of the plastic strain localization patterns shows that surface roughness strongly affects the plastic strain localization induced by crystallography. Nevertheless, this effect mainly takes place at the surface and vanishes under the first layer of grains, which implies the existence of a critical perturbed depth. A statistical analysis based on the plastic strain distribution obtained for different roughness levels provides a simple rule to define the size of the affected zone depending on the rough surface parameters.

  2. Non-Contact Surface Roughness Measurement by Implementation of a Spatial Light Modulator

    Directory of Open Access Journals (Sweden)

    Laura Aulbach

    2017-03-01

    Full Text Available The surface structure, especially the roughness, has a significant influence on numerous parameters, such as friction and wear, and therefore estimates the quality of technical systems. In the last decades, a broad variety of surface roughness measurement methods were developed. A destructive measurement procedure or the lack of feasibility of online monitoring are the crucial drawbacks of most of these methods. This article proposes a new non-contact method for measuring the surface roughness that is straightforward to implement and easy to extend to online monitoring processes. The key element is a liquid-crystal-based spatial light modulator, integrated in an interferometric setup. By varying the imprinted phase of the modulator, a correlation between the imprinted phase and the fringe visibility of an interferogram is measured, and the surface roughness can be derived. This paper presents the theoretical approach of the method and first simulation and experimental results for a set of surface roughnesses. The experimental results are compared with values obtained by an atomic force microscope and a stylus profiler.

  3. Radiation properties modeling for plasma-sprayed-alumina-coated rough surfaces for spacecrafts

    International Nuclear Information System (INIS)

    Li, R.M.; Joshi, Sunil C.; Ng, H.W.

    2006-01-01

    Spacecraft thermal control materials (TCMs) play a vital role in the entire service life of a spacecraft . Most of the conventional TCMs degrade in the harmful space environment . In the previous study, plasma sprayed alumina (PSA) coating was established as a new and better TCM for spacecrafts, in view of its stability and reliability compared to the traditional TCMs . During the investigation, the surface roughness of PSA was found important, because the roughness affects the radiative heat exchange between the surface and its surroundings. Parameters such as root-mean-square roughness cannot properly evaluate surface roughness effects on radiative properties of opaque surfaces . Some models have been developed earlier to predict the effects, such as Davies' model , Tang and Buckius's statistical geometric optics model . However, they are valid only in their own specific situations. In this paper, an energy absorption geometry model was developed and applied to investigate the roughness effects with the help of 2D surface profile of PSA coated substrate scanned at micron level. This model predicts effective normal solar absorptance (α ne ) and effective hemispherical infrared emittance (ε he ) of a rough PSA surface. These values, if used in the heat transfer analysis of an equivalent, smooth and optically flat surface, lead to the prediction of the same rate of heat exchange and temperature as that of for the rough PSA surface. The model was validated through comparison between a smooth and a rough PSA coated surfaces. Even though not tested for other types of materials, the model formulation is generic and can be used to incorporate the rough surface effects for other types of thermal coatings, provided the baseline values of normal solar absorptance (α n ) and hemispherical infrared emittance (ε h ) are available for a generic surface of the same material

  4. Towards predictive models for transitionally rough surfaces

    Science.gov (United States)

    Abderrahaman-Elena, Nabil; Garcia-Mayoral, Ricardo

    2017-11-01

    We analyze and model the previously presented decomposition for flow variables in DNS of turbulence over transitionally rough surfaces. The flow is decomposed into two contributions: one produced by the overlying turbulence, which has no footprint of the surface texture, and one induced by the roughness, which is essentially the time-averaged flow around the surface obstacles, but modulated in amplitude by the first component. The roughness-induced component closely resembles the laminar steady flow around the roughness elements at the same non-dimensional roughness size. For small - yet transitionally rough - textures, the roughness-free component is essentially the same as over a smooth wall. Based on these findings, we propose predictive models for the onset of the transitionally rough regime. Project supported by the Engineering and Physical Sciences Research Council (EPSRC).

  5. Multipoint contact modeling of nanoparticle manipulation on rough surface

    Energy Technology Data Exchange (ETDEWEB)

    Zakeri, M., E-mail: m.zakeri@tabrizu.ac.ir; Faraji, J.; Kharazmi, M. [University of Tabriz, School of Engineering Emerging Technologies (Iran, Islamic Republic of)

    2016-12-15

    In this paper, the atomic force microscopy (AFM)-based 2-D pushing of nano/microparticles investigated on rough substrate by assuming a multipoint contact model. First, a new contact model was extracted and presented based on the geometrical profiles of Rumpf, Rabinovich and George models and the contact mechanics theories of JKR and Schwartz, to model the adhesion forces and the deformations in the multipoint contact of rough surfaces. The geometry of a rough surface was defined by two main parameters of asperity height (size of roughness) and asperity wavelength (compactness of asperities distribution). Then, the dynamic behaviors of nano/microparticles with radiuses in range of 50–500 nm studied during their pushing on rough substrate with a hexagonal or square arrangement of asperities. Dynamic behavior of particles were simulated and compared by assuming multipoint and single-point contact schemes. The simulation results show that the assumption of multipoint contact has a considerable influence on determining the critical manipulation force. Additionally, the assumption of smooth surfaces or single-point contact leads to large error in the obtained results. According to the results of previous research, it anticipated that a particles with the radius less than about 550 nm start to slide on smooth substrate; but by using multipoint contact model, the predicted behavior changed, and particles with radii of smaller than 400 nm begin to slide on rough substrate for different height of asperities, at first.

  6. Modeling and Simulated Annealing Optimization of Surface Roughness in CO2 Laser Nitrogen Cutting of Stainless Steel

    Directory of Open Access Journals (Sweden)

    M. Madić

    2013-09-01

    Full Text Available This paper presents a systematic methodology for empirical modeling and optimization of surface roughness in nitrogen, CO2 laser cutting of stainless steel . The surface roughness prediction model was developed in terms of laser power , cutting speed , assist gas pressure and focus position by using The artificial neural network ( ANN . To cover a wider range of laser cutting parameters and obtain an experimental database for the ANN model development, Taguchi 's L27 orthogonal array was implemented in the experimental plan. The developed ANN model was expressed as an explicit nonlinear function , while the influence of laser cutting parameters and their interactions on surface roughness were analyzed by generating 2D and 3D plots . The final goal of the experimental study Focuses on the determinationof the optimum laser cutting parameters for the minimization of surface roughness . Since the solution space of the developed ANN model is complex, and the possibility of many local solutions is great, simulated annealing (SA was selected as a method for the optimization of surface roughness.

  7. Mars radar clutter and surface roughness characteristics from MARSIS data

    Science.gov (United States)

    Campbell, Bruce A.; Schroeder, Dustin M.; Whitten, Jennifer L.

    2018-01-01

    Radar sounder studies of icy, sedimentary, and volcanic settings can be affected by reflections from surface topography surrounding the sensor nadir location. These off-nadir ;clutter; returns appear at similar time delays to subsurface echoes and complicate geologic interpretation. Additionally, broadening of the radar echo in delay by surface returns sets a limit on the detectability of subsurface interfaces. We use MARSIS 4 MHz data to study variations in the nadir and off-nadir clutter echoes, from about 300 km to 1000 km altitude, R, for a wide range of surface roughness. This analysis uses a new method of characterizing ionospheric attenuation to merge observations over a range of solar zenith angle and date. Mirror-like reflections should scale as R-2, but the observed 4 MHz nadir echoes often decline by a somewhat smaller power-law factor because MARSIS on-board processing increases the number of summed pulses with altitude. Prior predictions of the contributions from clutter suggest a steeper decline with R than the nadir echoes, but in very rough areas the ratio of off-nadir returns to nadir echoes shows instead an increase of about R1/2 with altitude. This is likely due in part to an increase in backscatter from the surface as the radar incidence angle at some round-trip time delay declines with increasing R. It is possible that nadir and clutter echo properties in other planetary sounding observations, including RIME and REASON flyby data for Europa, will vary in the same way with altitude, but there may be differences in the nature and scale of target roughness (e.g., icy versus rocky surfaces). We present global maps of the ionosphere- and altitude-corrected nadir echo strength, and of a ;clutter; parameter based on the ratio of off-nadir to nadir echoes. The clutter map offers a view of surface roughness at ∼75 m length scale, bridging the spatial-scale gap between SHARAD roughness estimates and MOLA-derived parameters.

  8. [Effects of surface roughness of bone cements on histological characteristics of induced membranes].

    Science.gov (United States)

    Liu, Hai-Xiao; Xu, Hua-Zi; Zhang, Yu; Hu, Gang; Shen, Yue; Cheng, Xiao-Jie; Peng, Lei

    2012-08-01

    To explore surface roughness of bone cement and surround tissue on histological characteristic of induced membranes. Bone cements with smooth and rough surface were implanted in radius bone defect, intramuscular and subcutaneous sites of rabbits, and formed induced membranes. Membranes were obtained and stained (HE) 6 weeks later. Images of membrane tissue were obtained and analyzed with an automated image analysis system. Five histological parameters of membranes were measured with thickness,area,cell density,ECM density and microvessel density. Double factor variance analysis was used to evaluate the effect of the two factors on histological characteristics of induced membranes. Membranes can be induced by each kind of bone cement and at all the three tissue sites. In histological parameters of thickness,area and micro vessel,there were significant differences among the membranes induced at different tissue sites (P = 0.000, P = 0.000, P = 0.000); whereas, there were no significant differences in histological parameters of cell density and ECM density (P = 0.734, P = 0.638). In all five histological parameters of membranes, there were no significant differences between the membranes induced by bone cements with different surface roughness (P = 0.506, P = 0.185, P = 0.883, P = 0.093, P = 0.918). Surround tissue rather than surface roughness of bone cements can affect the histological characteristics of induced membranes. The fibrocystic number, vascularity, mechanical tension and micro motion of the surround tissue may be closely correlated with the histological characteristics of induced membranes.

  9. Characterisation of surface roughness for ultra-precision freeform surfaces

    International Nuclear Information System (INIS)

    Li Huifen; Cheung, C F; Lee, W B; To, S; Jiang, X Q

    2005-01-01

    Ultra-precision freeform surfaces are widely used in many advanced optics applications which demand for having surface roughness down to nanometer range. Although a lot of research work has been reported on the study of surface generation, reconstruction and surface characterization such as MOTIF and fractal analysis, most of them are focused on axial symmetric surfaces such as aspheric surfaces. Relative little research work has been found in the characterization of surface roughness in ultra-precision freeform surfaces. In this paper, a novel Robust Gaussian Filtering (RGF) method is proposed for the characterisation of surface roughness for ultra-precision freeform surfaces with known mathematic model or a cloud of discrete points. A series of computer simulation and measurement experiments were conducted to verify the capability of the proposed method. The experimental results were found to agree well with the theoretical results

  10. Application of Box-Behnken Design and Response Surface Methodology for Surface Roughness Prediction Model of CP-Ti Powder Metallurgy Components Through WEDM

    Science.gov (United States)

    Das, Arunangsu; Sarkar, Susenjit; Karanjai, Malobika; Sutradhar, Goutam

    2018-04-01

    The present work was undertaken to investigate and characterize the machining parameters (such as surface roughness, etc.) of uni-axially pressed commercially pure titanium sintered powder metallurgy components. Powder was uni-axially pressed at designated pressure of 840 MPa to form cylindrical samples and the green compacts were sintered at 0.001 mbar for about 4 h with sintering temperature varying from 1350 to 1450 °C. The influence of the sintering temperature, pulse-on and pulse-off time at wire-EDM on the surface roughness of the preforms has been investigated thoroughly. Experiments were conducted under different machining parameters in a CNC operated wire-cut EDM. The surface roughness of the machined surface was measured and critically analysed. The optimum surface roughness was achieved under the conditions of 6 μs pulse-on time, 9 μs pulse-off time and at sintering temperature of 1450 °C.

  11. Application of Box-Behnken Design and Response Surface Methodology for Surface Roughness Prediction Model of CP-Ti Powder Metallurgy Components Through WEDM

    Science.gov (United States)

    Das, Arunangsu; Sarkar, Susenjit; Karanjai, Malobika; Sutradhar, Goutam

    2017-06-01

    The present work was undertaken to investigate and characterize the machining parameters (such as surface roughness, etc.) of uni-axially pressed commercially pure titanium sintered powder metallurgy components. Powder was uni-axially pressed at designated pressure of 840 MPa to form cylindrical samples and the green compacts were sintered at 0.001 mbar for about 4 h with sintering temperature varying from 1350 to 1450 °C. The influence of the sintering temperature, pulse-on and pulse-off time at wire-EDM on the surface roughness of the preforms has been investigated thoroughly. Experiments were conducted under different machining parameters in a CNC operated wire-cut EDM. The surface roughness of the machined surface was measured and critically analysed. The optimum surface roughness was achieved under the conditions of 6 μs pulse-on time, 9 μs pulse-off time and at sintering temperature of 1450 °C.

  12. Sensitivity of surface roughness parameters to changes in the density of scanning points in multi-scale AFM studies. Application to a biomaterial surface

    International Nuclear Information System (INIS)

    Mendez-Vilas, A.; Bruque, J.M.; Gonzalez-Martin, M.L.

    2007-01-01

    In the field of biomaterials surfaces, the ability of the atomic force microscope (AFM) to access the surface structure at unprecedented spatial (vertical and lateral) resolution, is helping in a better understanding on how topography affects the overall interaction of biological cells with the material surface. Since cells in a wide range of sizes are in contact with the biomaterial surface, a quantification of the surface structure in such a wide range of dimensional scales is needed. With the advent of the AFM, this can be routinely done in the lab. In this work, we show that even when it is clear that such a scale-dependent study is needed, AFM maps of the biomaterial surface taken at different scanning lengths are not completely consistent when they are taken at the same scanning resolution, as it is usually done: AFM images of different scanning areas have different point-to-point physical distances. We show that this effect influences the quantification of the average (R a ) and rms (R q ) roughness parameters determined at different length scales. This is the first time this inconsistency is reported and should be taken into account when roughness is measured in this way. Since differences will be in general in the range of nanometres, this is especially interesting for those processes involving the interaction of the biomaterial surface with small biocolloids as bacteria, while this effect should not represent any problems for larger animal cells

  13. Effects of polishing on surface roughness, gloss, and color of resin composites.

    Science.gov (United States)

    Hosoya, Yumiko; Shiraishi, Takanobu; Odatsu, Tetsuro; Nagafuji, Junichi; Kotaku, Mayumi; Miyazaki, Masashi; Powers, John M

    2011-09-01

    This study evaluated the effects of polishing on surface roughness, gloss, and color of regular, opaque, and enamel shades for each of three resin composites. Two-mm-thick resin disks made with Estelite Σ Quick, Clearfil Majesty, and Beautifil II were final polished with 180-, 1000-, and 3000-grit silicon carbide paper. Surface roughness, gloss, and color were measured one week after curing. Estelite Σ Quick had significantly lower roughness values and significantly higher gloss values as compared with Clearfil Majesty and Beautifil II. The effects of surface roughness and gloss on color (L*a*b*) differed among resin composites and by shade. Correlation coefficients between surface roughness and L*a*b* color factors were generally high for Clearfil Majesty, partially high (i.e., between roughness and L*) for Beautifil II, and low for Estelite Σ Quick. Correlation coefficients between gloss and L*a*b* color parameters were generally high for Beautifil II and low for Estelite Σ Quick and Clearfil Majesty. However, for all resin composites, the values of the color differences between 3000-grit and 180-grit polishing groups for all shades were imperceptible by the naked eye.

  14. AFM Surface Roughness and Topography Analysis of Lithium Disilicate Glass Ceramic

    Directory of Open Access Journals (Sweden)

    M. Pantić

    2015-12-01

    Full Text Available The aim of this study is presenting AFM analysis of surface roughness of Lithium disilicate glass ceramic (IPS e.max CAD under different finishing procedure (techniques: polishing, glazing and grinding. Lithium disilicate glass ceramics is all-ceramic dental system which is characterized by high aesthetic quality and it can be freely said that properties of material provide all prosthetic requirements: function, biocompatibility and aesthetic. Experimental tests of surface roughness were investigated on 4 samples with dimensions: 18 mm length, 14 mm width and 12 mm height. Contact surfaces of three samples were treated with different finishing procedure (polishing, glazing and grinding, and the contact surface of the raw material is investigated as a fourth sample. Experimental measurements were done using the Atomic Force Microscopy (AFM of NT-MDT manufacturers, in the contact mode. All obtained results of different prepared samples are presented in the form of specific roughness parameters (Rа, Rz, Rmax, Rq and 3D surface topography.

  15. Evaluation of Surface Roughness and Power Consumption in Machining FCD 450 Cast Iron using Coated and Uncoated Irregular Milling Tools

    International Nuclear Information System (INIS)

    Yusoff, Ahmad Razlan; Arsyad, Fitriyanti

    2016-01-01

    In this project, the effects of different cutting parameters on surface roughness and power consumption when machining FCD450 cast iron were studied using coated and uncoated irregular milling tool geometry of variable helix and pitch. Their responses on roughness and power consumption were evaluated based on the spindle speed, feed rate, and depth of cut, machining length and machining time. Results showed that except spindle speed and machining length, other parameters such as feed rate, axial and radial depth of cut and also machining time proportionate with surface roughness. The power consumption proportionately increase for all cutting parameters except feedrate. It is showed that the average decrement 27.92 percent for surface roughness and average decrement 9.32 percent for power consumption by using coated compared to uncoated tool. Optimum cutting parameters for both minimum surface roughness and power consumption can be determined. The coated tools performed better than uncoated milling tools for responses of surface roughness and power consumption to increase machining productivity and profit. (paper)

  16. Bifurcation parameters of a reflected shock wave in cylindrical channels of different roughnesses

    Science.gov (United States)

    Penyazkov, O.; Skilandz, A.

    2018-03-01

    To investigate the effect of bifurcation on the induction time in cylindrical shock tubes used for chemical kinetic experiments, one should know the parameters of the bifurcation structure of a reflected shock wave. The dynamics and parameters of the shock wave bifurcation, which are caused by reflected shock wave-boundary layer interactions, are studied experimentally in argon, in air, and in a hydrogen-nitrogen mixture for Mach numbers M = 1.3-3.5 in a 76-mm-diameter shock tube without any ramp. Measurements were taken at a constant gas density behind the reflected shock wave. Over a wide range of experimental conditions, we studied the axial projection of the oblique shock wave and the pressure distribution in the vicinity of the triple Mach configuration at 50, 150, and 250 mm from the endwall, using side-wall schlieren and pressure measurements. Experiments on a polished shock tube and a shock tube with a surface roughness of 20 {μ }m Ra were carried out. The surface roughness was used for initiating small-scale turbulence in the boundary layer behind the incident shock wave. The effect of small-scale turbulence on the homogenization of the transition zone from the laminar to turbulent boundary layer along the shock tube perimeter was assessed, assuming its influence on a subsequent stabilization of the bifurcation structure size versus incident shock wave Mach number, as well as local flow parameters behind the reflected shock wave. The influence of surface roughness on the bifurcation development and pressure fluctuations near the wall, as well as on the Mach number, at which the bifurcation first develops, was analyzed. It was found that even small additional surface roughness can lead to an overshoot in pressure growth by a factor of two, but it can stabilize the bifurcation structure along the shock tube perimeter.

  17. Development of Fractal Dimension and Characteristic Roughness Models for Turned Surface of Carbon Steels

    Science.gov (United States)

    Zuo, Xue; Zhu, Hua; Zhou, Yuankai; Ding, Cong; Sun, Guodong

    2016-08-01

    Relationships between material hardness, turning parameters (spindle speed and feed rate) and surface parameters (surface roughness Ra, fractal dimension D and characteristic roughness τ∗) are studied and modeled using response surface methodology (RSM). The experiments are carried out on a CNC lathe for six carbon steel material AISI 1010, AISI 1020, AISI 1030, AISI 1045, AISI 1050 and AISI 1060. The profile of turned surface and the surface roughness value are measured by a JB-5C profilometer. Based on the profile data, D and τ∗ are computed through the root-mean-square method. The analysis of variance (ANOVA) reveals that spindle speed is the most significant factors affecting Ra, while material hardness is the most dominant parameter affecting τ∗. Material hardness and spindle speed have the same influence on D. Feed rate has less effect on three surface parameters than spindle speed and material hardness. The second-order models of RSM are established for estimating Ra, D and τ∗. The validity of the developed models is approximately 80%. The response surfaces show that a surface with small Ra and large D and τ∗ can be obtained by selecting a high speed and a large hardness material. According to the established models, Ra, D and τ∗ of six carbon steels surfaces can be predicted under cutting conditions studied in this paper. The results have an instructive meaning to estimate the surface quality before turning.

  18. Generalizing roughness: experiments with flow-oriented roughness

    Science.gov (United States)

    Trevisani, Sebastiano

    2015-04-01

    Surface texture analysis applied to High Resolution Digital Terrain Models (HRDTMs) improves the capability to characterize fine-scale morphology and permits the derivation of useful morphometric indexes. An important indicator to be taken into account in surface texture analysis is surface roughness, which can have a discriminant role in the detection of different geomorphic processes and factors. The evaluation of surface roughness is generally performed considering it as an isotropic surface parameter (e.g., Cavalli, 2008; Grohmann, 2011). However, surface texture has often an anisotropic character, which means that surface roughness could change according to the considered direction. In some applications, for example involving surface flow processes, the anisotropy of roughness should be taken into account (e.g., Trevisani, 2012; Smith, 2014). Accordingly, we test the application of a flow-oriented directional measure of roughness, computed considering surface gravity-driven flow. For the calculation of flow-oriented roughness we use both classical variogram-based roughness (e.g., Herzfeld,1996; Atkinson, 2000) as well as an ad-hoc developed robust modification of variogram (i.e. MAD, Trevisani, 2014). The presented approach, based on a D8 algorithm, shows the potential impact of considering directionality in the calculation of roughness indexes. The use of flow-oriented roughness could improve the definition of effective proxies of impedance to flow. Preliminary results on the integration of directional roughness operators with morphometric-based models, are promising and can be extended to more complex approaches. Atkinson, P.M., Lewis, P., 2000. Geostatistical classification for remote sensing: an introduction. Computers & Geosciences 26, 361-371. Cavalli, M. & Marchi, L. 2008, "Characterization of the surface morphology of an alpine alluvial fan using airborne LiDAR", Natural Hazards and Earth System Science, vol. 8, no. 2, pp. 323-333. Grohmann, C

  19. Impact of dielectric parameters on the reflectivity of 3C–SiC wafers with a rough surface morphology in the reststrahlen region

    International Nuclear Information System (INIS)

    Engelbrecht, J.A.A.; Janzén, E.; Henry, A.; Rooyen, I.J. van

    2014-01-01

    A layer-on-substrate model is used to obtain the infrared reflectance for 3C–SiC with a rough surface morphology. The effect of varying dielectric parameters of the “damaged layer” on the observed reflectivity of the 3C–SiC in the reststrahlen region is assessed. Different simulated reflectance spectra are obtained to those if the dielectric parameters of the “substrate” were varied. Most notable changes in the shape of the simulated reststrahlen peak are observed for changes in the high frequency dielectric constant, the phonon damping constant, the phonon frequencies and “thickness” of damaged surface layer.

  20. Impact of dielectric parameters on the reflectivity of 3C–SiC wafers with a rough surface morphology in the reststrahlen region

    Energy Technology Data Exchange (ETDEWEB)

    Engelbrecht, J.A.A., E-mail: Japie.Engelbrecht@nmmu.ac.za [Physics Department, Nelson Mandela Metropolitan University, PO Box 77000, Port Elizabeth 6031 (South Africa); Janzén, E.; Henry, A. [Department of Physics, Chemistry and Biology, Linköping University, SE-581 83 Linköping (Sweden); Rooyen, I.J. van [Fuel Performance and Design Department, Idaho National Laboratory, PO Box 1625, Idaho Falls, ID 83415-6188 (United States)

    2014-04-15

    A layer-on-substrate model is used to obtain the infrared reflectance for 3C–SiC with a rough surface morphology. The effect of varying dielectric parameters of the “damaged layer” on the observed reflectivity of the 3C–SiC in the reststrahlen region is assessed. Different simulated reflectance spectra are obtained to those if the dielectric parameters of the “substrate” were varied. Most notable changes in the shape of the simulated reststrahlen peak are observed for changes in the high frequency dielectric constant, the phonon damping constant, the phonon frequencies and “thickness” of damaged surface layer.

  1. Effect of Surface Roughness on MHD Couple Stress Squeeze-Film Characteristics between a Sphere and a Porous Plane Surface

    Directory of Open Access Journals (Sweden)

    M. Rajashekar

    2012-01-01

    Full Text Available The combined effects of couple stress and surface roughness on the MHD squeeze-film lubrication between a sphere and a porous plane surface are analyzed, based upon the thin-film magnetohydrodynamic (MHD theory. Using Stoke’s theory to account for the couple stresses due to the microstructure additives and the Christensen’s stochastic method developed for hydrodynamic lubrication of rough surfaces derives the stochastic MHD Reynolds-type equation. The expressions for the mean MHD squeeze-film pressure, mean load-carrying capacity, and mean squeeze-film time are obtained. The results indicate that the couple stress fluid in the film region enhances the mean MHD squeeze-film pressure, load-carrying capacity, and squeeze-film time. The effect of roughness parameter is to increase (decrease the load-carrying capacity and lengthen the response time for azimuthal (radial roughness patterns as compared to the smooth case. Also, the effect of porous parameter is to decrease the load-carrying capacity and increase the squeeze-film time as compared to the solid case.

  2. The effects of surface roughness on low haze ultrathin nanocomposite films

    Energy Technology Data Exchange (ETDEWEB)

    Kanniah, Vinod [Chemical and Materials Engineering, 177 F. Paul Anderson Tower, University of Kentucky, Lexington, KY 40506 (United States); Tru Vue, Inc. 9400 West, 55th St, McCook, IL 60525 (United States); Grulke, Eric A., E-mail: eric.grulke@uky.edu [Chemical and Materials Engineering, 177 F. Paul Anderson Tower, University of Kentucky, Lexington, KY 40506 (United States); Druffel, Thad [Vision Dynamics LLC, 1950 Production Court, Louisville, KY 40299 (United States); Conn Center for Renewable Energy Research, University of Louisville, Ernst Hall Room 102A, Louisville, KY 40292 (United States)

    2013-07-31

    Control of surface roughness in optical applications can have a large impact on haze. This work compares surface roughness and haze for self-assembled experimental surface structures as well as simulated surface structures for ultrathin nanocomposite films. Ultrathin nanocomposite films were synthesized from an acrylate monomer as the continuous phase with monodisperse or bidisperse mixtures of silica nanoparticles as the dispersed phase. An in-house spin coating deposition technique was used to make thin nanocomposite films on hydrophilic (glass) and hydrophobic (polycarbonate) substrates. Manipulating the size ratios of the silica nanoparticle mixtures generated multimodal height distributions, varied the average surface roughness (σ) and changed lateral height–height correlations (a). For the simulated surfaces, roughness was estimated from their morphologies, and haze was calculated using simplified Rayleigh scattering theory. Experimental data for haze and morphologies of nanocomposite films corresponded well to these properties for simulated tipped pyramid surfaces. A correlation based on simple Rayleigh scattering theory described our experimental data well, but the exponent on the parameter, σ/λ (λ is the wavelength of incident light), does not have the expected value of 2. A scalar scattering model and a prior Monte Carlo simulation estimated haze values similar to those of our experimental samples. - Highlights: • Bidisperse nanoparticle mixtures created structured surfaces on thin films. • Monodisperse discrete phases created unimodal structure distributions. • Bidisperse discrete phases created multimodal structure distributions. • Multimodal structures had maximum heights ≤ 1.5 D{sub large} over our variable range. • Simplified Rayleigh scattering theory linked roughness to haze and contact angle.

  3. Assessment of engineered surfaces roughness by high-resolution 3D SEM photogrammetry

    Energy Technology Data Exchange (ETDEWEB)

    Gontard, L.C., E-mail: lionelcg@gmail.com [Departamento de Ciencia de los Materiales e Ingeniería Metalúrgica y Química Inorgánica, Universidad de Cádiz, Puerto Real 11510 (Spain); López-Castro, J.D.; González-Rovira, L. [Departamento de Ciencia de los Materiales e Ingeniería Metalúrgica y Química Inorgánica, Escuela Superior de Ingeniería, Laboratorio de Corrosión, Universidad de Cádiz, Puerto Real 11519 (Spain); Vázquez-Martínez, J.M. [Departamento de Ingeniería Mecánica y Diseño Industrial, Escuela Superior de Ingeniería, Universidad de Cádiz, Puerto Real 11519 (Spain); Varela-Feria, F.M. [Servicio de Microscopía Centro de Investigación, Tecnología e Innovación (CITIUS), Universidad de Sevilla, Av. Reina Mercedes 4b, 41012 Sevilla (Spain); Marcos, M. [Departamento de Ingeniería Mecánica y Diseño Industrial, Escuela Superior de Ingeniería, Universidad de Cádiz, Puerto Real 11519 (Spain); and others

    2017-06-15

    Highlights: • We describe a method to acquire a high-angle tilt series of SEM images that is symmetrical respect to the zero tilt of the sample stage. The method can be applied in any SEM microscope. • Using the method, high-resolution 3D SEM photogrammetry can be applied on planar surfaces. • 3D models of three surfaces patterned with grooves are reconstructed with high resolution using multi-view freeware photogrammetry software as described in LC Gontard et al. Ultramicroscopy, 2016. • From the 3D models roughness parameters are measured • 3D SEM high-resolution photogrammetry is compared with two conventional methods used for roughness characetrization: stereophotogrammetry and contact profilometry. • It provides three-dimensional information with high-resolution that is out of reach for any other metrological technique. - Abstract: We describe a methodology to obtain three-dimensional models of engineered surfaces using scanning electron microscopy and multi-view photogrammetry (3DSEM). For the reconstruction of the 3D models of the surfaces we used freeware available in the cloud. The method was applied to study the surface roughness of metallic samples patterned with parallel grooves by means of laser. The results are compared with measurements obtained using stylus profilometry (PR) and SEM stereo-photogrammetry (SP). The application of 3DSEM is more time demanding than PR or SP, but it provides a more accurate representation of the surfaces. The results obtained with the three techniques are compared by investigating the influence of sampling step on roughness parameters.

  4. Influence of surface roughness on the friction property of textured surface

    Directory of Open Access Journals (Sweden)

    Yuankai Zhou

    2015-02-01

    Full Text Available In contrast with dimple textures, surface roughness is a texture at the micro-scale, essentially which will influence the load-bearing capacity of lubricant film. The numerical simulation was carried out to investigate the influence of surface roughness on friction property of textured surface. The lubricant film pressure was obtained using the method of computational fluid dynamics according to geometric model of round dimple, and the renormalization-group k–ε turbulent model was adopted in the computation. The numerical simulation results suggest that there is an optimum dimensionless surface roughness, and near this value, the maximum load-bearing capacity can be achieved. The load-bearing capacity is determined by the surface texture, the surface roughness, and the interaction between them. To get information of friction coefficient, the experiments were conducted. This experiment was used to evaluate the simulation. The experimental results show that for the frequency of 4 and 6 Hz, friction coefficient decreases at first and then increases with decreasing surface roughness, which indicates that there exists the optimum region of surface roughness leading to the best friction reduction effect, and it becomes larger when area fractions increase from 2% to 10%. The experimental results agree well with the simulation results.

  5. On the application of response surface methodology for predicting and optimizing surface roughness and cutting forces in hard turning by PVD coated insert

    Directory of Open Access Journals (Sweden)

    Hessainia Zahia

    2015-04-01

    Full Text Available This paper focuses on the exploitation of the response surface methodology (RSM to determine optimum cutting conditions leading to minimum surface roughness and cutting force components. The technique of RSM helps to create an efficient statistical model for studying the evolution of surface roughness and cutting forces according to cutting parameters: cutting speed, feed rate and depth of cut. For this purpose, turning tests of hardened steel alloy (AISI 4140 (56 HRC were carried out using PVD – coated ceramic insert under different cutting conditions. The equations of surface roughness and cutting forces were achieved by using the experimental data and the technique of the analysis of variance (ANOVA. The obtained results are presented in terms of mean values and confidence levels. It is shown that feed rate and depth of cut are the most influential factors on surface roughness and cutting forces, respectively. In addition, it is underlined that the surface roughness is mainly related to the cutting speed, whereas depth of cut has the greatest effect on the evolution of cutting forces. The optimal machining parameters obtained in this study represent reductions about 6.88%, 3.65%, 19.05% in cutting force components (Fa, Fr, Ft, respectively. The latters are compared with the results of initial cutting parameters for machining AISI 4140 steel in the hard turning process.

  6. 2D and 3D milled surface roughness of high volume fraction SiCp/Al composites

    Directory of Open Access Journals (Sweden)

    Tao Wang

    2015-06-01

    Full Text Available This paper presents a study on surface roughness generated by high speed milling of high volume fraction (65% silicon carbide particle-reinforced aluminum matrix (SiCp/Al composites. Typical 2D (Ra and Rz and 3D (Sa and Sq surface roughness parameters were selected to evaluate the influence of the milling parameters on the surface quality in comparison with aluminum alloy. The 3D topography of the milled surface was studied as well. The results indicate that 3D parameters (Sa and Sq are more capable to describe the influence of the milling parameters on the surface quality, and among them Sq is preferable due to its good sensitivity. Sq decreases with milling speed and increases with feed rate. The influence of axial depth of cut (ADOC is negligible.

  7. Flow and heat transfer over a rotating disk with surface roughness

    International Nuclear Information System (INIS)

    Yoon, Myung Sup; Hyun, Jae Min; Park, Jun Sang

    2007-01-01

    A numerical study is made of flow and heat transfer near an infinite disk, which rotates steadily about the longitudinal axis. The surface of the disk is characterized by axisymmetric, sinusoidally-shaped roughness. The representative Reynolds number is large. Numerical solutions are acquired to the governing boundary-layer-type equations. The present numerical results reproduce the previous data for a flat disk. For a wavy surface disk, the radial distributions of local skin friction coefficient and local Nusselt number show double periodicity, which is in accord with the previous results. Physical explanations are provided for this finding. The surface-integrated torque coefficient and average Nusselt number increase as the surface roughness parameter increases. The effect of the Rossby number is also demonstrated

  8. Nanoscale roughness and morphology affect the IsoElectric Point of titania surfaces.

    Directory of Open Access Journals (Sweden)

    Francesca Borghi

    Full Text Available We report on the systematic investigation of the role of surface nanoscale roughness and morphology on the charging behaviour of nanostructured titania (TiO2 surfaces in aqueous solutions. IsoElectric Points (IEPs of surfaces have been characterized by direct measurement of the electrostatic double layer interactions between titania surfaces and the micrometer-sized spherical silica probe of an atomic force microscope in NaCl aqueous electrolyte. The use of a colloidal probe provides well-defined interaction geometry and allows effectively probing the overall effect of nanoscale morphology. By using supersonic cluster beam deposition to fabricate nanostructured titania films, we achieved a quantitative control over the surface morphological parameters. We performed a systematical exploration of the electrical double layer properties in different interaction regimes characterized by different ratios of characteristic nanometric lengths of the system: the surface rms roughness Rq, the correlation length ξ and the Debye length λD. We observed a remarkable reduction by several pH units of IEP on rough nanostructured surfaces, with respect to flat crystalline rutile TiO2. In order to explain the observed behavior of IEP, we consider the roughness-induced self-overlap of the electrical double layers as a potential source of deviation from the trend expected for flat surfaces.

  9. Role of surface roughness in superlubricity

    International Nuclear Information System (INIS)

    Tartaglino, U; Samoilov, V N; Persson, B N J

    2006-01-01

    We study the sliding of elastic solids in adhesive contact with flat and rough interfaces. We consider the dependence of the sliding friction on the elastic modulus of the solids. For elastically hard solids with planar surfaces with incommensurate surface structures we observe extremely low friction (superlubricity), which very abruptly increases as the elastic modulus decreases. We show that even a relatively small surface roughness may completely kill the superlubricity state

  10. Effects of surface roughness and film thickness on the adhesion of a bioinspired nanofilm

    Science.gov (United States)

    Peng, Z. L.; Chen, S. H.

    2011-05-01

    Inspired by the gecko's climbing ability, adhesion between an elastic nanofilm with finite length and a rough substrate with sinusoidal roughness is studied in the present paper, considering the effects of substrate roughness and film thickness. It demonstrates that the normal adhesion force of the nanofilm on a rough substrate depends significantly on the geometrical parameters of the substrate. When the film length is larger than the wavelength of the sinusoidal roughness of the substrate, the normal adhesion force decreases with increasing surface roughness, while the normal adhesion force initially decreases then increases if the wavelength of roughness is larger than the film length. This finding is qualitatively consistent with a previously interesting experimental observation in which the adhesion force of the gecko spatula is found to reduce significantly at an intermediate roughness. Furthermore, it is inferred that the gecko may achieve an optimal spatula thickness not only to follow rough surfaces, but also to saturate the adhesion force. The results in this paper may be helpful for understanding how geckos overcome the influence of natural surface roughness and possess such adhesion to support their weights.

  11. Modeling surface roughness scattering in metallic nanowires

    Energy Technology Data Exchange (ETDEWEB)

    Moors, Kristof, E-mail: kristof@itf.fys.kuleuven.be [KU Leuven, Institute for Theoretical Physics, Celestijnenlaan 200D, B-3001 Leuven (Belgium); IMEC, Kapeldreef 75, B-3001 Leuven (Belgium); Sorée, Bart [IMEC, Kapeldreef 75, B-3001 Leuven (Belgium); Physics Department, University of Antwerp, Groenenborgerlaan 171, B-2020 Antwerpen (Belgium); KU Leuven, Electrical Engineering (ESAT) Department, Kasteelpark Arenberg 10, B-3001 Leuven (Belgium); Magnus, Wim [IMEC, Kapeldreef 75, B-3001 Leuven (Belgium); Physics Department, University of Antwerp, Groenenborgerlaan 171, B-2020 Antwerpen (Belgium)

    2015-09-28

    Ando's model provides a rigorous quantum-mechanical framework for electron-surface roughness scattering, based on the detailed roughness structure. We apply this method to metallic nanowires and improve the model introducing surface roughness distribution functions on a finite domain with analytical expressions for the average surface roughness matrix elements. This approach is valid for any roughness size and extends beyond the commonly used Prange-Nee approximation. The resistivity scaling is obtained from the self-consistent relaxation time solution of the Boltzmann transport equation and is compared to Prange-Nee's approach and other known methods. The results show that a substantial drop in resistivity can be obtained for certain diameters by achieving a large momentum gap between Fermi level states with positive and negative momentum in the transport direction.

  12. Numerical Investigation of Effect of Surface Roughness in a Microchannel

    Energy Technology Data Exchange (ETDEWEB)

    Shin, Myung Seob; Byun, Sung Jun; Yoon, Joon Yong [Hanyang University, Seoul (Korea, Republic of)

    2010-05-15

    In this paper, lattice Boltzmann method(LBM) results for a laminar flow in a microchannel with rough surface are presented. The surface roughness is modeled as an array of rectangular modules placed on the top and bottom surface of a parallel-plate channel. The effects of relative surface roughness, roughness distribution, and roughness size are presented in terms of the Poiseuille number. The roughness distribution characterized by the ratio of the roughness height to the spacing between the modules has a negligible effect on the flow and friction factors. Finally, a significant increase in the Poiseuille number is observed when the surface roughness is considered, and the effects of roughness on the microflow field mainly depend on the surface roughness.

  13. Surface roughness effects on heat transfer in Couette flow

    International Nuclear Information System (INIS)

    Elia, G.G.

    1981-01-01

    A cell theory for viscous flow with rough surfaces is applied to two basic illustrative heat transfer problems which occur in Couette flow. Couette flow between one adiabatic surface and one isothermal surface exhibits roughness effects on the adiabatic wall temperature. Two types of rough cell adiabatic surfaces are studied: (1) perfectly insulating (the temperature gradient vanishes at the boundary of each cell); (2) average insulating (each cell may gain or lose heat but the total heat flow at the wall is zero). The results for the roughness on a surface in motion are postulated to occur because of fluid entrainment in the asperities on the moving surface. The symmetry of the roughness effects on thermal-viscous dissipation is discussed in detail. Explicit effects of the roughness on each surface, including combinations of roughness values, are presented to enable the case where the two surfaces may be from different materials to be studied. The fluid bulk temperature rise is also calculated for Couette flow with two ideal adiabatic surfaces. The effect of roughness on thermal-viscous dissipation concurs with the viscous hydrodynamic effect. The results are illustrated by an application to lubrication. (Auth.)

  14. A general rough-surface inversion algorithm: Theory and application to SAR data

    Science.gov (United States)

    Moghaddam, M.

    1993-01-01

    Rough-surface inversion has significant applications in interpretation of SAR data obtained over bare soil surfaces and agricultural lands. Due to the sparsity of data and the large pixel size in SAR applications, it is not feasible to carry out inversions based on numerical scattering models. The alternative is to use parameter estimation techniques based on approximate analytical or empirical models. Hence, there are two issues to be addressed, namely, what model to choose and what estimation algorithm to apply. Here, a small perturbation model (SPM) is used to express the backscattering coefficients of the rough surface in terms of three surface parameters. The algorithm used to estimate these parameters is based on a nonlinear least-squares criterion. The least-squares optimization methods are widely used in estimation theory, but the distinguishing factor for SAR applications is incorporating the stochastic nature of both the unknown parameters and the data into formulation, which will be discussed in detail. The algorithm is tested with synthetic data, and several Newton-type least-squares minimization methods are discussed to compare their convergence characteristics. Finally, the algorithm is applied to multifrequency polarimetric SAR data obtained over some bare soil and agricultural fields. Results will be shown and compared to ground-truth measurements obtained from these areas. The strength of this general approach to inversion of SAR data is that it can be easily modified for use with any scattering model without changing any of the inversion steps. Note also that, for the same reason it is not limited to inversion of rough surfaces, and can be applied to any parameterized scattering process.

  15. FDTD Investigation on Electromagnetic Scattering from Two-Layered Rough Surfaces under UPML Absorbing Condition

    International Nuclear Information System (INIS)

    Juan, Li; Li-Xin, Guo; Hao, Zeng

    2009-01-01

    Electromagnetic scattering from one-dimensional two-layered rough surfaces is investigated by using finite-difference time-domain algorithm (FDTD). The uniaxial perfectly matched layer (UPML) medium is adopted for truncation of FDTD lattices, in which the finite-difference equations can be used for the total computation domain by properly choosing the uniaxial parameters. The rough surfaces are characterized with Gaussian statistics for the height and the autocorrelation function. The angular distribution of bistatic scattering coefficient from single-layered perfect electric conducting and dielectric rough surface is calculated and it is in good agreement with the numerical result with the conventional method of moments. The influence of the relative permittivity, the incident angle, and the correlative length of two-layered rough surfaces on the bistatic scattering coefficient with different polarizations are presented and discussed in detail. (fundamental areas of phenomenology (including applications))

  16. An unscaled parameter to measure the order of surfaces: a new surface elaboration to increase cells adhesion.

    Science.gov (United States)

    Bigerelle, M; Anselme, K; Dufresne, E; Hardouin, P; Iost, A

    2002-08-01

    We present a new parameter to quantify the order of a surface. This parameter is scale-independent and can be used to compare the organization of a surface at different scales of range and amplitude. To test the accuracy of this roughness parameter versus a hundred existing ones, we created an original statistical bootstrap method. In order to assess the physical relevance of this new parameter, we elaborated a great number of surfaces with various roughness amplitudes on titanium and titanium-based alloys using different physical processes. Then we studied the influence of the roughness amplitude on in vitro adhesion and proliferation of human osteoblasts. It was then shown that our new parameter best discriminates among the cell adhesion phenomena than others' parameters (Average roughness (Ra em leader )): cells adhere better on isotropic surfaces with a low order, provided this order is quantified on a scale that is more important than that of the cells. Additionally, on these low ordered metallic surfaces, the shape of the cells presents the same morphological aspect as that we can see on the human bone trabeculae. The method used to prepare these isotropic surfaces (electroerosion) could be undoubtedly and easily applied to prepare most biomaterials with complex geometries and to improve bone implant integration. Moreover, the new order parameter we developed may be particularly useful for the fundamental understanding of the mechanism of bone cell installation on a relief and of the formation of bone cell-material interface.

  17. Modelling of surface evolution of rough surface on divertor target in fusion devices

    International Nuclear Information System (INIS)

    Dai, Shuyu; Liu, Shengguang; Sun, Jizhong; Kirschner, A.; Kawamura, G.; Tskhakaya, D.; Ding, Rui; Luo, Guangnan; Wang, Dezhen

    2015-01-01

    Highlights: • We study the surface evolution of rough surface on divertor target in fusion devices. • The effects of gyration motion and E × B drift affect 3D angular distribution. • A larger magnetic field angle leads to a reduced net eroded areal density. • The rough surface evolution affects the physical sputtering yield. - Abstract: The 3D Monte-Carlo code SURO has been used to study the surface evolution of rough surface on the divertor target in fusion devices. The edge plasma at divertor region is modelled by the SDPIC code and used as input data for SURO. Coupled with SDPIC, SURO can perform more sophisticated simulations to calculate the local angle and surface evolution of rough surface. The simulation results show that the incident direction of magnetic field, gyration and E × B force has a significant impact on 3D angular distribution of background plasma and accordingly on the erosion of rough surface. The net eroded areal density of rough surface is studied by varying the magnetic field angle with surface normal. The evolution of the microscopic morphology of rough surface can lead to a significant change in the physical sputtering yield

  18. An Investigation of the Influence of Initial Roughness on the Friction and Wear Behavior of Ground Surfaces

    Science.gov (United States)

    Liang, Guoxing; Schmauder, Siegfried; Lyu, Ming; Schneider, Yanling; Zhang, Cheng; Han, Yang

    2018-01-01

    Friction and wear tests were performed on AISI 1045 steel specimens with different initial roughness parameters, machined by a creep-feed dry grinding process, to study the friction and wear behavior on a pin-on-disc tester in dry sliding conditions. Average surface roughness (Ra), root mean square (Rq), skewness (Rsk) and kurtosis (Rku) were involved in order to analyse the influence of the friction and wear behavior. The observations reveal that a surface with initial roughness parameters of higher Ra, Rq and Rku will lead to a longer initial-steady transition period in the sliding tests. The plastic deformation mainly concentrates in the depth of 20–50 μm under the worn surface and the critical plastic deformation is generated on the rough surface. For surfaces with large Ra, Rq, low Rsk and high Rku values, it is easy to lose the C element in, the reciprocating extrusion. PMID:29401703

  19. Combined Radar-Radiometer Surface Soil Moisture and Roughness Estimation

    Science.gov (United States)

    Akbar, Ruzbeh; Cosh, Michael H.; O'Neill, Peggy E.; Entekhabi, Dara; Moghaddam, Mahta

    2017-01-01

    A robust physics-based combined radar-radiometer, or Active-Passive, surface soil moisture and roughness estimation methodology is presented. Soil moisture and roughness retrieval is performed via optimization, i.e., minimization, of a joint objective function which constrains similar resolution radar and radiometer observations simultaneously. A data-driven and noise-dependent regularization term has also been developed to automatically regularize and balance corresponding radar and radiometer contributions to achieve optimal soil moisture retrievals. It is shown that in order to compensate for measurement and observation noise, as well as forward model inaccuracies, in combined radar-radiometer estimation surface roughness can be considered a free parameter. Extensive Monte-Carlo numerical simulations and assessment using field data have been performed to both evaluate the algorithms performance and to demonstrate soil moisture estimation. Unbiased root mean squared errors (RMSE) range from 0.18 to 0.03 cm3cm3 for two different land cover types of corn and soybean. In summary, in the context of soil moisture retrieval, the importance of consistent forward emission and scattering development is discussed and presented.

  20. Characterizing developing adverse pressure gradient flows subject to surface roughness

    Science.gov (United States)

    Brzek, Brian; Chao, Donald; Turan, Özden; Castillo, Luciano

    2010-04-01

    An experimental study was conducted to examine the effects of surface roughness and adverse pressure gradient (APG) on the development of a turbulent boundary layer. Hot-wire anemometry measurements were carried out using single and X-wire probes in all regions of a developing APG flow in an open return wind tunnel test section. The same experimental conditions (i.e., T ∞, U ref, and C p) were maintained for smooth, k + = 0, and rough, k + = 41-60, surfaces with Reynolds number based on momentum thickness, 3,000 carefully designed such that the x-dependence in the flow field was known. Despite this fact, only a very small region of the boundary layer showed a balance of the various terms in the integrated boundary layer equation. The skin friction computed from this technique showed up to a 58% increase due to the surface roughness. Various equilibrium parameters were studied and the effect of roughness was investigated. The generated flow was not in equilibrium according to the Clauser (J Aero Sci 21:91-108, 1954) definition due to its developing nature. After a development region, the flow reached the equilibrium condition as defined by Castillo and George (2001), where Λ = const, is the pressure gradient parameter. Moreover, it was found that this equilibrium condition can be used to classify developing APG flows. Furthermore, the Zagarola and Smits (J Fluid Mech 373:33-79, 1998a) scaling of the mean velocity deficit, U ∞δ*/δ, can also be used as a criteria to classify developing APG flows which supports the equilibrium condition of Castillo and George (2001). With this information a ‘full APG region’ was defined.

  1. An Improved Semi-Empirical Model for Radar Backscattering from Rough Sea Surfaces at X-Band

    Directory of Open Access Journals (Sweden)

    Taekyeong Jin

    2018-04-01

    Full Text Available We propose an improved semi-empirical scattering model for X-band radar backscattering from rough sea surfaces. This new model has a wider validity range of wind speeds than does the existing semi-empirical sea spectrum (SESS model. First, we retrieved the small-roughness parameters from the sea surfaces, which were numerically generated using the Pierson-Moskowitz spectrum and measurement datasets for various wind speeds. Then, we computed the backscattering coefficients of the small-roughness surfaces for various wind speeds using the integral equation method model. Finally, the large-roughness characteristics were taken into account by integrating the small-roughness backscattering coefficients multiplying them with the surface slope probability density function for all possible surface slopes. The new model includes a wind speed range below 3.46 m/s, which was not covered by the existing SESS model. The accuracy of the new model was verified with two measurement datasets for various wind speeds from 0.5 m/s to 14 m/s.

  2. Incorporating Skew into RMS Surface Roughness Probability Distribution

    Science.gov (United States)

    Stahl, Mark T.; Stahl, H. Philip.

    2013-01-01

    The standard treatment of RMS surface roughness data is the application of a Gaussian probability distribution. This handling of surface roughness ignores the skew present in the surface and overestimates the most probable RMS of the surface, the mode. Using experimental data we confirm the Gaussian distribution overestimates the mode and application of an asymmetric distribution provides a better fit. Implementing the proposed asymmetric distribution into the optical manufacturing process would reduce the polishing time required to meet surface roughness specifications.

  3. Removing roughness on metal surface by irradiation of intense short-pulsed ion beams

    International Nuclear Information System (INIS)

    Hashimoto, Y.

    1995-01-01

    Surface modification of metals with an intense pulsed ion beam (IPIB) was studied experimentally. When the temperature rise of metal surfaces by IPIB irradiation exceeds their boiling point, it is found that machining roughness on surfaces is removed. The experiments were performed with the pulsed power generator HARIMA-II at Himeji Institute of Technology. The main components of the ion beam were carbon and fluorine ions. The IPIB was irradiated to metal plates (Al, Cu and Ti) which were placed at the focal point. Machining roughness on Ti surface was removed after IPIB irradiation, while roughness on Al and Cu plates was not removed. Using the present experimental parameters (beam power density: 32 W/cm 2 , pulse width: 25 ns), the temperature rise of the Ti surface was estimated to be 8,100 K which exceed its boiling point (3,000 K). However, the estimated temperatures of Al and Cu surfaces was 2,500 and 1,500 K, respectively, that are less than their boiling points. These studies above suggests that temperature rise over the boiling point of metals is necessary for removing machining roughness on metal surfaces

  4. Effect of simulated mastication on the surface roughness of three ceramic systems.

    Science.gov (United States)

    Amer, Rafat; Kürklü, Duygu; Johnston, William

    2015-08-01

    Zirconia complete coverage crowns are being widely used as restorations because of their high strength and improved esthetics. Data are sparse about the change in surface roughness of this ceramic material after repeated mastication cycles of opposing enamel. The purpose of this study was to investigate changes in the surface roughness after being subjected to 3-body wear-opposing human enamel of 3 types of ceramics: dense sintered yttrium-stabilized zirconia (Z); lithium disilicate (L); and a conventional low-fusing feldspathic porcelain (P) treated to impart a rough, smooth, or glazed surface. Twenty-four specimens of each of the Z and L ceramic were sectioned from computer-aided design and computer-aided manufacturing blocks into rectangular plates (15×12×2 mm). Twenty-four specimens of the feldspathic porcelain were formed into disks (12-mm diameter) from powders compressed in a silicone mold. All specimens (n=72) were prepared according to the manufacturers' recommendations. Specimens of each ceramic group were placed into 1 of 3 groups: group R, rough surface finish; group S, smooth surface finish; and group G, glazed surface finish. A total of 72 specimens (9 groups with 8 specimens each) was placed in a 3-body wear simulator, with standardized enamel specimens (n=72) acting as the substrate. The changes in surface roughness of the ceramic specimens were evaluated after 50,000 cycles. Data were analyzed by a repeated measures 3-way ANOVA mixed procedure with the Satterthwaite method for degrees of freedom and maximum likelihood estimation of the covariance parameters (α=.05). Data showed that the PS group exhibited the largest change in surface roughness, becoming significantly rougher (P<.004). The LR group became significantly smoother (P=.012). The surfaces of monolithic zirconia ceramic and lithium disilicate did not become as rough as the surface of conventional feldspathic porcelain after enamel wear. Copyright © 2015 Editorial Council for the

  5. Factors influencing surface roughness of polyimide film

    International Nuclear Information System (INIS)

    Yao Hong; Zhang Zhanwen; Huang Yong; Li Bo; Li Sai

    2011-01-01

    The polyimide (PI) films of pyromellitic dianhydride-oxydiamiline (PMDA-ODA) were fabricated using vapor deposition polymerization (VDP) method under high vacuum pressure of 10-4 Pa level. The influence of equipment, substrate temperature, the process of heating and deposition ratio of monomers on the surface roughness of the PI films was investigated. The surface topography of films was measured by interferometer microscopy and scanning electron microscopy(SEM), and the surface roughness was probed with atomic force microscopy(AFM). The results show that consecutive films can be formed when the distance from steering flow pipe to substrate is 74 cm. The surface roughnesses are 291.2 nm and 61.9 nm respectively for one-step heating process and multi-step heating process, and using fine mesh can effectively avoid the splash of materials. The surface roughness can be 3.3 nm when the deposition rate ratio of PMDA to ODA is 0.9:1, and keeping the temperature of substrate around 30 degree C is advantageous to form a film with planar micro-surface topography. (authors)

  6. Predicting the surface roughness in the dry machining of duplex stainless steel (DSS

    Directory of Open Access Journals (Sweden)

    G. Krolczyk

    2013-04-01

    Full Text Available This paper examines the influence of cutting parameters, namely cutting speed, feed and depth of cut onto surface roughness after DSS turning process. The study included developing a mathematical model to determine the surface roughness. Verification research has been carried out on CNC lathe; hence the test plan has been adjusted to the possibility of programmable machines controlling GE Fanuc Series 0-T. The comparison of results obtained by given experimental plan was performed in industrial company.

  7. Surface properties of Ti-6Al-4V alloy part I: Surface roughness and apparent surface free energy.

    Science.gov (United States)

    Yan, Yingdi; Chibowski, Emil; Szcześ, Aleksandra

    2017-01-01

    Titanium (Ti) and its alloys are the most often used implants material in dental treatment and orthopedics. Topography and wettability of its surface play important role in film formation, protein adhesion, following osseointegration and even duration of inserted implant. In this paper, we prepared Ti-6Al-4V alloy samples using different smoothing and polishing materials as well the air plasma treatment, on which contact angles of water, formamide and diiodomethane were measured. Then the apparent surface free energy was calculated using four different approaches (CAH, LWAB, O-W and Neumann's Equation of State). From LWAB approach the components of surface free energy were obtained, which shed more light on the wetting properties of samples surface. The surface roughness of the prepared samples was investigated with the help of optical profilometer and AFM. It was interesting whether the surface roughness affects the apparent surface free energy. It was found that both polar interactions the electron donor parameter of the energy and the work of water adhesion increased with decreasing roughness of the surfaces. Moreover, short time plasma treatment (1min) caused decrease in the surface hydrophilic character, while longer time (10min) treatment caused significant increase in the polar interactions and the work of water adhesion. Although Ti-6Al-4V alloy has been investigated many times, to our knowledge, so far no paper has been published in which surface roughness and changes in the surface free energy of the alloy were compared in the quantitative way in such large extent. This novel approach deliver better knowledge about the surface properties of differently smoothed and polished samples which may be helpful to facilitate cell adhesion, proliferation and mineralization. Therefore the results obtained present also potentially practical meaning. Copyright © 2016 Elsevier B.V. All rights reserved.

  8. Response Surface Design Model to Predict Surface Roughness when Machining Hastelloy C-2000 using Uncoated Carbide Insert

    International Nuclear Information System (INIS)

    Razak, N H; Rahman, M M; Kadirgama, K

    2012-01-01

    This paper presents to develop of the response surface design model to predict the surface roughness for end-milling operation of Hastelloy C-2000 using uncoated carbide insert. Mathematical model is developed to study the effect of three input cutting parameters includes the feed rate, axial depth of cut and cutting speed. Design of experiments (DOE) was implemented with the aid of the statistical software package. Analysis of variance (ANOVA) has been performed to verify the fit and adequacy of the developed mathematical model. The result shows that the feed rate gave the more effect on the both prediction values of Ra compared to the cutting speed and axial depth of cut. SEM and EDX analyses were performed in different cutting conditions. It can be concluded that the feed rate and cutting force give the higher impact to influence the machining characteristics of surface roughness. Thus, the optimizing the cutting conditions are essential in order to improve the surface roughness in machining of Hastlelloy C-2000.

  9. An effective medium approach to predict the apparent contact angle of drops on super-hydrophobic randomly rough surfaces.

    Science.gov (United States)

    Bottiglione, F; Carbone, G

    2015-01-14

    The apparent contact angle of large 2D drops with randomly rough self-affine profiles is numerically investigated. The numerical approach is based upon the assumption of large separation of length scales, i.e. it is assumed that the roughness length scales are much smaller than the drop size, thus making it possible to treat the problem through a mean-field like approach relying on the large-separation of scales. The apparent contact angle at equilibrium is calculated in all wetting regimes from full wetting (Wenzel state) to partial wetting (Cassie state). It was found that for very large values of the roughness Wenzel parameter (r(W) > -1/ cos θ(Y), where θ(Y) is the Young's contact angle), the interface approaches the perfect non-wetting condition and the apparent contact angle is almost equal to 180°. The results are compared with the case of roughness on one single scale (sinusoidal surface) and it is found that, given the same value of the Wenzel roughness parameter rW, the apparent contact angle is much larger for the case of a randomly rough surface, proving that the multi-scale character of randomly rough surfaces is a key factor to enhance superhydrophobicity. Moreover, it is shown that for millimetre-sized drops, the actual drop pressure at static equilibrium weakly affects the wetting regime, which instead seems to be dominated by the roughness parameter. For this reason a methodology to estimate the apparent contact angle is proposed, which relies only upon the micro-scale properties of the rough surface.

  10. Enamel Surface Roughness after Debonding of Orthodontic Brackets and Various Clean-Up Techniques

    Directory of Open Access Journals (Sweden)

    Farzaneh Ahrari

    2013-01-01

    Full Text Available Objective: This study aimed to evaluate enamel roughness after adhesive removal using different burs and an Er:YAG laser.Materials and Methods: The buccal surfaces of forty human premolars were sealed by two layers of nail varnish, except for a circular area of 3 mm in diameter on the middle third. The enamel surfaces were initially subjected to profilometry analysis and four parameters of surface irregularity (Ra, Rq, Rt and Rz were recorded. Following bracket bonding and debonding, adhesive remnants were removed by tungsten carbide burs in low- or high- speed handpieces (group 1 and 2, respectively, an ultrafine diamond bur (group 3 or an Er:YAG laser (250 mJ, long pulse, 4 Hz (group 4, and surface roughness parameters were measured again. Then, the buccal surfaces were polished and the third profilometry measurements were performed.Results: The specimens that were cleaned with a low speed tungsten carbide bur showed no significant difference in surface irregularity between the different treatment stages (p>0.05. Surface roughness increased significantly after clean-up with the diamond bur and the Er:YAG laser (p<0.01. In comparison between groups, adhesive removal with tungsten carbide burs at slow- or high-speed handpieces produced the lowest, while enamel clean-up with the Er:YAG laser caused the highest values of roughness measurements (P<0.05.Conclusion: Under the study conditions, application of the ultrafine diamond bur or the Er:YAG laser caused irreversible enamel damage on tooth surface, and thus these methods could not be recommended for removing adhesive remnants after debonding of orthodontic brackets.

  11. Modelling and analysis of tool wear and surface roughness in hard turning of AISI D2 steel using response surface methodology

    Directory of Open Access Journals (Sweden)

    M. Junaid Mir

    2018-01-01

    Full Text Available The present work deals with some machinability studies on tool wear and surface roughness, in finish hard turning of AISI D2 steel using PCBN, Mixed ceramic and coated carbide inserts. The machining experiments are conducted based on the response surface methodology (RSM. Combined effects of three cutting parameters viz., cutting speed, cutting time and tool hardness on the two performance outputs (i.e. VB and Ra, are explored employing the analysis of variance (ANOVA.The relationship(s between input variables and the response parameters are determined using a quadratic regression model. The results show that the tool wear was influenced principally by the cutting time and in the second level by the cutting tool hardness. On the other hand, cutting time was the dominant factor affecting workpiece surface roughness followed by cutting speed. Finally, the multiple response optimizations of tool wear and surface roughness were carried out using the desirability function approach (DFA.

  12. Investigation of the effect of cutting speed on the Surface Roughness parameters in CNC End Milling using Artificial Neural Network

    International Nuclear Information System (INIS)

    Al Hazza, Muataz H F; Adesta, Erry Y T

    2013-01-01

    This research presents the effect of high cutting speed on the surface roughness in the end milling process by using the Artificial Neural Network (ANN). An experimental investigation was conducted to measure the surface roughness for end milling. A set of sparse experimental data for finish end milling on AISI H13 at hardness of 48 HRC have been conducted. The artificial neural network (ANN) was applied to simulate and study the effect of high cutting speed on the surface roughness

  13. Direct numerical simulation of flow over dissimilar, randomly distributed roughness elements: A systematic study on the effect of surface morphology on turbulence

    Science.gov (United States)

    Forooghi, Pourya; Stroh, Alexander; Schlatter, Philipp; Frohnapfel, Bettina

    2018-04-01

    Direct numerical simulations are used to investigate turbulent flow in rough channels, in which topographical parameters of the rough wall are systematically varied at a fixed friction Reynolds number of 500, based on a mean channel half-height h and friction velocity. The utilized roughness generation approach allows independent variation of moments of the surface height probability distribution function [thus root-mean-square (rms) surface height, skewness, and kurtosis], surface mean slope, and standard deviation of the roughness peak sizes. Particular attention is paid to the effect of the parameter Δ defined as the normalized height difference between the highest and lowest roughness peaks. This parameter is used to understand the trends of the investigated flow variables with departure from the idealized case where all roughness elements have the same height (Δ =0 ). All calculations are done in the fully rough regime and for surfaces with high slope (effective slope equal to 0.6-0.9). The rms roughness height is fixed for all cases at 0.045 h and the skewness and kurtosis of the surface height probability density function vary in the ranges -0.33 to 0.67 and 1.9 to 2.6, respectively. The goal of the paper is twofold: first, to investigate the possible effect of topographical parameters on the mean turbulent flow, Reynolds, and dispersive stresses particularly in the vicinity of the roughness crest, and second, to investigate the possibility of using the wall-normal turbulence intensity as a physical parameter for parametrization of the flow. Such a possibility, already suggested for regular roughness in the literature, is here extended to irregular roughness.

  14. Optimum combination of process parameters to optimize Surface Roughness and Chip Thickness during End Milling of Aluminium 6351-T6 Alloy Using Taguchi Grey Relational Analysis

    Directory of Open Access Journals (Sweden)

    Reddy Sreenivasulu

    2017-06-01

    Full Text Available In any machining operations, quality is the important conflicting objective. In order to give assurance for high productivity, some extent of quality has to be compromised. Similarly productivity will be decreased while the efforts are channelized to enhance quality. In this study,  the experiments were carried out on a CNC vertical machining center (KENT and INDIA Co. Ltd, Taiwan make to perform 10mm slots on Al 6351-T6 alloy work piece by K10 carbide, four flute end milling cutter as per taguchi design of experiments plan by L9 orthogonal array was choosen to determine experimental trials. Furthermore the spindle speed (rpm, the feed rate (mm/min and depth of cut (mm are regulated in these experiments. Surface roughness and chip thickness was measured by a surface analyser of Surf Test-211 series (Mitutoyo and Digital Micrometer (Mitutoyo with least count 0.001 mm respectively. Grey relational analysis was employed to minimize surface roughness and chip thickness by setting of optimum combination of machining parameters. Minimum surface roughness and chip thickness obtained with 1000 rpm of spindle speed, 50 mm/min feed rate and 0.7 mm depth of cut respectively. Confirmation experiments showed that Gray relational analysis precisely optimized the drilling parameters in drilling of Al 6351-T6 alloy.

  15. Surface properties of Ti-6Al-4V alloy part I: Surface roughness and apparent surface free energy

    Energy Technology Data Exchange (ETDEWEB)

    Yan, Yingdi; Chibowski, Emil; Szcześ, Aleksandra, E-mail: aszczes@poczta.umcs.lublin.pl

    2017-01-01

    Titanium (Ti) and its alloys are the most often used implants material in dental treatment and orthopedics. Topography and wettability of its surface play important role in film formation, protein adhesion, following osseointegration and even duration of inserted implant. In this paper, we prepared Ti-6Al-4V alloy samples using different smoothing and polishing materials as well the air plasma treatment, on which contact angles of water, formamide and diiodomethane were measured. Then the apparent surface free energy was calculated using four different approaches (CAH, LWAB, O-W and Neumann's Equation of State). From LWAB approach the components of surface free energy were obtained, which shed more light on the wetting properties of samples surface. The surface roughness of the prepared samples was investigated with the help of optical profilometer and AFM. It was interesting whether the surface roughness affects the apparent surface free energy. It was found that both polar interactions the electron donor parameter of the energy and the work of water adhesion increased with decreasing roughness of the surfaces. Moreover, short time plasma treatment (1 min) caused decrease in the surface hydrophilic character, while longer time (10 min) treatment caused significant increase in the polar interactions and the work of water adhesion. Although Ti-6Al-4V alloy has been investigated many times, to our knowledge, so far no paper has been published in which surface roughness and changes in the surface free energy of the alloy were compared in the quantitative way in such large extent. This novel approach deliver better knowledge about the surface properties of differently smoothed and polished samples which may be helpful to facilitate cell adhesion, proliferation and mineralization. Therefore the results obtained present also potentially practical meaning. - Highlights: • Surface of five Ti-6Al-4V alloy samples were smoothed and polished successively. • The

  16. Skin friction measurements of systematically-varied roughness: Probing the role of roughness amplitude and skewness

    Science.gov (United States)

    Barros, Julio; Flack, Karen; Schultz, Michael

    2017-11-01

    Real-world engineering systems which feature either external or internal wall-bounded turbulent flow are routinely affected by surface roughness. This gives rise to performance degradation in the form of increased drag or head loss. However, at present there is no reliable means to predict these performance losses based upon the roughness topography alone. This work takes a systematic approach by generating random surface roughness in which the surface statistics are closely controlled. Skin friction and roughness function results will be presented for two groups of these rough surfaces. The first group is Gaussian (i.e. zero skewness) in which the root-mean-square roughness height (krms) is varied. The second group has a fixed krms, and the skewness is varied from approximately -1 to +1. The effect of the roughness amplitude and skewness on the skin friction will be discussed. Particular attention will be paid to the effect of these parameters on the roughness function in the transitionally-rough flow regime. For example, the role these parameters play in the monotonic or inflectional nature of the roughness function will be addressed. Future research into the details of the turbulence structure over these rough surfaces will also be outlined. Research funded by U.S. Office of Naval Research (ONR).

  17. Assessment of engineered surfaces roughness by high-resolution 3D SEM photogrammetry.

    Science.gov (United States)

    Gontard, L C; López-Castro, J D; González-Rovira, L; Vázquez-Martínez, J M; Varela-Feria, F M; Marcos, M; Calvino, J J

    2017-06-01

    We describe a methodology to obtain three-dimensional models of engineered surfaces using scanning electron microscopy and multi-view photogrammetry (3DSEM). For the reconstruction of the 3D models of the surfaces we used freeware available in the cloud. The method was applied to study the surface roughness of metallic samples patterned with parallel grooves by means of laser. The results are compared with measurements obtained using stylus profilometry (PR) and SEM stereo-photogrammetry (SP). The application of 3DSEM is more time demanding than PR or SP, but it provides a more accurate representation of the surfaces. The results obtained with the three techniques are compared by investigating the influence of sampling step on roughness parameters. Copyright © 2017 Elsevier B.V. All rights reserved.

  18. The effect of welding parameters on surface quality of AA6351 aluminium alloy

    International Nuclear Information System (INIS)

    Yacob, S; Ariffin, N; Ali, R; Arshad, A; Wahab, M I A; Ismail, S A; Roji, NS M; Din, W B W; Zakaria, M H; Abdullah, A; Yusof, M I; Kamarulzaman, K Z; Mahyuddin, A; Hamzah, M N; Roslan, R; MAli, M A; Ahsan, Q

    2015-01-01

    In the present work, the effects of gas metal arc welding-cold metal transfer (GMAW-CMT) parameters on surface roughness are experimentally assessed. The purpose of this study is to develop a better understanding of the effects of welding speed, material thickness and contact tip to work distance on the surface roughness. Experiments are conducted using single pass gas metal arc welding-cold metal transfer (GMAW-CMT) welding technique to join the material. The material used in this experiment was AA6351 aluminum alloy with the thickness of 5mm and 6mm. A Mahr Marsuft XR 20 machine was used to measure the average roughness (Ra) of AA6351 joints. The main and interaction effect analysis was carried out to identify process parameters that affect the surface roughness. The results show that all the input process parameters affect the surface roughness of AA6351 joints. Additionally, the average roughness (Ra) results also show a decreasing trend with increased of welding speed. It is proven that gas metal arc welding-cold metal transfer (GMAW-CMT)welding process has been successful in term of providing weld joint of good surface quality for AA6351 based on the low value surface roughness condition obtained in this setup. The outcome of this experimental shall be valuable for future fabrication process in order to obtained high good quality weld. (paper)

  19. Optimization of Surface Roughness and Wall Thickness in Dieless Incremental Forming Of Aluminum Sheet Using Taguchi

    Science.gov (United States)

    Hamedon, Zamzuri; Kuang, Shea Cheng; Jaafar, Hasnulhadi; Azhari, Azmir

    2018-03-01

    Incremental sheet forming is a versatile sheet metal forming process where a sheet metal is formed into its final shape by a series of localized deformation without a specialised die. However, it still has many shortcomings that need to be overcome such as geometric accuracy, surface roughness, formability, forming speed, and so on. This project focus on minimising the surface roughness of aluminium sheet and improving its thickness uniformity in incremental sheet forming via optimisation of wall angle, feed rate, and step size. Besides, the effect of wall angle, feed rate, and step size to the surface roughness and thickness uniformity of aluminium sheet was investigated in this project. From the results, it was observed that surface roughness and thickness uniformity were inversely varied due to the formation of surface waviness. Increase in feed rate and decrease in step size will produce a lower surface roughness, while uniform thickness reduction was obtained by reducing the wall angle and step size. By using Taguchi analysis, the optimum parameters for minimum surface roughness and uniform thickness reduction of aluminium sheet were determined. The finding of this project helps to reduce the time in optimising the surface roughness and thickness uniformity in incremental sheet forming.

  20. Analysis of surface roughness and cutting force during turning of Ti6Al4V ELI in dry environment

    Directory of Open Access Journals (Sweden)

    V. G. Sargade

    2016-04-01

    Full Text Available This paper investigates the effect of cutting parameters on the surface roughness and cutting force of titanium alloy Ti-6Al-4V ELI when turning using PVD TiAlN coated tool in dry environment. Taguchi L9 orthogonal array design of experiment was used for the turning experiment 2 factors and 3 levels. Turning parameters studied were cutting speed (50, 65, 80 m/min, feed rate (0.08, 0.15, 0.2 mm/rev and depth of cut 0.5 mm constant. Linear and second order model of the surface roughness and cutting force has been developed in terms of cutting speed and feed. The results show that the feed rate was the most impact factor controlling the cutting force and surface roughness produced. MINITAB 17software was used to develop a linear and second order model of surface roughness and cutting force. Optimum condition was at 66.97 m/min of cutting speed, 0.08 mm/rev of feed rate. Surface roughness 0.57μm and cutting force 54.02 N were obtained at the optimum condition. A good agreement between the experimental and predicted surface roughness and cutting force were observed.

  1. Effect of surface roughness and softness on water capillary adhesion in apolar media

    NARCIS (Netherlands)

    Banerjee, S.; Mulder, P.; Kleijn, J.M.; Cohen Stuart, M.A.

    2012-01-01

    The roughness and softness of interacting surfaces are both important parameters affecting the capillary condensation of water in apolar media, yet are poorly understood at present. We studied the water capillary adhesion between a cellulose surface and a silica colloidal probe in hexane by AFM

  2. Rough Surface Contact

    Directory of Open Access Journals (Sweden)

    T Nguyen

    2017-06-01

    Full Text Available This paper studies the contact of general rough curved surfaces having nearly identical geometries, assuming the contact at each differential area obeys the model proposed by Greenwood and Williamson. In order to account for the most general gross geometry, principles of differential geometry of surface are applied. This method while requires more rigorous mathematical manipulations, the fact that it preserves the original surface geometries thus makes the modeling procedure much more intuitive. For subsequent use, differential geometry of axis-symmetric surface is considered instead of general surface (although this “general case” can be done as well in Chapter 3.1. The final formulas for contact area, load, and frictional torque are derived in Chapter 3.2.

  3. Machining of bone: Analysis of cutting force and surface roughness by turning process.

    Science.gov (United States)

    Noordin, M Y; Jiawkok, N; Ndaruhadi, P Y M W; Kurniawan, D

    2015-11-01

    There are millions of orthopedic surgeries and dental implantation procedures performed every year globally. Most of them involve machining of bones and cartilage. However, theoretical and analytical study on bone machining is lagging behind its practice and implementation. This study views bone machining as a machining process with bovine bone as the workpiece material. Turning process which makes the basis of the actually used drilling process was experimented. The focus is on evaluating the effects of three machining parameters, that is, cutting speed, feed, and depth of cut, to machining responses, that is, cutting forces and surface roughness resulted by the turning process. Response surface methodology was used to quantify the relation between the machining parameters and the machining responses. The turning process was done at various cutting speeds (29-156 m/min), depths of cut (0.03 -0.37 mm), and feeds (0.023-0.11 mm/rev). Empirical models of the resulted cutting force and surface roughness as the functions of cutting speed, depth of cut, and feed were developed. Observation using the developed empirical models found that within the range of machining parameters evaluated, the most influential machining parameter to the cutting force is depth of cut, followed by feed and cutting speed. The lowest cutting force was obtained at the lowest cutting speed, lowest depth of cut, and highest feed setting. For surface roughness, feed is the most significant machining condition, followed by cutting speed, and with depth of cut showed no effect. The finest surface finish was obtained at the lowest cutting speed and feed setting. © IMechE 2015.

  4. Fractal approach to surface roughness of TiO{sub 2}/WO{sub 3} coatings formed by plasma electrolytic oxidation process

    Energy Technology Data Exchange (ETDEWEB)

    Rožić, L.J., E-mail: ljrozic@nanosys.ihtmbg.ac.rs [University of Belgrade, IChTM-Department of Catalysis and Chemical Engineering, Njegoševa 12, Belgrade (Serbia); Petrović, S.; Radić, N. [University of Belgrade, IChTM-Department of Catalysis and Chemical Engineering, Njegoševa 12, Belgrade (Serbia); Stojadinović, S. [University of Belgrade, Faculty of Physics, Studentski trg 12-16, Belgrade (Serbia); Vasilić, R. [Faculty of Environmental Governance and Corporate Responsibility, Educons University, Vojvode Putnika 87, Sremska Kamenica (Serbia); Stefanov, P. [Institute of General and Inorganic Chemistry, Bulgarian Academy of Sciences, Sofia 1113 (Bulgaria); Grbić, B. [University of Belgrade, IChTM-Department of Catalysis and Chemical Engineering, Njegoševa 12, Belgrade (Serbia)

    2013-07-31

    In this study, we have shown that atomic force microscopy is a powerful technique to study the fractal parameters of TiO{sub 2}/WO{sub 3} coatings prepared by plasma electrolytic oxidation (PEO) process. Since the surface roughness of obtained oxide coatings affects their physical properties, an accurate description of roughness parameters is highly desirable. The surface roughness, described by root mean squared and arithmetic average values, is analyzed considering the scans of a series of atomic force micrographs. The results show that the oxide coatings exhibit lower surface roughness in initial stage of PEO process. Also, the surfaces of TiO{sub 2}/WO{sub 3} coatings exhibit fractal behavior. Positive correlation between the fractal dimension and surface roughness of the surfaces of TiO{sub 2}/WO{sub 3} coatings in initial stage of PEO process was found. - Highlights: • TiO{sub 2}/WO{sub 3} coatings were obtained by plasma electrolytic oxidation. • Oxide coatings exhibit lower surface roughness in initial stage of process. • The surfaces of TiO{sub 2}/WO{sub 3} coatings exhibit fractal behavior.

  5. Surface roughness effects on turbulent Couette flow

    Science.gov (United States)

    Lee, Young Mo; Lee, Jae Hwa

    2017-11-01

    Direct numerical simulation of a turbulent Couette flow with two-dimensional (2-D) rod roughness is performed to examine the effects of the surface roughness. The Reynolds number based on the channel centerline laminar velocity (Uco) and channel half height (h) is Re =7200. The 2-D rods are periodically arranged with a streamwise pitch of λ = 8 k on the bottom wall, and the roughness height is k = 0.12 h. It is shown that the wall-normal extent for the logarithmic layer is significantly shortened in the rough-wall turbulent Couette flow, compared to a turbulent Couette flow with smooth wall. Although the Reynolds stresses are increased in a turbulent channel flow with surface roughness in the outer layer due to large-scale ejection motions produced by the 2-D rods, those of the rough-wall Couette flow are decreased. Isosurfaces of the u-structures averaged in time suggest that the decrease of the turbulent activity near the centerline is associated with weakened large-scale counter-rotating roll modes by the surface roughness. This research was supported by the National Research Foundation of Korea (NRF) funded by the Ministry of Education (NRF-2017R1D1A1A09000537) and the Ministry of Science, ICT & Future Planning (NRF-2017R1A5A1015311).

  6. Quantification of the optical surface reflection and surface roughness of articular cartilage using optical coherence tomography

    Energy Technology Data Exchange (ETDEWEB)

    Saarakkala, Simo; Wang Shuzhe; Huang Yanping; Zheng Yongping [Department of Health Technology and Informatics, Hong Kong Polytechnic University, Hong Kong (China)], E-mail: simo.saarakkala@uku.fi, E-mail: ypzheng@ieee.org

    2009-11-21

    Optical coherence tomography (OCT) is a promising new technique for characterizing the structural changes of articular cartilage in osteoarthritis (OA). The calculation of quantitative parameters from the OCT signal is an important step to develop OCT as an effective diagnostic technique. In this study, two novel parameters for the quantification of optical surface reflection and surface roughness from OCT measurements are introduced: optical surface reflection coefficient (ORC), describing the amount of a ratio of the optical reflection from cartilage surface with respect to that from a reference material, and OCT roughness index (ORI) indicating the smoothness of the cartilage surface. The sensitivity of ORC and ORI to detect changes in bovine articular cartilage samples after enzymatic degradations of collagen and proteoglycans using collagenase and trypsin enzymes, respectively, was tested in vitro. A significant decrease (p < 0.001) in ORC as well as a significant increase (p < 0.001) in ORI was observed after collagenase digestion. After trypsin digestion, no significant changes in ORC or ORI were observed. To conclude, the new parameters introduced were demonstrated to be feasible and sensitive to detect typical OA-like degenerative changes in the collagen network. From the clinical point of view, the quantification of OCT measurements is of great interest since OCT probes have been already miniaturized and applied in patient studies during arthroscopy or open knee surgery in vivo. Further studies are still necessary to demonstrate the clinical capability of the introduced parameters for naturally occurring early OA changes in the cartilage.

  7. Relationship between surface properties (roughness, wettability) of titanium and titanium alloys and cell behaviour

    International Nuclear Information System (INIS)

    Ponsonnet, L.; Reybier, K.; Jaffrezic, N.; Comte, V.; Lagneau, C.; Lissac, M.; Martelet, C.

    2003-01-01

    Cell attachment and spreading to titanium-based alloy surfaces is a major parameter in implant technology. In this paper, substratum surface hydrophobicity, surface free energy, interfacial free energy and surface roughness were investigated to ascertain which of these parameters is predominant in human fibroblast spreading. Two methods for contact angle measurement were compared: the sessile drop method and the captive bubble two-probe method. The relationship between surface roughness and the sessile drop contact angles of various engineered titanium surfaces such as commercial pure titanium (cp-Ti), titanium-aluminium-vanadium alloy (Ti-6Al-4V), and titanium-nickel (NiTi), was shown. Surface free energy (SFE) calculations were performed from contact angles obtained on smooth samples based on the same alloys in order to eliminate the roughness effect. SFE of the surfaces have been calculated using the Owens-Wendt (OW) and Van Oss (VO) approaches with the sessile drop method. The OW calculations are used to obtain the dispersive (γ d ) and polar (γ p ) component of SFE, and the VO approach allows to reach the apolar (γ LW ) and the polar acid-base component (γ ab ) of the surface. From captive bubble contact angle experiments (air or octane bubble under water), the interfacial free energy of the different surfaces in water was obtained. A relationship between cell spreading and the polar component of SFE was found. Interfacial free energy values were low for all the investigated surfaces indicating good biocompatibility for such alloys

  8. An Experiment Study on Surface Roughness in High Speed Milling NAK80 Die Steel

    Directory of Open Access Journals (Sweden)

    Su Fa

    2016-01-01

    Full Text Available The paper introduces that the high speed milling experiments on NAK80 die steel was carried out on the DMU 60 mono BLOCK five axis linkage high speed CNC machining center tool by the TiAlN coated tools, in order to research the effect of milling parameters on surface roughness Ra. The results showed that the Ra value increased with the decrease of milling speed vc, increased with the axial depth of milling ap, and feed per tooth fz and radial depth of milling ae. On the basis of the single factor experiment results, the mathematics model for between surface roughness and milling parameters were established by linear regression analysis.

  9. The influence of heat accumulation on the surface roughness in powder-bed additive manufacturing

    International Nuclear Information System (INIS)

    Jamshidinia, Mahdi; Kovacevic, Radovan

    2015-01-01

    The influence of heat accumulation on surface roughness during powder-bed additive manufacturing was investigated. A series of Ti-6Al-4V thin plates were produced by using an identical heat input by electron beam melting® (EBM). Spacing distances of 5 mm, 10 mm, and 20 mm were used. The surface roughness of as-built thin plates was measured using a two-axis profilometer. A numerical model was developed to study the influence of spacing distance on heat accumulation. An inverse relationship between the spacing distance and surface roughness was revealed. The experimental and numerical results showed that the surface quality of buildups could be controlled not only by process parameters, but also by the arrangement of components in the buildup chamber. At a constant spacing distance, an increase in the number of powder layers resulted in the accumulation of more heat between the thin plates. An increase in the spacing distance resulted in an upward translation of the Bearing Area Curve (BAC) toward shallower depths, with a reduced core roughness depth (R k ) and peak height (R pk ). A logarithmic regression equation was established from the experimental data. This equation could be used to predict the surface roughness of parts fabricated by EBM® in the studied range of spacing distances. (paper)

  10. Effect of laser cutting parameters on surface roughness of stainless steel 307

    Directory of Open Access Journals (Sweden)

    Amal NASSAR

    2016-12-01

    Full Text Available Optimal parameters of laser cutting are an important step to improve surface quality of cutting edge in the laser cutting of stainless steel 307. This paper presents a new approach for optimizing the cutting parameters on stainless steel. Based on 33 full factorial experimental design, cutting experiments were conducted for stainless steel 307 plates using a laser machine (AMADA FONT 3015. The cutting parameters such as, cutting speed, cutting power and gas pressure are optimized for maximizing surface quality. The results indicated that cutting power and cutting speed play an important role in surface quality.

  11. Reduction of Secondary Electron Yied (SEY) Figures on Smooth Metallic Surfaces by Means of Magnetic Roughness

    CERN Document Server

    Montero, I; Caspers, F; Mensi, M; Taborelli, M

    2013-01-01

    High secondary electron yield of metallic surfaces used in accelerator and also in space applications is of general concern. In addition to several well-known coating preparation techniques and microscopic or macroscopic mechanical roughness (grooves) which may significantly increase microwave losses the concept of magnetic surface roughness has been proposed recently to lower the effective secondary electron yield (SEY). In this concept a smooth and very good conducting surface with low microwave losses is maintained, but underneath this surface a large number of tiny permanent magnets are located to build a rough magnetic equipotential structure. In this paper we present and discuss measurement of the SEY and the improvement in terms of SEY for different parameter ranges.

  12. Spin Hall effect by surface roughness

    KAUST Repository

    Zhou, Lingjun

    2015-01-08

    The spin Hall and its inverse effects, driven by the spin orbit interaction, provide an interconversion mechanism between spin and charge currents. Since the spin Hall effect generates and manipulates spin current electrically, to achieve a large effect is becoming an important topic in both academia and industries. So far, materials with heavy elements carrying a strong spin orbit interaction, provide the only option. We propose here a new mechanism, using the surface roughness in ultrathin films, to enhance the spin Hall effect without heavy elements. Our analysis based on Cu and Al thin films suggests that surface roughness is capable of driving a spin Hall angle that is comparable to that in bulk Au. We also demonstrate that the spin Hall effect induced by surface roughness subscribes only to the side-jump contribution but not the skew scattering. The paradigm proposed in this paper provides the second, not if only, alternative to generate a sizable spin Hall effect.

  13. Prediction of the surface roughness of AA6082 flow-formed tubes by design of experiments

    International Nuclear Information System (INIS)

    Srinivasulu, M.; Komaraiah, M.; Rao, C. S. Krishna Prasada

    2013-01-01

    Flow forming is a modern, chipless metal forming process that is employed for the production of thin-walled seamless tubes. Experiments are conducted on AA6082 alloy pre-forms to flow form into thin-walled tubes on a CNC flow-forming machine with a single roller. Design of experiments is used to predict the surface roughness of flow-formed tubes. The process parameters selected for this study are the roller axial feed, mandrel speed, and roller radius. A standard response surface methodology (RSM) called the Box Behnken design is used to perform the experimental runs. The regression model developed by RSM successfully predicts the surface roughness of AA6082 flow-formed tubes within the range of the selected process parameters.

  14. Prediction of the surface roughness of AA6082 flow-formed tubes by design of experiments

    Energy Technology Data Exchange (ETDEWEB)

    Srinivasulu, M. [Government Polytechnic for Women Badangpet, Hyderabad (India); Komaraiah, M. [Sreenidhi Institute of Science and Technology, Hyderabad (India); Rao, C. S. Krishna Prasada [Bharat Dynamics Limited, Hyderabad (India)

    2013-06-15

    Flow forming is a modern, chipless metal forming process that is employed for the production of thin-walled seamless tubes. Experiments are conducted on AA6082 alloy pre-forms to flow form into thin-walled tubes on a CNC flow-forming machine with a single roller. Design of experiments is used to predict the surface roughness of flow-formed tubes. The process parameters selected for this study are the roller axial feed, mandrel speed, and roller radius. A standard response surface methodology (RSM) called the Box Behnken design is used to perform the experimental runs. The regression model developed by RSM successfully predicts the surface roughness of AA6082 flow-formed tubes within the range of the selected process parameters.

  15. Surface Roughness of Al-5Cu Alloy using a Taguchi-Fuzzy Based Approach

    Directory of Open Access Journals (Sweden)

    Biswajit Das

    2014-07-01

    Full Text Available The present paper investigates the application of traditional Taguchi method with fuzzy logic for multi objective optimization of the turning process of Al-5Cu alloy in CNC Lathe machine. The cutting parameters are optimized with considerations of the multiple surface roughness characteristics (Centre line average roughness Ra, Average maximum height of the profile Rz, Maximum height of the profile Rt, Mean spacing of local peaks of the profile Sa . Experimental results are demonstrated to present the effectiveness of this approach. The parameters used in the experiment were cutting speed, depth of cut, feed rate. Other parameters such as tool nose radius, tool material, workpiece length, workpiece diameter, and workpiece material were taken as constant.

  16. Calculation of Reflectance and Transmittance of Coating With Optically Rough Surfaces

    International Nuclear Information System (INIS)

    El-Depsy, A.; Shawky, A.M.

    2011-01-01

    For ideal surfaces, components of the reflected beam are related to the components of the incident beam by Fresnel reflection equation. The surfaces encountered in engineering applications deviate from ideal as a result of roughness, oxidization and contamination; hence the Radiative properties of these real surfaces differ greatly from those predicted by electromagnetic theory. In regard to problems of radiative heat transfer; the roughness of surfaces may be divided into two categories: (1) small surface irregularities such that the incident radiation cannot undergo more than a single reflection, (2) deep cavities in which the incident radiation undergoes multi-reflection. The normally incident radiation from rough surface having small irregularities is reflected partly specularly and partly diffusely [1]. Kubelka-Munk theory (K-M) [2] describes optical characteristics (e.g. reflectance, transmittance and absorbance) by a variety of light scattering media including paints, textiles and papers, and It is widely used in various industrial applications. Moder developments in radiative transfer theory (RTT) enable the derivation of (K-M) parameters from first principles [3]. Kubelka and Munk proposed a theory based on a model of two light fluxes travelling in the forward and backward directions. Subsequently a number of authors refined the theory and compared it with experimental data [4]. Several authors attempted to relate the Kubelka- Munk coefficients to the transport coefficients [5,6

  17. Robust surface roughness indices and morphological interpretation

    Science.gov (United States)

    Trevisani, Sebastiano; Rocca, Michele

    2016-04-01

    Geostatistical-based image/surface texture indices based on variogram (Atkison and Lewis, 2000; Herzfeld and Higginson, 1996; Trevisani et al., 2012) and on its robust variant MAD (median absolute differences, Trevisani and Rocca, 2015) offer powerful tools for the analysis and interpretation of surface morphology (potentially not limited to solid earth). In particular, the proposed robust index (Trevisani and Rocca, 2015) with its implementation based on local kernels permits the derivation of a wide set of robust and customizable geomorphometric indices capable to outline specific aspects of surface texture. The stability of MAD in presence of signal noise and abrupt changes in spatial variability is well suited for the analysis of high-resolution digital terrain models. Moreover, the implementation of MAD by means of a pixel-centered perspective based on local kernels, with some analogies to the local binary pattern approach (Lucieer and Stein, 2005; Ojala et al., 2002), permits to create custom roughness indices capable to outline different aspects of surface roughness (Grohmann et al., 2011; Smith, 2015). In the proposed poster, some potentialities of the new indices in the context of geomorphometry and landscape analysis will be presented. At same time, challenges and future developments related to the proposed indices will be outlined. Atkinson, P.M., Lewis, P., 2000. Geostatistical classification for remote sensing: an introduction. Computers & Geosciences 26, 361-371. Grohmann, C.H., Smith, M.J., Riccomini, C., 2011. Multiscale Analysis of Topographic Surface Roughness in the Midland Valley, Scotland. IEEE Transactions on Geoscience and Remote Sensing 49, 1220-1213. Herzfeld, U.C., Higginson, C.A., 1996. Automated geostatistical seafloor classification - Principles, parameters, feature vectors, and discrimination criteria. Computers and Geosciences, 22 (1), pp. 35-52. Lucieer, A., Stein, A., 2005. Texture-based landform segmentation of LiDAR imagery

  18. A quantitative AFM analysis of nano-scale surface roughness in various orthodontic brackets.

    Science.gov (United States)

    Lee, Gi-Ja; Park, Ki-Ho; Park, Young-Guk; Park, Hun-Kuk

    2010-10-01

    In orthodontics, the surface roughnesses of orthodontic archwire and brackets affect the effectiveness of arch-guided tooth movement, corrosion behavior, and the aesthetics of orthodontic components. Atomic force microscopy (AFM) measurements were used to provide quantitative information on the surface roughness of the orthodontic material. In this study, the changes in surface roughness of various orthodontic bracket slots before and after sliding movement of archwire in vitro and in vivo were observed through the utilization of AFM. Firstly, we characterized the surface of four types of brackets slots as follows: conventional stainless steel (Succes), conventional ceramic (Perfect), self-ligating stainless steel (Damon) and self-ligating ceramic (Clippy-C) brackets. Succes) and Damon brackets showed relatively smooth surfaces, while Perfect had the roughest surface among the four types of brackets used. Secondly, after in vitro sliding test with beta titanium wire in two conventional brackets (Succes and Perfect), there were significant increases in only stainless steel bracket, Succes. Thirdly, after clinical orthodontic treatment for a maximum of 2 years, the self-ligating stainless steel bracket, Damon, showed a significant increase in surface roughness. But self-ligating ceramic brackets, Clippy-C, represented less significant changes in roughness parameters than self-ligating stainless steel ones. Based on the results of the AFM measurements, it is suggested that the self-ligating ceramic bracket has great possibility to exhibit less friction and better biocompatibility than the other tested brackets. This implies that these bracket slots will aid in the effectiveness of arch-guided tooth movement.

  19. Correlation between sub-micron surface roughness of iron oxide encrustations and trace element concentrations

    International Nuclear Information System (INIS)

    Fischer, Cornelius; Karius, Volker; Luettge, Andreas

    2009-01-01

    Iron oxide encrustations are formed on black slate surfaces during oxidative weathering of iron sulfide and phosphate bearing, organic matter-rich slates. Synchronously, trace elements are released during ongoing weathering. Laser ablation ICP-MS analyses of a weathered and encrusted slate showed that major portions of the V, Cu, As, Mo, Pb, Th, and U reside in the encrustation. Recently a potential relationship between several micrometer to 500 nm surface topography roughness of such encrustations and its uranium concentration was shown. Based on laser scanning microscopy measurements, the present study shows that this interrelation must be expanded to small submicron-sized half-pores with diameters between 100 nm and 500 nm. We demonstrate that the relationship is not limited to topography variations of a single encrustation in the hand-specimen scale. Surface topography and geochemical analyses of iron oxide encrustations from several locations but from the same geochemical environment and with similar weathering history showed that the concentrations of U, P, Cu, and Zn correlate inversely with the surface roughness parameter F. This parameter represents the total surface area and is - in this case - a proxy for the root-mean square surface roughness Rq. This study substantiates the environmental importance that micrometer- to submicrometer topography variations of fluid-rock interfaces govern the trapping of trace elements.

  20. Correlation between sub-micron surface roughness of iron oxide encrustations and trace element concentrations

    Energy Technology Data Exchange (ETDEWEB)

    Fischer, Cornelius, E-mail: cornelius@rice.edu [Department of Earth Science, MS-126, Rice University, 6100 Main Street, Houston, TX 77005 (United States); Geowissenschaftliches Zentrum der Universitaet Goettingen, Abt. Sedimentologie and Umweltgeologie, Goldschmidtstr. 3, D-37077 Goettingen (Germany); Karius, Volker [Geowissenschaftliches Zentrum der Universitaet Goettingen, Abt. Sedimentologie and Umweltgeologie, Goldschmidtstr. 3, D-37077 Goettingen (Germany); Luettge, Andreas [Department of Earth Science, MS-126, Rice University, 6100 Main Street, Houston, TX 77005 (United States); Department of Chemistry, Rice University, 6100 Main Street, Houston, TX 77005 (United States)

    2009-08-01

    Iron oxide encrustations are formed on black slate surfaces during oxidative weathering of iron sulfide and phosphate bearing, organic matter-rich slates. Synchronously, trace elements are released during ongoing weathering. Laser ablation ICP-MS analyses of a weathered and encrusted slate showed that major portions of the V, Cu, As, Mo, Pb, Th, and U reside in the encrustation. Recently a potential relationship between several micrometer to 500 nm surface topography roughness of such encrustations and its uranium concentration was shown. Based on laser scanning microscopy measurements, the present study shows that this interrelation must be expanded to small submicron-sized half-pores with diameters between 100 nm and 500 nm. We demonstrate that the relationship is not limited to topography variations of a single encrustation in the hand-specimen scale. Surface topography and geochemical analyses of iron oxide encrustations from several locations but from the same geochemical environment and with similar weathering history showed that the concentrations of U, P, Cu, and Zn correlate inversely with the surface roughness parameter F. This parameter represents the total surface area and is - in this case - a proxy for the root-mean square surface roughness Rq. This study substantiates the environmental importance that micrometer- to submicrometer topography variations of fluid-rock interfaces govern the trapping of trace elements.

  1. Why do rough surfaces appear glossy?

    Science.gov (United States)

    Qi, Lin; Chantler, Mike J; Siebert, J Paul; Dong, Junyu

    2014-05-01

    The majority of work on the perception of gloss has been performed using smooth surfaces (e.g., spheres). Previous studies that have employed more complex surfaces reported that increasing mesoscale roughness increases perceived gloss [Psychol. Sci.19, 196 (2008), J. Vis.10(9), 13 (2010), Curr. Biol.22, 1909 (2012)]. We show that the use of realistic rendering conditions is important and that, in contrast to [Psychol. Sci.19, 196 (2008), J. Vis.10(9), 13 (2010)], after a certain point increasing roughness further actually reduces glossiness. We investigate five image statistics of estimated highlights and show that for our stimuli, one in particular, which we term "percentage of highlight area," is highly correlated with perceived gloss. We investigate a simple model that explains the unimodal, nonmonotonic relationship between mesoscale roughness and percentage highlight area.

  2. Effects of irregular two-dimensional and three-dimensional surface roughness in turbulent channel flows

    International Nuclear Information System (INIS)

    De Marchis, M.; Napoli, E.

    2012-01-01

    Highlights: ► 3D irregular rough surfaces produce higher effects than those observed over 2D. ► Effective slope is a geometrical parameter representative of the roughness effects. ► 3D rough surfaces enhance the turbulence isotropization. ► 2D and 3D irregular roughness partially support the wall similarity. ► Irregular rough surfaces shear some features with regular rough walls. - Abstract: Wall-resolved Large Eddy Simulation of fully developed turbulent channel flows over two different rough surfaces is performed to investigate on the effects of irregular 2D and 3D roughness on the turbulence. The two geometries are obtained through the superimposition of sinusoidal functions having random amplitudes and different wave lengths. In the 2D configuration the irregular shape in the longitudinal direction is replicated in the transverse one, while in the 3D case the sinusoidal functions are generated both in streamwise and spanwise directions. Both channel walls are roughened in such a way as to obtain surfaces with statistically equivalent roughness height, but different shapes. In order to compare the turbulence properties over the two rough walls and to analyse the differences with a smooth wall, the simulations are performed at the same Reynolds number Re τ = 395. The same mean roughness height h = 0.05δ (δ the half channel height) is used for the rough walls. The roughness function obtained with the 3D roughness is larger than in the 2D case, although the two walls share the same mean height. Thus, the considered irregular 3D roughness is more effective in reducing the flow velocity with respect to the 2D roughness, coherently with the literature results that identified a clear dependence of the roughness function on the effective slope (see ), higher in the generated 3D rough wall. The analysis of higher-order statistics shows that the effects of the roughness, independently on its two- or three-dimensional shape, are mainly confined in the inner

  3. A new fiber optic sensor for inner surface roughness measurement

    Science.gov (United States)

    Xu, Xiaomei; Liu, Shoubin; Hu, Hong

    2009-11-01

    In order to measure inner surface roughness of small holes nondestructively, a new fiber optic sensor is researched and developed. Firstly, a new model for surface roughness measurement is proposed, which is based on intensity-modulated fiber optic sensors and scattering modeling of rough surfaces. Secondly, a fiber optical measurement system is designed and set up. Under the help of new techniques, the fiber optic sensor can be miniaturized. Furthermore, the use of micro prism makes the light turn 90 degree, so the inner side surface roughness of small holes can be measured. Thirdly, the fiber optic sensor is gauged by standard surface roughness specimens, and a series of measurement experiments have been done. The measurement results are compared with those obtained by TR220 Surface Roughness Instrument and Form Talysurf Laser 635, and validity of the developed fiber optic sensor is verified. Finally, precision and influence factors of the fiber optic sensor are analyzed.

  4. Effect of deformation on the thermal conductivity of granular porous media with rough grain surface

    Science.gov (United States)

    Askari, Roohollah; Hejazi, S. Hossein; Sahimi, Muhammad

    2017-08-01

    Heat transfer in granular porous media is an important phenomenon that is relevant to a wide variety of problems, including geothermal reservoirs and enhanced oil recovery by thermal methods. Resistance to flow of heat in the contact area between the grains strongly influences the effective thermal conductivity of such porous media. Extensive experiments have indicated that the roughness of the grains' surface follows self-affine fractal stochastic functions, and thus, the contact resistance cannot be accounted for by models based on smooth surfaces. Despite the significance of rough contact area, the resistance has been accounted for by a fitting parameter in the models of heat transfer. In this Letter we report on a study of conduction in a packing of particles that contains a fluid of a given conductivity, with each grain having a rough self-affine surface, and is under an external compressive pressure. The deformation of the contact area depends on the fractal dimension that characterizes the grains' rough surface, as well as their Young's modulus. Excellent qualitative agreement is obtained with experimental data. Deformation of granular porous media with grains that have rough self-affine fractal surface is simulated. Thermal contact resistance between grains with rough surfaces is incorporated into the numerical simulation of heat conduction under compressive pressure. By increasing compressive pressure, thermal conductivity is enhanced more in the grains with smoother surfaces and lower Young's modulus. Excellent qualitative agreement is obtained with the experimental data.

  5. Influence of surface roughness on the corrosion behaviour of magnesium alloy

    International Nuclear Information System (INIS)

    Walter, R.; Kannan, M. Bobby

    2011-01-01

    Research highlights: → Surface roughness of AZ91 magnesium alloy plays a critical role in the passivation behaviour of the alloy. → The passivation behaviour of the alloy influences the pitting tendency. → Increase in surface roughness of AZ91 magnesium alloy increases the pitting tendency of the alloy. -- Abstract: In this study, the influence of surface roughness on the passivation and pitting corrosion behaviour of AZ91 magnesium alloy in chloride-containing environment was examined using electrochemical techniques. Potentiodynamic polarisation and electrochemical impedance spectroscopy tests suggested that the passivation behaviour of the alloy was affected by increasing the surface roughness. Consequently, the corrosion current and the pitting tendency of the alloy also increased with increase in the surface roughness. Scanning electron micrographs of 24 h immersion test samples clearly revealed pitting corrosion in the highest surface roughness (Sa 430) alloy, whereas in the lowest surface roughness (Sa 80) alloy no evidence of pitting corrosion was observed. Interestingly, when the passivity of the alloy was disturbed by galvanostatically holding the sample at anodic current for 1 h, the alloy underwent high pitting corrosion irrespective of their surface roughness. Thus the study suggests that the surface roughness plays a critical role in the passivation behaviour of the alloy and hence the pitting tendency.

  6. Use of roughness maps in visualisation of surfaces

    DEFF Research Database (Denmark)

    Seitavuopio, Paulus; Rantanen, Jukka; Yliruusi, Jouko

    2005-01-01

    monohydrate, theophylline anhydrate, sodium chloride and potassium chloride. The roughness determinations were made by a laser profilometer. The new matrix method gives detailed roughness maps, which are able to show local variations in surface roughness values and provide an illustrative picture...

  7. ROUGHNESS ANALYSIS OF VARIOUSLY POLISHED NIOBIUM SURFACES

    Energy Technology Data Exchange (ETDEWEB)

    Ribeill, G.; Reece, C.

    2008-01-01

    Niobium superconducting radio frequency (SRF) cavities have gained widespread use in accelerator systems. It has been shown that surface roughness is a determining factor in the cavities’ effi ciency and maximum accelerating potential achievable through this technology. Irregularities in the surface can lead to spot heating, undesirable local electrical fi eld enhancement and electron multipacting. Surface quality is typically ensured through the use of acid etching in a Buffered Chemical Polish (BCP) bath and electropolishing (EP). In this study, the effects of these techniques on surface morphology have been investigated in depth. The surface of niobium samples polished using different combinations of these techniques has been characterized through atomic force microscopy (AFM) and stylus profi lometry across a range of length scales. The surface morphology was analyzed using spectral techniques to determine roughness and characteristic dimensions. Experimentation has shown that this method is a valuable tool that provides quantitative information about surface roughness at different length scales. It has demonstrated that light BCP pretreatment and lower electrolyte temperature favors a smoother electropolish. These results will allow for the design of a superior polishing process for niobium SRF cavities and therefore increased accelerator operating effi ciency and power.

  8. Influence of surface roughness on the friction property of textured surface

    OpenAIRE

    Yuankai Zhou; Hua Zhu; Wenqian Zhang; Xue Zuo; Yan Li; Jianhua Yang

    2015-01-01

    In contrast with dimple textures, surface roughness is a texture at the micro-scale, essentially which will influence the load-bearing capacity of lubricant film. The numerical simulation was carried out to investigate the influence of surface roughness on friction property of textured surface. The lubricant film pressure was obtained using the method of computational fluid dynamics according to geometric model of round dimple, and the renormalization-group k–ε turbulent model was adopted in ...

  9. Combination of Machining Parameters to Optimize Surface Roughness and Chip Thickness during End Milling Process on Aluminium 6351-T6 Alloy Using Taguchi Design Method

    Directory of Open Access Journals (Sweden)

    Reddy Sreenivasulu

    2016-12-01

    Full Text Available In any machining operations, quality is the important conflicting objective. In order to give assurance for high productivity, some extent of quality has to be compromised. Similarly productivity will be decreased while the efforts are channelized to enhance quality. In this study,  the experiments were carried out on a CNC vertical machining center  to perform 10mm slots on Al 6351-T6 alloy work piece by K10 carbide, four flute end milling cutter. Furthermore the cutting speed, the feed rate and depth of cut are regulated in this experiment. Each experiment was conducted three times and the surface roughness and chip thickness was measured by a surface analyser of Surf Test-211 series (Mitutoyo and Digital Micrometer (Mitutoyo with least count 0.001 mm respectively. The selection of orthogonal array is concerned with the total degree of freedom of process parameters. Total degree of freedom (DOF associated with three parameters is equal to 6 (3X2.The degree of freedom for the orthogonal array should be greater than or at least equal to that of the process parameters. There by, a L9 orthogonal array having degree of freedom equal to (9-1= 8 8 has been considered .But in present case each experiment is conducted three times, therefore total degree of freedom (9X3-1=26 26 has been considered. Finally, confirmation test (ANOVA was conducted to compare the predicted values with the experimental values confirm its effectiveness in the analysis of surface roughness and chip thickness. Surface Roughness (Ra is greatly reduced from 0.145 µm to 0.1326 µm and the chip thickness (Ct is slightly reduced from 0.1 mm to 0.085 mm, because of in the measurement collected the chips after machining of every experiment, from that randomly selected a few chips for measuring of their thickness using digital micrometer.

  10. Effective Boundary Slip Induced by Surface Roughness and Their Coupled Effect on Convective Heat Transfer of Liquid Flow

    Directory of Open Access Journals (Sweden)

    Yunlu Pan

    2018-05-01

    Full Text Available As a significant interfacial property for micro/nano fluidic system, the effective boundary slip can be induced by the surface roughness. However, the effect of surface roughness on the effective slip is still not clear, both increased and decreased effective boundary slip were found with increased roughness. The present work develops a simplified model to study the effect of surface roughness on the effective boundary slip. In the created rough models, the reference position of the rough surfaces to determinate effective boundary slip was set based on ISO/ASME standard and the surface roughness parameters including Ra (arithmetical mean deviation of the assessed profile, Rsm (mean width of the assessed profile elements and shape of the texture varied to form different surface roughness. Then, the effective boundary slip of fluid flow through the rough surface was analyzed by using COMSOL 5.3. The results show that the effective boundary slip induced by surface roughness of fully wetted rough surface keeps negative and further decreases with increasing Ra or decreasing Rsm. Different shape of roughness texture also results in different effective slip. A simplified corrected method for the measured effective boundary slip was developed and proved to be efficient when the Rsm is no larger than 200 nm. Another important finding in the present work is that the convective heat transfer firstly increases followed by an unobvious change with increasing Ra, while the effective boundary slip keeps decreasing. It is believed that the increasing Ra enlarges the area of solid-liquid interface for convective heat transfer, however, when Ra is large enough, the decreasing roughness-induced effective boundary slip counteracts the enhancement effect of roughness itself on the convective heat transfer.

  11. Surface Roughness Analysis in the Hard Milling of JIS SKD61 Alloy Steel

    OpenAIRE

    Huu-That Nguyen; Quang-Cherng Hsu

    2016-01-01

    Hard machining is an efficient solution that can be used to replace the grinding operation in the mold and die manufacturing industry. In this study, an attempt is made to analyze the effect of process parameters on workpiece surface roughness (Ra) in the hard milling of JIS (Japanese Industrial Standard) SKD61 steel, based on a combination of the Taguchi method and response surface methodology (RSM). The cutting parameters are selected based on the structural dynamic analysis of the machine ...

  12. Finite element method analysis of surface roughness transfer in micro flexible rolling

    OpenAIRE

    Qu Feijun; Xie Haibo; Jiang Zhengyi

    2016-01-01

    Micro flexible rolling aims to fabricate submillimeter thick strips with varying thickness profile, where the surface quality of products is mainly determined by initial workpiece surface roughness and subsequent surface asperity flattening process, which is affected by process parameters during rolling. This paper shows a 3D finite element model for flexible rolling of a 250 μm thick workpiece with reduction of 20 to 50%, and rolling phase with thinner thickness indicates a better ability to...

  13. Numerical analysis of the bucket surface roughness effects in Pelton turbine

    International Nuclear Information System (INIS)

    Xiao, Y X; Zeng, C J; Zhang, J; Yan, Z G; Wang, Z W

    2013-01-01

    The internal flow of a Pelton turbine is quite complex. It is difficult to analyse the unsteady free water sheet flow in the rotating bucket owing to the lack of a sound theory. Affected by manufacturing technique and silt abrasion during the operation, the bucket surface roughness of Pelton turbine may be too great, and thereby influence unit performance. To investigate the effect of bucket roughness on Pelton turbine performance, this paper presents the numerical simulation of the interaction between the jet and the bucket in a Pelton turbine. The unsteady three-dimensional numerical simulations were performed with CFX code by using the SST turbulence model coupling the two-phase flow volume of fluid method. Different magnitude orders of bucket surface roughness were analysed and compared. Unsteady numerical results of the free water sheet flow patterns on bucket surface, torque and unit performance for each bucket surface roughness were generated. The total pressure distribution on bucket surface is used to show the free water sheet flow pattern on bucket surface. By comparing the variation of water sheet flow patterns on bucket surface with different roughness, this paper qualitatively analyses how the bucket surface roughness magnitude influences the impeding effect on free water sheet flow. Comparison of the torque variation of different bucket surface roughness highlighted the effect of the bucket surface roughness on the Pelton turbine output capacity. To further investigate the effect of bucket surface roughness on Pelton turbine performance, the relation between the relative efficiency loss rate and bucket surface roughness magnitude is quantitatively analysed. The result can be used to predict and evaluate the Pelton turbine performance

  14. Numerical analysis of the bucket surface roughness effects in Pelton turbine

    Science.gov (United States)

    Xiao, Y. X.; Zeng, C. J.; Zhang, J.; Yan, Z. G.; Wang, Z. W.

    2013-12-01

    The internal flow of a Pelton turbine is quite complex. It is difficult to analyse the unsteady free water sheet flow in the rotating bucket owing to the lack of a sound theory. Affected by manufacturing technique and silt abrasion during the operation, the bucket surface roughness of Pelton turbine may be too great, and thereby influence unit performance. To investigate the effect of bucket roughness on Pelton turbine performance, this paper presents the numerical simulation of the interaction between the jet and the bucket in a Pelton turbine. The unsteady three-dimensional numerical simulations were performed with CFX code by using the SST turbulence model coupling the two-phase flow volume of fluid method. Different magnitude orders of bucket surface roughness were analysed and compared. Unsteady numerical results of the free water sheet flow patterns on bucket surface, torque and unit performance for each bucket surface roughness were generated. The total pressure distribution on bucket surface is used to show the free water sheet flow pattern on bucket surface. By comparing the variation of water sheet flow patterns on bucket surface with different roughness, this paper qualitatively analyses how the bucket surface roughness magnitude influences the impeding effect on free water sheet flow. Comparison of the torque variation of different bucket surface roughness highlighted the effect of the bucket surface roughness on the Pelton turbine output capacity. To further investigate the effect of bucket surface roughness on Pelton turbine performance, the relation between the relative efficiency loss rate and bucket surface roughness magnitude is quantitatively analysed. The result can be used to predict and evaluate the Pelton turbine performance.

  15. THE EFFECT OF OPACIFIERS ON SURFACE ROUGHNESS OFCERAMIC GLAZES

    Directory of Open Access Journals (Sweden)

    R. Sarjahani

    2016-03-01

    Full Text Available Surface smoothness of ceramic glazes is always an important characteristic of ceramic glazes as a point of surface engineering studies. Surface roughness affects chemical resistivity, glossiness and stainabiliy of glazes. In fact, less surface roughness improves cleanability of the surface by the least usage amount of detergents. In this investigation, surface topography of two common opaque glazes, zirconia and titania-based, has been investigated. Crystallinity of the surface has been studied from SEM images, and comparison of EDS elemental results with phase analysis results of XRD. Surface roughness profile measured by Marsurf M300, shows that titania-based glaze is almost 24% percentage more smooth than zirconia based glaze. Surface smoothness is in relation with crystallinity of glaze surface, crystal type and crystal distribution in amorphous matrix phase

  16. Single-layer model for surface roughness.

    Science.gov (United States)

    Carniglia, C K; Jensen, D G

    2002-06-01

    Random roughness of an optical surface reduces its specular reflectance and transmittance by the scattering of light. The reduction in reflectance can be modeled by a homogeneous layer on the surface if the refractive index of the layer is intermediate to the indices of the media on either side of the surface. Such a layer predicts an increase in the transmittance of the surface and therefore does not provide a valid model for the effects of scatter on the transmittance. Adding a small amount of absorption to the layer provides a model that predicts a reduction in both reflectance and transmittance. The absorbing layer model agrees with the predictions of a scalar scattering theory for a layer with a thickness that is twice the rms roughness of the surface. The extinction coefficient k for the layer is proportional to the thickness of the layer.

  17. Genetic Algorithm-Based Optimization for Surface Roughness in Cylindrically Grinding Process Using Helically Grooved Wheels

    Science.gov (United States)

    Çaydaş, Ulaş; Çelik, Mahmut

    The present work is focused on the optimization of process parameters in cylindrical surface grinding of AISI 1050 steel with grooved wheels. Response surface methodology (RSM) and genetic algorithm (GA) techniques were merged to optimize the input variable parameters of grinding. The revolution speed of workpiece, depth of cut and number of grooves on the wheel were changed to explore their experimental effects on the surface roughness of machined bars. The mathematical models were established between the input parameters and response by using RSM. Then, the developed RSM model was used as objective functions on GA to optimize the process parameters.

  18. The effect of polishing technique on 3-D surface roughness and gloss of dental restorative resin composites.

    Science.gov (United States)

    Ereifej, N S; Oweis, Y G; Eliades, G

    2013-01-01

    The aim of this study was to compare surface roughness and gloss of resin composites polished using different polishing systems. Five resin composites were investigated: Filtek Silorane (FS), IPS Empress Direct (IP), Clearfil Majesty Posterior (CM), Premise (PM), and Estelite Sigma (ES). Twenty-five disk specimens were prepared from each material, divided into five groups, each polished with one of the following methods: Opti1Step (OS), OptiDisc (OD), Kenda CGI (KD), Pogo (PG), or metallurgical polishing (ML). Gloss and roughness parameters (Sa, Sz, Sq, and St) were evaluated by 60°-angle glossimetry and white-light interferometric profilometry. Two-way analysis of variance was used to detect differences in different materials and polishing techniques. Regression and correlation analyses were performed to examine correlations between roughness and gloss. Significant differences in roughness parameters and gloss were found according to the material, type of polishing, and material/polishing technique (pgloss was recorded for PM/ML (88.4 [2.3]) and lowest for FS/KD (30.3 [5.7]). All roughness parameters were significantly correlated with gloss (r= 0.871, 0.846, 0.713, and 0.707 for Sa, Sq, Sz, St, and gloss, respectively). It was concluded that the polishing procedure and the type of composite can have significant impacts on surface roughness and gloss of resin composites.

  19. Surface roughness studies with DALLAS-detector array for laser light angular scattering

    Science.gov (United States)

    Vorburger, T. V.; Teague, E. C.; Scire, F. E.; Mclay, M. J.; Gilsinn, D. E.

    1984-01-01

    An attempt is made to develop a better mathematical description of optical scattering phenomena, in order to construct an optical scattering apparatus for reliable and routine measurements of roughness parameters without resorting to comparator standards. After a brief outline of optical scattering theory, a description is presented of an experimental instrument for measuring surface roughness which incorporates optical scattering principles. The instrument has a He-Ne laser which illuminates the test surface at a variable angle of incidence. Scattered light distribution is detected by an array of 87 fiber-optic sensors positioned in a rotating semicircular yoke. The output from the detector is digitized and analyzed in a laboratory computer. For a comparison with experimental data, theoretical distributions are calculated by substituting the roughness profiles into the operand of and integral equation for electromagnetic scattering developed by Beckmann and Spizzichino (1963). A schematic diagram of the instrument is provided and the general implications of the experimental results are discussed.

  20. Use of upscaled elevation and surface roughness data in two-dimensional surface water models

    Science.gov (United States)

    Hughes, J.D.; Decker, J.D.; Langevin, C.D.

    2011-01-01

    In this paper, we present an approach that uses a combination of cell-block- and cell-face-averaging of high-resolution cell elevation and roughness data to upscale hydraulic parameters and accurately simulate surface water flow in relatively low-resolution numerical models. The method developed allows channelized features that preferentially connect large-scale grid cells at cell interfaces to be represented in models where these features are significantly smaller than the selected grid size. The developed upscaling approach has been implemented in a two-dimensional finite difference model that solves a diffusive wave approximation of the depth-integrated shallow surface water equations using preconditioned Newton–Krylov methods. Computational results are presented to show the effectiveness of the mixed cell-block and cell-face averaging upscaling approach in maintaining model accuracy, reducing model run-times, and how decreased grid resolution affects errors. Application examples demonstrate that sub-grid roughness coefficient variations have a larger effect on simulated error than sub-grid elevation variations.

  1. Predictive Models for Different Roughness Parameters During Machining Process of Peek Composites Using Response Surface Methodology

    Directory of Open Access Journals (Sweden)

    Mata-Cabrera Francisco

    2013-10-01

    Full Text Available Polyetheretherketone (PEEK composite belongs to a group of high performance thermoplastic polymers and is widely used in structural components. To improve the mechanical and tribological properties, short fibers are added as reinforcement to the material. Due to its functional properties and potential applications, it’s impor- tant to investigate the machinability of non-reinforced PEEK (PEEK, PEEK rein- forced with 30% of carbon fibers (PEEK CF30, and reinforced PEEK with 30% glass fibers (PEEK GF30 to determine the optimal conditions for the manufacture of the parts. The present study establishes the relationship between the cutting con- ditions (cutting speed and feed rate and the roughness (Ra , Rt , Rq , Rp , by develop- ing second order mathematical models. The experiments were planned as per full factorial design of experiments and an analysis of variance has been performed to check the adequacy of the models. These state the adequacy of the derived models to obtain predictions for roughness parameters within ranges of parameters that have been investigated during the experiments. The experimental results show that the most influence of the cutting parameters is the feed rate, furthermore, proved that glass fiber reinforcements produce a worse machinability.

  2. Effect of Equal Channel Angular Pressing on the Surface Roughness of Solid State Recycled Aluminum Alloy 6061 Chips

    Directory of Open Access Journals (Sweden)

    Adel Taha Abbas

    2017-01-01

    Full Text Available Solid state recycling through hot extrusion is a promising technique to recycle machining chips without remelting. Furthermore, equal channel angular pressing (ECAP technique coupled with the extruded recycled billet is introduced to enhance the mechanical properties of recycled samples. In this paper, the surface roughness of solid state recycled aluminum alloy 6061 turning chips was investigated. Aluminum chips were cold compacted and hot extruded under an extrusion ratio (ER of 5.2 at an extrusion temperature (ET of 425°C. In order to improve the properties of the extruded samples, they were subjected to ECAP up to three passes at room temperature using an ECAP die with a channel die angle (Φ of 90°. Surface roughness (Ra and Rz of the processed recycled billets machined by turning was investigated. Box-Behnken experimental design was used to investigate the effect of three machining parameters (cutting speed, feed rate, and depth of cut on the surface roughness of the machined specimens for four materials conditions, namely, extruded billet and postextrusion ECAP processed billets to one, two, and three passes. Quadratic models were developed to relate the machining parameters to surface roughness, and a multiobjective optimization scheme was conducted to maximize material removal rate while maintaining the roughness below a preset practical value.

  3. Effects of ice crystal surface roughness and air bubble inclusions on cirrus cloud radiative properties from remote sensing perspective

    International Nuclear Information System (INIS)

    Tang, Guanglin; Panetta, R. Lee; Yang, Ping; Kattawar, George W.; Zhai, Peng-Wang

    2017-01-01

    We study the combined effects of surface roughness and inhomogeneity on the optical scattering properties of ice crystals and explore the consequent implications to remote sensing of cirrus cloud properties. Specifically, surface roughness and inhomogeneity are added to the Moderate Resolution Imaging Spectroradiometer (MODIS) collection 6 (MC6) cirrus cloud particle habit model. Light scattering properties of the new habit model are simulated using a modified version of the Improved Geometric Optics Method (IGOM). Both inhomogeneity and surface roughness affect the single scattering properties significantly. In visible bands, inhomogeneity and surface roughness both tend to smooth the phase function and eliminate halos and the backscattering peak. The asymmetry parameter varies with the degree of surface roughness following a U shape - decreases and then increases - with a minimum at around 0.15, whereas it decreases monotonically with the air bubble volume fraction. Air bubble inclusions significantly increase phase matrix element -P_1_2 for scattering angles between 20°–120°, whereas surface roughness has a much weaker effect, increasing -P_1_2 slightly from 60°–120°. Radiative transfer simulations and cirrus cloud property retrievals are conducted by including both the factors. In terms of surface roughness and air bubble volume fraction, retrievals of cirrus cloud optical thickness or the asymmetry parameter using solar bands show similar patterns of variation. Polarimetric simulations using the MC6 cirrus cloud particle habit model are shown to be more consistent with observations when both surface roughness and inhomogeneity are simultaneously considered. - Highlights: • Surface roughness and air bubble inclusions affect optical properties of ice crystals significantly. • Including both factors improves simulations of ice cloud.• Cirrus cloud particle habit model of the MODIS collection 6 achieves better self-consistency and consistency with

  4. Roughness Effects on Fretting Fatigue

    Science.gov (United States)

    Yue, Tongyan; Abdel Wahab, Magd

    2017-05-01

    Fretting is a small oscillatory relative motion between two normal loaded contact surfaces. It may cause fretting fatigue, fretting wear and/or fretting corrosion damage depending on various fretting couples and working conditions. Fretting fatigue usually occurs at partial slip condition, and results in catastrophic failure at the stress levels below the fatigue limit of the material. Many parameters may affect fretting behaviour, including the applied normal load and displacement, material properties, roughness of the contact surfaces, frequency, etc. Since fretting damage is undesirable due to contacting, the effect of rough contact surfaces on fretting damage has been studied by many researchers. Experimental method on this topic is usually focusing on rough surface effects by finishing treatment and random rough surface effects in order to increase fretting fatigue life. However, most of numerical models on roughness are based on random surface. This paper reviewed both experimental and numerical methodology on the rough surface effects on fretting fatigue.

  5. The contact sport of rough surfaces

    Science.gov (United States)

    Carpick, Robert W.

    2018-01-01

    Describing the way two surfaces touch and make contact may seem simple, but it is not. Fully describing the elastic deformation of ideally smooth contacting bodies, under even low applied pressure, involves second-order partial differential equations and fourth-rank elastic constant tensors. For more realistic rough surfaces, the problem becomes a multiscale exercise in surface-height statistics, even before including complex phenomena such as adhesion, plasticity, and fracture. A recent research competition, the “Contact Mechanics Challenge” (1), was designed to test various approximate methods for solving this problem. A hypothetical rough surface was generated, and the community was invited to model contact with this surface with competing theories for the calculation of properties, including contact area and pressure. A supercomputer-generated numerical solution was kept secret until competition entries were received. The comparison of results (2) provides insights into the relative merits of competing models and even experimental approaches to the problem.

  6. Influence of surface roughness on streptococcal adhesion forces to composite resins

    NARCIS (Netherlands)

    Mei, Li; Busscher, Henk J; van der Mei, Henny C; Ren, Yijin

    OBJECTIVE: To determine streptococcal adhesion forces with composite resins with different surface roughness. METHODS: Polishing and grinding were applied to obtain smooth (roughness 20 nm), moderately rough (150 nm) and rough (350 nm) surfaces of two orthodontic, light-cured composites. Adhesion

  7. Asperity interaction in elastic-plastic contact of rough surfaces in presence of adhesion

    International Nuclear Information System (INIS)

    Sahoo, Prasanta; Banerjee, Atanu

    2005-01-01

    This paper presents an analysis of the effect of asperity interaction in elastic-plastic contact of rough surfaces in the presence of adhesion. The micro-contact model of asperity interactions, developed by Zhao and Chang (2001 Trans. ASME: J. Tribol. 123 857-64), is integrated into the elastic-plastic contact model developed by Roy Chowdhury and Ghosh (1994 Wear 174 9-19) to allow the asperity interaction and elastic-plastic deformation in the presence of surface forces to be considered simultaneously. The well-established elastic and plastic adhesion indices are used to consider the different conditions that arise as a result of varying load and material parameters. Results show that asperity interaction influences the loading-unloading behaviour in elastic-plastic adhesive contact of rough surfaces and in general asperity interactions reduce the effect of surface forces

  8. Investigation on Parameters Affecting the Effectiveness of Photocatalytic Functional Coatings to Degrade NO: TiO2 Amount on Surface, Illumination, and Substrate Roughness

    Directory of Open Access Journals (Sweden)

    J. Hot

    2017-01-01

    Full Text Available This paper deals with the degradation of NO by photocatalytic oxidation using TiO2-based coatings. Tests are conducted at a laboratory scale through an experimental setup inspired from ISO 22197-1 standard. Various parameters are explored to evaluate their influence on photocatalysis efficiency: TiO2 dry matter content applied to the surface, nature of the substrate, and illumination conditions (UV and visible light. This article points out the different behaviors between three kinds of substrates which are common building materials: normalized mortar, denser mortar, and commercial wood. The illumination conditions are of great importance in the photocatalytic process with experiments under UV light showing the best results. However, a significant decrease in NO concentration under visible light is also observed provided that the TiO2 dry matter content on the surface is high enough. The nature of the substrate plays an important role in the photocatalytic activity with rougher substrates being more efficient to degrade NO. However, limiting the roughness of the substrate seems to be of utmost interest to obtain the highest exposed surface area and thus the optimal photocatalytic efficiency. A higher roughness promotes the surface contact between TiO2 and NO but does not necessarily increase the photochemical oxidation.

  9. How to Select the most Relevant Roughness Parameters of a Surface: Methodology Research Strategy

    Science.gov (United States)

    Bobrovskij, I. N.

    2018-01-01

    In this paper, the foundations for new methodology creation which provides solving problem of surfaces structure new standards parameters huge amount conflicted with necessary actual floors quantity of surfaces structure parameters which is related to measurement complexity decreasing are considered. At the moment, there is no single assessment of the importance of a parameters. The approval of presented methodology for aerospace cluster components surfaces allows to create necessary foundation, to develop scientific estimation of surfaces texture parameters, to obtain material for investigators of chosen technological procedure. The methods necessary for further work, the creation of a fundamental reserve and development as a scientific direction for assessing the significance of microgeometry parameters are selected.

  10. Effects of capillary condensation in adhesion between rough surfaces.

    Science.gov (United States)

    Wang, Jizeng; Qian, Jin; Gao, Huajian

    2009-10-06

    Experiments on the effects of humidity in adhesion between rough surfaces have shown that the adhesion energy remains constant below a critical relative humidity (RHcr) and then abruptly jumps to a higher value at RHcr before approaching its upper limit at 100% relative humidity. A model based on a hierarchical rough surface topography is proposed, which quantitatively explains the experimental observations and predicts two threshold RH values, RHcr and RHdry, which define three adhesion regimes: (1) RHRHcr, water menisci freely form and spread along the interface between the rough surfaces.

  11. A comparison of roughness parameters and friction coefficients of aesthetic archwires.

    Science.gov (United States)

    Rudge, Philippa; Sherriff, Martyn; Bister, Dirk

    2015-02-01

    Compare surface roughness of 'aesthetic' nickel-titanium (NiTi) archwires with their dynamic frictional properties. Archwires investigated were: four fully coated tooth coloured [Forestadent: Biocosmetic (FB) and Titanol Cosmetic (FT); TOC Tooth Tone (TT); and Hawley Russell Coated Superelastic NiTi (HRC)]; two partially coated tooth coloured [DB Euroline Microcoated (DB) and TP Aesthetic NiTi (TP)]; two rhodium coated [TOC Sentalloy (TS) and Hawley Russell Rhodium Coated Superelastic NiTi (HRR)]; and two controls: stainless steel [Forestadent Steel (FS)] and NiTi archwire [Forestadent Titanol Superelastic (FN)]. Surface roughness [profilometry (Rugosurf)] was compared with frictional coefficients for archwire/bracket/ligature combinations (n = 10). Analysis of variance, Sidak's multiple comparison of means, and Spearman's correlation coefficient were used for analysis. Roughness coefficients were from low to high: FB; FN; TT; FS; TS; HRR; FT; DB; TP; HRC. Friction coefficients were from low to high: TP; FS; FN; HRR; FT; DB; FB; HRC; TS; TT. Coated archwires generally exhibited higher friction than uncoated controls. TP had the lowest friction but this was not statistically significant (P < 0.05). Friction of tooth coloured coated archwires were significantly different for some wires. Spearman's correlation did not demonstrate consistency between surface roughness (R a) and dynamic friction. Aesthetic archwires investigated had either low surface roughness or low frictional resistance but not both properties simultaneously. Causes for friction are likely to be multifactorial and do not appear to be solely determined by surface roughness (measured by profilometry). For selecting the most appropriate aligning archwire, both surface roughness and frictional resistance need to be considered. © The Author 2014. Published by Oxford University Press on behalf of the European Orthodontic Society. All rights reserved. For permissions, please email: journals.permissions@oup.com.

  12. Surface topography and roughness of high-speed milled AlMn1Cu

    Science.gov (United States)

    Wang, Zhenhua; Yuan, Juntang; Yin, Zengbin; Hu, Xiaoqiu

    2016-10-01

    The aluminum alloy AlMn1Cu has been broadly applied for functional parts production because of its good properties. But few researches about the machining mechanism and the surface roughness were reported. The high-speed milling experiments are carried out in order to improve the machining quality and reveal the machining mechanism. The typical topography features of machined surface are observed by scan electron microscope(SEM). The results show that the milled surface topography is mainly characterized by the plastic shearing deformation surface and material piling zone. The material flows plastically along the end cutting edge of the flat-end milling tool and meanwhile is extruded by the end cutting edge, resulting in that materials partly adhere to the machined surface and form the material piling zone. As the depth of cut and the feed per tooth increase, the plastic flow of materials is strengthened and the machined surface becomes rougher. However, as the cutting speed increases, the plastic flow of materials is weakened and the milled surface becomes smoother. The cutting parameters (e.g. cutting speed, feed per tooth and depth of cut) influencing the surface roughness are analyzed. It can be concluded that the roughness of the machined surface formed by the end cutting edge is less than that by the cylindrical cutting edge when a cylindrical flat-end mill tool is used for milling. The proposed research provides the typical topography features of machined surface of the anti-rust aluminum alloy AlMn1Cu in high speed milling.

  13. Assessing and Mapping of Road Surface Roughness based on GPS and Accelerometer Sensors on Bicycle-Mounted Smartphones

    Science.gov (United States)

    Shen, Jie; Wan, Mi; Shi, Jiafeng

    2018-01-01

    The surface roughness of roads is an essential road characteristic. Due to the employed carrying platforms (which are often cars), existing measuring methods can only be used for motorable roads. Until now, there has been no effective method for measuring the surface roughness of un-motorable roads, such as pedestrian and bicycle lanes. This hinders many applications related to pedestrians, cyclists and wheelchair users. In recognizing these research gaps, this paper proposes a method for measuring the surface roughness of pedestrian and bicycle lanes based on Global Positioning System (GPS) and accelerometer sensors on bicycle-mounted smartphones. We focus on the International Roughness Index (IRI), as it is the most widely used index for measuring road surface roughness. Specifically, we analyzed a computing model of road surface roughness, derived its parameters with GPS and accelerometers on bicycle-mounted smartphones, and proposed an algorithm to recognize potholes/humps on roads. As a proof of concept, we implemented the proposed method in a mobile application. Three experiments were designed to evaluate the proposed method. The results of the experiments show that the IRI values measured by the proposed method were strongly and positively correlated with those measured by professional instruments. Meanwhile, the proposed algorithm was able to recognize the potholes/humps that the bicycle passed. The proposed method is useful for measuring the surface roughness of roads that are not accessible for professional instruments, such as pedestrian and cycle lanes. This work enables us to further study the feasibility of crowdsourcing road surface roughness with bicycle-mounted smartphones. PMID:29562731

  14. Effect of surface roughness on the aerodynamic characteristics of a symmetrical airfoil

    Energy Technology Data Exchange (ETDEWEB)

    Chakroun, W.; Al-Mesri, I.; Al-Fahad, S.

    2005-07-01

    The objective of this study is to investigate the effect of surface roughness by varying the roughness size and location on the aerodynamic characteristics of the airfoil. Test were conducted on the symmetrical airfoil models NACA 0012 in which the nature of the surface was varied from smooth to very rough and at a chord Reynolds number of 1.5*10{sup 5}. Different airfoil models with various roughness sizes and roughness locations were tested for different angles of attack. Lift, drag and pressure coefficients were measured and velocity profiles were determined for the smooth and grit 36 roughened models. It is shown that as the surface roughness increases, the minimum drag also increases due to the increase of the skin friction and the lift decreases. Surface roughness is seen to delay the stall angle and also increase the lift in the stall region. The airfoil model with the roughness located at the trailing edge shows minimum drag and maximum lift up to the stall angle compared to the other cases of different roughness locations. It is confirmed that, for the rough surface, a turbulent boundary layer exists where the laminar boundary layer is encountered for the smooth surface at the same Reynolds number. The measured skin friction for the rough surface is larger than that for the smooth surface. (author)

  15. Surface characterization of the cement for retention of implant supported dental prostheses: In vitro evaluation of cement roughness and surface free energy

    Energy Technology Data Exchange (ETDEWEB)

    Brajkovic, Denis [Clinic for Dentistry, Department of Maxillofacial Surgery, Faculty of Medical Sciences, University of Kragujevac, Svetozara Markovica 69, 34000 Kragujevac (Serbia); Antonijevic, Djordje; Milovanovic, Petar [Laboratory for Anthropology, Institute of Anatomy, School of Medicine, University of Belgrade, Dr. Subotica 4/2, 11000 Belgrade (Serbia); Kisic, Danilo [Laboratory for Atomic Physics, Institute of Nuclear Sciences “Vinca”, University of Belgrade, Belgrade (Serbia); Zelic, Ksenija; Djuric, Marija [Laboratory for Anthropology, Institute of Anatomy, School of Medicine, University of Belgrade, Dr. Subotica 4/2, 11000 Belgrade (Serbia); Rakocevic, Zlatko, E-mail: zlatkora@vinca.rs [Laboratory for Atomic Physics, Institute of Nuclear Sciences “Vinca”, University of Belgrade, Belgrade (Serbia)

    2014-08-30

    Graphical abstract: - Highlights: • Surface free energy and surface roughness influence bacterial adhesion. • Bacterial colonization causes periimplantitis and implant loss. • Zinc-based, glass-ionomers and resin-cements were investigated. • Glass-ionomers-cements present the lowest values of surface free energy and roughness. • Glass-ionomer-cements surface properties result with reduced bacterial adhesion. - Abstract: Background: Material surface free energy and surface roughness strongly influence the bacterial adhesion in oral cavity. The aim of this study was to analyze these two parameters in various commercial luting agents used for cementation of implant restorations. Materials and methods: Zinc-based, glass-ionomers, resin modified glass-ionomer and resin-cements were investigated. Contact angle and surface free energy were measured by contact angle analyzer using Image J software program. Materials’ average roughness and fractal dimension were calculated based on Atomic Force Microscope topography images. Results: Zinc phosphate cements presented significantly higher total surface free energy and significantly lower dispersive component of surface free energy compared to other groups, while resin-cements showed significantly lower polar component than other groups. The surface roughness and fractal dimension values were statistically the highest in the zinc phosphate cements and the lowest for the glass-ionomers cements. Conclusion: Glass-ionomers-cements presented lower values of surface free energy and surface roughness than zinc phosphate and resin cements, indicating that their surfaces are less prone to biofilm adhesion. Practical implications: Within limitations of an in vitro trial, our results indicate that glass-ionomers-cements could be the cements of choice for fixation of cement retained implant restorations due to superior surface properties compared to zinc phosphate and resin cements, which may result in reduced plaque formation

  16. Surface characterization of the cement for retention of implant supported dental prostheses: In vitro evaluation of cement roughness and surface free energy

    International Nuclear Information System (INIS)

    Brajkovic, Denis; Antonijevic, Djordje; Milovanovic, Petar; Kisic, Danilo; Zelic, Ksenija; Djuric, Marija; Rakocevic, Zlatko

    2014-01-01

    Graphical abstract: - Highlights: • Surface free energy and surface roughness influence bacterial adhesion. • Bacterial colonization causes periimplantitis and implant loss. • Zinc-based, glass-ionomers and resin-cements were investigated. • Glass-ionomers-cements present the lowest values of surface free energy and roughness. • Glass-ionomer-cements surface properties result with reduced bacterial adhesion. - Abstract: Background: Material surface free energy and surface roughness strongly influence the bacterial adhesion in oral cavity. The aim of this study was to analyze these two parameters in various commercial luting agents used for cementation of implant restorations. Materials and methods: Zinc-based, glass-ionomers, resin modified glass-ionomer and resin-cements were investigated. Contact angle and surface free energy were measured by contact angle analyzer using Image J software program. Materials’ average roughness and fractal dimension were calculated based on Atomic Force Microscope topography images. Results: Zinc phosphate cements presented significantly higher total surface free energy and significantly lower dispersive component of surface free energy compared to other groups, while resin-cements showed significantly lower polar component than other groups. The surface roughness and fractal dimension values were statistically the highest in the zinc phosphate cements and the lowest for the glass-ionomers cements. Conclusion: Glass-ionomers-cements presented lower values of surface free energy and surface roughness than zinc phosphate and resin cements, indicating that their surfaces are less prone to biofilm adhesion. Practical implications: Within limitations of an in vitro trial, our results indicate that glass-ionomers-cements could be the cements of choice for fixation of cement retained implant restorations due to superior surface properties compared to zinc phosphate and resin cements, which may result in reduced plaque formation

  17. Application of Young-Michelson and Brown-Twiss interferometers for determining geometric parameters of nonplanar rough objects

    NARCIS (Netherlands)

    Mandrosov, V. I.

    The possibility of using Young-Michelson and Brown-Twiss interferometers for measuring the angular dimensions and parameters of the surface shape of remote passively scattering and self-luminous nonplanar rough objects by optical radiation propagating from them is substantiated. The analysis is

  18. Application of the rigorous method to x-ray and neutron beam scattering on rough surfaces

    International Nuclear Information System (INIS)

    Goray, Leonid I.

    2010-01-01

    The paper presents a comprehensive numerical analysis of x-ray and neutron scattering from finite-conducting rough surfaces which is performed in the frame of the boundary integral equation method in a rigorous formulation for high ratios of characteristic dimension to wavelength. The single integral equation obtained involves boundary integrals of the single and double layer potentials. A more general treatment of the energy conservation law applicable to absorption gratings and rough mirrors is considered. In order to compute the scattering intensity of rough surfaces using the forward electromagnetic solver, Monte Carlo simulation is employed to average the deterministic diffraction grating efficiency due to individual surfaces over an ensemble of realizations. Some rules appropriate for numerical implementation of the theory at small wavelength-to-period ratios are presented. The difference between the rigorous approach and approximations can be clearly seen in specular reflectances of Au mirrors with different roughness parameters at wavelengths where grazing incidence occurs at close to or larger than the critical angle. This difference may give rise to wrong estimates of rms roughness and correlation length if they are obtained by comparing experimental data with calculations. Besides, the rigorous approach permits taking into account any known roughness statistics and allows exact computation of diffuse scattering.

  19. Effect of Ground Surface Roughness on Atmospheric Dispersion and Dry Deposition of Cs-137 in the UAE Environment

    Energy Technology Data Exchange (ETDEWEB)

    Kim, Sungyeop; Beeley, Philip A. [Khalifa Univ. of Science, Abu Dhabi (United Arab Emirates); Kim, Sungyeop; Chang, Soonheung; Lee, Kunjai [Korea Advanced Institute of Science and Technology, Daejeon (Korea, Republic of)

    2013-05-15

    The site of nuclear power plant (NPP) in the UAE has several unique characteristics as a NPP on the desert environment near coastal region. Those characteristics are represented like below: · Arid ground surface · Low ground surface roughness length · Relatively simple (flat) terrain · Extremely low precipitation · Intense solar radiation and high temperature in day time · Sea breeze · Relatively high humidity of atmosphere · Etc. From the review of this desert environment in the UAE, low ground surface roughness is regarded as one of definitively different characteristics from that of other NPP sites. In this context, surface roughness is selected as independent variables for the sensitivity analyses in this research. Another important reason of this selection is that this parameters is less dependent on the day and night change than other parameters. With ground level concentration, dry deposition rate has been chosen as a dependent variable to be considered rather than wet deposition because UAE shows almost zero rainfall especially in summer. Lower ground level concentration of Cs-137 near the site and extremely lower dry deposition of Cs-137 are predicted in the UAE environment because of the lower ground surface roughness of the desert.

  20. Surface roughness control by extreme ultraviolet (EUV) radiation

    Science.gov (United States)

    Ahad, Inam Ul; Obeidi, Muhannad Ahmed; Budner, Bogusław; Bartnik, Andrzej; Fiedorowicz, Henryk; Brabazon, Dermot

    2017-10-01

    Surface roughness control of polymeric materials is often desirable in various biomedical engineering applications related to biocompatibility control, separation science and surface wettability control. In this study, Polyethylene terephthalate (PET) polymer films were irradiated with Extreme ultraviolet (EUV) photons in nitrogen environment and investigations were performed on surface roughness modification via EUV exposure. The samples were irradiated at 3 mm and 4 mm distance from the focal spot to investigate the effect of EUV fluence on topography. The topography of the EUV treated PET samples were studied by AFM. The detailed scanning was also performed on the sample irradiated at 3 mm. It was observed that the average surface roughness of PET samples was increased from 9 nm (pristine sample) to 280 nm and 253 nm for EUV irradiated samples. Detailed AFM studies confirmed the presence of 1.8 mm wide period U-shaped channels in EUV exposed PET samples. The walls of the channels were having FWHM of about 0.4 mm. The channels were created due to translatory movements of the sample in horizontal and transverse directions during the EUV exposure. The increased surface roughness is useful for many applications. The nanoscale channels fabricated by EUV exposure could be interesting for microfluidic applications based on lab-on-a-chip (LOC) devices.

  1. Influence of surface roughness of a desert

    Science.gov (United States)

    Sud, Y. C.; Smith, W. E.

    1984-01-01

    A numerical simulation study, using the current GLAS climate GCM, was carried out to examine the influence of low bulk aerodynamic drag parameter in the deserts. The results illustrate the importance of yet another feedback effect of a desert on itself, that is produced by the reduction in surface roughness height of land once the vegetation dies and desert forms. Apart from affecting the moisture convergence, low bulk transport coefficients of a desert lead to enhanced longwave cooling and sinking which together reduce precipitation by Charney's (1975) mechanism. Thus, this effect, together with albedo and soil moisture influence, perpetuate a desert condition through its geophysical feedback effect. The study further suggests that man made deserts is a viable hypothesis.

  2. Effect of nanometer scale surface roughness of titanium for osteoblast function

    Directory of Open Access Journals (Sweden)

    Satoshi Migita

    2017-02-01

    Full Text Available Surface roughness is an important property for metallic materials used in medical implants or other devices. The present study investigated the effects of surface roughness on cellular function, namely cell attachment, proliferation, and differentiation potential. Titanium (Ti discs, with a hundred nanometer- or nanometer-scale surface roughness (rough and smooth Ti surface, respectively were prepared by polishing with silicon carbide paper. MC3T3-E1 mouse osteoblast-like cells were cultured on the discs, and their attachment, spreading area, proliferation, and calcification were analyzed. Cells cultured on rough Ti discs showed reduced attachment, proliferation, and calcification ability suggesting that the surface inhibited osteoblast function. The findings can provide a basis for improving the biocompatibility of medical devices.

  3. Estimating deep seafloor interface and volume roughness parameters using the multibeam-hydrosweep system

    Digital Repository Service at National Institute of Oceanography (India)

    Chakraborty, B.; Schenke, H.W.; Kodagali, V.N.; Hagen, R.

    composite roughness model, including water-sediment interface roughness and sediment volume roughness parameters the data was modeled. The model effectively uses the near normal incidence angle backscatter to determine the seafloor interface roughness...

  4. A global data set of land-surface parameters

    International Nuclear Information System (INIS)

    Claussen, M.; Lohmann, U.; Roeckner, E.; Schulzweida, U.

    1994-01-01

    A global data set of land surface parameters is provided for the climate model ECHAM developed at the Max-Planck-Institut fuer Meteorologie in Hamburg. These parameters are: background (surface) albedo α, surface roughness length z 0y , leaf area index LAI, fractional vegetation cover or vegetation ratio c y , and forest ratio c F . The global set of surface parameters is constructed by allocating parameters to major exosystem complexes of Olson et al. (1983). The global distribution of ecosystem complexes is given at a resolution of 0.5 0 x 0.5 0 . The latter data are compatible with the vegetation types used in the BIOME model of Prentice et al. (1992) which is a potential candidate of an interactive submodel within a comprehensive model of the climate system. (orig.)

  5. Estimation of surface soil moisture and roughness from multi-angular ASAR imagery in the Watershed Allied Telemetry Experimental Research (WATER

    Directory of Open Access Journals (Sweden)

    S. G. Wang

    2011-05-01

    Full Text Available Radar remote sensing has demonstrated its applicability to the retrieval of basin-scale soil moisture. The mechanism of radar backscattering from soils is complicated and strongly influenced by surface roughness. Additionally, retrieval of soil moisture using AIEM (advanced integrated equation model-like models is a classic example of underdetermined problem due to a lack of credible known soil roughness distributions at a regional scale. Characterization of this roughness is therefore crucial for an accurate derivation of soil moisture based on backscattering models. This study aims to simultaneously obtain surface roughness parameters (standard deviation of surface height σ and correlation length cl along with soil moisture from multi-angular ASAR images by using a two-step retrieval scheme based on the AIEM. The method firstly used a semi-empirical relationship that relates the roughness slope, Zs (Zs = σ2/cl and the difference in backscattering coefficient (Δσ from two ASAR images acquired with different incidence angles. Meanwhile, by using an experimental statistical relationship between σ and cl, both these parameters can be estimated. Then, the deduced roughness parameters were used for the retrieval of soil moisture in association with the AIEM. An evaluation of the proposed method was performed in an experimental area in the middle stream of the Heihe River Basin, where the Watershed Allied Telemetry Experimental Research (WATER was taken place. It is demonstrated that the proposed method is feasible to achieve reliable estimation of soil water content. The key challenge is the presence of vegetation cover, which significantly impacts the estimates of surface roughness and soil moisture.

  6. Elastic–plastic adhesive contact of non-Gaussian rough surfaces

    Indian Academy of Sciences (India)

    Grinding, milling, honing and abrasion processes produce grooved surfaces with negative ... This may be defined as λ = π2RH4σ/(18K2γ2) where H is the hardness ... The effect of surface roughness on adhesion at the contact of rough solids ...

  7. Modeling and Simulated Annealing Optimization of Surface Roughness in CO2 Laser Nitrogen Cutting of Stainless Steel

    OpenAIRE

    M. Madić; M. Radovanović; B. Nedić

    2013-01-01

    This paper presents a systematic methodology for empirical modeling and optimization of surface roughness in nitrogen, CO2 laser cutting of stainless steel . The surface roughness prediction model was developed in terms of laser power , cutting speed , assist gas pressure and focus position by using The artificial neural network ( ANN ) . To cover a wider range of laser cutting parameters and obtain an experimental database for the ANN model development, Taguchi 's L27 orthogonal array was im...

  8. Effects of surface roughness, MHD and couple stress on squeeze film characteristics between curved circular plates

    Science.gov (United States)

    Hanumagowda, B. N.; Salma, A.; Nagarajappa, C. S.

    2018-04-01

    The theoretical discussion is carried out for understanding the combined study of MHD, rough surface and couple-stress in the presence of applied magnetic field between two curved circular plates is present analysis. Modified Reynolds Equations accounting for rough surface using stochastic model of Christensen are mathematically formulated. The close form derivations for pressure, load-supporting capacity and response-film time are obtained. Our results shows that, there is an significant increase (decrease) for pressure, load-supporting capacity and squeeze film time due to the effect of azimuthal (radial) roughness parameter when compared to the Hanumagowda.et.al [14] and numerical data of load supporting capacity and response time are given in Table for engineering applications.

  9. Cement paste surface roughness analysis using coherence scanning interferometry and confocal microscopy

    Energy Technology Data Exchange (ETDEWEB)

    Apedo, K.L., E-mail: apedo@unistra.fr [ICube, Université de Strasbourg, CNRS, 2 rue Boussingault, 67000 Strasbourg (France); Munzer, C.; He, H. [ICube, INSA de Strasbourg, CNRS, 24 Bld de la Victoire, 67084 Strasbourg (France); Montgomery, P. [ICube, Université de Strasbourg, CNRS, 23 rue du Loess, 67037 Strasbourg (France); Serres, N. [ICube, INSA de Strasbourg, CNRS, 24 Bld de la Victoire, 67084 Strasbourg (France); Fond, C. [ICube, Université de Strasbourg, CNRS, 2 rue Boussingault, 67000 Strasbourg (France); Feugeas, F. [ICube, INSA de Strasbourg, CNRS, 24 Bld de la Victoire, 67084 Strasbourg (France)

    2015-02-15

    Scanning electron microscopy and scanning probe microscopy have been used for several decades to better understand the microstructure of cementitious materials. Very limited work has been performed to date to study the roughness of cementitious materials by optical microscopy such as coherence scanning interferometry (CSI) and chromatic confocal sensing (CCS). The objective of this paper is to better understand how CSI can be used as a tool to analyze surface roughness and topography of cement pastes. Observations from a series of images acquired using this technique on both polished and unpolished samples are described. The results from CSI are compared with those from a STIL confocal microscopy technique (SCM). Comparison between both optical techniques demonstrates the ability of CSI to measure both polished and unpolished cement pastes. - Highlights: • Coherence scanning interferometry (CSI) was used to analyze cement paste surfaces. • The results from the CSI were compared with those from a confocal microscopy. • 3D roughness parameters were obtained using the window resizing method. • Polished and unpolished cement pastes were studied.

  10. Cement paste surface roughness analysis using coherence scanning interferometry and confocal microscopy

    International Nuclear Information System (INIS)

    Apedo, K.L.; Munzer, C.; He, H.; Montgomery, P.; Serres, N.; Fond, C.; Feugeas, F.

    2015-01-01

    Scanning electron microscopy and scanning probe microscopy have been used for several decades to better understand the microstructure of cementitious materials. Very limited work has been performed to date to study the roughness of cementitious materials by optical microscopy such as coherence scanning interferometry (CSI) and chromatic confocal sensing (CCS). The objective of this paper is to better understand how CSI can be used as a tool to analyze surface roughness and topography of cement pastes. Observations from a series of images acquired using this technique on both polished and unpolished samples are described. The results from CSI are compared with those from a STIL confocal microscopy technique (SCM). Comparison between both optical techniques demonstrates the ability of CSI to measure both polished and unpolished cement pastes. - Highlights: • Coherence scanning interferometry (CSI) was used to analyze cement paste surfaces. • The results from the CSI were compared with those from a confocal microscopy. • 3D roughness parameters were obtained using the window resizing method. • Polished and unpolished cement pastes were studied

  11. Investigation of surface roughness influence on hyperbolic metamaterial performance

    Directory of Open Access Journals (Sweden)

    S. Kozik

    2014-12-01

    Full Text Available The main goal of this work was to introduce simple model of surface roughness which does not involve objects with complicated shapes and could help to reduce computational costs. We described and proved numerically that the influence of surface roughness at the interfaces in metal-dielectric composite materials could be described by proper selection of refractive index of dielectric layers. Our calculations show that this model works for roughness with RMS value about 1 nm and below.

  12. A mathematical model for surface roughness of fluidic channels produced by grinding aided electrochemical discharge machining (G-ECDM

    Directory of Open Access Journals (Sweden)

    Ladeesh V. G.

    2017-01-01

    Full Text Available Grinding aided electrochemical discharge machining is a hybrid technique, which combines the grinding action of an abrasive tool and thermal effects of electrochemical discharges to remove material from the workpiece for producing complex contours. The present study focuses on developing fluidic channels on borosilicate glass using G-ECDM and attempts to develop a mathematical model for surface roughness of the machined channel. Preliminary experiments are conducted to study the effect of machining parameters on surface roughness. Voltage, duty factor, frequency and tool feed rate are identified as the significant factors for controlling surface roughness of the channels produced by G-ECDM. A mathematical model was developed for surface roughness by considering the grinding action and thermal effects of electrochemical discharges in material removal. Experiments are conducted to validate the model and the results obtained are in good agreement with that predicted by the model.

  13. Study of surface roughness and flank wear in hard turning of AISI 4140 steel with coated ceramic inserts

    Energy Technology Data Exchange (ETDEWEB)

    Das, Sudhansu Ranjan; Kuma, Amaresh [National Institute of Technology, Jamshedpur (India); Dhupal, Debabrata [Veer Surendra Sai University of Technology, Burla (India)

    2015-10-15

    This experimental investigation deals with dry hard turning of AISI 4140 steel using PVD-TiN coated Al{sub 2}O{sub 3}+TiCN mixed ceramic inserts. The combined effect of cutting parameters (cutting speed, feed and depth of cut) on performance characteristics such as surface roughness and flank wear is explored by Full factorial design (FFD) and analysis of variance (ANOVA). The results show that feed is the principal cutting parameter influencing surface roughness, followed by cutting speed. However, flank wear is affected by the cutting speed and interaction of feed-depth of cut, although depth of cut has not been found statistically significant, but flank wear is an increasing function of depth of cut. Observations are made on the machined surface, and worn tool by Scanning electron microscope (SEM) to establish the process. Abrasion was the major wear mechanism found during hard turning within the studied range. The effect of tool wear on surface roughness was also studied. The experimental data were analyzed to predict the optimal range of surface roughness and flank wear. Based on Response surface methodology (RSM), mathematical models were developed for surface roughness (Ra) and flank wear (VB) with 95% confidence level. Finally, under optimum cutting conditions (obtained by response optimization technique), tool life was evaluated to perform cost analysis for justifying the economic viability of coated ceramic inserts in hard turning. The estimated machining cost per part for TiN coated ceramic was found to be lower (Rs. 12.31) because of higher tool life (51 min), which results in the reduction of downtime and increase in savings.

  14. On the impact of non-sphericity and small-scale surface roughness on the optical properties of hematite aerosols

    International Nuclear Information System (INIS)

    Kahnert, Michael; Nousiainen, Timo; Mauno, Paeivi

    2011-01-01

    We perform a comparative modelling study to investigate how different morphological features influence the optical properties of hematite aerosols. We consider high-order Chebyshev particles as a proxy for aerosol with a small-scale surface roughness, and spheroids as a model for nonspherical aerosols with a smooth boundary surface. The modelling results are compared to those obtained for homogeneous spherical particles. It is found that for hematite particles with an absorption efficiency of order unity the difference in optical properties between spheres and spheroids disappears. For optically softer particles, such as ice particles at far-infrared wavelengths, this effect can be observed for absorption efficiencies lower than unity. The convergence of the optical properties of spheres and spheroids is caused by absorption and quenching of internal resonances inside the particles, which depend both on the imaginary part of the refractive index and on the size parameter, and to some extent on the real part of the refractive index. By contrast, small-scale surface roughness becomes the dominant morphological feature for large particles. This effect is likely to depend on the amplitude of the surface roughness, the relative significance of internal resonances, and possibly on the real part of the refractive index. The extinction cross section is rather insensitive to surface roughness, while the single-scattering albedo, asymmetry parameter, and the Mueller matrix are strongly influenced. Small-scale surface roughness reduces the backscattering cross section by up to a factor of 2-3 as compared to size-equivalent particles with a smooth boundary surface. This can have important implications for the interpretation of lidar backscattering observations.

  15. Study of surface roughness and flank wear in hard turning of AISI 4140 steel with coated ceramic inserts

    International Nuclear Information System (INIS)

    Das, Sudhansu Ranjan; Kuma, Amaresh; Dhupal, Debabrata

    2015-01-01

    This experimental investigation deals with dry hard turning of AISI 4140 steel using PVD-TiN coated Al_2O_3+TiCN mixed ceramic inserts. The combined effect of cutting parameters (cutting speed, feed and depth of cut) on performance characteristics such as surface roughness and flank wear is explored by Full factorial design (FFD) and analysis of variance (ANOVA). The results show that feed is the principal cutting parameter influencing surface roughness, followed by cutting speed. However, flank wear is affected by the cutting speed and interaction of feed-depth of cut, although depth of cut has not been found statistically significant, but flank wear is an increasing function of depth of cut. Observations are made on the machined surface, and worn tool by Scanning electron microscope (SEM) to establish the process. Abrasion was the major wear mechanism found during hard turning within the studied range. The effect of tool wear on surface roughness was also studied. The experimental data were analyzed to predict the optimal range of surface roughness and flank wear. Based on Response surface methodology (RSM), mathematical models were developed for surface roughness (Ra) and flank wear (VB) with 95% confidence level. Finally, under optimum cutting conditions (obtained by response optimization technique), tool life was evaluated to perform cost analysis for justifying the economic viability of coated ceramic inserts in hard turning. The estimated machining cost per part for TiN coated ceramic was found to be lower (Rs. 12.31) because of higher tool life (51 min), which results in the reduction of downtime and increase in savings.

  16. Optimizing Cutting Conditions for Minimum Surface Roughness in Face Milling of High Strength Steel Using Carbide Inserts

    Directory of Open Access Journals (Sweden)

    Adel Taha Abbas

    2016-01-01

    Full Text Available A full factorial design technique is used to investigate the effect of machining parameters, namely, spindle speed (N, depth of cut (ap, and table feed rate (Vf, on the obtained surface roughness (Ra and Rt during face milling operation of high strength steel. A second-order regression model was built using least squares method depending on the factorial design results to approximate a mathematical relationship between the surface roughness and the studied process parameters. Analysis of variance was conducted to estimate the significance of each factor and interaction with respect to the surface roughness. For Ra, the results show that spindle speed, depth of cut, and table feed rate have a significant effect on the surface roughness in both linear and quadratic terms. There is also an interaction between depth of cut and feed rate. It also appears that feed rate has the greatest effect on the data variation followed by depth of cut. For Rt, the results show that the table feed rate is the most effective factor followed by the depth of cut, while the spindle speed had a significant small effect only in its quadratic term. The conditions of minimum Ra and Rt are identified through least square optimization. Moreover, multiobjective optimization for minimizing Ra and maximizing metal removal rate Q is conducted and the results are presented.

  17. Application of Taguchi method to optimization of surface roughness during precise turning of NiTi shape memory alloy

    Science.gov (United States)

    Kowalczyk, M.

    2017-08-01

    This paper describes the research results of surface quality research after the NiTi shape memory alloy (Nitinol) precise turning by the tools with edges made of polycrystalline diamonds (PCD). Nitinol, a nearly equiatomic nickel-titanium shape memory alloy, has wide applications in the arms industry, military, medicine and aerospace industry, and industrial robots. Due to their specific properties NiTi alloys are known to be difficult-to-machine materials particularly by using conventional techniques. The research trials were conducted for three independent parameters (vc, f, ap) affecting the surface roughness were analyzed. The choice of parameter configurations were performed by factorial design methods using orthogonal plan type L9, with three control factors, changing on three levels, developed by G. Taguchi. S/N ratio and ANOVA analyses were performed to identify the best of cutting parameters influencing surface roughness.

  18. Effect of surfaces similarity on contact resistance of fractal rough surfaces under cyclic loading

    Science.gov (United States)

    Gao, Yuanwen; Liu, Limei; Ta, Wurui; Song, Jihua

    2018-03-01

    Although numerous studies have shown that contact resistance depends significantly on roughness and fractal dimension, it remains elusive how they affect contact resistance between rough surfaces. The interface similarity index is first proposed to describe the similarity of the contact surfaces, which gives a good indication of the actual contact area between surfaces. We reveal that the surfaces' similarity be an origin of contact resistance variation. The cyclic loading can increase the contact stiffness, and the contact stiffness increases with the increase of the interface similarity index. These findings explain the mechanism of surface roughness and fractal dimension on contact resistance, and also provide reference for the reliability design of the electrical connection.

  19. Simplified Approach to Predicting Rough Surface Transition

    Science.gov (United States)

    Boyle, Robert J.; Stripf, Matthias

    2009-01-01

    Turbine vane heat transfer predictions are given for smooth and rough vanes where the experimental data show transition moving forward on the vane as the surface roughness physical height increases. Consiste nt with smooth vane heat transfer, the transition moves forward for a fixed roughness height as the Reynolds number increases. Comparison s are presented with published experimental data. Some of the data ar e for a regular roughness geometry with a range of roughness heights, Reynolds numbers, and inlet turbulence intensities. The approach ta ken in this analysis is to treat the roughness in a statistical sense , consistent with what would be obtained from blades measured after e xposure to actual engine environments. An approach is given to determ ine the equivalent sand grain roughness from the statistics of the re gular geometry. This approach is guided by the experimental data. A roughness transition criterion is developed, and comparisons are made with experimental data over the entire range of experimental test co nditions. Additional comparisons are made with experimental heat tran sfer data, where the roughness geometries are both regular as well a s statistical. Using the developed analysis, heat transfer calculatio ns are presented for the second stage vane of a high pressure turbine at hypothetical engine conditions.

  20. Influence of skin surface roughness degree on energy characteristics of light scattered by a biological tissue

    Science.gov (United States)

    Barun, V. V.; Ivanov, A. P.

    2017-05-01

    We present the results of modelling of photometric characteristics of light in soft tissues illuminated by a parallel beam along the normal to the surface, obtained with allowance for the skin roughness parameters and the angular structure of radiation approaching the surface from within the tissue. The depth structure of the fluence rate and the spectra of the diffuse reflection of light by the tissue in the interval of wavelengths 300 - 1000 nm are considered. We discuss the influence of the tilt angle variance of rough surface microelements and light refraction on the studied characteristics. It is shown that these factors lead to the reduction of the radiation flux only in the near-surface tissue layer and practically do not affect the depth of light penetration into the tissue. On the other hand, the degree of the surface roughness and the conditions of its illumination from within the tissue essentially affect the coefficient of diffuse reflection of light and lead to its considerable growth compared to the cases of a smooth interface and completely diffuse illumination, often considered to simplify the theoretical problem solution. The role of the roughness of skin surface is assessed in application to the solution of different direct and inverse problems of biomedical optics.

  1. Surface roughness of composite resins subjected to hydrochloric acid.

    Science.gov (United States)

    Roque, Ana Carolina Cabral; Bohner, Lauren Oliveira Lima; de Godoi, Ana Paula Terossi; Colucci, Vivian; Corona, Silmara Aparecida Milori; Catirse, Alma Blásida Concepción Elizaur Benitez

    2015-01-01

    The purpose of this study was to determine the influence of hydrochloric acid on surface roughness of composite resins subjected to brushing. Sixty samples measuring 2 mm thick x 6 mm diameter were prepared and used as experimental units. The study presented a 3x2 factorial design, in which the factors were composite resin (n=20), at 3 levels: microhybrid composite (Z100), nanofilled composite (FiltekTM Supreme), nanohybrid composite (Ice), and acid challenge (n=10) at 2 levels: absence and presence. Acid challenge was performed by immersion of specimens in hydrochloric acid (pH 1.2) for 1 min, 4 times per day for 7 days. The specimens not subjected to acid challenge were stored in 15 mL of artificial saliva at 37 oC. Afterwards, all specimens were submitted to abrasive challenge by a brushing cycle performed with a 200 g weight at a speed of 356 rpm, totaling 17.8 cycles. Surface roughness measurements (Ra) were performed and analyzed by ANOVA and Tukey test (p≤0.05). Surface roughness values were higher in the presence (1.07±0.24) as compared with the absence of hydrochloric acid (0.72±0.04). Surface roughness values were higher for microhybrid (1.01±0.27) compared with nanofilled (0.68 ±0.09) and nanohybrid (0.48±0.15) composites when the specimens were not subjects to acid challenge. In the presence of hydrochloric acid, microhybrid (1.26±0.28) and nanofilled (1.18±0,30) composites presents higher surface roughness values compared with nanohybrid (0.77±0.15). The hydrochloric acid affected the surface roughness of composite resin subjected to brushing.

  2. Reduction of Surface Roughness by Means of Laser Processing over Additive Manufacturing Metal Parts.

    Science.gov (United States)

    Alfieri, Vittorio; Argenio, Paolo; Caiazzo, Fabrizia; Sergi, Vincenzo

    2016-12-31

    Optimization of processing parameters and exposure strategies is usually performed in additive manufacturing to set up the process; nevertheless, standards for roughness may not be evenly matched on a single complex part, since surface features depend on the building direction of the part. This paper aims to evaluate post processing treating via laser surface modification by means of scanning optics and beam wobbling to process metal parts resulting from selective laser melting of stainless steel in order to improve surface topography. The results are discussed in terms of roughness, geometry of the fusion zone in the cross-section, microstructural modification, and microhardness so as to assess the effects of laser post processing. The benefits of beam wobbling over linear scanning processing are shown, as heat effects in the base metal are proven to be lower.

  3. Cheap and fast measuring roughness on big surfaces with an imprint method

    Science.gov (United States)

    Schopf, C.; Liebl, J.; Rascher, R.

    2017-10-01

    Roughness, shape and structure of a surface offer information on the state, shape and surface characteristics of a component. Particularly the roughness of the surface dictates the subsequent polishing of the optical surface. The roughness is usually measured by a white light interferometer, which is limited by the size of the components. Using a moulding method of surfaces that are difficult to reach, an imprint is taken and analysed regarding to roughness and structure. This moulding compound method is successfully used in dental technology. In optical production, the moulding compound method is advantageous in roughness determination in inaccessible spots or on large components (astrological optics). The "replica method" has been around in metal analysis and processing. Film is used in order to take an impression of a surface. Then, it is analysed for structures. In optical production, compound moulding seems advantageous in roughness determination in inaccessible spots or on large components (astrological optics). In preliminary trials, different glass samples with different roughness levels were manufactured. Imprints were taken from these samples (based on DIN 54150 "Abdruckverfahren für die Oberflächenprüfung"). The objective of these feasibility tests was to determine the limits of this method (smallest roughness determinable / highest roughness). The roughness of the imprint was compared with the roughness of the glass samples. By comparing the results, the uncertainty of the measuring method was determined. The spectrum for the trials ranged from rough grind (0.8 μm rms), over finishing grind (0.6 μm rms) to polishing (0.1 μm rms).

  4. Surface roughness reduction using spray-coated hydrogen silsesquioxane reflow

    DEFF Research Database (Denmark)

    Cech, Jiri; Pranov, Henrik; Kofod, Guggi

    2013-01-01

    Surface roughness or texture is the most visible property of any object, including injection molded plastic parts. Roughness of the injection molding (IM) tool cavity directly affects not only appearance and perception of quality, but often also the function of all manufactured plastic parts. So...... called “optically smooth” plastic surfaces is one example, where low roughness of a tool cavity is desirable. Such tool surfaces can be very expensive to fabricate using conventional means, such as abrasive diamond polishing or diamond turning. We present a novel process to coat machined metal parts...... are reduced 10 and 3 times respectively. We completed more than 10,000 injection molding cycles without detectable degradation of the HSQ coating. This result opens new possibilities for molding of affordable plastic parts with perfect surface finish....

  5. Friction of hydrogels with controlled surface roughness on solid flat substrates.

    Science.gov (United States)

    Yashima, Shintaro; Takase, Natsuko; Kurokawa, Takayuki; Gong, Jian Ping

    2014-05-14

    This study investigated the effect of hydrogel surface roughness on its sliding friction against a solid substrate having modestly adhesive interaction with hydrogels under small normal pressure in water. The friction test was performed between bulk polyacrylamide hydrogels of varied surface roughness and a smooth glass substrate by using a strain-controlled rheometer with parallel-plates geometry. At small pressure (normal strain 1.4-3.6%), the flat surface gel showed a poor reproducibility in friction. In contrast, the gels with a surface roughness of 1-10 μm order showed well reproducible friction behaviors and their frictional stress was larger than that of the flat surface hydrogel. Furthermore, the flat gel showed an elasto-hydrodynamic transition while the rough gels showed a monotonous decrease of friction with velocity. The difference between the flat surface and the rough surface diminished with the increase of the normal pressure. These phenomena are associated with the different contact behaviors of these soft hydrogels in liquid, as revealed by the observation of the interface using a confocal laser microscope.

  6. Surface Roughness Analysis in the Hard Milling of JIS SKD61 Alloy Steel

    Directory of Open Access Journals (Sweden)

    Huu-That Nguyen

    2016-06-01

    Full Text Available Hard machining is an efficient solution that can be used to replace the grinding operation in the mold and die manufacturing industry. In this study, an attempt is made to analyze the effect of process parameters on workpiece surface roughness (Ra in the hard milling of JIS (Japanese Industrial Standard SKD61 steel, based on a combination of the Taguchi method and response surface methodology (RSM. The cutting parameters are selected based on the structural dynamic analysis of the machine tool. A set of experiments is designed according to the Taguchi technique. The average Ra is measured by a Mitutoyo Surftest SJ-400, and then analysis of variance (ANOVA is performed to determine the influences of cutting parameters on the given Ra. Quadratic mathematical modeling is introduced for prediction of the Ra during the hard milling process. The predicted values are in reasonable agreement with the observation of experiments. In an effort to obtain the minimizing Ra, a single objective optimization is employed based on the desirability function. The result shows that the percentage error between measured and predicted values of Ra is 3.2%, which is found to be insignificant. Eventually, the milled surface roughness under the optimized machining conditions is 0.122 µm. This finding shows that grinding may be replaced by finish hard milling in the mold and die manufacturing field.

  7. Surface roughness of Ti6Al4V after heat treatment evaluated by artificial neural networks

    Energy Technology Data Exchange (ETDEWEB)

    Altug, Mehmet [Inonu Univ., Malataya (Turkey). Dept. of Machine and Metal Technologies; Erdem, Mehmet; Bozkir, Oguz [Inonu Univ., Malataya (Turkey); Ozay, Cetin [Univ. of Firat Elazig (Turkey). Faculty of Tech. Education

    2016-05-01

    The study examines how, using wire electrical discharge machining (WEDM), the microstructural, mechanical and conductivity characteristics of the titanium alloy Ti6Al4V are changed as a result of heat treatment and the effect they have on machinability. Scanning electron microscope (SEM), optical microscope and X-ray diffraction (XRD) examinations were performed to determine various characteristics and additionally related microhardness and conductivity measurements were conducted. L{sub 18} Taquchi test design was performed with three levels and six different parameters to determine the effect of such alterations on its machinability using WEDM and post-processing surface roughness (Ra) values were determined. Micro-changes were ensured successfully by using heat treatments. Results obtained with the optimization technique of artificial neural network (ANN) presented minimum surface roughness. Values obtained by using response surface method along with this equation were completely comparable with those achieved in the experiments. The best surface roughness value was obtained from sample D which had a tempered martensite structure.

  8. Surface roughness induced electron mobility degradation in InAs nanowires

    International Nuclear Information System (INIS)

    Wang Fengyun; Yip, Sen Po; Han, Ning; Fok, KitWa; Lin, Hao; Hou, Jared J; Dong, Guofa; Hung, Tak Fu; Chan, K S; Ho, Johnny C

    2013-01-01

    In this work, we present a study of the surface roughness dependent electron mobility in InAs nanowires grown by the nickel-catalyzed chemical vapor deposition method. These nanowires have good crystallinity, well-controlled surface morphology without any surface coating or tapering and an excellent peak field-effect mobility up to 15 000 cm 2 V −1 s −1 when configured into back-gated field-effect nanowire transistors. Detailed electrical characterizations reveal that the electron mobility degrades monotonically with increasing surface roughness and diameter scaling, while low-temperature measurements further decouple the effects of surface/interface traps and phonon scattering, highlighting the dominant impact of surface roughness scattering on the electron mobility for miniaturized and surface disordered nanowires. All these factors suggest that careful consideration of nanowire geometries and surface condition is required for designing devices with optimal performance. (paper)

  9. Effect of magnetic polarity on surface roughness during magnetic field assisted EDM of tool steel

    Science.gov (United States)

    Efendee, A. M.; Saifuldin, M.; Gebremariam, MA; Azhari, A.

    2018-04-01

    Electrical discharge machining (EDM) is one of the non-traditional machining techniques where the process offers wide range of parameters manipulation and machining applications. However, surface roughness, material removal rate, electrode wear and operation costs were among the topmost issue within this technique. Alteration of magnetic device around machining area offers exciting output to be investigated and the effects of magnetic polarity on EDM remain unacquainted. The aim of this research is to investigate the effect of magnetic polarity on surface roughness during magnetic field assisted electrical discharge machining (MFAEDM) on tool steel material (AISI 420 mod.) using graphite electrode. A Magnet with a force of 18 Tesla was applied to the EDM process at selected parameters. The sparks under magnetic field assisted EDM produced better surface finish than the normal conventional EDM process. At the presence of high magnetic field, the spark produced was squeezed and discharge craters generated on the machined surface was tiny and shallow. Correct magnetic polarity combination of MFAEDM process is highly useful to attain a high efficiency machining and improved quality of surface finish to meet the demand of modern industrial applications.

  10. The VHCF experimental investigation of FV520B-I with surface roughness Ry

    Science.gov (United States)

    Wang, J. L.; Zhang, Y. L.; Ding, M. C.; Zhao, Q. C.

    2018-05-01

    Different surface roughness type (Ra and Ry) has different effect on the VHCF failure and life. Ra is widely employed as the quantitative expression of the surface roughness, but there are few fatigue failure mechanism analysis and experimental study under surface roughness Ry. The VHCF experiment is conducted out using the specimen with different surface roughness values. The surface roughness Ry is employed as the major research object to investigate the relationship and distribution tendency between the Ry, fatigue life and the distance between internal inclusion and surface, and a new VHCF failure character is proposed.

  11. Computer simulation of RBS spectra from samples with surface roughness

    Energy Technology Data Exchange (ETDEWEB)

    Malinský, P., E-mail: malinsky@ujf.cas.cz [Nuclear Physics Institute of the Academy of Sciences of the Czech Republic, v. v. i., 250 68 Rez (Czech Republic); Department of Physics, Faculty of Science, J. E. Purkinje University, Ceske mladeze 8, 400 96 Usti nad Labem (Czech Republic); Hnatowicz, V., E-mail: hnatowicz@ujf.cas.cz [Nuclear Physics Institute of the Academy of Sciences of the Czech Republic, v. v. i., 250 68 Rez (Czech Republic); Macková, A., E-mail: mackova@ujf.cas.cz [Nuclear Physics Institute of the Academy of Sciences of the Czech Republic, v. v. i., 250 68 Rez (Czech Republic); Department of Physics, Faculty of Science, J. E. Purkinje University, Ceske mladeze 8, 400 96 Usti nad Labem (Czech Republic)

    2016-03-15

    A fast code for the simulation of common RBS spectra including surface roughness effects has been written and tested on virtual samples comprising either a rough layer deposited on a smooth substrate or smooth layer deposited on a rough substrate and simulated at different geometries. The sample surface or interface relief has been described by a polyline and the simulated RBS spectrum has been obtained as the sum of many particular spectra from randomly chosen particle trajectories. The code includes several procedures generating virtual samples with random and regular (periodical) roughness. The shape of the RBS spectra has been found to change strongly with increasing sample roughness and an increasing angle of the incoming ion beam.

  12. Turbulent lubrication theory considering the surface roughness effects, 2

    International Nuclear Information System (INIS)

    Hashimoto, Hiromu; Wada, Sanae; Kobayashi, Toshinobu.

    1990-01-01

    This second paper describes an application of the generalized turbulent lubrication theory considering the surface roughness effects, which is developed in the previous paper, to the finite-width journal bearings. In the numerical analysis, the nonlinear equations for the modified turbulence coefficients are simplified to save a computation time within a satisfactory accuracy under the assumption that the shear flow is superior to the pressure flow in the turbulent lubrication films. The numerical results of pressure distribution, Sommerfeld number, attitude angle, friction coefficient and flow rate for the Reynolds number of Re=2000, 5000 and 10000 are indicated in graphic form for various values of relative roughness, and the effects of surface roughness on these static performance characteristics are discussed. Moreover, the eccentricity ratio and attitude angle of the journal bearings with homogeneous rough surface are obtained experimentally for a wide range of Sommerfeld number, and the experimental results are compared with theoretical results. (author)

  13. Combined Effect of Surface Roughness and Wake Splitter Plate on the Aerodynamic Characteristics of a Circular Cylinder

    Science.gov (United States)

    Saisanthosh, Iyer; Arunkumar, K.; Ajithkumar, R.; Srikrishnan, A. R.

    2017-09-01

    This paper is focussed on numerical investigation of flow around a stationary circular cylinder (diameter, D) with selectively applied surface roughness (roughness strips with thickness ‘k’) in the presence of a wake splitter plate (length, L). The plate leading edge is at a distance of ‘G’ from the cylinder base. For this study, the commercial software ANSYS Fluent is used. Fluid considered is water. Study was conducted the following cases (a) plain cylinder (b) cylinder with surface roughness (without splitter plate) (c) Cylinder with splitter plate (without surface roughness) and (d) cylinder with both roughness and splitter plate employed. The study Reynolds number (based on D) is 17,000 and k/δ = 1.25 (in all cases). Results indicate that, for cylinder with splitter plate (no roughness), lift coefficient gradually drops till G/D=1.5 further to which it sharply increases. Whereas, drag coefficient and Strouhal number undergoes slight reduction till G/D=1.0 and thereafter, gradually increase. Circumferential location of strip (α) does not influence the aerodynamic parameters significantly. With roughness alone, drag is magnified by about 1.5 times and lift, by about 2.7 times that of the respective values of the smooth cylinder. With splitter plate, for roughness applied at all ‘α’ values, drag and lift undergoes substantial reduction with the lowest value attained at G/D=1.0.

  14. Effects of graphite surface roughness on bypass flow computations for an HTGR

    Energy Technology Data Exchange (ETDEWEB)

    Tung, Yu-Hsin, E-mail: touushin@gmail.com [Idaho National Laboratory, P.O. Box 1625, M.S. 3855, Idaho Falls, ID (United States); Johnson, Richard W., E-mail: Rich.Johnson@inl.gov [Idaho National Laboratory, P.O. Box 1625, M.S. 3855, Idaho Falls, ID (United States); Sato, Hiroyuki, E-mail: sato.hiroyuki09@jaea.go.jp [Idaho National Laboratory, P.O. Box 1625, M.S. 3855, Idaho Falls, ID (United States)

    2012-11-15

    Highlights: Black-Right-Pointing-Pointer CFD calculations are made of bypass flow between graphite blocks in HTGR. Black-Right-Pointing-Pointer Several turbulence models are employed to compare to friction and heat transfer correlations. Black-Right-Pointing-Pointer Parameters varied include bypass gap width and surface roughness. Black-Right-Pointing-Pointer Surface roughness causes increases in max fuel and coolant temperatures. Black-Right-Pointing-Pointer Surface roughness does not cause increase in outlet coolant temperature variation. - Abstract: Bypass flow in a prismatic high temperature gas reactor (HTGR) occurs between graphite blocks as they sit side by side in the core. Bypass flow is not intentionally designed to occur in the reactor, but is present because of tolerances in manufacture, imperfect installation and expansion and shrinkage of the blocks from heating and irradiation. It is desired to increase the knowledge of the effects of such flow; it has been suggested that it may be as much as 20% of the total helium coolant flow [INL Report 2007, INL/EXT-07-13289]. Computational fluid dynamic (CFD) simulations can provide estimates of the scale and impacts of bypass flow. Previous CFD calculations have examined the effects of bypass gap width, level and distribution of heat generation and effects of shrinkage. The present contribution examines the effects of graphite surface roughness on the bypass flow for different relative roughness factors for three gap widths. Such calculations should be validated using specific bypass flow measurements. While such experiments are currently underway for the specific reference prismatic HTGR design for the next generation nuclear plant (NGNP) program of the U.S. Dept. of Energy, the data are not yet available. To enhance confidence in the present calculations, wall shear stress and heat transfer results for several turbulence models and their associated wall treatments are first compared for steady flow in a

  15. The effects of surface roughness on the scattering properties of hexagonal columns with sizes from the Rayleigh to the geometric optics regimes

    International Nuclear Information System (INIS)

    Liu, Chao; Lee Panetta, R.; Yang, Ping

    2013-01-01

    Effects of surface roughness on the optical scattering properties of ice crystals are investigated using a random wave superposition model of roughness that is a simplification of models used in studies of scattering by surface water waves. Unlike previous work with models of rough surfaces applicable only in limited size ranges, such as surface perturbation methods in the small particle regime or the tilted-facet (TF) method in the large particle regime, ours uses a single roughness model to cover a range in sizes extending from the Rayleigh to the geometric optics regimes. The basic crystal shape we examine is the hexagonal column but our roughening model can be used for a wide variety of particle geometries. To compute scattering properties over the range of sizes we use the pseudo-spectral time domain method (PSTD) for small to moderate sized particles and the improved geometric optics method (IGOM) for large ones. Use of the PSTD with our roughness model is straightforward. By discretizing the roughened surface with triangular sub-elements, we adapt the IGOM to give full consideration of shadow effects, multiple reflections/refractions at the surface, and possible reentrance of the scattered beams. We measure the degree of roughness of a surface by the variance (σ 2 ) of surface slopes occurring on the surfaces. For moderately roughened surfaces (σ 2 ≤0.1) in the large particle regime, the scattering properties given by the TF and IGOM agree well, but differences in results obtained with the two methods become noticeable as the surface becomes increasingly roughened. Having a definite, albeit idealized, roughness model we are able to use the combination of the PSTD and IGOM to examine how a fixed degree of surface roughness affects the scattering properties of a particle as the size parameter of the particle changes. We find that for moderately rough surfaces in our model, as particle size parameter increases beyond about 20 the influence of surface

  16. Spin Hall effect by surface roughness

    KAUST Repository

    Zhou, Lingjun; Grigoryan, Vahram L.; Maekawa, Sadamichi; Wang, Xuhui; Xiao, Jiang

    2015-01-01

    induced by surface roughness subscribes only to the side-jump contribution but not the skew scattering. The paradigm proposed in this paper provides the second, not if only, alternative to generate a sizable spin Hall effect.

  17. The effect of anode surface roughness on radiation output for diagnostic x-ray sources

    International Nuclear Information System (INIS)

    Meghzifene, K.; Nowotny, R.; Aiginger, H.

    2002-01-01

    The calculation of entrance surface dose to patients in diagnostic radiology from tube output data is determined by often-unknown sources of inherent filtration. One of these sources is the roughness of the anode surface increasing with tube ageing. This effect increases the inherent filtration of the x-ray tube noticed by a reduced radiation output and increased half-value layers (HVL). To study this effect used rotating anodes were collected and the surface profile of 8 focal tracks was measured in radial direction using an instrument with a diamond tipped stylus (90 deg. tip, 1 μm radius). Surface roughness was determined as the arithmetic mean R a of the deviation in the profile from the centre line for 200 μm reference lengths ranging from 1.32 μm (sandblasted finish without surface degradation) to 5.22 μm (a track for a small focus). The surface profiles were then used to calculate x-ray spectra using a computer code. For each surface about 40000 spectra were calculated with the electrons entering the anode at random position and spectral parameters were then determined. The simulation showed that for rough surfaces the x-rays have to penetrate an additional absorbing layer of tungsten increasing in thickness with anode roughness. The anode with the roughest focal track (R a =5.22 μm) yields a mean additional absorber thickness in direction of the x-ray beam of about 18 μm. The corresponding loss in air kerma for this anode was about 20% at 70 kVp. The effect on mean photon energy is rather small but highest at 70 kVp (+1 keV at R a =5.22 μm) and lowest at 140 kVp (∼0 keV). This is due to the K-edge in the attenuation coefficients of tungsten at 69.5 keV. Beam hardening is thus reduced for higher voltages as absorption at lower photon energies is balanced by higher absorption above the K-edge while at lower voltages beam hardening is fully effective. The pattern of changes in HVL is more complex but in essence also reflects voltage, roughness and

  18. Elastic–plastic adhesive contact of non-Gaussian rough surfaces

    Indian Academy of Sciences (India)

    Adhesion; asymmetric roughness; elastic–plastic contact; non-Gaussian rough surfaces. ... model of contact deformation that is based on accurate Finite Element Analysis (FEA) of an elastic–plastic single asperity contact. ... Sadhana | News.

  19. Surface roughness of orthodontic band cements with different compositions

    Directory of Open Access Journals (Sweden)

    Françoise Hélène van de Sande

    2011-06-01

    Full Text Available OBJECTIVES: The present study evaluated comparatively the surface roughness of four orthodontic band cements after storage in various solutions. MATERIAL AND METHODS: Eight standardized cylinders were made from 4 materials: zinc phosphate cement (ZP, compomer (C, resin-modified glass ionomer cement (RMGIC and resin cement (RC. Specimens were stored for 24 h in deionized water and immersed in saline (pH 7.0 or 0.1 M lactic acid solution (pH 4.0 for 15 days. Surface roughness readings were taken with a profilometer (Surfcorder SE1200 before and after the storage period. Data were analyzed by two-way ANOVA and Tukey's test (comparison among cements and storage solutions or paired t-test (comparison before and after the storage period at 5% significance level. RESULTS: The values for average surface roughness were statistically different (pRMGIC>C>R (p0.05. Compared to the current threshold (0.2 µm related to biofilm accumulation, both RC and C remained below the threshold, even after acidic challenge by immersion in lactic acid solution. CONCLUSIONS: Storage time and immersion in lactic acid solution increased the surface roughness of the majority of the tested cements. RC presented the smoothest surface and it was not influenced by storage conditions.

  20. A theoretical and numerical study of polarimetric scattering and emission from random rough surfaces with anisotropic directional spectrum

    Science.gov (United States)

    Yueh, S. H.; Kwok, R.

    1993-01-01

    reduced to this one-dimensional case, and theoretical results for all four Stokes parameters are in excellent agreement with the numerical results obtained from the Monte Carlo simulation. Moreover, the second order coherent fields are found to be necessary for the theoretical evaluation of the third and fourth Stokes parameters. Without that, the reflectivities of random rough surfaces are significantly over-estimated, and the signs of the third and the fourth Stokes parameters are found to be incorrect, if calculated by using the first-order incoherent fields alone.

  1. Effect of different polishing methods on surface roughness of provisional prosthetic materials.

    Science.gov (United States)

    Tupinambá, Ívian Verena Maia; Giampá, Priscila Couy Corrêa; Rocha, Isadora Almeida Rios; Lima, Emilena Maria Castor Xisto

    2018-01-01

    To evaluate the surface roughness of bis-acrylic and acrylic resins submitted to different methods of polishing. Fifty samples of each provisional restorative material (Structur 2, Protemp 4, Duralay, and Dencrilay) were fabricated (10 mm × 2 mm) and divided into five groups ( n = 10): (1) positive control group - polyester strip; (2) negative control - unpolished; (3) abrasive tips (Exa-Technique-Edenta); (4) goat hair brush and diamond polishing paste; and (5) silicone tips (Enhance). Each material was mixed and polymerized according to manufacturer's instructions. The parameter evaluated was the arithmetic mean of the surface roughness (Ra) determined using the rugosimeter SJ 301 (Mitutoyo, Japan). The data were analyzed with two-way analysis of variance ( post hoc Tukey's test) ( P < 0.05). The lowest surface roughness values (0,22-0,90 μm) were observed in the Group 4 - goat hair brush and diamond paste, while the highest values (1,17-1,44 μm) were found in the Group 5 - silicone tips (enhance), with statistically significant differences between them, except for Dencrilay acrylic resin. There was statistically significant difference between bis-acrylic and acrylic resins in the Groups 1, 2, and 4. Within the limitations of this study, it was concluded that the most effective polishing system was the goat hair brush with diamond paste for both bis-acrylic and acrylic resins. The bis-acrylic resins exhibited significantly smoother surfaces than the acrylic resins.

  2. The relationship between the particle properties, mechanical behavior, and surface roughness of some pharmaceutical excipient compacts

    International Nuclear Information System (INIS)

    Narayan, Padma; Hancock, Bruno C.

    2003-01-01

    Several common pharmaceutical excipient powders were compacted at a constant solid fraction (SF) in order to study the relationship between powder properties, compact surface roughness, and compact mechanical properties such as hardness, elasticity, and brittleness. The materials used in this study included microcrystalline cellulose (MCC), fumaric acid, mannitol, lactose monohydrate, spray dried lactose, sucrose, and dibasic calcium phosphate dihydrate. A slow consolidation process was used to make compacts at a SF of 0.85 (typical for most pharmaceutical tablets) from single excipient components. A model was proposed to describe the surface roughness of compacts based on the brittle or ductile deformation tendencies of the powder materials. The roughness profile would also be dependent upon the magnitude of the compression stress in relation to the yield stress (onset of irreversible deformation) values of the excipients. It was hypothesized that brittle materials would produce smooth compacts with high surface variability due to particle fracture, and the converse would apply for ductile materials. Compact surfaces should be smoother if the materials were compressed above their yield pressure values. Non-contact optical profilometry was used along with scanning electron microscopy to quantify and characterize the surface morphology of the excipient compacts. The roughness parameters R a (average roughness), R q (RMS roughness), R q /R a (ratio describing surface variability), and R sk (skewness) were found to correlate with the deformation properties of the excipients. Brittle materials such as lactose, sucrose, and calcium phosphate produced compacts with low values of R a and R q , high variability, and negative R sk . The opposite was found with plastic materials such as MCC, mannitol, and fumaric acid. The highly negative skewness values for brittle material compacts may indicate their propensity to be vulnerable to cracks or surface defects. These findings

  3. The relationship between the particle properties, mechanical behavior, and surface roughness of some pharmaceutical excipient compacts

    Energy Technology Data Exchange (ETDEWEB)

    Narayan, Padma; Hancock, Bruno C

    2003-08-25

    Several common pharmaceutical excipient powders were compacted at a constant solid fraction (SF) in order to study the relationship between powder properties, compact surface roughness, and compact mechanical properties such as hardness, elasticity, and brittleness. The materials used in this study included microcrystalline cellulose (MCC), fumaric acid, mannitol, lactose monohydrate, spray dried lactose, sucrose, and dibasic calcium phosphate dihydrate. A slow consolidation process was used to make compacts at a SF of 0.85 (typical for most pharmaceutical tablets) from single excipient components. A model was proposed to describe the surface roughness of compacts based on the brittle or ductile deformation tendencies of the powder materials. The roughness profile would also be dependent upon the magnitude of the compression stress in relation to the yield stress (onset of irreversible deformation) values of the excipients. It was hypothesized that brittle materials would produce smooth compacts with high surface variability due to particle fracture, and the converse would apply for ductile materials. Compact surfaces should be smoother if the materials were compressed above their yield pressure values. Non-contact optical profilometry was used along with scanning electron microscopy to quantify and characterize the surface morphology of the excipient compacts. The roughness parameters R{sub a} (average roughness), R{sub q} (RMS roughness), R{sub q}/R{sub a} (ratio describing surface variability), and R{sub sk} (skewness) were found to correlate with the deformation properties of the excipients. Brittle materials such as lactose, sucrose, and calcium phosphate produced compacts with low values of R{sub a} and R{sub q}, high variability, and negative R{sub sk}. The opposite was found with plastic materials such as MCC, mannitol, and fumaric acid. The highly negative skewness values for brittle material compacts may indicate their propensity to be vulnerable to

  4. Symmetric and asymmetric capillary bridges between a rough surface and a parallel surface.

    Science.gov (United States)

    Wang, Yongxin; Michielsen, Stephen; Lee, Hoon Joo

    2013-09-03

    Although the formation of a capillary bridge between two parallel surfaces has been extensively studied, the majority of research has described only symmetric capillary bridges between two smooth surfaces. In this work, an instrument was built to form a capillary bridge by squeezing a liquid drop on one surface with another surface. An analytical solution that describes the shape of symmetric capillary bridges joining two smooth surfaces has been extended to bridges that are asymmetric about the midplane and to rough surfaces. The solution, given by elliptical integrals of the first and second kind, is consistent with a constant Laplace pressure over the entire surface and has been verified for water, Kaydol, and dodecane drops forming symmetric and asymmetric bridges between parallel smooth surfaces. This solution has been applied to asymmetric capillary bridges between a smooth surface and a rough fabric surface as well as symmetric bridges between two rough surfaces. These solutions have been experimentally verified, and good agreement has been found between predicted and experimental profiles for small drops where the effect of gravity is negligible. Finally, a protocol for determining the profile from the volume and height of the capillary bridge has been developed and experimentally verified.

  5. Effects of thickness and surface roughness on mechanical properties of aluminum sheets

    International Nuclear Information System (INIS)

    Suh, Chang Hee; Jung, Yun Chul; Kim, Young Suk

    2010-01-01

    The effect of thickness on the mechanical properties of Al 6K21-T4 sheet specimens under uniaxial tension was investigated. In order to reduce the thickness of the specimens without changing the microstructure and grain size, chemical etching was carried out, resulting in Al sheets ranging from 0.40 mm to 1.58 mm in thickness. Additionally, the effect of surface roughness was determined by finite element (FE) calculations performed using FE code MARC 2007. Tensile specimens of varying surface roughness were modeled and simulated. An analysis of the combined effects of the thickness and surface roughness revealed that the yield and tensile strengths decreased when the number of grains over the thickness was decreased. The ductility also decreased when reducing the thickness. An FE simulation showed that both the surface roughness and thickness affected the flow-curve shape. Moreover, the effect of the surface roughness tended to increase when decreasing the sheet thickness of specimens having the same roughness

  6. Prediction of Surface Roughness in End Milling Process Using Intelligent Systems: A Comparative Study

    Directory of Open Access Journals (Sweden)

    Abdel Badie Sharkawy

    2011-01-01

    Full Text Available A study is presented to model surface roughness in end milling process. Three types of intelligent networks have been considered. They are (i radial basis function neural networks (RBFNs, (ii adaptive neurofuzzy inference systems (ANFISs, and (iii genetically evolved fuzzy inference systems (G-FISs. The machining parameters, namely, the spindle speed, feed rate, and depth of cut have been used as inputs to model the workpiece surface roughness. The goal is to get the best prediction accuracy. The procedure is illustrated using experimental data of end milling 6061 aluminum alloy. The three networks have been trained using experimental training data. After training, they have been examined using another set of data, that is, validation data. Results are compared with previously published results. It is concluded that ANFIS networks may suffer the local minima problem, and genetic tuning of fuzzy networks cannot insure perfect optimality unless suitable parameter setting (population size, number of generations etc. and tuning range for the FIS, parameters are used which can be hardly satisfied. It is shown that the RBFN model has the best performance (prediction accuracy in this particular case.

  7. Assessing the influence of surface roughness on the epilithic colonisation of limestones by non-contact techniques

    Directory of Open Access Journals (Sweden)

    Miller, A. Z.

    2012-09-01

    Full Text Available The importance of stone colonisation by microorganisms has led to an extensive literature on mechanisms and rates of physicochemical degradation of stone surface, both in laboratory and field contexts. Biological colonisation of a stone surface depends on intrinsic stone parameters like mineral composition, texture, porosity, and permeability, as well as on environmental parameters. In the present study, quantification of stone surface roughness and its relationship to epilithic colonisation was demonstrated for three types of limestones throughout non-destructive techniques, namely optical surface roughness instrument and digital image analysis. According to the roughness average (Ra and mean roughness depth (Rz determined for Ançã limestone, Lioz limestone and Lecce stone, it can be concluded that great surface roughness stones render them prone to microbial colonisation.La colonización de la piedra por microorganismos ha generado una extensa literatura sobre los mecanismos y tasas de degradación fisicoquímica de las superficies pétreas, tanto en laboratorio como en estudios de campo. La colonización biológica de piedra de construcción depende de parámetros intrínsecos como son su composición mineral, textura, porosidad y permeabilidad, así como de parámetros ambientales. Este estudio demuestra la relación entre la rugosidad superficial de la piedra y la colonización epilítica, cuantificada en tres tipos de caliza mediante técnicas no destructivas: medida de la rugosidad superficial usando un perfilómetro óptico y análisis digital de imágenes. De acuerdo con la rugosidad media aritmética (Ra y la amplitud media de rugosidad (Rz, determinadas para la caliza de Ançã, la caliza de Lioz y la piedra de Lecce, puede concluirse que las piedras con alta rugosidad superficial son más propensas a la colonización microbiana.

  8. When the going gets rough – studying the effect of surface roughness on the adhesive abilities of tree frogs

    Directory of Open Access Journals (Sweden)

    Niall Crawford

    2016-12-01

    Full Text Available Tree frogs need to adhere to surfaces of various roughnesses in their natural habitats; these include bark, leaves and rocks. Rough surfaces can alter the effectiveness of their toe pads, due to factors such as a change of real contact area and abrasion of the pad epithelium. Here, we tested the effect of surface roughness on the attachment abilities of the tree frog Litoria caerulea. This was done by testing shear and adhesive forces on artificial surfaces with controlled roughness, both on single toe pads and whole animal scales. It was shown that frogs can stick 2–3 times better on small scale roughnesses (3–6 µm asperities, producing higher adhesive and frictional forces, but relatively poorly on the larger scale roughnesses tested (58.5–562.5 µm asperities. Our experiments suggested that, on such surfaces, the pads secrete insufficient fluid to fill the space under the pad, leaving air pockets that would significantly reduce the Laplace pressure component of capillarity. Therefore, we measured how well the adhesive toe pad would conform to spherical asperities of known sizes using interference reflection microscopy. Based on experiments where the conformation of the pad to individual asperities was examined microscopically, our calculations indicate that the pad epithelium has a low elastic modulus, making it highly deformable.

  9. Determining the surface roughness coefficient by 3D Scanner

    Directory of Open Access Journals (Sweden)

    Karmen Fifer Bizjak

    2010-12-01

    Full Text Available Currently, several test methods can be used in the laboratory to determine the roughness of rock joint surfaces.However, true roughness can be distorted and underestimated by the differences in the sampling interval of themeasurement methods. Thus, these measurement methods produce a dead zone and distorted roughness profiles.In this paper a new rock joint surface roughness measurement method is presented, with the use of a camera-typethree-dimensional (3D scanner as an alternative to current methods. For this study, the surfaces of ten samples oftuff were digitized by means of a 3D scanner, and the results were compared with the corresponding Rock JointCoefficient (JRC values. Up until now such 3D scanner have been mostly used in the automotive industry, whereastheir use for comparison with obtained JRC coefficient values in rock mechanics is presented here for the first time.The proposed new method is a faster, more precise and more accurate than other existing test methods, and is apromising technique for use in this area of study in the future.

  10. Influence of epoxy, polytetrafluoroethylene (PTFE) and rhodium surface coatings on surface roughness, nano-mechanical properties and biofilm adhesion of nickel titanium (Ni-Ti) archwires

    Science.gov (United States)

    Asiry, Moshabab A.; AlShahrani, Ibrahim; Almoammar, Salem; Durgesh, Bangalore H.; Kheraif, Abdulaziz A. Al; Hashem, Mohamed I.

    2018-02-01

    Aim. To investigate the effect of epoxy, polytetrafluoroethylene (PTFE) and rhodium surface coatings on surface roughness, nano-mechanical properties and biofilm adhesion of nickel titanium (Ni-Ti) archwires Methods. Three different coated (Epoxy, polytetrafluoroethylene (PTFE) and rhodium) and one uncoated Ni-Ti archwires were evaluated in the present study. Surface roughness (Ra) was assessed using a non-contact surface profilometer. The mechanical properties (nano-hardness and elastic modulus) were measured using a nanoindenter. Bacterial adhesion assays were performed using Streptococcus mutans (MS) and streptococcus sobrinus (SS) in an in-vitro set up. The data obtained were analyzed using analyses of variance, Tukey’s post hoc test and Pearson’s correlation coefficient test. Result. The highest Ra values (1.29 ± 0.49) were obtained for epoxy coated wires and lowest Ra values (0.29 ± 0.16) were obtained for the uncoated wires. No significant differences in the Ra values were observed between the rhodium coated and uncoated archwires (P > 0.05). The highest nano-hardness (3.72 ± 0.24) and elastic modulus values (61.15 ± 2.59) were obtained for uncoated archwires and the lowest nano-hardness (0.18 ± 0.10) and elastic modulus values (4.84 ± 0.65) were observed for epoxy coated archwires. No significant differences in nano-hardness and elastic modulus values were observed between the coated archwires (P > 0.05). The adhesion of Streptococcus mutans (MS) to the wires was significantly greater than that of streptococcus sobrinus (SS). The epoxy coated wires demonstrated an increased adhesion of MS and SS and the uncoated wires demonstrated decreased biofilm adhesion. The Spearman correlation test showed that MS and SS adhesion was positively correlated with the surface roughness of the wires. Conclusion. The different surface coatings significantly influence the roughness, nano-mechanical properties and biofilm adhesion parameters of the archwires. The

  11. Scattering from a PEC Slightly Rough Surface in Chiral Media

    Directory of Open Access Journals (Sweden)

    Haroon Akhtar Qureshi

    2018-01-01

    Full Text Available The scattering of left circularly polarized wave from a perfectly electric conducting (PEC rough surface in isotropic chiral media is investigated. Since a slightly rough interface is assumed, the solution is obtained using perturbation method. Zeroth-order term corresponds to solution for a flat interface which helps in making a comparison with the results reported in the literature. First-order term gives the contribution from the surface perturbations, and it is used to define incoherent bistatic scattering coefficients for a Gaussian rough surface. Higher order solution is obtained in a recursive manner. Numerical results are reported for different values of chirality, correlation length, and rms height of the surface. Diffraction efficiency is defined for a sinusoidal grating.

  12. Dynamic modeling of manipulation of micro/nanoparticles on rough surfaces

    International Nuclear Information System (INIS)

    Korayem, M.H.; Zakeri, M.

    2011-01-01

    In this paper, the dynamic behavior of spherical micro/nanoparticles, while being pushed on rough substrates, is studied by means of an Atomic Force Microscope (AFM). For this purpose, first, the contact adhesion force, and the areas and penetration depths of rough surfaces are derived based on the Johnson-Kendall-Roberts (JKR) theory, the Schwarz method, and the Rumpf/Rabinovich models. Then, the dynamic model of particle manipulation on rough substrates is revised using the specified contact theory for rough surfaces. And finally, the pushing of spherical particles with 50, 100, 200, 500, and 10000 nm radii is simulated. The results show that the critical force and the critical time of manipulation decrease when the particles are pushed on the rough surfaces as compared to the smooth ones. It is also observed that the critical force for a rough substrate containing asperities of low height and large radius approaches a comparable critical force magnitude to the smooth substrate, as is expected. Also, when the asperity radius in the substrate is within the range of 0.5 < r < 5 nm, the critical force of pushing decreases; however, as the asperity radius becomes larger than 5 nm, the critical force begins to increase again. Furthermore, the critical values are generally more sensitive to the changes of the asperity radius than the height. It is also found that the difference between the critical values based on the Rumpf and Rabinovich models is negligible. However, the estimation of particles' dynamic behavior using the Rumpf model could be wrong for the rough substrates with small radius asperities, which is considerable in the manipulation and assembly practices. Moreover, the dynamic behavior of particles of small radius (r < 500 nm) change during the pushing process on rough surfaces, and the rolling behavior could be possible on the surfaces that have small radius asperities. The probability of this occurrence is increased in the pushing of larger particles on

  13. Doppler lidar investigation of wind turbine wake characteristics and atmospheric turbulence under different surface roughness.

    Science.gov (United States)

    Zhai, Xiaochun; Wu, Songhua; Liu, Bingyi

    2017-06-12

    Four field experiments based on Pulsed Coherent Doppler Lidar with different surface roughness have been carried out in 2013-2015 to study the turbulent wind field in the vicinity of operating wind turbine in the onshore and offshore wind parks. The turbulence characteristics in ambient atmosphere and wake area was analyzed using transverse structure function based on Plane Position Indicator scanning mode. An automatic wake processing procedure was developed to determine the wake velocity deficit by considering the effect of ambient velocity disturbance and wake meandering with the mean wind direction. It is found that the turbine wake obviously enhances the atmospheric turbulence mixing, and the difference in the correlation of turbulence parameters under different surface roughness is significant. The dependence of wake parameters including the wake velocity deficit and wake length on wind velocity and turbulence intensity are analyzed and compared with other studies, which validates the empirical model and simulation of a turbine wake for various atmosphere conditions.

  14. Evaluation of surface roughness of the bracket slot floor—a 3D perspective study

    Directory of Open Access Journals (Sweden)

    Chetankumar O. Agarwal

    2016-01-01

    Full Text Available Abstract Background An important constituent of an orthodontic appliance is orthodontic brackets. It is either the bracket or the archwire that slides through the bracket slot, during sliding mechanics. Overcoming the friction between the two surfaces demands an important consideration in an appliance design. The present study investigated the surface roughness of four different commercially available stainless steel brackets. Methods All tests were carried out to analyse quantitatively the morphological surface of the bracket slot floor with the help of scanning electron microscope (SEM machine and to qualitatively analyse the average surface roughness (Sa of the bracket slot floor with the help of a three-dimensional (3D non-contact optical surface profilometer machine. Results The SEM microphotographs were evaluated with the help of visual analogue scale, the surface roughness for group A = 0—very rough surface, group C = 1—rough surface, group B = 2—smooth surface, and group D = 3—very smooth surface. Surface roughness evaluation with the 3D non-contact optical surface profilometer machine was highest for group A, followed by group C, group B and group D. Groups B and D provided smooth surface roughness; however, group D had the very smooth surface with values 0.74 and 0.75 for mesial and distal slots, respectively. Conclusions Evaluation of surface roughness of the bracket slot floor with both SEM and profilometer machine led to the conclusion that the average surface roughness was highest for group A, followed by group C, group B and group D.

  15. Monitoring tablet surface roughness during the film coating process

    DEFF Research Database (Denmark)

    Seitavuopio, Paulus; Heinämäki, Jyrki; Rantanen, Jukka

    2006-01-01

    The purpose of this study was to evaluate the change of surface roughness and the development of the film during the film coating process using laser profilometer roughness measurements, SEM imaging, and energy dispersive X-ray (EDX) analysis. Surface roughness and texture changes developing during...... the process of film coating tablets were studied by noncontact laser profilometry and scanning electron microscopy (SEM). An EDX analysis was used to monitor the magnesium stearate and titanium dioxide of the tablets. The tablet cores were film coated with aqueous hydroxypropyl methylcellulose, and the film...... coating was performed using an instrumented pilot-scale side-vented drum coater. The SEM images of the film-coated tablets showed that within the first 30 minutes, the surface of the tablet cores was completely covered with a thin film. The magnesium signal that was monitored by SEM-EDX disappeared after...

  16. The effect of surface roughness on the performances of liner-piston ...

    African Journals Online (AJOL)

    The effect of surface roughness on the performances of liner-piston ring contact in internal combustion engine. ... The surface roughness between the liner and the piston rings, plays an ... EMAIL FREE FULL TEXT EMAIL FREE FULL TEXT

  17. Quantitative roughness characterization of geological surfaces and implications for radar signature analysis

    DEFF Research Database (Denmark)

    Dierking, Wolfgang

    1999-01-01

    Stochastic surface models are useful for analyzing in situ roughness profiles and synthetic aperture radar (SAR) images of geological terrain. In this paper, two different surface models are discussed: surfaces with a stationary random roughness (conventional model) and surfaces with a power...

  18. Reproducibility of surface roughness in reaming

    DEFF Research Database (Denmark)

    Müller, Pavel; De Chiffre, Leonardo

    An investigation on the reproducibility of surface roughness in reaming was performed to document the applicability of this approach for testing cutting fluids. Austenitic stainless steel was used as a workpiece material and HSS reamers as cutting tools. Reproducibility of the results was evaluat...

  19. Role of urban surface roughness in road-deposited sediment build-up and wash-off

    Science.gov (United States)

    Zhao, Hongtao; Jiang, Qian; Xie, Wenxia; Li, Xuyong; Yin, Chengqing

    2018-05-01

    Urban road surface roughness is one of the most important factors in estimation of surface runoff loads caused by road-deposited sediment (RDS) wash-off and design of its control measures. However, because of a lack of experimental data to distinguish the role of surface roughness, the effects of surface roughness on RDS accumulation and release are not clear. In this study, paired asphalt and concrete road surfaces and rainfall simulation designs were used to distinguish the role of surface roughness in RDS build-up and wash-off. Our results showed that typical asphalt surfaces often have higher depression depths than typical concrete surfaces, indicating that asphalt surfaces are relatively rougher than concrete surface. Asphalt surfaces can retain a larger RDS amount, relative higher percentage of coarser particles, larger RDS wash-off loads, and lower wash-off percentage, than concrete surfaces. Surface roughness has different effects in RDS motilities with different particle sizes during rainfall runoff, and the settleable particles (44-149 μm) were notably influenced by it. Furthermore, the first flush phenomenon tended to be greater on relatively smooth surfaces than relatively rough surfaces. Overall, surface roughness plays an important role in influencing the complete process of RDS build-up and wash-off on different road characteristics.

  20. The influence of surface roughness on the hydrogen permeation of type API 5L-X52 steel

    International Nuclear Information System (INIS)

    Requiz, R.; Vera, N.; Camero, S.

    2004-01-01

    The influence of surface roughness on the corrosion and hydrogen permeation behaviour was evaluated on a type API 5L-52 steel in dearated 0.1M NaSO 4 at pH=2. Potentiodynamic polarization curves were employed to determine the electrochemical behaviour of the steel, while the Devanathan-Stachurski technique was used to estimate the hydrogen permeation rate. Additionally, the surface roughness profiles were obtained in order to correlate the changes in the hydrogen perkeation rate with different metal surface finishings. The obtained results clearly demonstrate that when the roughness parameters have larger values, the cathodic current of hydrogen evolution increases while the hydrogen entry rate decreases. This effect can be attributed to the microstructural defects induced at the steel surface, such as dislocations, which increase the catalytic activity of the atomic hydrogen favouring its recombination. Also, these defects could allow the atomic hydrogen to remain adsorbed on the steel surface. Both effects could hinder the hydrogen diffusion into the metal since the possibility for this atom of becoming absorbed has been reduced. (Author) 16 refs

  1. Enhancement of Friction against a Rough Surface by a Ridge-Channel Surface Microstructure.

    Science.gov (United States)

    Bai, Ying; Hui, Chung-Yuen; Levrard, Benjamin; Jagota, Anand

    2015-07-14

    We report on a study of the sliding friction of elastomeric surfaces patterned with ridges and channels (and unstructured flat controls), against both smooth and roughened spherical indenters. Against the smooth spherical indenter, all of the structured surfaces have highly reduced sliding friction due to the reduction in actual area of contact. Against roughened spherical indenters, however, the sliding force for structured samples can be up to 50% greater than that of an unstructured flat control. The mechanism of enhanced friction against a rough surface is due to a combination of increased actual area of contact, interlocking between roughness and the surface structure, and attendant dynamic instabilities that dissipate energy.

  2. Root surface smoothness or roughness following open debridement. An in vivo study.

    Science.gov (United States)

    Schlageter, L; Rateitschak-Plüss, E M; Schwarz, J P

    1996-05-01

    Consensus has not been reached on the desired characteristics of the root surface following cleaning. It is also not clear what degree of roughness or smoothness results from use of different instruments. In the present human clinical study, various instruments for root surface cleaning were evaluated. 18 teeth destined for extraction for periodontal reasons were utilized. After reflection of soft tissue flaps, the 72 root surface aspects of the 18 teeth were uniformally treated with one of the following instruments: Gracey curette (GC), piezo ultrasonic scaler (PUS), Perioplaner curette (PPC), sonic scaler (SS), 75 microns diamond (75 D) and 15 microns diamond (15.D). The degree of roughness of each surface was measured after extraction. A planimetry apparatus was used to establish the average surface roughness (Ra) and the mean depth of the roughness profile (Rz). It was demonstrated that hand- and machine-driven curettes as well as very fine rotating diamonds created the smoothest root surfaces, while "vibrating" instruments such as sonic and ultrasonic scalers, as well as coarse diamonds, tended to roughen the root surface. Whether the root surface should be rough or smooth in order to enhance tissue healing remains an open question.

  3. EXPERIMENTAL INVESTIGATION OF THE EFFECT OF MACHINIG PARAMETERS OVER CUTTING FORCE AND SURFACE ROUGHNESS IN THE MACHINABILITY OF AA5052 ALLOY

    Directory of Open Access Journals (Sweden)

    Hasan GÖKKAYA

    2006-03-01

    Full Text Available In this study, the effects of different cutting and feed rates over average surface roughness and main cutting force during the machinability of AA5052 aluminum alloy with uncoated cemented carbide insert were evaluated. In the experiments, stable depth of cut (1.5 mm, four different cutting speeds (200, 300, 400, 500 m/min and five different feed rates (0.10, 0.15, 0.20, 0.25, 0.30 mm/rev were used. Based on cutting and feed rates, the lowest main cutting force was obtained as 113 in 500 m/min cutting speed and 0.10 mm/rev feed rate and the highest cutting force was obtained as 332 N in 200 m/min cutting speed and 0.30 mm/rev feed rate. The lowest average surface roughness was obtained as 0.95 µm in 200 m/min cutting speed and 0.10 mm/rev feed rate and the highest average surface roughness was obtained as 6.65 µm in 300 m/min cutting speed and 0.30 mm/rev feed rate.

  4. Surface Roughness of Composite Resins after Simulated Toothbrushing with Different Dentifrices.

    Science.gov (United States)

    Monteiro, Bruna; Spohr, Ana Maria

    2015-07-01

    The aim of the study was to evaluate, in vitro, the surface roughness of two composite resins submitted to simulated toothbrushing with three different dentifrices. Totally, 36 samples of Z350XT and 36 samples of Empress Direct were built and randomly divided into three groups (n = 12) according to the dentifrice used (Oral-B Pro-Health Whitening [OBW], Colgate Sensitive Pro-Relief [CS], Colgate Total Clean Mint 12 [CT12]). The samples were submitted to 5,000, 10,000 or 20,000 cycles of simulated toothbrushing. After each simulated period, the surface roughness of the samples was measured using a roughness tester. According to three-way analysis of variance, dentifrice (P = 0.044) and brushing time (P = 0.000) were significant. The composite resin was not significant (P = 0.381) and the interaction among the factors was not significant (P > 0.05). The mean values of the surface roughness (µm) followed by the same letter represent no statistical difference by Tukey's post-hoc test (P composite resins. The dentifrice OBW caused a higher surface roughness in both composite resins.

  5. Surface roughness effects on plasma near a divertor plate and local impact angle

    Directory of Open Access Journals (Sweden)

    Wanpeng Hu

    2017-08-01

    Full Text Available The impact of rough surface topography on the electric potential and electric field is generally neglected due to the small scale of surface roughness compared to the width of the plasma sheath. However, the distributions of the electric potential and field on rough surfaces are expected to influence the characteristics of edge plasma and the local impact angle. The distributions of plasma sheath and local impact angle on rough surfaces are investigated by a two dimension-in-space and three dimension-in-velocity (2d3v Particle-In-Cell (PIC code. The influences of the plasma temperature andsurface morphology on the plasma sheath, local impact angle and resulting physical sputtering yield on rough surfaces are investigated.

  6. Influence of polishing on surface roughness following toothbrushing wear of composite resins.

    Science.gov (United States)

    Dalla-Vecchia, Karine Battestin; Taborda, Talita Damas; Stona, Deborah; Pressi, Heloísa; Burnett Júnior, Luiz Henrique; Rodrigues-Junior, Sinval Adalberto

    2017-01-01

    This study aimed to evaluate the influence of different polishing systems on the surface roughness of composite resins following procedures to simulate the effects of toothbrushing over time. Four currently available commercial composites were used to make 128 cylindrical specimens. The specimens were randomly allocated to polishing with a 1-step polisher or 1 of 3 multistep polishers (n = 8 per group). The baseline surface roughness was measured, and the specimens were submitted to 5000, 10,000, and 20,000 brushing cycles to represent toothbrushing throughout 6, 12, and 24 months, respectively. Results showed that surface roughness was influenced by the type of composite and polishing system and was not influenced by the simulated toothbrushing time. However, the surface roughness, as challenged by toothbrushing wear, was affected by the interaction among the composite, the polisher, and the toothbrushing time. The 1-step polisher produced the highest surface roughness and influenced toothbrushing wear resistance of some composites.

  7. Skin friction measurements of mathematically generated roughness in the transitionally- to fully-rough regimes

    Science.gov (United States)

    Barros, Julio; Schultz, Michael; Flack, Karen

    2016-11-01

    Engineering systems are affected by surface roughness which cause an increase in drag leading to significant performance penalties. One important question is how to predict frictional drag purely based upon surface topography. Although significant progress has been made in recent years, this has proven to be challenging. The present work takes a systematic approach by generating surface roughness in which surfaces parameters, such as rms , skewness, can be controlled. Surfaces were produced using the random Fourier modes method with enforced power-law spectral slopes. The surfaces were manufactured using high resolution 3D-printing. In this study three surfaces with constant amplitude and varying slope, P, were investigated (P = - 0 . 5 , - 1 . 0 , - 1 . 5). Skin-friction measurements were conducted in a high Reynolds number turbulent channel flow facility, covering a wide range of Reynolds numbers, from hydraulic-smooth to fully-rough regimes. Results show that some long wavelength roughness scales do not contribute significantly to the frictional drag, thus highlighting the need for filtering in the calculation of surface statistics. Upon high-pass filtering, it was found that krms is highly correlated with the measured ks.

  8. Effects of surface roughness and electrokinetic heterogeneity on electroosmotic flow in microchannel

    Energy Technology Data Exchange (ETDEWEB)

    Masilamani, Kannan; Ganguly, Suvankar; Feichtinger, Christian; Bartuschat, Dominik; Rüde, Ulrich, E-mail: suva_112@yahoo.co.in [Department of Computer Science 10 University of Erlangen-Nuremberg, Cauerstr.11 91058 Erlangen (Germany)

    2015-06-15

    In this paper, a hybrid lattice-Boltzmann and finite-difference (LB-FD) model is applied to simulate the effects of three-dimensional surface roughness and electrokinetic heterogeneity on electroosmotic flow (EOF) in a microchannel. The lattice-Boltzmann (LB) method has been employed to obtain the flow field and a finite-difference (FD) method is used to solve the Poisson-Boltzmann (PB) equation for the electrostatic potential distribution. Numerical simulation of flow through a square cross-section microchannel with designed roughness is conducted and the results are critically analysed. The effects of surface heterogeneity on the electroosmotic transport are investigated for different roughness height, width, roughness interval spacing, and roughness surface potential. Numerical simulations reveal that the presence of surface roughness changes the nature of electroosmotic transport through the microchannel. It is found that the electroosmotic velocity decreases with the increase in roughness height and the velocity profile becomes asymmetric. For the same height of the roughness elements, the EOF velocity rises with the increase in roughness width. For the heterogeneously charged rough channel, the velocity profile shows a distinct deviation from the conventional plug-like flow pattern. The simulation results also indicate locally induced flow vortices which can be utilized to enhance the flow and mixing within the microchannel. The present study has important implications towards electrokinetic flow control in the microchannel, and can provide an efficient way to design a microfluidic system of practical interest. (paper)

  9. Effect of surface roughness and softness on water capillary adhesion in apolar media.

    Science.gov (United States)

    Banerjee, Soumi; Mulder, Pieter; Kleijn, J Mieke; Cohen Stuart, Martien A

    2012-06-28

    The roughness and softness of interacting surfaces are both important parameters affecting the capillary condensation of water in apolar media, yet are poorly understood at present. We studied the water capillary adhesion between a cellulose surface and a silica colloidal probe in hexane by AFM force measurements. Nanomechanical measurements show that the Young's modulus of the cellulose layer in water is significantly less (~7 MPa) than in hexane (~7 GPa). In addition, the cellulose surface in both water and hexane is rather rough (6-10 nm) and the silica probe has a comparable roughness. The adhesion force between cellulose and silica in water-saturated hexane shows a time-dependent increase up to a waiting time of 200 s and is much (2 orders of magnitude) lower than that expected for a capillary bridge spanning the whole silica probe surface. This suggests the formation of one or more smaller bridges between asperities on both surfaces, which is confirmed by a theoretical analysis. The overall growth rate of the condensate cannot be explained from diffusion mediated capillary condensation alone; thin film flow due to the presence of a wetting layer of water at both the surfaces seems to be the dominant contribution. The logarithmic time dependence of the force can also be explained from the model of the formation of multiple capillary bridges with a distribution of activation times. Finally, the force-distance curves upon retraction show oscillations. Capillary condensation between an atomically smooth mica surface and the silica particle show less significant oscillations and the adhesion force is independent of waiting time. The oscillations in the force-distance curves between cellulose and silica may stem from multiple bridge formation between the asperities present on both surfaces. The softness of the cellulose surface can bring in additional complexities during retraction of the silica particle, also resulting in oscillations in the force-distance curves.

  10. The joint effect of mesoscale and microscale roughness on perceived gloss.

    Science.gov (United States)

    Qi, Lin; Chantler, Mike J; Siebert, J Paul; Dong, Junyu

    2015-10-01

    Computer simulated stimuli can provide a flexible method for creating artificial scenes in the study of visual perception of material surface properties. Previous work based on this approach reported that the properties of surface roughness and glossiness are mutually interdependent and therefore, perception of one affects the perception of the other. In this case roughness was limited to a surface property termed bumpiness. This paper reports a study into how perceived gloss varies with two model parameters related to surface roughness in computer simulations: the mesoscale roughness parameter in a surface geometry model and the microscale roughness parameter in a surface reflectance model. We used a real-world environment map to provide complex illumination and a physically-based path tracer for rendering the stimuli. Eight observers took part in a 2AFC experiment, and the results were tested against conjoint measurement models. We found that although both of the above roughness parameters significantly affect perceived gloss, the additive model does not adequately describe their mutually interactive and nonlinear influence, which is at variance with previous findings. We investigated five image properties used to quantify specular highlights, and found that perceived gloss is well predicted using a linear model. Our findings provide computational support to the 'statistical appearance models' proposed recently for material perception. Copyright © 2015 Elsevier Ltd. All rights reserved.

  11. Improvement of Reactor Fuel Element Heat Transfer by Surface Roughness

    Energy Technology Data Exchange (ETDEWEB)

    Kjellstroem, B; Larsson, A E

    1967-04-15

    In heat exchangers with a limited surface temperature such as reactor fuel elements, rough heat transfer surfaces may give lower pumping power than smooth. To obtain data for choice of the most advantageous roughness for the superheater elements in the Marviken reactor, measurements were made of heat transfer and pressure drop in an annular channel with a smooth or rough test rod in a smooth adiabatic shroud. 24 different roughness geometries were tested. The results were transformed to rod cluster geometry by the method of W B Hall, and correlated by the friction and heat transfer similarity laws as suggested by D F Dipprey and R H Sabersky with RMS errors of 12.5 % in the friction factor and 8.1 % in the Stanton number. The relation between the Stanton number and the friction factor could be described by a relation of the type suggested by W Nunner, with a mean error of 3.1 % and an RMS error of 11.6 %. Application of the results to fuel element calculations is discussed, and the great gains in economy which can be obtained with rough surfaces are demonstrated by two examples.

  12. Improvement of Reactor Fuel Element Heat Transfer by Surface Roughness

    International Nuclear Information System (INIS)

    Kjellstroem, B.; Larsson, A.E.

    1967-04-01

    In heat exchangers with a limited surface temperature such as reactor fuel elements, rough heat transfer surfaces may give lower pumping power than smooth. To obtain data for choice of the most advantageous roughness for the superheater elements in the Marviken reactor, measurements were made of heat transfer and pressure drop in an annular channel with a smooth or rough test rod in a smooth adiabatic shroud. 24 different roughness geometries were tested. The results were transformed to rod cluster geometry by the method of W B Hall, and correlated by the friction and heat transfer similarity laws as suggested by D F Dipprey and R H Sabersky with RMS errors of 12.5 % in the friction factor and 8.1 % in the Stanton number. The relation between the Stanton number and the friction factor could be described by a relation of the type suggested by W Nunner, with a mean error of 3.1 % and an RMS error of 11.6 %. Application of the results to fuel element calculations is discussed, and the great gains in economy which can be obtained with rough surfaces are demonstrated by two examples

  13. Capillary adhesion between elastic solids with randomly rough surfaces

    International Nuclear Information System (INIS)

    Persson, B N J

    2008-01-01

    I study how the contact area and the work of adhesion between two elastic solids with randomly rough surfaces depend on the relative humidity. The surfaces are assumed to be hydrophilic, and capillary bridges form at the interface between the solids. For elastically hard solids with relatively smooth surfaces, the area of real contact and therefore also the sliding friction are maximal when there is just enough liquid to fill out the interfacial space between the solids, which typically occurs for d K ∼3h rms , where d K is the height of the capillary bridge and h rms the root-mean-square roughness of the (combined) surface roughness profile. For elastically soft solids, the area of real contact is maximal for very low humidity (i.e. small d K ), where the capillary bridges are able to pull the solids into nearly complete contact. In both cases, the work of adhesion is maximal (and equal to 2γcosθ, where γ is the liquid surface tension and θ the liquid-solid contact angle) when d K >> h rms , corresponding to high relative humidity

  14. Effect of drop volume and surface statistics on the superhydrophobicity of randomly rough substrates

    Science.gov (United States)

    Afferrante, L.; Carbone, G.

    2018-01-01

    In this paper, a simple theoretical approach is developed with the aim of evaluating shape, interfacial pressure, apparent contact angle and contact area of liquid drops gently deposed on randomly rough surfaces. This method can be useful to characterize the superhydrophobic properties of rough substrates, and to investigate the contact behavior of impacting drops. We assume that (i) the size of the apparent liquid-solid contact area is much larger than the micromorphology of the substrate, and (ii) a composite interface is always formed at the microscale. Results show apparent contact angle and liquid-solid area fraction are slightly influenced by the drop volume only at relatively high values of the root mean square roughness h rms, whereas the effect of volume is practically negligible at small h rms. The main statistical quantity affecting the superhydrophobic properties is found to be the Wenzel roughness parameter r W, which depends on the average slope of the surface heights. Moreover, transition from the Cassie-Baxter state to the Wenzel one is observed when r W reduces below a certain critical value, and theoretical predictions are found to be in good agreement with experimental data. Finally, the present method can be conveniently exploited to evaluate the occurrence of pinning phenomena in the case of impacting drops, as the Wenzel critical pressure for liquid penetration gives an estimation of the maximum impact pressure tolerated by the surface without pinning occurring.

  15. Influence of Structural Features and Fracture Processes on Surface Roughness: A Case Study from the Krosno Sandstones of the Górka–Mucharz Quarry (Little Beskids, Southern Poland

    Directory of Open Access Journals (Sweden)

    Pieczara Łukasz

    2015-09-01

    Full Text Available The paper presents the results of analysis of surface roughness parameters in the Krosno Sandstones of Mucharz, southern Poland. It was aimed at determining whether these parameters are influenced by structural features (mainly the laminar distribution of mineral components and directional distribution of non-isometric grains and fracture processes. The tests applied in the analysis enabled us to determine and describe the primary statistical parameters used in the quantitative description of surface roughness, as well as specify the usefulness of contact profilometry as a method of visualizing spatial differentiation of fracture processes in rocks. These aims were achieved by selecting a model material (Krosno Sandstones from the Górka-Mucharz Quarry and an appropriate research methodology. The schedule of laboratory analyses included: identification analyses connected with non-destructive ultrasonic tests, aimed at the preliminary determination of rock anisotropy, strength point load tests (cleaved surfaces were obtained due to destruction of rock samples, microscopic analysis (observation of thin sections in order to determine the mechanism of inducing fracture processes and a test method of measuring surface roughness (two- and three-dimensional diagrams, topographic and contour maps, and statistical parameters of surface roughness. The highest values of roughness indicators were achieved for surfaces formed under the influence of intragranular fracture processes (cracks propagating directly through grains. This is related to the structural features of the Krosno Sandstones (distribution of lamination and bedding.

  16. Prediction of Ductile Fracture Surface Roughness Scaling

    DEFF Research Database (Denmark)

    Needleman, Alan; Tvergaard, Viggo; Bouchaud, Elisabeth

    2012-01-01

    . Ductile crack growth in a thin strip under mode I, overall plane strain, small scale yielding conditions is analyzed. Although overall plane strain loading conditions are prescribed, full 3D analyses are carried out to permit modeling of the three dimensional material microstructure and of the resulting......Experimental observations have shown that the roughness of fracture surfaces exhibit certain characteristic scaling properties. Here, calculations are carried out to explore the extent to which a ductile damage/fracture constitutive relation can be used to model fracture surface roughness scaling...... three dimensional stress and deformation states that develop in the fracture process region. An elastic-viscoplastic constitutive relation for a progressively cavitating plastic solid is used to model the material. Two populations of second phase particles are represented: large inclusions with low...

  17. Modeling drag reduction and meniscus stability of superhydrophobic surfaces comprised of random roughness

    Science.gov (United States)

    Samaha, Mohamed A.; Tafreshi, Hooman Vahedi; Gad-el-Hak, Mohamed

    2011-01-01

    Previous studies dedicated to modeling drag reduction and stability of the air-water interface on superhydrophobic surfaces were conducted for microfabricated coatings produced by placing hydrophobic microposts/microridges arranged on a flat surface in aligned or staggered configurations. In this paper, we model the performance of superhydrophobic surfaces comprised of randomly distributed roughness (e.g., particles or microposts) that resembles natural superhydrophobic surfaces, or those produced via random deposition of hydrophobic particles. Such fabrication method is far less expensive than microfabrication, making the technology more practical for large submerged bodies such as submarines and ships. The present numerical simulations are aimed at improving our understanding of the drag reduction effect and the stability of the air-water interface in terms of the microstructure parameters. For comparison and validation, we have also simulated the flow over superhydrophobic surfaces made up of aligned or staggered microposts for channel flows as well as streamwise or spanwise ridges configurations for pipe flows. The present results are compared with theoretical and experimental studies reported in the literature. In particular, our simulation results are compared with work of Sbragaglia and Prosperetti, and good agreement has been observed for gas fractions up to about 0.9. The numerical simulations indicate that the random distribution of surface roughness has a favorable effect on drag reduction, as long as the gas fraction is kept the same. This effect peaks at about 30% as the gas fraction increases to 0.98. The stability of the meniscus, however, is strongly influenced by the average spacing between the roughness peaks, which needs to be carefully examined before a surface can be recommended for fabrication. It was found that at a given maximum allowable pressure, surfaces with random post distribution produce less drag reduction than those made up of

  18. Near-field flow structures about subcritical surface roughness

    Science.gov (United States)

    Doolittle, Charles J.; Drews, Scott D.; Goldstein, David B.

    2014-12-01

    Laminar flow over a periodic array of cylindrical surface roughness elements is simulated with an immersed boundary spectral method both to validate the method for subsequent studies and to examine how persistent streamwise vortices are introduced by a low Reynolds number roughness element. Direct comparisons are made with prior studies at a roughness-based Reynolds number Rek (=U(k) k/ν) of 205 and a diameter to spanwise spacing ratio d/λ of 1/3. Downstream velocity contours match present and past experiments very well. The shear layer developed over the top of the roughness element produces the downstream velocity deficit. Upstream of the roughness element, the vortex topology is found to be consistent with juncture flow experiments, creating three cores along the recirculation line. Streamtraces stemming from these upstream cores, however, have unexpectedly little effect on the downstream flowfield as lateral divergence of the boundary layer quickly dissipates their vorticity. Long physical relaxation time of the recirculating wake behind the roughness remains a prominent issue for simulating this type of flowfield.

  19. Elastic wave generated by granular impact on rough and erodible surfaces

    Science.gov (United States)

    Bachelet, Vincent; Mangeney, Anne; de Rosny, Julien; Toussaint, Renaud; Farin, Maxime

    2018-01-01

    The elastic waves generated by impactors hitting rough and erodible surfaces are studied. For this purpose, beads of variable materials, diameters, and velocities are dropped on (i) a smooth PMMA plate, (ii) stuck glass beads on the PMMA plate to create roughness, and (iii) the rough plate covered with layers of free particles to investigate erodible beds. The Hertz model validity to describe impacts on a smooth surface is confirmed. For rough and erodible surfaces, an empirical scaling law that relates the elastic energy to the radius Rb and normal velocity Vz of the impactor is deduced from experimental data. In addition, the radiated elastic energy is found to decrease exponentially with respect to the bed thickness. Lastly, we show that the variability of the elastic energy among shocks increases from some percents to 70% between smooth and erodible surfaces. This work is a first step to better quantify seismic emissions of rock impacts in natural environment, in particular on unconsolidated soils.

  20. Investigation on Selective Laser Melting AlSi10Mg Cellular Lattice Strut: Molten Pool Morphology, Surface Roughness and Dimensional Accuracy

    Directory of Open Access Journals (Sweden)

    Xuesong Han

    2018-03-01

    Full Text Available AlSi10Mg inclined struts with angle of 45° were fabricated by selective laser melting (SLM using different scanning speed and hatch spacing to gain insight into the evolution of the molten pool morphology, surface roughness, and dimensional accuracy. The results show that the average width and depth of the molten pool, the lower surface roughness and dimensional deviation decrease with the increase of scanning speed and hatch spacing. The upper surface roughness is found to be almost constant under different processing parameters. The width and depth of the molten pool on powder-supported zone are larger than that of the molten pool on the solid-supported zone, while the width changes more significantly than that of depth. However, if the scanning speed is high enough, the width and depth of the molten pool and the lower surface roughness almost keep constant as the density is still high. Therefore, high dimensional accuracy and density as well as good surface quality can be achieved simultaneously by using high scanning speed during SLMed cellular lattice strut.

  1. Investigation on Selective Laser Melting AlSi10Mg Cellular Lattice Strut: Molten Pool Morphology, Surface Roughness and Dimensional Accuracy.

    Science.gov (United States)

    Han, Xuesong; Zhu, Haihong; Nie, Xiaojia; Wang, Guoqing; Zeng, Xiaoyan

    2018-03-07

    AlSi10Mg inclined struts with angle of 45° were fabricated by selective laser melting (SLM) using different scanning speed and hatch spacing to gain insight into the evolution of the molten pool morphology, surface roughness, and dimensional accuracy. The results show that the average width and depth of the molten pool, the lower surface roughness and dimensional deviation decrease with the increase of scanning speed and hatch spacing. The upper surface roughness is found to be almost constant under different processing parameters. The width and depth of the molten pool on powder-supported zone are larger than that of the molten pool on the solid-supported zone, while the width changes more significantly than that of depth. However, if the scanning speed is high enough, the width and depth of the molten pool and the lower surface roughness almost keep constant as the density is still high. Therefore, high dimensional accuracy and density as well as good surface quality can be achieved simultaneously by using high scanning speed during SLMed cellular lattice strut.

  2. A Scale-up Approach for Film Coating Process Based on Surface Roughness as the Critical Quality Attribute.

    Science.gov (United States)

    Yoshino, Hiroyuki; Hara, Yuko; Dohi, Masafumi; Yamashita, Kazunari; Hakomori, Tadashi; Kimura, Shin-Ichiro; Iwao, Yasunori; Itai, Shigeru

    2018-04-01

    Scale-up approaches for film coating process have been established for each type of film coating equipment from thermodynamic and mechanical analyses for several decades. The objective of the present study was to establish a versatile scale-up approach for film coating process applicable to commercial production that is based on critical quality attribute (CQA) using the Quality by Design (QbD) approach and is independent of the equipment used. Experiments on a pilot scale using the Design of Experiment (DoE) approach were performed to find a suitable CQA from surface roughness, contact angle, color difference, and coating film properties by terahertz spectroscopy. Surface roughness was determined to be a suitable CQA from a quantitative appearance evaluation. When surface roughness was fixed as the CQA, the water content of the film-coated tablets was determined to be the critical material attribute (CMA), a parameter that does not depend on scale or equipment. Finally, to verify the scale-up approach determined from the pilot scale, experiments on a commercial scale were performed. The good correlation between the surface roughness (CQA) and the water content (CMA) identified at the pilot scale was also retained at the commercial scale, indicating that our proposed method should be useful as a scale-up approach for film coating process.

  3. Numerical Simulations of Scattering of Light from Two-Dimensional Rough Surfaces Using the Reduced Rayleigh Equation

    Directory of Open Access Journals (Sweden)

    Tor eNordam

    2013-09-01

    Full Text Available A formalism is introduced for the non-perturbative, purely numerical, solution of the reduced Rayleigh equation for the scattering of light from two-dimensional penetrable rough surfaces. Implementation and performance issues of the method, and various consistency checks of it, are presented and discussed. The proposed method is found, within the validity of the Rayleigh hypothesis, to give reliable results. For a non-absorbing metal surface the conservation of energy was explicitly checked, and found to be satisfied to within 0.03%, or better, for the parameters assumed. This testifies to the accuracy of the approach and a satisfactory discretization. As an illustration, we calculate the full angular distribution of the mean differential reflection coefficient for the scattering of p- or s-polarized light incident on two-dimensional dielectric or metallic randomly rough surfaces defined by (isotropic or anisotropic Gaussian and cylindrical power spectra. Simulation results obtained by the proposed method agree well with experimentally measured scattering data taken from similar well-characterized, rough metal samples, or to results obtained by other numerical methods.

  4. Spatially-varying surface roughness and ground-level air quality in an operational dispersion model

    International Nuclear Information System (INIS)

    Barnes, M.J.; Brade, T.K.; MacKenzie, A.R.; Whyatt, J.D.; Carruthers, D.J.; Stocker, J.; Cai, X.; Hewitt, C.N.

    2014-01-01

    Urban form controls the overall aerodynamic roughness of a city, and hence plays a significant role in how air flow interacts with the urban landscape. This paper reports improved model performance resulting from the introduction of variable surface roughness in the operational air-quality model ADMS-Urban (v3.1). We then assess to what extent pollutant concentrations can be reduced solely through local reductions in roughness. The model results suggest that reducing surface roughness in a city centre can increase ground-level pollutant concentrations, both locally in the area of reduced roughness and downwind of that area. The unexpected simulation of increased ground-level pollutant concentrations implies that this type of modelling should be used with caution for urban planning and design studies looking at ventilation of pollution. We expect the results from this study to be relevant for all atmospheric dispersion models with urban-surface parameterisations based on roughness. -- Highlights: • Spatially variable roughness improved performance of an operational model. • Scenario modelling explored effect of reduced roughness on air pollution. • Reducing surface roughness can increase modelled ground-level pollution. • Damped vertical mixing outweighs increased horizontal advection in model study. • Result should hold for any model with a land-surface coupling based on roughness. -- Spatially varying roughness improves model simulations of urban air pollutant dispersion. Reducing roughness does not always decrease ground-level pollution concentrations

  5. AFM imaging and fractal analysis of surface roughness of AlN epilayers on sapphire substrates

    Energy Technology Data Exchange (ETDEWEB)

    Dallaeva, Dinara, E-mail: dinara.dallaeva@yandex.ru [Brno University of Technology, Faculty of Electrical Engineering and Communication, Physics Department, Technická 8, 616 00 Brno (Czech Republic); Ţălu, Ştefan [Technical University of Cluj-Napoca, Faculty of Mechanical Engineering, Department of AET, Discipline of Descriptive Geometry and Engineering Graphics, 103-105 B-dul Muncii Street, Cluj-Napoca 400641, Cluj (Romania); Stach, Sebastian [University of Silesia, Faculty of Computer Science and Materials Science, Institute of Informatics, Department of Biomedical Computer Systems, ul. Będzińska 39, 41-205 Sosnowiec (Poland); Škarvada, Pavel; Tománek, Pavel; Grmela, Lubomír [Brno University of Technology, Faculty of Electrical Engineering and Communication, Physics Department, Technická 8, 616 00 Brno (Czech Republic)

    2014-09-01

    Graphical abstract: - Highlights: • We determined the complexity of 3D surface roughness of aluminum nitride layers. • We used atomic force microscopy and analyzed their fractal geometry. • We determined the fractal dimension of surface roughness of aluminum nitride layers. • We determined the dependence of layer morphology on substrate temperature. - Abstract: The paper deals with AFM imaging and characterization of 3D surface morphology of aluminum nitride (AlN) epilayers on sapphire substrates prepared by magnetron sputtering. Due to the effect of temperature changes on epilayer's surface during the fabrication, a surface morphology is studied by combination of atomic force microscopy (AFM) and fractal analysis methods. Both methods are useful tools that may assist manufacturers in developing and fabricating AlN thin films with optimal surface characteristics. Furthermore, they provide different yet complementary information to that offered by traditional surface statistical parameters. This combination is used for the first time for measurement on AlN epilayers on sapphire substrates, and provides the overall 3D morphology of the sample surfaces (by AFM imaging), and reveals fractal characteristics in the surface morphology (fractal analysis)

  6. Development of nano-roughness calibration standards

    International Nuclear Information System (INIS)

    Baršić, Gorana; Mahović, Sanjin; Zorc, Hrvoje

    2012-01-01

    At the Laboratory for Precise Measurements of Length, currently the Croatian National Laboratory for Length, unique nano-roughness calibration standards were developed, which have been physically implemented in cooperation with the company MikroMasch Trading OU and the Ruđer Bošković Institute. In this paper, a new design for a calibration standard with two measuring surfaces is presented. One of the surfaces is for the reproduction of roughness parameters, while the other is for the traceability of length units below 50 nm. The nominal values of the groove depths on these measuring surfaces are the same. Thus, a link between the measuring surfaces has been ensured, which makes these standards unique. Furthermore, the calibration standards available on the market are generally designed specifically for individual groups of measuring instrumentation, such as interferometric microscopes, stylus instruments, scanning electron microscopes (SEM) or scanning probe microscopes. In this paper, a new design for nano-roughness standards has been proposed for use in the calibration of optical instruments, as well as for stylus instruments, SEM, atomic force microscopes and scanning tunneling microscopes. Therefore, the development of these new nano-roughness calibration standards greatly contributes to the reproducibility of the results of groove depth measurement as well as the 2D and 3D roughness parameters obtained by various measuring methods. (paper)

  7. Surface roughness of glass ionomer cements indicated for uncooperative patients according to surface protection treatment.

    Science.gov (United States)

    Pacifici, Edoardo; Bossù, Maurizio; Giovannetti, Agostino; La Torre, Giuseppe; Guerra, Fabrizio; Polimeni, Antonella

    2013-01-01

    Even today, use of Glass Ionomer Cements (GIC) as restorative material is indicated for uncooperative patients. The study aimed at estimating the surface roughness of different GICs using or not their proprietary surface coatings and at observing the interfaces between cement and coating through SEM. Forty specimens have been obtained and divided into 4 groups: Fuji IX (IX), Fuji IX/G-Coat Plus (IXC), Vitremer (V), Vitremer/Finishing Gloss (VFG). Samples were obtained using silicone moulds to simulate class I restorations. All specimens were processed for profilometric evaluation. The statistical differences of surface roughness between groups were assessed using One-Way Analysis of Variance (One-Way ANOVA) (p<0.05). The Two-Way Analysis of Variance (Two-Way ANOVA) was used to evaluate the influence of two factors: restoration material and presence of coating. Coated restoration specimens (IXC and VFG) were sectioned perpendicular to the restoration surface and processed for SEM evaluation. No statistical differences in roughness could be noticed between groups or factors. Following microscopic observation, interfaces between restoration material and coating were better for group IXC than for group VFG. When specimens are obtained simulating normal clinical procedures, the presence of surface protection does not significantly improve the surface roughness of GICs.

  8. Functionalized PDMS with versatile and scalable surface roughness gradients for cell culture

    KAUST Repository

    Zhou, Bingpu

    2015-07-21

    This manuscript describes a simple and versatile approach to engineering surface roughness gradients via combination of microfluidics and photo-polymerization. Through UV-mediated polymerization, N-isopropylacrylamide with concentration gradients are successfully grafted onto PDMS surface, leading to diverse roughness degrees on the obtained PDMS substrate. Furthermore, the extent of surface roughness can be controllably regulated via tuning the flow rate ratio between the monomer solution and deionized water. Average roughness ranging from 8.050 nm to 151.68 nm has well been achieved in this work. Such PDMS samples are also demonstrated to be capable of working as supporting substrates for controlling cell adhesion or detachment. Due to the different degrees of surface roughness on a single substrate, our method provides an effective approach for designing advanced surafecs for cell culture. Finally, the thermosensitive property of N-isopropylacrylamide makes our sample furnish as another means for controlling the cell detachment from the substrates with correspondence to the surrounding temperature.

  9. Functionalized PDMS with versatile and scalable surface roughness gradients for cell culture

    KAUST Repository

    Zhou, Bingpu; Gao, Xinghua; Wang, Cong; Ye, Ziran; Gao, Yibo; Xie, Jiao; Wu, Xiaoxiao; Wen, Weijia

    2015-01-01

    This manuscript describes a simple and versatile approach to engineering surface roughness gradients via combination of microfluidics and photo-polymerization. Through UV-mediated polymerization, N-isopropylacrylamide with concentration gradients are successfully grafted onto PDMS surface, leading to diverse roughness degrees on the obtained PDMS substrate. Furthermore, the extent of surface roughness can be controllably regulated via tuning the flow rate ratio between the monomer solution and deionized water. Average roughness ranging from 8.050 nm to 151.68 nm has well been achieved in this work. Such PDMS samples are also demonstrated to be capable of working as supporting substrates for controlling cell adhesion or detachment. Due to the different degrees of surface roughness on a single substrate, our method provides an effective approach for designing advanced surafecs for cell culture. Finally, the thermosensitive property of N-isopropylacrylamide makes our sample furnish as another means for controlling the cell detachment from the substrates with correspondence to the surrounding temperature.

  10. Analysis of multi lobe journal bearings with surface roughness using finite difference method

    Science.gov (United States)

    PhaniRaja Kumar, K.; Bhaskar, SUdaya; Manzoor Hussain, M.

    2018-04-01

    Multi lobe journal bearings are used for high operating speeds and high loads in machines. In this paper symmetrical multi lobe journal bearings are analyzed to find out the effect of surface roughnessduring non linear loading. Using the fourth order RungeKutta method, time transient analysis was performed to calculate and plot the journal centre trajectories. Flow factor method is used to evaluate the roughness and the finite difference method (FDM) is used to predict the pressure distribution over the bearing surface. The Transient analysis is done on the multi lobe journal bearings for threedifferent surface roughness orientations. Longitudinal surface roughness is more effective when compared with isotopic and traverse surface roughness.

  11. Improved the Surface Roughness of Silicon Nanophotonic Devices by Thermal Oxidation Method

    Energy Technology Data Exchange (ETDEWEB)

    Shi Zujun; Shao Shiqian; Wang Yi, E-mail: ywangwnlo@mail.hust.edu.cn [Wuhan National Laboratory for Optoelectronics, Huazhong University of Science and Technology, No. 1037, Luoyu Street, Wuhan 430074 (China)

    2011-02-01

    The transmission loss of the silicon-on-insulator (SOI) waveguide and the coupling loss of the SOI grating are determined to a large extent by the surface roughness. In order to obtain smaller loss, thermal oxidation is a good choice to reduce the surface roughness of the SOI waveguide and grating. Before the thermal oxidation, the root mean square of the surface roughness is over 11 nm. After the thermal oxidation, the SEM figure shows that the bottom of the grating is as smooth as quartz surface, while the AFM shows that the root mean square of the surface is less than 5 nm.

  12. Effect of different surface treatments on roughness of IPS Empress 2 ceramic.

    Science.gov (United States)

    Kara, Haluk Baris; Dilber, Erhan; Koc, Ozlem; Ozturk, A Nilgun; Bulbul, Mehmet

    2012-03-01

    The aim of this study was to evaluate the influence of different surface treatments (air abrasion, acid etching, laser irradiation) on the surface roughness of a lithium-disilicate-based core ceramic. A total of 40 discs of lithium disilicate-based core ceramic (IPS Empress 2; Ivoclar Vivadent, Schaan, Liechtenstein) were prepared (10 mm in diameter and 1 mm in thickness) according to the manufacturer's instructions. Specimens were divided into four groups (n = 10), and the following treatments were applied: air abrasion with alumina particles (50 μm), acid etching with 5% hydrofluoric acid, Nd:YAG laser irradiation (1 mm distance, 100 mJ, 20 Hz, 2 W) and Er:YAG laser irradiation (1 mm distance, 500 mJ, 20 Hz, 10 W). Following determination of surface roughness (R(a)) by profilometry, specimens were examined with atomic force microscopy. The data were analysed by one-way analysis of variance (ANOVA) and Tukey HSD test (α = 0.05). One-way ANOVA indicated that surface roughness following air abrasion was significantly different from the surface roughness following laser irradiation and acid etching (P 0.05). Air abrasion increased surface roughness of lithium disilicate-based core ceramic surfaces more effectively than acid-etching and laser irradiation.

  13. Enhancement of vortex induced forces and motion through surface roughness control

    Science.gov (United States)

    Bernitsas, Michael M [Saline, MI; Raghavan, Kamaldev [Houston, TX

    2011-11-01

    Roughness is added to the surface of a bluff body in a relative motion with respect to a fluid. The amount, size, and distribution of roughness on the body surface is controlled passively or actively to modify the flow around the body and subsequently the Vortex Induced Forces and Motion (VIFM). The added roughness, when designed and implemented appropriately, affects in a predetermined way the boundary layer, the separation of the boundary layer, the level of turbulence, the wake, the drag and lift forces, and consequently the Vortex Induced Motion (VIM), and the fluid-structure interaction. The goal of surface roughness control is to increase Vortex Induced Forces and Motion. Enhancement is needed in such applications as harnessing of clean and renewable energy from ocean/river currents using the ocean energy converter VIVACE (Vortex Induced Vibration for Aquatic Clean Energy).

  14. Influence of Nitrogen Flow Rate on Friction Coefficient and Surface Roughness of TiN Coatings Deposited on Tool Steel Using Arc Method

    Science.gov (United States)

    Hamzah, Esah; Ourdjini, Ali; Ali, Mubarak; Akhter, Parvez; Hj. Mohd Toff, Mohd Radzi; Abdul Hamid, Mansor

    In the present study, the effect of various N2 gas flow rates on friction coefficient and surface roughness of TiN-coated D2 tool steel was examined by a commercially available cathodic arc physical vapor deposition (CAPVD) technique. A Pin-on-Disc test was carried out to study the Coefficient of friction (COF) versus sliding distance. A surface roughness tester measured the surface roughness parameters. The minimum values for the COF and surface roughness were recorded at a N2 gas flow rate of 200 sccm. The increase in the COF and surface roughness at a N2 gas flow rate of 100 sccm was mainly attributed to an increase in both size and number of titanium particles, whereas the increase at 300 sccm was attributed to a larger number of growth defects generated during the coating process. These ideas make it possible to optimize the coating properties as a function of N2 gas flow rate for specific applications, e.g. cutting tools for automobiles, aircraft, and various mechanical parts.

  15. Study on influence of Surface roughness of Ni-Al2O3 nano composite coating and evaluation of wear characteristics

    Science.gov (United States)

    Raghavendra, C. R.; Basavarajappa, S.; Sogalad, Irappa

    2018-02-01

    Electrodeposition is one of the most technologically feasible and economically superior techniques for producing metallic coating. The advancement in the application of nano particles has grabbed the attention in all fields of engineering. In this present study an attempt has been made on the Ni-Al2O3nano particle composite coating on aluminium substrate by electrodeposition process. The aluminium surface requires a specific pre-treatment for better adherence of coating. In light of this a thin zinc layer is coated on the aluminium substrate by electroless process. In addition to this surface roughness is an important parameter for any coating method and material. In this work Ni-Al2O3 composite coating were successfully coated by varying the process parameters such as bath temperature, current density and particle loading. The experimentation was performed using central composite design based 20 trials of experiments. The effect of process parameters and surface roughness before and after coating is analyzed on wear rate and coating thickness. The results shown a better wear resistance of Ni-Al2O3 composite electrodeposited coating compared to Ni coating. The particle loading and interaction effect of current density with temperature has greater significant effect on wear rate. The surface roughness is significantly affected the wear behaviour and thickness of coating.

  16. Optimization of surface roughness in CNC end milling using ...

    African Journals Online (AJOL)

    International Journal of Engineering, Science and Technology ... In this study, minimization of surface roughness has been investigated by integrating design of experiment method, Response surface methodology (RSM) and genetic algorithm ...

  17. Investigation of material removal rate and surface roughness during wire electrical discharge machining (WEDM of Inconel 625 super alloy by cryogenic treated tool electrode

    Directory of Open Access Journals (Sweden)

    Ashish Goyal

    2017-10-01

    Full Text Available The present investigation focuses the effect of process parameters on material removal rate (MRR and surface roughness (Ra in wire electric discharge machining of Inconel 625. Machining was done by using a normal zinc coated wire and cryogenic treated zinc coated wire. The experiments were performed by considering different process parameters viz. tool electrode, current intensity, pulse on time, pulse off time, wire feed and wire tension. The thickness of work material and dia. of wire are kept constant. Taguchi L18 (21 * 35 orthogonal array of experimental design is used to perform the experiments. Analysis of variance (ANOVA is employed to optimize the material removal rate and surface roughness. Based on analysis it is found that pulse on time, tool electrode and current intensity are the significant parameters that affect the material removal rate and surface roughness. The scanning electron microscopy (SEM are used to identify the microstructure of the machined work piece.

  18. On the computation of the turbulent flow near rough surface

    Science.gov (United States)

    Matveev, S. K.; Jaychibekov, N. Zh.; Shalabayeva, B. S.

    2018-05-01

    One of the problems in constructing mathematical models of turbulence is a description of the flows near a rough surface. An experimental study of such flows is also difficult because of the impossibility of measuring "inside" the roughness. The theoretical calculation is difficult because of the lack of equations describing the flow in this zone. In this paper, a new turbulence model based on the differential equation of turbulent viscosity balance was used to describe a turbulent flow near a rough surface. The difference between the new turbulence model and the previously known consists in the choice of constants and functions that determine the generation, dissipation and diffusion of viscosity.

  19. Characterizing the effects of regolith surface roughness on photoemission from surfaces in space

    Science.gov (United States)

    Dove, A.; Horanyi, M.; Wang, X.

    2017-12-01

    Surfaces of airless bodies and spacecraft in space are exposed to a variety of charging environments. A balance of currents due to plasma bombardment, photoemission, electron and ion emission and collection, and secondary electron emission determines the surface's charge. Photoelectron emission is the dominant charging process on sunlit surfaces in the inner solar system due to the intense solar UV radiation. This can result in a net positive surface potential, with a cloud of photoelectrons immediately above the surface, called the photoelectron sheath. Conversely, the unlit side of the body will charge negatively due the collection of the fast-moving solar wind electrons. The interaction of charged dust grains with these positively and negatively charged surfaces, and within the photoelectron and plasma sheaths may explain the occurrence of dust lofting, levitation and transport above the lunar surface. The surface potential of exposed objects is also dependent on the material properties of their surfaces. Composition and particle size primarily affect the quantum efficiency of photoelectron generation; however, surface roughness can also control the charging process. In order to characterize these effects, we have conducted laboratory experiments to examine the role of surface roughness in generating photoelectrons in dedicated laboratory experiments using solid and dusty surfaces of the same composition (CeO2), and initial comparisons with JSC-1 lunar simulant. Using Langmuir probe measurements, we explore the measured potentials above insulating surfaces exposed to UV and an electric field, and we show that the photoemission current from a dusty surface is largely reduced due to its higher surface roughness, which causes a significant fraction of the emitted photoelectrons to be re-absorbed within the surface. We will discuss these results in context of similar situations on planetary surfaces.

  20. Fabricating Superhydrophobic and Superoleophobic Surfaces with Multiscale Roughness Using Airbrush and Electrospray

    Science.gov (United States)

    AL-Milaji, Karam N.

    Examples of superhydrophobic surfaces found in nature such as self-cleaning property of lotus leaf and walking on water ability of water strider have led to an extensive investigation in this area over the past few decades. When a water droplet rests on a textured surface, it may either form a liquid-solid-vapor composite interface by which the liquid droplet partially sits on air pockets or it may wet the surface in which the water replaces the trapped air depending on the surface roughness and the surface chemistry. Super water repellent surfaces have numerous applications in our daily life such as drag reduction, anti-icing, anti-fogging, energy conservation, noise reduction, and self-cleaning. In fact, the same concept could be applied in designing and producing surfaces that repel organic contaminations (e.g. low surface tension liquids). However, superoleophobic surfaces are more challenging to fabricate than superhydrophobic surfaces since the combination of multiscale roughness with re-entrant or overhang structure and surface chemistry must be provided. In this study, simple, cost-effective and potentially scalable techniques, i.e., airbrush and electrospray, were employed for the sake of making superhydrophobic and superoleophobic coatings with random and patterned multiscale surface roughness. Different types of silicon dioxide were utilized in this work to in order to study and to characterize the effect of surface morphology and surface roughness on surface wettability. The experimental findings indicated that super liquid repellent surfaces with high apparent contact angles and extremely low sliding angles were successfully fabricated by combining re-entrant structure, multiscale surface roughness, and low surface energy obtained from chemically treating the fabricated surfaces. In addition to that, the experimental observations regarding producing textured surfaces in mask-assisted electrospray were further validated by simulating the actual working

  1. Rough surfaces of titanium and titanium alloys for implants and prostheses

    International Nuclear Information System (INIS)

    Conforto, E.; Aronsson, B.-O.; Salito, A.; Crestou, C.; Caillard, D.

    2004-01-01

    Titanium and titanium alloys for dental implants and hip prostheses were surface-treated and/or covered by metallic or ceramic rough layers after being submitted to sand blasting. The goal of these treatments is to improve the surface roughness and consequently the osteointegration, the fixation, and the stability of the implant. The microstructure of titanium and titanium alloys submitted to these treatments has been studied and correlated to their mechanical behavior. As-treated/covered and mechanically tested surfaces were characterized by scanning electron microscopy (SEM). Structural analyses performed by transmission electron microscopy (TEM), mainly in cross-section, reveal the degree of adherence and cohesion between the surface layer and the substrate (implant). We observed that, although the same convenient surface roughness was obtained with the two types of process, many characteristics as structural properties and mechanical behavior are very different

  2. The interplay between surface charging and microscale roughness during plasma etching of polymeric substrates

    Science.gov (United States)

    Memos, George; Lidorikis, Elefterios; Kokkoris, George

    2018-02-01

    The surface roughness developed during plasma etching of polymeric substrates is critical for a variety of applications related to the wetting behavior and the interaction of surfaces with cells. Toward the understanding and, ultimately, the manipulation of plasma induced surface roughness, the interplay between surface charging and microscale roughness of polymeric substrates is investigated by a modeling framework consisting of a surface charging module, a surface etching model, and a profile evolution module. The evolution of initially rough profiles during plasma etching is calculated by taking into account as well as by neglecting charging. It is revealed, on the one hand, that the surface charging contributes to the suppression of root mean square roughness and, on the other hand, that the decrease of the surface roughness induces a decrease of the charging potential. The effect of charging on roughness is intense when the etching yield depends solely on the ion energy, and it is mitigated when the etching yield additionally depends on the angle of ion incidence. The charging time, i.e., the time required for reaching a steady state charging potential, is found to depend on the thickness of the polymeric substrate, and it is calculated in the order of milliseconds.

  3. Study on the Light Scattering from Random Rough Surfaces by Kirrhoff Approximation

    Directory of Open Access Journals (Sweden)

    Keding Yan

    2014-07-01

    Full Text Available In order to study the space distribution characteristics of light scattering from random rough surfaces, the linear filtering method is used to generate a series of Gaussian randomly rough surfaces, and the Kirchhoff Approximation is used to calculate the scattered light intensity distribution from random metal and dielectric rough surfaces. The three characteristics of the scattered light intensity distribution peak, the intensity distribution width and the position of peak are reviewed. Numerical calculation results show that significant differences between scattering characteristics of metal surfaces and the dielectric surfaces exist. The light scattering characteristics are jointly influenced by the slope distribution and reflectance of surface element. The scattered light intensity distribution is affected by common influence of surface local slope distribution and surface local reflectivity. The results can provide a basis theory for the research to lidar target surface scattering characteristics.

  4. Effects of Nanowire Length and Surface Roughness on the Electrochemical Sensor Properties of Nafion-Free, Vertically Aligned Pt Nanowire Array Electrodes

    Directory of Open Access Journals (Sweden)

    Zhiyang Li

    2015-09-01

    Full Text Available In this paper, vertically aligned Pt nanowire arrays (PtNWA with different lengths and surface roughnesses were fabricated and their electrochemical performance toward hydrogen peroxide (H2O2 detection was studied. The nanowire arrays were synthesized by electroplating Pt in nanopores of anodic aluminum oxide (AAO template. Different parameters, such as current density and deposition time, were precisely controlled to synthesize nanowires with different surface roughnesses and various lengths from 3 μm to 12 μm. The PtNWA electrodes showed better performance than the conventional electrodes modified by Pt nanowires randomly dispersed on the electrode surface. The results indicate that both the length and surface roughness can affect the sensing performance of vertically aligned Pt nanowire array electrodes. Generally, longer nanowires with rougher surfaces showed better electrochemical sensing performance. The 12 μm rough surface PtNWA presented the largest sensitivity (654 μA·mM−1·cm−2 among all the nanowires studied, and showed a limit of detection of 2.4 μM. The 12 μm rough surface PtNWA electrode also showed good anti-interference property from chemicals that are typically present in the biological samples such as ascorbic, uric acid, citric acid, and glucose. The sensing performance in real samples (river water was tested and good recovery was observed. These Nafion-free, vertically aligned Pt nanowires with surface roughness control show great promise as versatile electrochemical sensors and biosensors.

  5. Surface Roughness and Gloss of Actual Composites as Polished With Different Polishing Systems.

    Science.gov (United States)

    Rodrigues-Junior, S A; Chemin, P; Piaia, P P; Ferracane, J L

    2015-01-01

    This in vitro study evaluated the effect of polishing with different polishing systems on the surface roughness and gloss of commercial composites. One hundred disk-shaped specimens (10 mm in diameter × 2 mm thick) were made with Filtek P-90, Filtek Z350 XT, Opallis, and Grandio. The specimens were manually finished with #400 sandpaper and polished by a single operator using three multistep systems (Superfix, Diamond Pro, and Sof-lex), one two-step system (Polidores DFL), and one one-step system (Enhance), following the manufacturer's instructions. The average surface roughness (μm) was measured with a surface profilometer (TR 200 Surface Roughness Tester), and gloss was measured using a small-area glossmeter (Novo-Curve, Rhopoint Instrumentation, East Sussex, UK). Data were analyzed by two-way analysis of variance and Tukey's test (α=0.05). Statistically significant differences in surface roughness were identified by varying the polishing systems (pGloss was influenced by the composites (pone-step system, Enhance, produced the lowest gloss for all composites. Surface roughness and gloss were affected by composites and polishing systems. The interaction between both also influenced these surface characteristics, meaning that a single polishing system will not behave similarly for all composites. The multistep systems produced higher gloss, while the one-step system produced the highest surface roughness and the lowest gloss of all.

  6. Prediction of material removal rate and surface roughness for wire electrical discharge machining of nickel using response surface methodology

    Directory of Open Access Journals (Sweden)

    Thangam Chinnadurai

    2016-12-01

    Full Text Available This study focuses on investigating the effects of process parameters, namely, Peak current (Ip, Pulse on time (Ton, Pulse off time (Toff, Water pressure (Wp, Wire feed rate (Wf, Wire tension (Wt, Servo voltage (Sv and Servo feed setting (Sfs, on the Material Removal Rate (MRR and Surface Roughness (SR for Wire electrical discharge machining (Wire-EDM of nickel using Taguchi method. Response Surface Methodology (RSM is adopted to evolve mathematical relationships between the wire cutting process parameters and the output variables of the weld joint to determine the welding input parameters that lead to the desired optimal wire cutting quality. Besides, using response surface plots, the interaction effects of process parameters on the responses are analyzed and discussed. The statistical software Mini-tab is used to establish the design and to obtain the regression equations. The developed mathematical models are tested by analysis-of-variance (ANOVA method to check their appropriateness and suitability. Finally, a comparison is made between measured and calculated results, which are in good agreement. This indicates that the developed models can predict the responses accurately and precisely within the limits of cutting parameter being used.

  7. Prediction of material removal rate and surface roughness for wire electrical discharge machining of nickel using response surface methodology

    International Nuclear Information System (INIS)

    Chinnadurai, T.; Vendan, S.A.

    2016-01-01

    This study focuses on investigating the effects of process parameters, namely, Peak current (Ip), Pulse on time (Ton), Pulse off time (Toff), Water pressure (Wp), Wire feed rate (Wf), Wire tension (Wt), Servo voltage (Sv) and Servo feed setting (Sfs), on the Material Removal Rate (MRR) and Surface Roughness (SR) for Wire electrical discharge machining (Wire-EDM) of nickel using Taguchi method. Response Surface Methodology (RSM) is adopted to evolve mathematical relationships between the wire cutting process parameters and the output variables of the weld joint to determine the welding input parameters that lead to the desired optimal wire cutting quality. Besides, using response surface plots, the interaction effects of process parameters on the responses are analyzed and discussed. The statistical software Mini-tab is used to establish the design and to obtain the regression equations. The developed mathematical models are tested by analysis-of-variance (ANOVA) method to check their appropriateness and suitability. Finally, a comparison is made between measured and calculated results, which are in good agreement. This indicates that the developed models can predict the responses accurately and precisely within the limits of cutting parameter being used. (Author)

  8. Prediction of material removal rate and surface roughness for wire electrical discharge machining of nickel using response surface methodology

    Energy Technology Data Exchange (ETDEWEB)

    Chinnadurai, T.; Vendan, S.A.

    2016-07-01

    This study focuses on investigating the effects of process parameters, namely, Peak current (Ip), Pulse on time (Ton), Pulse off time (Toff), Water pressure (Wp), Wire feed rate (Wf), Wire tension (Wt), Servo voltage (Sv) and Servo feed setting (Sfs), on the Material Removal Rate (MRR) and Surface Roughness (SR) for Wire electrical discharge machining (Wire-EDM) of nickel using Taguchi method. Response Surface Methodology (RSM) is adopted to evolve mathematical relationships between the wire cutting process parameters and the output variables of the weld joint to determine the welding input parameters that lead to the desired optimal wire cutting quality. Besides, using response surface plots, the interaction effects of process parameters on the responses are analyzed and discussed. The statistical software Mini-tab is used to establish the design and to obtain the regression equations. The developed mathematical models are tested by analysis-of-variance (ANOVA) method to check their appropriateness and suitability. Finally, a comparison is made between measured and calculated results, which are in good agreement. This indicates that the developed models can predict the responses accurately and precisely within the limits of cutting parameter being used. (Author)

  9. Reduction of vortex induced forces and motion through surface roughness control

    Science.gov (United States)

    Bernitsas, Michael M; Raghavan, Kamaldev

    2014-04-01

    Roughness is added to the surface of a bluff body in a relative motion with respect to a fluid. The amount, size, and distribution of roughness on the body surface is controlled passively or actively to modify the flow around the body and subsequently the Vortex Induced Forces and Motion (VIFM). The added roughness, when designed and implemented appropriately, affects in a predetermined way the boundary layer, the separation of the boundary layer, the level of turbulence, the wake, the drag and lift forces, and consequently the Vortex Induced Motion (VIM), and the fluid-structure interaction. The goal of surface roughness control is to decrease/suppress Vortex Induced Forces and Motion. Suppression is required when fluid-structure interaction becomes destructive as in VIM of flexible cylinders or rigid cylinders on elastic support, such as underwater pipelines, marine risers, tubes in heat exchangers, nuclear fuel rods, cooling towers, SPAR offshore platforms.

  10. The influence of surface roughness and turbulence on heat fluxes from an oil palm plantation in Jambi, Indonesia

    Science.gov (United States)

    June, Tania; Meijide, Ana; Stiegler, Christian; Purba Kusuma, Alan; Knohl, Alexander

    2018-05-01

    Oil palm plantations are expanding vastly in Jambi, resulted in altered surface roughness and turbulence characteristics, which may influence exchange of heat and mass. Micrometeorological measurements above oil palm canopy were conducted for the period 2013–2015. The oil palms were 12.5 years old, canopy height 13 meters and 1.5 years old canopy height 2.5 m. We analyzed the influence of surface roughness and turbulence strenght on heat (sensible and latent) fluxes by investigating the profiles and gradient of wind speed, and temperature, surface roughness (roughness length, zo, and zero plane displacement, d), and friction velocity u*. Fluxes of heat were calculated using profile similarity methods taking into account atmospheric stability calculated using Richardson number Ri and the generalized stability factor ζ. We found that roughness parameters (zo, d, and u*) directly affect turbulence in oil palm canopy and hence heat fluxes; they are affected by canopy height, wind speed and atmospheric stability. There is a negative trend of d towards air temperature above the oil palm canopy, indicating the effect of plant volume and height in lowering air temperature. We propose studying the relation between zero plane displacement d with a remote sensing vegetation index for scaling up this point based analysis.

  11. Comparison of optimization techniques for MRR and surface roughness in wire EDM process for gear cutting

    Directory of Open Access Journals (Sweden)

    K.D. Mohapatra

    2016-11-01

    Full Text Available The objective of the present work is to use a suitable method that can optimize the process parameters like pulse on time (TON, pulse off time (TOFF, wire feed rate (WF, wire tension (WT and servo voltage (SV to attain the maximum value of MRR and minimum value of surface roughness during the production of a fine pitch spur gear made of copper. The spur gear has a pressure angle of 20⁰ and pitch circle diameter of 70 mm. The wire has a diameter of 0.25 mm and is made of brass. Experiments were conducted according to Taguchi’s orthogonal array concept with five factors and two levels. Thus, Taguchi quality loss design technique is used to optimize the output responses carried out from the experiments. Another optimization technique i.e. desirability with grey Taguchi technique has been used to optimize the process parameters. Both the optimized results are compared to find out the best combination of MRR and surface roughness. A confirmation test was carried out to identify the significant improvement in the machining performance in case of Taguchi quality loss. Finally, it was concluded that desirability with grey Taguchi technique produced a better result than the Taguchi quality loss technique in case of MRR and Taguchi quality loss gives a better result in case of surface roughness. The quality of the wire after the cutting operation has been presented in the scanning electron microscopy (SEM figure.

  12. Increased Surface Roughness in Polydimethylsiloxane Films by Physical and Chemical Methods

    Directory of Open Access Journals (Sweden)

    Jorge Nicolás Cabrera

    2017-08-01

    Full Text Available Two methods, the first physical and the other chemical, were investigated to modify the surface roughness of polydimethylsiloxane (PDMS films. The physical method consisted of dispersing multi-walled carbon nanotubes (MWCNTs and magnetic cobalt ferrites (CoFe2O4 prior to thermal cross-linking, and curing the composite system in the presence of a uniform magnetic field H. The chemical method was based on exposing the films to bromine vapours and then UV-irradiating. The characterizing techniques included scanning electron microscopy (SEM, energy-dispersive spectroscopy (EDS, Fourier transform infrared (FTIR spectroscopy, optical microscopy, atomic force microscopy (AFM and magnetic force microscopy (MFM. The surface roughness was quantitatively analyzed by AFM. In the physical method, the random dispersion of MWCNTs (1% w/w and magnetic nanoparticles (2% w/w generated a roughness increase of about 200% (with respect to PDMS films without any treatment, but that change was 400% for films cured in the presence of H perpendicular to the surface. SEM, AFM and MFM showed that the magnetic particles always remained attached to the carbon nanotubes, and the effect on the roughness was interpreted as being due to a rupture of dispersion randomness and a possible induction of structuring in the direction of H. In the chemical method, the increase in roughness was even greater (1000%. Wells were generated with surface areas that were close to 100 μm2 and depths of up to 500 nm. The observations of AFM images and FTIR spectra were in agreement with the hypothesis of etching by Br radicals generated by UV on the polymer chains. Both methods induced important changes in the surface roughness (the chemical method generated the greatest changes due to the formation of surface wells, which are of great importance in superficial technological processes.

  13. Surface roughness of sputtered ZnO films

    Energy Technology Data Exchange (ETDEWEB)

    Lin, Y S [Department of Materials Science and Engineering, National Dong Hwa University, 1, Sec. 2, Da Hsueh Rd. Shou-Feng, Hualien, Taiwan (China); Hsu, K C [Department of Photonics and Institute of Electro-Optical Engineering, National Chiao-Tung University, Hsinchu, Taiwan (China); Huang, Y M [Institute of Electronics Engineering, Southern Taiwan University of Technology, 1 Nan-Tai Street, Taiwan (China)

    2006-09-01

    ZnO films are grown on Si and glass substrates by radio-frequency (RF) magnetron sputtering. The crystalline structures are investigated by x-ray diffraction (XRD). Moreover, the roughness characteristics of the films are examined by atomic force microscopy (AFM) and field-emission scanning electron microscopy (FE-SEM). All films exhibit strong (002) preferential orientation. The influence of the RF power and target-to-substrate distance (D{sub ts}) on the properties of ZnO is studied. Under the optimized conditions of the RF power and D{sub ts}, root-mean-square (RMS) surface roughnesses of <0.8 nm are achieved.

  14. Surface roughness of sputtered ZnO films

    International Nuclear Information System (INIS)

    Lin, Y S; Hsu, K C; Huang, Y M

    2006-01-01

    ZnO films are grown on Si and glass substrates by radio-frequency (RF) magnetron sputtering. The crystalline structures are investigated by x-ray diffraction (XRD). Moreover, the roughness characteristics of the films are examined by atomic force microscopy (AFM) and field-emission scanning electron microscopy (FE-SEM). All films exhibit strong (002) preferential orientation. The influence of the RF power and target-to-substrate distance (D ts ) on the properties of ZnO is studied. Under the optimized conditions of the RF power and D ts , root-mean-square (RMS) surface roughnesses of <0.8 nm are achieved

  15. Anisotropic wetting characteristics versus roughness on machined surfaces of hydrophilic and hydrophobic materials

    International Nuclear Information System (INIS)

    Liang, Yande; Shu, Liming; Natsu, Wataru; He, Fuben

    2015-01-01

    Graphical abstract: - Highlights: • The aim is to investigate the influence of roughness on anisotropic wetting on machined surfaces. • The relationship between roughness and anisotropic wetting is modeled by thermodynamical analysis. • The effect of roughness on anisotropic wetting on hydrophilic materials is stronger than that on hydrophobic materials. • The energy barrier existing in the direction perpendicular to the lay is one of the main reasons for the anisotropic wetting. • The contact angle in the parallel direction is larger than that in the perpendicular direction. - Abstract: Anisotropic wetting of machined surfaces is widely applied in industries which can be greatly affected by roughness and solid's chemical properties. However, there has not been much work on it. A free-energy thermodynamic model is presented by analyzing geometry morphology of machined surfaces (2-D model surfaces), which demonstrates the influence of roughness on anisotropic wetting. It can be concluded that the energy barrier is one of the main reasons for the anisotropic wetting existing in the direction perpendicular to the lay. In addition, experiments in investigating anisotropic wetting, which was characterized by the static contact angle and droplet's distortion, were performed on machined surfaces with different roughness on hydrophilic and hydrophobic materials. The droplet's anisotropy found on machined surfaces increased with mean slope of roughness profile Kr. It indicates that roughness on anisotropic wetting on hydrophilic materials has a stronger effect than that on hydrophobic materials. Furthermore, the contact angles predicted by the model are basically consistent with the experimentally ones

  16. On the nature of surface roughness with application to contact mechanics, sealing, rubber friction and adhesion

    International Nuclear Information System (INIS)

    Persson, B N J; Albohr, O; Tartaglino, U; Volokitin, A I; Tosatti, E

    2005-01-01

    Surface roughness has a huge impact on many important phenomena. The most important property of rough surfaces is the surface roughness power spectrum C(q). We present surface roughness power spectra of many surfaces of practical importance, obtained from the surface height profile measured using optical methods and the atomic force microscope. We show how the power spectrum determines the contact area between two solids. We also present applications to sealing, rubber friction and adhesion for rough surfaces, where the power spectrum enters as an important input. (topical review)

  17. Empirical model for estimating the surface roughness of machined ...

    African Journals Online (AJOL)

    Empirical model for estimating the surface roughness of machined ... as well as surface finish is one of the most critical quality measure in mechanical products. ... various cutting speed have been developed using regression analysis software.

  18. Dynamics of wetting on smooth and rough surfaces.

    NARCIS (Netherlands)

    Cazabat, A.M.; Cohen Stuart, M.A.

    1987-01-01

    The rate of spreading of non-volatile liquids on smooth and on rough surfaces was investigated. The radius of the wetted spot was found to agree with recently proposed scaling laws (t 1/10 for capillarity driven andt 1/8 for gravity driven spreading) when the surface was smooth. However, the

  19. Effects of vacuum ultraviolet photons, ion energy and substrate temperature on line width roughness and RMS surface roughness of patterned 193 nm photoresist

    International Nuclear Information System (INIS)

    Titus, M J; Graves, D B; Yamaguchi, Y; Hudson, E A

    2011-01-01

    We present a comparison of patterned 193 nm photoresist (PR) line width roughness (LWR) of samples processed in a well characterized argon (Ar) inductively coupled plasma (ICP) system to RMS surface roughness and bulk chemical modification of blanket 193 nm PR samples used as control samples. In the ICP system, patterned and blanket PR samples are irradiated with Ar vacuum ultraviolet photons (VUV) and Ar ions while sample temperature, photon flux, ion flux and ion energy are controlled and measured. The resulting chemical modifications to bulk 193 nm PR (blanket) and surface roughness are analysed with Fourier transform infrared spectroscopy and atomic force microscopy (AFM). LWR of patterned samples are measured with scanning electron microscopy and blanket portions of the patterned PRs are measured with AFM. We demonstrate that with no RF-bias applied to the substrate the LWR of 193 nm PR tends to smooth and correlates with the smoothing of the RMS surface roughness. However, both LWR and RMS surface roughness increases with simultaneous high-energy (≥70 eV) ion bombardment and VUV-irradiation and is a function of exposure time. Both high- and low-frequency LWR correlate well with the RMS surface roughness of the patterned and blanket 193 nm PR samples. LWR, however, does not increase with temperatures ranging from 20 to 80 deg. C, in contrast to the RMS surface roughness which increases monotonically with temperature. It is unclear why LWR remains independent of temperature over this range. However, the fact that blanket roughness and LWR on patterned samples, both scale similarly with VUV fluence and ion energy suggests a similar mechanism is responsible for both types of surface morphology modifications.

  20. Effects of vacuum ultraviolet photons, ion energy and substrate temperature on line width roughness and RMS surface roughness of patterned 193 nm photoresist

    Energy Technology Data Exchange (ETDEWEB)

    Titus, M J; Graves, D B [Department of Chemical Engineering, University of California, Berkeley, CA 94720 (United States); Yamaguchi, Y; Hudson, E A, E-mail: graves@berkeley.edu [Lam Research Corporation, 4400 Cushing Parkway, Freemont, CA 94538 (United States)

    2011-03-02

    We present a comparison of patterned 193 nm photoresist (PR) line width roughness (LWR) of samples processed in a well characterized argon (Ar) inductively coupled plasma (ICP) system to RMS surface roughness and bulk chemical modification of blanket 193 nm PR samples used as control samples. In the ICP system, patterned and blanket PR samples are irradiated with Ar vacuum ultraviolet photons (VUV) and Ar ions while sample temperature, photon flux, ion flux and ion energy are controlled and measured. The resulting chemical modifications to bulk 193 nm PR (blanket) and surface roughness are analysed with Fourier transform infrared spectroscopy and atomic force microscopy (AFM). LWR of patterned samples are measured with scanning electron microscopy and blanket portions of the patterned PRs are measured with AFM. We demonstrate that with no RF-bias applied to the substrate the LWR of 193 nm PR tends to smooth and correlates with the smoothing of the RMS surface roughness. However, both LWR and RMS surface roughness increases with simultaneous high-energy ({>=}70 eV) ion bombardment and VUV-irradiation and is a function of exposure time. Both high- and low-frequency LWR correlate well with the RMS surface roughness of the patterned and blanket 193 nm PR samples. LWR, however, does not increase with temperatures ranging from 20 to 80 deg. C, in contrast to the RMS surface roughness which increases monotonically with temperature. It is unclear why LWR remains independent of temperature over this range. However, the fact that blanket roughness and LWR on patterned samples, both scale similarly with VUV fluence and ion energy suggests a similar mechanism is responsible for both types of surface morphology modifications.

  1. Relations between soil surface roughness, tortuosity, tillage treatments, rainfall intensity and soil and water losses from a red yellow latosol

    Directory of Open Access Journals (Sweden)

    Julieta Bramorski

    2012-08-01

    Full Text Available The soil surface roughness increases water retention and infiltration, reduces the runoff volume and speed and influences soil losses by water erosion. Similarly to other parameters, soil roughness is affected by the tillage system and rainfall volume. Based on these assumptions, the main purpose of this study was to evaluate the effect of tillage treatments on soil surface roughness (RR and tortuosity (T and to investigate the relationship with soil and water losses in a series of simulated rainfall events. The field study was carried out at the experimental station of EMBRAPA Southeastern Cattle Research Center in São Carlos (Fazenda Canchim, in São Paulo State, Brazil. Experimental plots of 33 m² were treated with two tillage practices in three replications, consisting of: untilled (no-tillage soil (NTS and conventionally tilled (plowing plus double disking soil (CTS. Three successive simulated rain tests were applied in 24 h intervals. The three tests consisted of a first rain of 30 mm/h, a second of 30 mm/h and a third rain of 70 mm/h. Immediately after tilling and each rain simulation test, the surface roughness was measured, using a laser profile meter. The tillage treatments induced significant changes in soil surface roughness and tortuosity, demonstrating the importance of the tillage system for the physical surface conditions, favoring water retention and infiltration in the soil. The increase in surface roughness by the tillage treatments was considerably greater than its reduction by rain action. The surface roughness and tortuosity had more influence on the soil volume lost by surface runoff than in the conventional treatment. Possibly, other variables influenced soil and water losses from the no-tillage treatments, e.g., soil type, declivity, slope length, among others not analyzed in this study.

  2. Effect of whitening dentifrices on the surface roughness of commercial composites.

    Science.gov (United States)

    Barbieri, Guilherme Machado; Mota, Eduardo Gonçalves; Rodrigues-Junior, Sinval Adalberto; Burnett, Luiz Henrique

    2011-10-01

    Our study aimed to test the null hypothesis that whitening and non-whitening dentifrices affect similarly the surface roughness of commercial microhybrid composites, independent of the brushing time. One hundred and ninety-two disc-shaped specimens of Filtek Z250 (3 M/ESPE, St. Paul, MN, USA) and Rok (SDI, Australia) were built up and randomly assigned to 24 groups, based on the dentifrices used (two whitening dentifrices: Colgate Max White-Colgate-Palmolive, São Bernardo do Campo, São Paulo, Brazil and Close Up Extra Whitening-Unilever, Brasil Higiene Pessoal e Limpeza Ltda, Ipojuca, Pernambuco, Brazil; and one non-whitening dentifrice: Colgate Total 12 Clean Mint-Colgate-Palmolive), and on the simulated brushing times (24 hours, 6, 12 and 24 months). The specimens were submitted to the toothbrushing regimens after which the surface roughness (Ra) was measured. Data was submitted to analysis of variance and Tukey test (α=0.05). The composite's surface roughness was significantly affected by the composites (p=0.0007), the dentifrices (p=0.0001), and the simulated brushing time (p=0.0001). Higher roughness was observed when the whitening dentifrices were used and when the brushing time increased. Filtek Z250 was more affected than Rok, especially after 24 months of simulated brushing. Whitening dentifrices produced higher surface roughness in the composites tested. The degree of surface compromising increased with brushing time and depends on the composite's microstructure and composition. © 2011 Wiley Periodicals, Inc.

  3. Influence of Gloss and Surface Roughness of Coated Ink Jet Papers on Print Uniformity

    Directory of Open Access Journals (Sweden)

    Ivana Jurič

    2013-12-01

    Full Text Available The final print quality depends on the quality of the digital image as well as the properties of the printing system, the inks and the paper used. One of the most widely used digital printing technologies is ink jet, where ink is ejected directly onto a substrate from a jet device driven by an electronic signal. Most ink jet inks have low viscosity and low surface tension, which pose high demands upon the surface properties of the paper. The aim of this study was to investigate the influence of paper properties of commercially available papers suitable for ink jet printing on print mottle, non-uniformity. We used two high glossy, one glossy, one semi-glossy and two matte papers. For the assessment of the surface properties, we measured surface roughness with the portable Roughness Tester TR 200. We also measured surface gloss with QIP Glossmaster. To characterise the print mottle we used the image analysis method – Gray level co-occurrence matrix (GLCM. Print mottle was estimated according to five GLCM parameters: Contrast, Correlation, Entropy, Energy and Homogeneity. Results obtained in this paper showed that the surface properties of paper are not in any direct relation with print uniformity.

  4. Finite Element Simulation of Shot Peening: Prediction of Residual Stresses and Surface Roughness

    Science.gov (United States)

    Gariépy, Alexandre; Perron, Claude; Bocher, Philippe; Lévesque, Martin

    Shot peening is a surface treatment that consists of bombarding a ductile surface with numerous small and hard particles. Each impact creates localized plastic strains that permanently stretch the surface. Since the underlying material constrains this stretching, compressive residual stresses are generated near the surface. This process is commonly used in the automotive and aerospace industries to improve fatigue life. Finite element analyses can be used to predict residual stress profiles and surface roughness created by shot peening. This study investigates further the parameters and capabilities of a random impact model by evaluating the representative volume element and the calculated stress distribution. Using an isotropic-kinematic hardening constitutive law to describe the behaviour of AA2024-T351 aluminium alloy, promising results were achieved in terms of residual stresses.

  5. Surface roughness influences on the behaviour of flow inside microchannels

    Science.gov (United States)

    Farias, M. H.; Castro, C. S.; Garcia, D. A.; Henrique, J. S.

    2018-03-01

    This work discusses influence of the surface roughness on the behavior of liquids flowing inside microchannels. By measuring the flow profile using the micro-PIV technique, the flow of water inside two rectangular microchannels of different wall roughness and in a circular smooth microchannel was studied. Comparisons were made among the experimental results, showing that a metrological approach concerning surface characteristics of microdevices is required to ensure reliability of the measurements for flow analyses in microfluidic processes.

  6. Surface roughness of novel resin composites polished with one-step systems.

    Science.gov (United States)

    Ergücü, Z; Türkün, L S

    2007-01-01

    This study: 1) analyzed the surface roughness of five novel resin composites that contain nanoparticles after polishing with three different one-step systems and 2) evaluated the effectiveness of these polishers and their possible surface damage using scanning electron microscope (SEM) analysis. The resin composites evaluated in this study include CeramX, Filtek Supreme XT, Grandio, Premise and Tetric EvoCeram. A total of 100 discs (20/resin composites, 10 x 2 mm) were fabricated. Five specimens/resin composites cured under Mylar strips served as the control. The samples were polished for 30 seconds with PoGo, OptraPol and One Gloss discs at 15,000 rpm using a slow speed handpiece. The surfaces were tested for roughness (Ra) with a surface roughness tester and examined with SEM. One-way ANOVA was used for statistical analysis (p = 0.05). For all the composites tested, differences between the polishing systems were found to be significant (p One Gloss applications. For Grandio, Mylar and PoGo created equally smooth surfaces, while OptraPol and One Gloss produced equally rougher surfaces. Tetric EvoCeram exhibited the roughest surface with OptraPol, while no significant differences were found between Premise and CeramX. According to SEM images, OptraPol and One Gloss scratched and plucked the particles away from the surface, while PoGo created a uniform finish, although the roughness values were not the same for each composite. Effectiveness of the polishers seems to be material dependent.

  7. Compact terahertz spectrometer based on disordered rough surfaces

    Science.gov (United States)

    Yang, Tao; Jiang, Bing; Ge, Jia-cheng; Zhu, Yong-yuan; Li, Xing-ao; Huang, Wei

    2018-01-01

    In this paper, a compact spectrometer based on disordered rough surfaces for operation in the terahertz band is presented. The proposed spectrometer consists of three components, which are used for dispersion, modulation and detection respectively. The disordered rough surfaces, which are acted as the dispersion component, are modulated by the modulation component. Different scattering intensities are captured by the detection component with different extent of modulation. With a calibration measurement process, one can reconstruct the spectra of the probe terahertz beam by solving a system of simultaneous linear equations. A Tikhonov regularization approach has been implemented to improve the accuracy of the spectral reconstruction. The reported broadband, compact, high-resolution terahertz spectrometer is well suited for portable terahertz spectroscopy applications.

  8. Asperity interaction in adhesive contact of metallic rough surfaces

    International Nuclear Information System (INIS)

    Sahoo, Prasanta; Banerjee, Atanu

    2005-01-01

    The analysis of adhesive contact of metallic rough surfaces considering the effect of asperity interaction is the subject of this investigation. The micro-contact model of asperity interactions developed by Zhao and Chang (2001 Trans. ASME: J. Tribol. 123 857-64) is combined with the elastic plastic adhesive contact model developed by Chang et al (1988 Trans. ASME: J. Tribol. 110 50-6) to consider the asperity interaction and elastic-plastic deformation in the presence of surface forces simultaneously. The well-established elastic adhesion index and plasticity index are used to consider the different contact conditions. Results show that asperity interaction influences the load-separation behaviour in elastic-plastic adhesive contact of metallic rough surfaces significantly and, in general, adhesion is reduced due to asperity interactions

  9. Models for Surface Roughness Scattering of Electrons in a 2DEG

    International Nuclear Information System (INIS)

    Yarar, Z.

    2004-01-01

    In this work surface roughness scattering of electrons in a two dimensional electron gas (2DEG) formed at heterojunction interfaces is investigated for different auto-correlation tions and potential forms. Gaussian, exponentiaI and lorentsian auto-correlation tions are used to represent surface roughness. Both an infinitely deep triangular potential model and the potential that is found from the numerical solution of Poisson Shrodinger equations self consistently are used as the potential that holds 2DEG at the hetero Interface. Using the wave functions appropriate for the potentials just mentioned and the auto-correlation functions indicated above, the scattering rates due to surface roughness are calculated. The calculations were repeated when the effect of screening is also included for the case of triangular potential

  10. Surface roughness of microparticulated and nanoparticulated composites after finishing and polishing procedures

    Directory of Open Access Journals (Sweden)

    Rosemary Arai Sadami Shinkai

    Full Text Available Objective: To evaluated the surface roughness of one microparticulate resin composite Durafill (Heraeus Kulzer Weihrheim, Germany andfour nanoparticulate resins 4 Seasons (Ivoclar Vivadent, Schaan, Liechtenstein Esthet x (Dentsply, Milford, DE, USA, Point 4 and Supreme (3M-ESPE, Dental Products,St. Paul, MN, USA. Methods: After finishing with a diamond bur point (F, and polishing with silicone points of gray, green and pink color Politipit (Ivoclar Vivadent,Schaan, Liechtenstein, four stages of completion were performed, simulating one of finishing and three of polishing a resin restoration. Ten samples of each composite resin were measured for surface roughness with surface profilometer (Mitutoyo Corporation, Tokyo, Japan after each of finishing and polishing sequence.Results: The results showed that nanoparticulate and microparticulate resins presented a significant difference in the surface roughness values, in all finishing and polishing steps. Conclusion: Of the the nanoparticulate resins 4 Seasons (Ivoclar Vivadent, Schaan, Liechtenstein, Point 4 (Kerr CO, Orange, CA, USA, and also microparticulate Durafill (Heraeus Kulzer Weihrheim, Germany presented significantly lower surface roughness values after completing all the finishing and polishing stages.

  11. Effect of prophylactic polishing protocols on the surface roughness of esthetic restorative materials.

    Science.gov (United States)

    Neme, A L; Frazier, K B; Roeder, L B; Debner, T L

    2002-01-01

    Many polishing protocols have been evaluated in vitro for their effect on the surface roughness of restorative materials. These results have been useful in establishing protocols for in vivo application. However, limited research has focused on the subsequent care and maintenance of esthetic restorations following their placement. This investigation evaluated the effect of five polishing protocols that could be implemented at recall on the surface roughness of five direct esthetic restorative materials. Specimens (n=25) measuring 8 mm diameter x 3 mm thick were fabricated in an acrylic mold using five light-cured resin-based materials (hybrid composite, microfilled composite, packable composite, compomer and resin-modified glass ionomer). After photopolymerization, all specimens were polished with Sof-Lex Disks to produce an initial (baseline) surface finish. All specimens were then polished with one of five prophylactic protocols (Butler medium paste, Butler coarse paste, OneGloss, SuperBuff or OneGloss & SuperBuff). The average surface roughness of each treated specimen was determined from three measurements with a profilometer (Surface 1). Next, all specimens were brushed 60,000 times at 1.5 Hz using a brush-head force of 2 N on a Manly V-8 cross-brushing machine in a 50:50 (w/w) slurry of toothpaste and water. The surface roughness of each specimen was measured after brushing (Surface 2) followed by re-polishing with one of five protocols, then final surface roughness values were determined (Surface 3). The data were analyzed using repeated measures ANOVA. Significant differences (p=0.05) in surface roughness were observed among restorative materials and polishing protocols. The microfilled and hybrid resin composite yielded significantly rougher surfaces than the other three materials following tooth brushing. Prophylactic polishing protocols can be used to restore a smooth surface on resin-based esthetic restorative materials following simulated tooth

  12. Functional levels of floor surface roughness for the prevention of slips and falls: clean-and-dry and soapsuds-covered wet surfaces.

    Science.gov (United States)

    Kim, In-Ju; Hsiao, Hongwei; Simeonov, Peter

    2013-01-01

    Literature has shown a general trend that slip resistance performance improves with floor surface roughness. However, whether slip resistance properties are linearly correlated with surface topographies of the floors or what roughness levels are required for effective slip resistance performance still remain to be answered. This pilot study aimed to investigate slip resistance properties and identify functional levels of floor surface roughness for practical design applications in reducing the risk of slip and fall incidents. A theory model was proposed to characterize functional levels of surface roughness of floor surfaces by introducing a new concept of three distinctive zones. A series of dynamic friction tests were conducted using 3 shoes and 9 floor specimens under clean-and-dry as well as soapsuds-covered slippery wet environments. The results showed that all the tested floor-shoe combinations provided sufficient slip resistances performance under the clean-and-dry condition. A significant effect of floor type (surface roughness) on dynamic friction coefficient (DFC) was found in the soapsuds-covered wet condition. As compared to the surface roughness effects, the shoe-type effects were relatively small. Under the soapsuds-covered wet condition, floors with 50 μm in Ra roughness scale seemed to represent an upper bound in the functional range of floor surface roughness for slip resistance because further increase of surface roughness provided no additional benefit. A lower bound of the functional range for slip resistance under the soapsuds-covered wet condition was estimated from the requirement of DFC > 0.4 at Ra ≅ 17 μm. Findings from this study may have potential safety implications in the floor surface design for reducing slip and fall hazards. Published by Elsevier Ltd.

  13. The influence of surface roughness and solution concentration on pool boiling process in Diethanolamine aqueous solution

    Science.gov (United States)

    Khoshechin, Mohsen; Salimi, Farhad; Jahangiri, Alireza

    2018-04-01

    roughness A heated surface area d departure ONB onset of nucleate boiling w surface wall s saturation v vapor l liquid θ groove angle (o) γ influence parameter of heating surface material σ surface tension, N/m.

  14. Atmospheric stability analysis over statically and dynamically rough surfaces

    Science.gov (United States)

    Maric, Emina; Metzger, Meredith; Singha, Arindam; Sadr, Reza

    2011-11-01

    The ratio of buoyancy flux to turbulent kinetic energy production in the atmospheric surface layer is investigated experimentally for air flow over two types of surfaces characterized by static and dynamic roughness. In this study, ``static'' refers to the time-invariant nature of naturally-occurring roughness over a mud/salt playa; while, ``dynamic'' refers to the behavior of water waves along an air-water interface. In both cases, time-resolved measurements of the momentum and heat fluxes were acquired from synchronized 3D sonic anemometers mounted on a vertical tower. Field campaigns were conducted at two sites, representing the ``statically'' and ``dynamically'' rough surfaces, respectively: (1) the SLTEST facility in Utah's western desert, and (2) the new Doha airport in Qatar under construction along the coast of the Persian Gulf. Note, at site 2, anemometers were located directly above the water by extension from a tower secured to the end of a 1 km-long pier. Comparisons of the Monin-Obukhov length, flux Richardson number, and gradient Richardson number are presented, and discussed in the context of the observed evolution of the turbulent spectra in response to diurnal variations of atmospheric stability. Supported by the Qatar National Research Fund.

  15. Predictive Surface Roughness Model for End Milling of Machinable Glass Ceramic

    Energy Technology Data Exchange (ETDEWEB)

    Reddy, M Mohan; Gorin, Alexander [School of Engineering and Science, Curtin University of Technology, Sarawak (Malaysia); Abou-El-Hossein, K A, E-mail: mohan.m@curtin.edu.my [Mechanical and Aeronautical Department, Nelson Mandela Metropolitan University, Port Elegebeth, 6031 (South Africa)

    2011-02-15

    Advanced ceramics of Machinable glass ceramic is attractive material to produce high accuracy miniaturized components for many applications in various industries such as aerospace, electronics, biomedical, automotive and environmental communications due to their wear resistance, high hardness, high compressive strength, good corrosion resistance and excellent high temperature properties. Many research works have been conducted in the last few years to investigate the performance of different machining operations when processing various advanced ceramics. Micro end-milling is one of the machining methods to meet the demand of micro parts. Selecting proper machining parameters are important to obtain good surface finish during machining of Machinable glass ceramic. Therefore, this paper describes the development of predictive model for the surface roughness of Machinable glass ceramic in terms of speed, feed rate by using micro end-milling operation.

  16. Predictive Surface Roughness Model for End Milling of Machinable Glass Ceramic

    International Nuclear Information System (INIS)

    Reddy, M Mohan; Gorin, Alexander; Abou-El-Hossein, K A

    2011-01-01

    Advanced ceramics of Machinable glass ceramic is attractive material to produce high accuracy miniaturized components for many applications in various industries such as aerospace, electronics, biomedical, automotive and environmental communications due to their wear resistance, high hardness, high compressive strength, good corrosion resistance and excellent high temperature properties. Many research works have been conducted in the last few years to investigate the performance of different machining operations when processing various advanced ceramics. Micro end-milling is one of the machining methods to meet the demand of micro parts. Selecting proper machining parameters are important to obtain good surface finish during machining of Machinable glass ceramic. Therefore, this paper describes the development of predictive model for the surface roughness of Machinable glass ceramic in terms of speed, feed rate by using micro end-milling operation.

  17. Measuring adhesion on rough surfaces using atomic force microscopy with a liquid probe

    Directory of Open Access Journals (Sweden)

    Juan V. Escobar

    2017-04-01

    Full Text Available We present a procedure to perform and interpret pull-off force measurements during the jump-off-contact process between a liquid drop and rough surfaces using a conventional atomic force microscope. In this method, a micrometric liquid mercury drop is attached to an AFM tipless cantilever to measure the force required to pull this drop off a rough surface. We test the method with two surfaces: a square array of nanometer-sized peaks commonly used for the determination of AFM tip sharpness and a multi-scaled rough diamond surface containing sub-micrometer protrusions. Measurements are carried out in a nitrogen atmosphere to avoid water capillary interactions. We obtain information about the average force of adhesion between a single peak or protrusion and the liquid drop. This procedure could provide useful microscopic information to improve our understanding of wetting phenomena on rough surfaces.

  18. Estimating small-scale roughness of a rock joint using TLS data

    Science.gov (United States)

    Bitenc, Maja; Kieffer, D. Scott; Khoshelham, Kourosh

    2016-04-01

    Roughness of a rock joint is an important parameter influencing rock mass stability. Besides the surface amplitude, also the roughness direction- and scale-dependency should be observed (i.e. 3D roughness). Up to now most of roughness measurements and parameters rely on point or profile data obtained on small samples, mostly in a laboratory. State-of-the-art remote sensing technologies supply 3D measurements of an in-situ rock surface and therefore enable a 3D roughness parameterization. Detailed morphology of a remote large-scale vertical structure can be best observed by Terrestrial Laser Scanning (TLS). In a short time and from distances of a few hundred meters, TLS provides relatively dense and precise point cloud. Sturzenegger and Stead [2009] showed that the TLS technology and careful fieldwork allow the extraction of first-order roughness profiles, i.e. the surface irregularities with a wavelength greater than about 10 cm. Our goal is to find the lower limit; this is, to define the smallest discernible detail, and appropriate measuring and processing steps to extract this detail from the TLS data. The smallest observable roughness amplitude depends on the TLS data precision, which is limited mostly by an inherent range error (noise). An influence of the TLS noise on the rock joint roughness was analyzed using highly precise reference data acquired by Advanced TOpometric Sensor (ATOS) on a 20x30 cm rock joint sample. ATOS data were interpolated into 1 mm grid, to which five levels (0.5, 1, 1.5, 2, 2.5 mm) of normally distributed noise were added. The 3D surfaces entered direction-dependent roughness parameter computation after Grasselli [2001]. Average roughness of noisy surfaces logarithmically increase with the noise level and is already doubled for 1 mm noise. Performing Monte Carlo simulation roughness parameter noise sensitivity was investigated. Distribution of roughness differences (roughness of noisy surfaces minus roughness of reference ATOS surface

  19. Effect of the cut off frequency on rough point and flat surface contacts

    International Nuclear Information System (INIS)

    Meng, Fan Ming

    2012-01-01

    In the past years, contact between two bodies has been studied from various ways that do not consider the cut off frequency effect on the contact mechanism. This paper reports the correlation between rough point contact and flat surface contact at different cut off frequencies of filter. The similarity and difference between the two types of contact mechanisms are presented for materials with linear or elastic perfectly plastic deformation. The conjugate gradient method (CGM) is used for analysing the rough point contact, while the rough flat surface contact is studied with an improved CGM in which the influence coefficient for the elastic deformation of the rough flat surface is obtained with finite element method. Numerical results show that for the above two types of contacts, their von Mises stress and maximum shear stress are greatly affected by the cut-off frequency of a high pass filter. Moreover, a decrease in the cut-off frequency leads to an increase in the contact area and a decrease in the approach for the rough flat surface contact, while the opposite variations is for the point contact between rough bodies with the small radii

  20. Accelerated aging effects on surface hardness and roughness of lingual retainer adhesives.

    Science.gov (United States)

    Ramoglu, Sabri Ilhan; Usumez, Serdar; Buyukyilmaz, Tamer

    2008-01-01

    To test the null hypothesis that accelerated aging has no effect on the surface microhardness and roughness of two light-cured lingual retainer adhesives. Ten samples of light-cured materials, Transbond Lingual Retainer (3M Unitek) and Light Cure Retainer (Reliance) were cured with a halogen light for 40 seconds. Vickers hardness and surface roughness were measured before and after accelerated aging of 300 hours in a weathering tester. Differences between mean values were analyzed for statistical significance using a t-test. The level of statistical significance was set at P statistically significant (P statistically significant (P .05). Accelerated aging significantly increased the surface microhardness of both light-cured retainer adhesives tested. It also significantly increased the surface roughness of the Transbond Lingual Retainer.

  1. Effect of surface roughness on substrate-tuned gold nanoparticle gap plasmon resonances.

    Science.gov (United States)

    Lumdee, Chatdanai; Yun, Binfeng; Kik, Pieter G

    2015-03-07

    The effect of nanoscale surface roughness on the gap plasmon resonance of gold nanoparticles on thermally evaporated gold films is investigated experimentally and numerically. Single-particle scattering spectra obtained from 80 nm diameter gold particles on a gold film show significant particle-to-particle variation of the peak scattering wavelength of ±28 nm. The experimental results are compared with numerical simulations of gold nanoparticles positioned on representative rough gold surfaces, modeled based on atomic force microscopy measurements. The predicted spectral variation and average resonance wavelength show good agreement with the measured data. The study shows that nanometer scale surface roughness can significantly affect the performance of gap plasmon-based devices.

  2. Studies of non-contact methods for roughness measurements on wood surfaces

    International Nuclear Information System (INIS)

    Lundberg, I.A.S.; Porankiewicz, B.

    1995-01-01

    The quality of wood surfaces after different kinds of machining processes is a property of great importance for the wood processing industries. Present work is a study, whose objective was to evaluate different non-contact methods, for measurement of the quality of the wood surfaces by correlating them with stylus tracing. A number of Scots Pine samples were prepared by different kinds of wood machining processing. Surface roughness measurements were performed, utilizing two optical noncontact methods. The results indicate that the laser scan method can measure surface roughness on sawn wood with a sufficient degree of accuracy. (author) [de

  3. Quality factor due to roughness scattering of shear horizontal surface acoustic waves in nanoresonators

    NARCIS (Netherlands)

    Palasantzas, G.

    2008-01-01

    In this work we study the quality factor associated with dissipation due to scattering of shear horizontal surface acoustic waves by random self-affine roughness. It is shown that the quality factor is strongly influenced by both the surface roughness exponent H and the roughness amplitude w to

  4. Purity and surface roughness of vacuum deposited aluminium films

    Energy Technology Data Exchange (ETDEWEB)

    Dhere, N G; Arsenio, T P [Instituto Militar de Engenharia, Rio de Janeiro (Brazil); Patnaik, B K [Pontificia Universidade Catolica do Rio de Janeiro (Brazil). Instituto de Fisica; Assuncao, F C.R.; de Souza, A M [Pontificia Universidade Catolica do Rio de Janeiro (Brazil). Departamento de Ciencia dos Materiais e Metalurgia

    1975-04-01

    The authors studied the purity, surface roughness and grain size of vacuum-deposited aluminium films, using an intermetallic crucible and a continuous feed of pure aluminium wire. The grain size and roughness were studied by electron difraction, X-ray diffraction and the scanning electron microscope. Purity was determined by X-ray fluorescence produced by proton bombardment in the Van de Graaff accelerator and by X-ray and optical emission spectrometry.

  5. Impact of roughness, wettability and hydrodynamic conditions on the incrustation on stainless steel surfaces

    International Nuclear Information System (INIS)

    Bogacz, Wojciech; Lemanowicz, Marcin; Al-Rashed, Mohsen H.; Nakonieczny, Damian; Piotrowski, Tomasz; Wójcik, Janusz

    2017-01-01

    Highlights: • Steel plates (X5CrNi18-10) with different roughness and wettability were prepared. • Incrustation of MgSO 4 ·7H 2 O under laminar flow (Re = 59–178) was investigated. • Influence of surface properties and fluid velocity on incrustation was found. • Wettability and surface roughness cannot be considered separately. • Analysis of heat transfer and incrustation time-lapse videos are presented. - Abstract: The goal of this work was to investigate the influence of the stainless steel surface roughness and wettability on incrustation of MgSO 4 ·7H 2 O from aqueous solutions and resulting heat transfer resistance. The experiments were done for laminar flow (Re = 59–178) which is characteristic for regions of apparatus where fouling usually begin. A series of steel plates (X5CrNi18-10) were prepared and used as a heat transfer surfaces. Their properties, i.e. roughness, wettability and elementary composition of surfaces were determined. The experiments were done using specially designed flow cell equipped with Peltier element. Each incrustation measurement lasted for two hours, during which heat transfer resistance was measured as a function of time. After the experiments the mass of crystalline deposit was weighted. It was proved that wettability as well as surface roughness cannot be considered separately in the case of incrustation phenomenon. The knowledge of surface roughness is insufficient due to the fact, that it is possible to obtain surfaces with similar roughness but substantially different wettability for the same material.

  6. Influence of roughness on capillary forces between hydrophilic surfaces

    NARCIS (Netherlands)

    van Zwol, P. J.; Palasantzas, G.; De Hosson, J. Th. M.

    Capillary forces have been measured by atomic force microscopy in the plate-sphere setup between gold, borosilicate glass, GeSbTe, titanium, and UV-irradiated amorphous titanium-dioxide surfaces. The force measurements were performed as a function contact time and surface roughness in the range

  7. Noncontact Surface Roughness Estimation Using 2D Complex Wavelet Enhanced ResNet for Intelligent Evaluation of Milled Metal Surface Quality

    Directory of Open Access Journals (Sweden)

    Weifang Sun

    2018-03-01

    Full Text Available Machined surfaces are rough from a microscopic perspective no matter how finely they are finished. Surface roughness is an important factor to consider during production quality control. Using modern techniques, surface roughness measurements are beneficial for improving machining quality. With optical imaging of machined surfaces as input, a convolutional neural network (CNN can be utilized as an effective way to characterize hierarchical features without prior knowledge. In this paper, a novel method based on CNN is proposed for making intelligent surface roughness identifications. The technical scheme incorporates there elements: texture skew correction, image filtering, and intelligent neural network learning. Firstly, a texture skew correction algorithm, based on an improved Sobel operator and Hough transform, is applied such that surface texture directions can be adjusted. Secondly, two-dimensional (2D dual tree complex wavelet transform (DTCWT is employed to retrieve surface topology information, which is more effective for feature classifications. In addition, residual network (ResNet is utilized to ensure automatic recognition of the filtered texture features. The proposed method has verified its feasibility as well as its effectiveness in actual surface roughness estimation experiments using the material of spheroidal graphite cast iron 500-7 in an agricultural machinery manufacturing company. Testing results demonstrate the proposed method has achieved high-precision surface roughness estimation.

  8. The effect of various dentifrices on surface roughness and gloss of resin composites.

    Science.gov (United States)

    da Costa, Juliana; Adams-Belusko, Anne; Riley, Kelly; Ferracane, Jack L

    2010-01-01

    The purpose of this study was to evaluate the effect of different levels of abrasiveness (RDA) of dentifrices on the gloss and surface roughness of resin composites after toothbrushing. Sixty disk-shaped composite specimens (D=10.0mm, 2-mm thick, n=15 per material) were made of: microfill (Durafill), nanofill (Filtek Supreme), minifill hybrid (Filtek 250), and nanohybrid (Premise). One side of each specimen was finished with a carbide bur and polished with Enhance and Pogo. Five specimens of each composite were randomly assigned to one of the dentifrices, Colgate Total (CT; RDA 70), Colgate baking soda & peroxide whitening (CBS; RDA 145), and Colgate tartar control & whitening (CTW; RDA 200). Surface gloss was measured with a glossmeter and surface roughness with a profilometer before and after toothbrushing with a 1:2 slurry (dentifrice/deionised water) at 5760 strokes in a brushing machine (approximately 1Hz). Results were analyzed by three-way ANOVA/Tukey's (pgloss and increase in surface roughness after brushing with all dentifrices. There was no significant difference in gloss when Durafill was brushed with any dentifrice; the other composites showed less gloss reduction when brushed with CT. Durafill, Supreme and Premise did not show significantly different surface roughness results and CBS and CTW did not produce significantly different results. Dentifrices of lower abrasivity promote less reduction in gloss and surface roughness for composites of different particle sizes after brushing. Composites containing smaller average fillers showed less reduction in gloss and less increase in surface roughness than ones with larger fillers. Published by Elsevier Ltd.

  9. Nanoindentation and surface roughness profilometry of poly methyl methacrylate denture base materials.

    Science.gov (United States)

    Zafar, Muhammad Sohail; Ahmed, Naseer

    2014-01-01

    Polymers have a wide range of applications in dentistry. Poly methyl methacrylate (PMMA) is the most popular for making orthodontic retainers, dentures as well as synthetic teeth. Prior to clinical applications, the appliances are polished in the dental laboratory to achieve smooth, polished and comfortable surfaces. The objective of this study was to analyze the surface roughness profiles of PMMA dentures polished using two different approaches. In addition, the effects of ultrasonication and sandblasting were also evaluated on the fitting surface of PMMA dentures. This was an in vitro study using non-contact mode surface roughness profilometer and nano-indenter. Samples were polished using two different techniques (Standard and modified). Both cold cure and heat cure PMMA denture surfaces were evaluated for roughness, nanohardness and elastic modulus. The absolute hardness was recorded 297.72±19.04 MPa and 229.93±18.53 MPa for heat cured PMMA and cold cured PMMA. Manufactured acrylic teeth were harder (319.20±12.58 MPa) with an elastic modulus of (4.34±1.86 GPa). Modified polishing techniques (group 3) produced smoother surface. It was concluded that elastic moduli of acrylic tooth and heat cure PMMA is not very different. Surface treatments such as ultrasonication or sandblasting do not affect the roughness profiles of denture fitting surfaces.

  10. Effect of sealer coating and storage methods on the surface roughness of soft liners.

    Science.gov (United States)

    Usta Kutlu, Ilknur; Yanikoğlu, Nuran Dinckal; Kul, Esra; Duymuş, Zeynep Yesïl; Sağsöz, Nurdan Polat

    2016-03-01

    A soft lining is applied under a removable prosthesis for various reasons. The porosity of the lining material may increase colonization by microorganisms and cause tissue inflammation. The purpose of this in vitro study was to evaluate the effect of sealer coating on the surface roughness of soft lining materials under 4 different conditions. A total of 125 specimens were prepared. One high-temperature silicone-based soft lining material and 2 room-temperature-polymerized soft lining materials (1 silicone-based and 1 methacrylate-based) were used. Twenty-five specimens of each room-temperature soft lining material were coated with 2 layers of surface sealer. Additionally, 5 specimens of each material were stored in either distilled water, Coca-Cola, denture cleanser, saliva, or air. The surface roughness was measured at baseline and after 1, 7, 14, and 28 days. Surface roughness values were analyzed with repeated measures analysis of variance, and the Bonferroni multiple comparison test was performed using time-dependent groups and storage methods. In the time-dependent groups, methacrylate-based sealer-coated soft liners exhibited a significant increase in roughness (1.74-2.09 μm, P.05). Therefore, the sealer coating was not effective in reducing surface roughness. Among the time-dependent storage methods, the denture cleanser exhibited an almost significant increase in roughness (1.83-1.99 μm, P=.054). Coca-Cola and artificial saliva did not show a significant difference (P>.05). However, a significant decrease in roughness was found with distilled water (P=.02) and air (P<.001). Statistically significant differences in surface roughness were found among the different types of soft liners. The sealer coating had no significant effect, and denture cleanser slightly increased the surface roughness. Contrary to expectations, the roughness did not increase in all groups over time. Copyright © 2016 Editorial Council for the Journal of Prosthetic Dentistry

  11. Effect of surface roughness scattering on the transport properties of a 2DEG

    International Nuclear Information System (INIS)

    Yarar, Z.

    2004-01-01

    In this work surface roughness scattering of electrons in a two dimensional electron gas (2DEG) formed at heterojunction interfaces is investigated for various auto-correlation functions. Gaussian, exponential and Lorentzian auto-correlation functions are used to represent surface roughness. Poisson and Schrodinger equations are solved self consistently at the hetero interface to find the energy levels, the wave functions corresponding to each level and electron concentrations at each level. Using these wave functions and the auto-correlation functions mentioned above, the scattering rates due to surface roughness are calculated. Scattering rates resulting from acoustic and optical phonons are also calculated. These rates are used to study the transport properties of the two dimensional electrons using ensemble Monte Carlo method at various temperatures. Emphasis is given to the effect of surface roughness scattering on the transport properties of the electrons

  12. Rainfall runoff and erosion in Napa Valley vineyards: effects of slope, cover and surface roughness

    Science.gov (United States)

    Battany, M. C.; Grismer, M. E.

    2000-05-01

    The effects of slope, cover and surface roughness on rainfall runoff, infiltration and erosion were determined at two sites on a hillside vineyard in Napa County, California, using a portable rainfall simulator. Rainfall simulation experiments were carried out at two sites, with five replications of three slope treatments (5%, 10% and 15%) in a randomized block design at each site (0%bsol;64 m2 plots). Prior to initiation of the rainfall simulations, detailed assessments, not considered in previous vineyard studies, of soil slope, cover and surface roughness were conducted. Significant correlations (at the 95% confidence level) between the physical characteristics of slope, cover and surface roughness, with total infiltration, runoff, sediment discharge and average sediment concentration were obtained. The extent of soil cracking, a physical characteristic not directly measured, also affected analysis of the rainfall-runoff-erosion process. Average cumulative runoff and cumulative sediment discharge from site A was 87% and 242% greater, respectively, than at site B. This difference was linked to the greater cover, extent of soil cracking and bulk density at site B than at site A. The extent of soil cover was the dominant factor limiting soil loss when soil cracking was not present. Field slopes within the range of 4-16%, although a statistically significant factor affecting soil losses, had only a minor impact on the amount of soil loss. The Horton infiltration equation fit field data better than the modified Philip's equation. Owing to the variability in the treatment parameters affecting the rainfall-runoff-erosion process, use of ANOVA methods were found to be inappropriate; multiple-factor regression analysis was more useful for identifying significant parameters. Overall, we obtained similar values for soil erosion parameters as those obtained from vineyard erosion studies in Europe. In addition, it appears that results from the small plot studies may be

  13. Effect of surface roughness on ultrasonic echo amplitude in aluminium-copper alloy castings

    International Nuclear Information System (INIS)

    Ambardar, R.; Pathak, S.D.; Prabhakar, O.; Jayakumar, T.

    1996-01-01

    In the present investigation, the influence of test surface roughness on ultrasonic back-wall echo (BWE) amplitude in Al-4.5%Cu alloy cast specimens has been studied. The results indicate that as the value of surface roughness of the specimen increases, the value of relating BWE amplitude at a given probe frequency decreases. However, under the present set of experimental conditions, the decrease in BWE amplitude with the increase in surface roughness of the test specimen is found to be appreciable at 10 MHz probe frequency. (author)

  14. Application of the Taguchi technique for the optimization of surface roughness and tool life during the milling of Hastelloy C22

    International Nuclear Information System (INIS)

    Kivak, Turgay; Mert, Senol

    2017-01-01

    In this study, the effects of machining parameters on surface roughness (Ra) and tool life (Tl) were investigated in the milling of Hastelloy C22 alloy with TiAlN-coated carbide inserts. A number of milling experiments were conducted using the L_2_7 (3"3) Taguchi orthogonal array on a CNC milling machine under different cutting conditions (dry, compressed air and wet). The cutting condition, cutting speed and feed rate were determined as the essential machining parameters. Analysis of variance (ANOVA) and signal-to-noise (S/N) ratio were employed to evaluate the effects of the machining parameters on Ra and Tl, and prediction models were created using quadratic regression analyses. The results revealed that the feed rate and cutting condition were the most influential factors on surface roughness and flank wear. The maximum tool life was achieved under wet cutting condition using a cutting speed of 30 x min"-"1 and a feed rate of 0.08 mm x rev"-"1, while the minimum surface roughness value was obtained under wet cutting condition using a cutting speed of 50 m x min"-"1 and the same feed rate. Using the optimum cutting parameters for Tl (30 m x min"-"1, 0.08 mm x rev"-"1), increases of 234 % and 67 % in tool life were observed under wet and compressed air cutting conditions, respectively, compared to the dry cutting condition.

  15. Application of the Taguchi technique for the optimization of surface roughness and tool life during the milling of Hastelloy C22

    Energy Technology Data Exchange (ETDEWEB)

    Kivak, Turgay; Mert, Senol [Duezce Univ. (Turkey). Dept. of Manufacturing Engineering

    2017-02-01

    In this study, the effects of machining parameters on surface roughness (Ra) and tool life (Tl) were investigated in the milling of Hastelloy C22 alloy with TiAlN-coated carbide inserts. A number of milling experiments were conducted using the L{sub 27} (3{sup 3}) Taguchi orthogonal array on a CNC milling machine under different cutting conditions (dry, compressed air and wet). The cutting condition, cutting speed and feed rate were determined as the essential machining parameters. Analysis of variance (ANOVA) and signal-to-noise (S/N) ratio were employed to evaluate the effects of the machining parameters on Ra and Tl, and prediction models were created using quadratic regression analyses. The results revealed that the feed rate and cutting condition were the most influential factors on surface roughness and flank wear. The maximum tool life was achieved under wet cutting condition using a cutting speed of 30 x min{sup -1} and a feed rate of 0.08 mm x rev{sup -1}, while the minimum surface roughness value was obtained under wet cutting condition using a cutting speed of 50 m x min{sup -1} and the same feed rate. Using the optimum cutting parameters for Tl (30 m x min{sup -1}, 0.08 mm x rev{sup -1}), increases of 234 % and 67 % in tool life were observed under wet and compressed air cutting conditions, respectively, compared to the dry cutting condition.

  16. Fatigue Life Estimation of Medium-Carbon Steel with Different Surface Roughness

    Directory of Open Access Journals (Sweden)

    Changyou Li

    2017-03-01

    Full Text Available Medium-carbon steel is commonly used for the rail, wire ropes, tire cord, cold heading, forging steels, cold finished steel bars, machinable steel and so on. Its fatigue behavior analysis and fatigue life estimation play an important role in the machinery industry. In this paper, the estimation of fatigue life of medium-carbon steel with different surface roughness using established S-N and P-S-N curves is presented. To estimate the fatigue life, the effect of the average surface roughness on the fatigue life of medium-carbon steel has been investigated using 75 fatigue tests in three groups with average surface roughness (Ra: 0.4 μm, 0.8 μm, and 1.6 μm, respectively. S-N curves and P-S-N curves have been established based on the fatigue tests. The fatigue life of medium-carbon steel is then estimated based on Tanaka-Mura crack initiation life model, the crack propagation life model using Paris law, and material constants of the S-N curves. Six more fatigue tests have been conducted to validate the presented fatigue life estimation formulation. The experimental results have shown that the presented model could estimate well the mean fatigue life of medium-carbon steel with different surface roughness.

  17. Effect finishing and polishing procedures on the surface roughness of IPS Empress 2 ceramic.

    Science.gov (United States)

    Boaventura, Juliana Maria Capelozza; Nishida, Rodrigo; Elossais, André Afif; Lima, Darlon Martins; Reis, José Mauricio Santos Nunes; Campos, Edson Alves; de Andrade, Marcelo Ferrarezi

    2013-01-01

    To evaluate the surface roughness of IPS Empress 2 ceramic when treated with different finishing/polishing protocols. Sixteen specimens of IPS Empress 2 ceramic were made from wax patterns obtained using a stainless steel split mold. The specimens were glazed (Stage 0-S0, control) and divided into two groups. The specimens in Group 1 (G1) were finished/polished with a KG Sorensen diamond point (S1), followed by KG Sorensen siliconized points (S2) and final polishing with diamond polish paste (S3). In Group 2 (G2), the specimens were finished/polished using a Shofu diamond point (S1), as well as Shofu siliconized points (S2) and final polishing was performed using Porcelize paste (S3). After glazing (S0) and following each polishing procedure (S1, S2 or S3), the surface roughness was measured using TALYSURF Series 2. The average surface roughness results were analyzed using ANOVA followed by Tukey post-hoc tests (α = 0.01) RESULTS: All of the polishing procedures yielded higher surface roughness values when compared to the control group (S0). S3 yielded lower surface roughness values when compared to S1 and S2. The proposed treatments negatively affected the surface roughness of the glazed IPS Empress 2 ceramic.

  18. Rough surface scattering simulations using graphics cards

    International Nuclear Information System (INIS)

    Klapetek, Petr; Valtr, Miroslav; Poruba, Ales; Necas, David; Ohlidal, Miloslav

    2010-01-01

    In this article we present results of rough surface scattering calculations using a graphical processing unit implementation of the Finite Difference in Time Domain algorithm. Numerical results are compared to real measurements and computational performance is compared to computer processor implementation of the same algorithm. As a basis for computations, atomic force microscope measurements of surface morphology are used. It is shown that the graphical processing unit capabilities can be used to speedup presented computationally demanding algorithms without loss of precision.

  19. Effect of surface roughness and Reynolds number on compressor cascade performance

    International Nuclear Information System (INIS)

    Back, Seung Chul; Song, Seung Jin

    2009-01-01

    An experimental work has been conducted in a linear compressor cascade to find out the effect of surface roughness and Reynolds number. Surveys were conducted with different roughness size and Reynolds number. The k s /c value of each roughness is 0.0006, 0.0090, 0.00150, 0.00213, and 0.00425. The range of Reynolds number is 300,000∼600,000 and conducted with roughened blade, which roughness Ra is 2.89 microns. Flow pressure, velocity, and angle have been found out via 5 hole probe. Pressure loss and deviation increased with increasing roughness. In the low Reynolds number under 500,000, tested roughness does not affect to the performance of compressor cascade. However, roughness is very sensitive to pressure loss in high Reynolds number over 550,000.

  20. Laser assisted fabrication of random rough surfaces for optoelectronics

    Energy Technology Data Exchange (ETDEWEB)

    Brissonneau, V., E-mail: vincent.brissonneau@im2np.fr [Thales Optronique SA, Avenue Gay-Lussac, 78995 Elancourt (France); Institut Materiaux Microelectronique Nanosciences de Provence, Aix Marseille Universite, Avenue Escadrille Normandie Niemen, 13397 Marseille (France); Escoubas, L. [Institut Materiaux Microelectronique Nanosciences de Provence, Aix Marseille Universite, Avenue Escadrille Normandie Niemen, 13397 Marseille (France); Flory, F. [Institut Materiaux Microelectronique Nanosciences de Provence, Ecole Centrale Marseille, Marseille (France); Berginc, G. [Thales Optronique SA, Avenue Gay-Lussac, 78995 Elancourt (France); Maire, G.; Giovannini, H. [Institut Fresnel, Aix Marseille Universite, Avenue Escadrille Normandie Niemen, 13397 Marseille (France)

    2012-09-15

    Highlights: Black-Right-Pointing-Pointer Random rough surfaces are photofabricated using an argon ion laser. Black-Right-Pointing-Pointer Speckle and surface correlation function are linked. Black-Right-Pointing-Pointer Exposure beam is modified allowing tuning the correlation. Black-Right-Pointing-Pointer Theoretical examples are presented. Black-Right-Pointing-Pointer Experimental results are compared with theoretical expectation. - Abstract: Optical surface structuring shows great interest for antireflective or scattering properties. Generally, fabricated surface structures are periodical but random surfaces that offer new degrees of freedom and possibilities by the control of their statistical properties. We propose an experimental method to create random rough surfaces on silicon by laser processing followed by etching. A photoresist is spin coated onto a silicon substrate and then exposed to the scattering of a modified laser beam. The beam modification is performed by using a micromirror matrix allowing laser beam shaping. An example of tuning is presented. An image composed of two white circles with a black background is displayed and the theoretical shape of the correlation is calculated. Experimental surfaces are elaborated and the correlation function calculated from height mapping. We finally compared the experimental and theoretical correlation functions.

  1. Effect of surface roughness and surface modification of indium tin oxide electrode on its potential response to tryptophan

    International Nuclear Information System (INIS)

    Khan, Md. Zaved Hossain; Nakanishi, Takuya; Kuroiwa, Shigeki; Hoshi, Yoichi; Osaka, Tetsuya

    2011-01-01

    Highlights: → We examine factors affecting potential response of ITO electrode to tryptophan. → Surface roughness of ITO electrode affects the stability of its rest potential. → Surface modification is effective for ITO electrode with a certain roughness. → Optimum values of work function exist for potential response of ITO to tryptophan. - Abstract: The effect of surface modification of indium tin oxide (ITO) electrode on its potential response to tryptophan was investigated for ITO substrates with different surface roughness. It was found that a small difference in surface roughness, between ∼1 and ∼2 nm of R a evaluated by atomic force microscopy, affects the rest potential of ITO electrode in the electrolyte. A slight difference in In:Sn ratio at the near surface of the ITO substrates, measured by angle-resolved X-ray photoelectron spectrometry and Auger electron spectroscopy is remarkable, and considered to relate with surface roughness. Interestingly, successive modification of the ITO surface with aminopropylsilane and disuccinimidyl suberate, of which essentiality to the potential response to indole compounds we previously reported, improved the stability of the rest potential and enabled the electrodes to respond to tryptophan in case of specimens with R a values ranging between ∼2 and ∼3 nm but not for those with R a of ∼1 nm. It was suggested that there are optimum values of effective work function of ITO for specific potential response to tryptophan, which can be obtained by the successive modification of ITO surface.

  2. Roughness characterization of EUV multilayer coatings and ultra-smooth surfaces by light scattering

    Science.gov (United States)

    Trost, M.; Schröder, S.; Lin, C. C.; Duparré, A.; Tünnermann, A.

    2012-09-01

    Optical components for the extreme ultraviolet (EUV) face stringent requirements for surface finish, because even small amounts of surface and interface roughness can cause significant scattering losses and impair image quality. In this paper, we investigate the roughness evolution of Mo/Si multilayers by analyzing the scattering behavior at a wavelength of 13.5 nm as well as taking atomic force microscopy (AFM) measurements before and after coating. Furthermore, a new approach to measure substrate roughness is presented, which is based on light scattering measurements at 405 nm. The high robustness and sensitivity to roughness of this method are illustrated using an EUV mask blank with a highspatial frequency roughness of as low as 0.04 nm.

  3. The Backscattering Phase Function for a Sphere with a Two-Scale Relief of Rough Surface

    Science.gov (United States)

    Klass, E. V.

    2017-12-01

    The backscattering of light from spherical surfaces characterized by one and two-scale roughness reliefs has been investigated. The analysis is performed using the three-dimensional Monte-Carlo program POKS-RG (geometrical-optics approximation), which makes it possible to take into account the roughness of objects under study by introducing local geometries of different levels. The geometric module of the program is aimed at describing objects by equations of second-order surfaces. One-scale roughness is set as an ensemble of geometric figures (convex or concave halves of ellipsoids or cones). The two-scale roughness is modeled by convex halves of ellipsoids, with surface containing ellipsoidal pores. It is shown that a spherical surface with one-scale convex inhomogeneities has a flatter backscattering phase function than a surface with concave inhomogeneities (pores). For a sphere with two-scale roughness, the dependence of the backscattering intensity is found to be determined mostly by the lower-level inhomogeneities. The influence of roughness on the dependence of the backscattering from different spatial regions of spherical surface is analyzed.

  4. Influence of the atomic force microscope tip on the multifractal analysis of rough surfaces

    International Nuclear Information System (INIS)

    Klapetek, Petr; Ohlidal, Ivan; Bilek, Jindrich

    2004-01-01

    In this paper, the influence of atomic force microscope tip on the multifractal analysis of rough surfaces is discussed. This analysis is based on two methods, i.e. on the correlation function method and the wavelet transform modulus maxima method. The principles of both methods are briefly described. Both methods are applied to simulated rough surfaces (simulation is performed by the spectral synthesis method). It is shown that the finite dimensions of the microscope tip misrepresent the values of the quantities expressing the multifractal analysis of rough surfaces within both the methods. Thus, it was concretely shown that the influence of the finite dimensions of the microscope tip changed mono-fractal properties of simulated rough surface to multifractal ones. Further, it is shown that a surface reconstruction method developed for removing the negative influence of the microscope tip does not improve the results obtained in a substantial way. The theoretical procedures concerning both the methods, i.e. the correlation function method and the wavelet transform modulus maxima method, are illustrated for the multifractal analysis of randomly rough gallium arsenide surfaces prepared by means of the thermal oxidation of smooth gallium arsenide surfaces and subsequent dissolution of the oxide films

  5. A wind tunnel study of flows over idealised urban surfaces with roughness sublayer corrections

    Science.gov (United States)

    Ho, Yat-Kiu; Liu, Chun-Ho

    2017-10-01

    Dynamics in the roughness (RSLs) and inertial (ISLs) sublayers in the turbulent boundary layers (TBLs) over idealised urban surfaces are investigated analytically and experimentally. In this paper, we derive an analytical solution to the mean velocity profile, which is a continuous function applicable to both RSL and ISL, over rough surfaces in isothermal conditions. Afterwards, a modified mixing-length model for RSL/ISL transport is developed that elucidates how surface roughness affects the turbulence motions. A series of wind tunnel experiments are conducted to measure the vertical profiles of mean and fluctuating velocities, together with momentum flux over various configurations of surface-mounted ribs in cross flows using hot-wire anemometry (HWA). The analytical solution agrees well with the wind tunnel result that improves the estimate to mean velocity profile over urban surfaces and TBL dynamics as well. The thicknesses of RSL and ISL are calculated by monitoring the convergence/divergence between the temporally averaged and spatio-temporally averaged profiles of momentum flux. It is found that the height of RSL/ISL interface is a function of surface roughness. Examining the direct, physical influence of roughness elements on near-surface RSL flows reveals that the TBL flows over rough surfaces exhibit turbulence motions of two different length scales which are functions of the RSL and ISL structure. Conclusively, given a TBL, the rougher the surface, the higher is the RSL intruding upward that would thinner the ISL up to 50 %. Therefore, the conventional ISL log-law approximation to TBL flows over urban surfaces should be applied with caution.

  6. Dry deposition on smooth and rough urban surfaces

    International Nuclear Information System (INIS)

    Roed, J.

    1987-01-01

    Following the Chernobyl accident, dry deposition velocities on smooth surfaces indoors and outdoors have been measured in Denmark. Internal wall surfaces gave deposition velocities of 0.0008-0.0009 cm/s for 131I and 0.0001-0.0002 cm/s for 134Cs and 103Ru. Internal floor surfaces gave higher values for the deposition velocities: for 131I, 0.002 cm/s and for 134Cs and 103Ru, 0.0005-0.0013 cm/s. The deposition velocities on vertical and horizontal external surfaces were nearly equal. Those for 131I were found as 0.02-0.03 cm/s and for 137Cs as 0.001-0.002 cm/s. On external rough surfaces such as grass and corrugated roof material the deposition velocities for 134Cs and 103Ru were 0.03-0.05 cm/s. For iodine, however, deposition velocities were higher for clipped grass (2 cm/s) than for roof material (0.2-0.4 cm/s). The results show that internal deposition velocities are considerably lower than those on external smooth surfaces, and that the deposition velocities on rough surfaces are an order of magnitude higher than on smooth surfaces. It was also shown that the deposition velocities of iodine are considerably higher than those of cesium and ruthenium. This work was supported by EEC Radiation Protection Programme No B16-107-DK and by NKA, The Nordic Liaison Committee for Atomic Energy. (author)

  7. Effect of surface roughness on the Goos-Hänchen shift

    Science.gov (United States)

    Tahmasebi, Z.; Amiri, M.

    2016-04-01

    By considering an optically denser medium with a flat surface, but with natural roughness instead of abstract geometrical boundary, which leads to mathematical discontinuity on the boundary of two adjacent stratified media, we have thus established the importance of considering physical surfaces; and thus we studied the Goos-Hänchen (GH) effect by ray-optics description to shed light on parts of this effect that have remained ambiguous. We replaced the very thin region of surface roughness by a continuous inhomogeneous intermediary medium. Applying Fermat's principle for the incident light ray, few fundamental questions about GH shift are more convincingly addressed, which are in excellent agreement, even with the most details of the experimental results.

  8. Effect of surface roughness on performance of magnetoelastic biosensors for the detection of Escherichia coli

    International Nuclear Information System (INIS)

    Possan, A.L.; Menti, C.; Beltrami, M.; Santos, A.D.; Roesch-Ely, M.; Missell, F.P.

    2016-01-01

    Escherichia coli are bacteria that must be controlled in the food industry and the hospital sector. Magnetoelastic biosensors offer the promise of rapid identification of these and other harmful antigens. In this work, strips of amorphous Metglas 2826MB3 were cut to size (5 mm × 1 mm) with a microdicing saw and were then coated with thin layers of Cr and Au, as verified by Rutherford backscattering spectroscopy (RBS). Several sensor surfaces were studied: 1) as-cast strip, wheel side; 2) as-cast strip, free surface; and 3) thinned and polished surface. A layer of cystamine was applied to the Au-covered magnetoelastic substrate, forming a self-assembled monolayer (SAM), followed by antibodies, using a modified Hermanson protocol. The cystamine layer growth was verified by Fourier transform infrared spectroscopy (FTIR) and scanning electron microscopy (SEM). The biosensors were exposed to solutions of bacteria and the resonant frequency of the sensors was measured with an impedance analyzer for times up to 100 min. Reductions in the resonant frequency, corresponding to bacteria capture, were measured after optimizing the signal amplitude. For times up to 40 min, high capture rates were observed and thereafter saturation occurred. Saturation values of the frequency shifts were compared with the number of bacteria observed on the sensor using fluorescence microscopy. Parameters associated with capture kinetics were studied for different sensor surfaces. The rough surfaces were found to show a faster response, while the thinned and polished sensors showed the largest frequency shift. - Highlights: • Magnetoelastic biosensors to capture Escherichia coli were produced. • Surface roughness of biosensors was varied in the range R a = 0.3–0.52 μm. • Rough surfaces show faster response, polished surfaces have larger frequency shift.

  9. Effect of surface roughness on performance of magnetoelastic biosensors for the detection of Escherichia coli

    Energy Technology Data Exchange (ETDEWEB)

    Possan, A.L. [Centro de Ciências Exatas e Tecnologia, Universidade de Caxias do Sul, Caxias do Sul, RS (Brazil); Menti, C. [Instituto de Biotecnologia, Universidade de Caxias do Sul, Caxias do Sul, RS (Brazil); Beltrami, M. [Centro de Ciências Exatas e Tecnologia, Universidade de Caxias do Sul, Caxias do Sul, RS (Brazil); Santos, A.D. [Instituto de Física, Universidade de São Paulo, São Paulo, SP (Brazil); Roesch-Ely, M. [Instituto de Biotecnologia, Universidade de Caxias do Sul, Caxias do Sul, RS (Brazil); Missell, F.P., E-mail: fmissell@yahoo.com [Centro de Ciências Exatas e Tecnologia, Universidade de Caxias do Sul, Caxias do Sul, RS (Brazil)

    2016-01-01

    Escherichia coli are bacteria that must be controlled in the food industry and the hospital sector. Magnetoelastic biosensors offer the promise of rapid identification of these and other harmful antigens. In this work, strips of amorphous Metglas 2826MB3 were cut to size (5 mm × 1 mm) with a microdicing saw and were then coated with thin layers of Cr and Au, as verified by Rutherford backscattering spectroscopy (RBS). Several sensor surfaces were studied: 1) as-cast strip, wheel side; 2) as-cast strip, free surface; and 3) thinned and polished surface. A layer of cystamine was applied to the Au-covered magnetoelastic substrate, forming a self-assembled monolayer (SAM), followed by antibodies, using a modified Hermanson protocol. The cystamine layer growth was verified by Fourier transform infrared spectroscopy (FTIR) and scanning electron microscopy (SEM). The biosensors were exposed to solutions of bacteria and the resonant frequency of the sensors was measured with an impedance analyzer for times up to 100 min. Reductions in the resonant frequency, corresponding to bacteria capture, were measured after optimizing the signal amplitude. For times up to 40 min, high capture rates were observed and thereafter saturation occurred. Saturation values of the frequency shifts were compared with the number of bacteria observed on the sensor using fluorescence microscopy. Parameters associated with capture kinetics were studied for different sensor surfaces. The rough surfaces were found to show a faster response, while the thinned and polished sensors showed the largest frequency shift. - Highlights: • Magnetoelastic biosensors to capture Escherichia coli were produced. • Surface roughness of biosensors was varied in the range R{sub a} = 0.3–0.52 μm. • Rough surfaces show faster response, polished surfaces have larger frequency shift.

  10. Interference between magnetism and surface roughness in coherent soft X-ray scattering

    International Nuclear Information System (INIS)

    Rahmim, A.; Tixier, S.; Tiedje, T.; Eisebitt, S.; Lorgen, M.; Scherer, R.; Eberhardt, W.; Luning, J.; Scholl, A.

    2002-01-01

    In coherent soft x-ray scattering from magnetically ordered surfaces there are contributions to the scattering from the magnetic domains, from the surface roughness, and from the diffraction associated with the pinhole aperture used as a coherence filter. In the present work, we explore the interplay between these contributions by analyzing speckle patterns in diffusely scattered x rays from the surface of magnetic thin films. Magnetic contrast from the surface of anti ferro magnetically ordered LaFeO3 films is caused by magnetic linear dichroism in resonant x-ray scattering. The samples studied possess two types of domains with their magnetic orientations perpendicular to each other. By tuning the x-ray energy from one of the two Fe-L3 resonant absorption peaks to the other, the relative amplitudes of the x-ray scattering from the two domains is inverted which results in speckle pattern changes. A theoretical expression is derived for the intensity correlation between the speckle patterns with the magnetic contrast inverted and not inverted. The model is found to be in good agreement with the x-ray-scattering observations and independent measurements of the surface roughness. An analytical expression for the correlation function gives an explicit relation between the change in the speckle pattern and the roughness, and magnetic and aperture scattering. Changes in the speckle pattern are shown to arise from beating of magnetic scattering with the roughness scattering and diffraction from the aperture. The largest effect is found when the surface roughness scatter is comparable in intensity to the magnetic scatter

  11. Change of Surface Roughness and Planetary Boundary Layer

    DEFF Research Database (Denmark)

    Jensen, Niels Otto

    1978-01-01

    The ratio between upstream and far downstream surface friction velocities relative to a change in surface roughness is given on the basis of results from surface Rossby number similarity theory. By simple theories for the internal boundary layer, which are found to compare quite well with recent...... numerical results from higher-order closure models, it is found that, even at a downwind distance such that the internal boundary layer has grown to the full height of the planetary boundary layers, the surface stress still considerably exceeds the equilibrium value...

  12. Track sensitivity and the surface roughness measurements of CR-39 with atomic force microscope

    CERN Document Server

    Yasuda, N; Amemiya, K; Takahashi, H; Kyan, A; Ogura, K

    1999-01-01

    Atomic Force Microscope (AFM) has been applied to evaluate the surface roughness and the track sensitivity of CR-39 track detector. We experimentally confirmed the inverse correlation between the track sensitivity and the roughness of the detector surface after etching. The surface of CR-39 (CR-39 doped with antioxidant (HARZLAS (TD-1)) and copolymer of CR-39/NIPAAm (TNF-1)) with high sensitivity becomes rough by the etching, while the pure CR-39 (BARYOTRAK) with low sensitivity keeps its original surface clarity even for the long etching.

  13. Estimation of the solubility parameters of model plant surfaces and agrochemicals: a valuable tool for understanding plant surface interactions.

    Science.gov (United States)

    Khayet, Mohamed; Fernández, Victoria

    2012-11-14

    Most aerial plant parts are covered with a hydrophobic lipid-rich cuticle, which is the interface between the plant organs and the surrounding environment. Plant surfaces may have a high degree of hydrophobicity because of the combined effects of surface chemistry and roughness. The physical and chemical complexity of the plant cuticle limits the development of models that explain its internal structure and interactions with surface-applied agrochemicals. In this article we introduce a thermodynamic method for estimating the solubilities of model plant surface constituents and relating them to the effects of agrochemicals. Following the van Krevelen and Hoftyzer method, we calculated the solubility parameters of three model plant species and eight compounds that differ in hydrophobicity and polarity. In addition, intact tissues were examined by scanning electron microscopy and the surface free energy, polarity, solubility parameter and work of adhesion of each were calculated from contact angle measurements of three liquids with different polarities. By comparing the affinities between plant surface constituents and agrochemicals derived from (a) theoretical calculations and (b) contact angle measurements we were able to distinguish the physical effect of surface roughness from the effect of the chemical nature of the epicuticular waxes. A solubility parameter model for plant surfaces is proposed on the basis of an increasing gradient from the cuticular surface towards the underlying cell wall. The procedure enabled us to predict the interactions among agrochemicals, plant surfaces, and cuticular and cell wall components, and promises to be a useful tool for improving our understanding of biological surface interactions.

  14. High Reynolds number rough wall turbulent boundary layer experiments using Braille surfaces

    Science.gov (United States)

    Harris, Michael; Monty, Jason; Nova, Todd; Allen, James; Chong, Min

    2007-11-01

    This paper details smooth, transitional and fully rough turbulent boundary layer experiments in the New Mexico State high Reynolds number rough wall wind tunnel. The initial surface tested was generated with a Braille printer and consisted of an uniform array of Braille points. The average point height being 0.5mm, the spacing between the points in the span was 0.5mm and the surface consisted of span wise rows separated by 4mm. The wavelength to peak ratio was 8:1. The boundary layer thickness at the measurement location was 190mm giving a large separation of roughness height to layer thickness. The maximum friction velocity was uτ=1.5m/s at Rex=3.8 x10^7. Results for the skin friction co-efficient show that this surface follows a Nikuradse type inflectional curve and that Townsends outer layer similarity hypothesis is valid for rough wall flows with a large separation of scales. Mean flow and turbulence statistics will be presented.

  15. Recycling inflow method for simulations of spatially evolving turbulent boundary layers over rough surfaces

    Science.gov (United States)

    Yang, Xiang I. A.; Meneveau, Charles

    2016-01-01

    The technique by Lund et al. to generate turbulent inflow for simulations of developing boundary layers over smooth flat plates is extended to the case of surfaces with roughness elements. In the Lund et al. method, turbulent velocities on a sampling plane are rescaled and recycled back to the inlet as inflow boundary condition. To rescale mean and fluctuating velocities, appropriate length scales need be identified and for smooth surfaces, the viscous scale lν = ν/uτ (where ν is the kinematic viscosity and uτ is the friction velocity) is employed for the inner layer. Different from smooth surfaces, in rough wall boundary layers the length scale of the inner layer, i.e. the roughness sub-layer scale ld, must be determined by the geometric details of the surface roughness elements and the flow around them. In the proposed approach, it is determined by diagnosing dispersive stresses that quantify the spatial inhomogeneity caused by the roughness elements in the flow. The scale ld is used for rescaling in the inner layer, and the boundary layer thickness δ is used in the outer region. Both parts are then combined for recycling using a blending function. Unlike the blending function proposed by Lund et al. which transitions from the inner layer to the outer layer at approximately 0.2δ, here the location of blending is shifted upwards to enable simulations of very rough surfaces in which the roughness length may exceed the height of 0.2δ assumed in the traditional method. The extended rescaling-recycling method is tested in large eddy simulation of flow over surfaces with various types of roughness element shapes.

  16. A study on the surface roughness of a thin HSQ coating on a fine milled surface

    DEFF Research Database (Denmark)

    Mohaghegh, Kamran; Hansen, Hans Nørgaard; Pranov, Henrik

    2014-01-01

    The paper discusses a novel application of a thin layer coating on a metallic machined surface with particular attention to roughness of the coating compared to the original surface before coating. The coating is a nominally 1 μm film of Hydrogen Silsesquioxane (HSQ) which is commonly used in the...... in the semiconductor industry in the manufacture of integrated circuits. The work piece is a fine peripheral-milled tool steel surface which is widely used in industrial applications. Roughness improvement after the application of HSQ coating is reported....

  17. Influence of Sea Surface Roughness on the Electromagnetic Wave Propagation in the Duct Environment

    OpenAIRE

    Zhao, X.; Huang, S.

    2010-01-01

    This paper deals with a study of the influence of sea surface roughness on the electromagnetic wave propagation in the duct environment. The problem of electromagnetic wave propagation is modeled by using the parabolic equation method. The roughness of the sea surface is computed by modifying the smooth surface Fresnel reflection coefficient to account for the reduction in the specular reflection due to the roughness resulting from sea wind speed. The propagation model is solved by the mixed ...

  18. In situ surface roughness measurement using a laser scattering method

    Science.gov (United States)

    Tay, C. J.; Wang, S. H.; Quan, C.; Shang, H. M.

    2003-03-01

    In this paper, the design and development of an optical probe for in situ measurement of surface roughness are discussed. Based on this light scattering principle, the probe which consists of a laser diode, measuring lens and a linear photodiode array, is designed to capture the scattered light from a test surface with a relatively large scattering angle ϕ (=28°). This capability increases the measuring range and enhances repeatability of the results. The coaxial arrangement that incorporates a dual-laser beam and a constant compressed air stream renders the proposed system insensitive to movement or vibration of the test surface as well as surface conditions. Tests were conducted on workpieces which were mounted on a turning machine that operates with different cutting speeds. Test specimens which underwent different machining processes and of different surface finish were also studied. The results obtained demonstrate the feasibility of surface roughness measurement using the proposed method.

  19. Rough surface electrical contact resistance considering scale dependent properties and quantum effects

    International Nuclear Information System (INIS)

    Jackson, Robert L.; Crandall, Erika R.; Bozack, Michael J.

    2015-01-01

    The objective of this work is to evaluate the effect of scale dependent mechanical and electrical properties on electrical contact resistance (ECR) between rough surfaces. This work attempts to build on existing ECR models that neglect potentially important quantum- and size-dependent contact and electrical conduction mechanisms present due to the asperity sizes on typical surfaces. The electrical conductance at small scales can quantize or show a stepping trend as the contact area is varied in the range of the free electron Fermi wavelength squared. This work then evaluates if these effects remain important for the interface between rough surfaces, which may include many small scale contacts of varying sizes. The results suggest that these effects may be significant in some cases, while insignificant for others. It depends on the load and the multiscale structure of the surface roughness

  20. Multi-scale Analysis of Topographic Surface Roughness in the Midland Valley, Scotland

    OpenAIRE

    Grohmann, Carlos

    2017-01-01

    Surface roughness is an important geomorphological variable which has been used in the earth and planetary sciences to infer material properties, current/past processes and the time elapsed since formation. No single definition exists, however within the context of geomorphometry we use surface roughness as a expression of the variability of a topographic surface at a given scale, where the scale of analysis is determined by the size of the landforms or geomorphic features of interest. Six te...

  1. Optical measurement of surface roughness in manufacturing

    Energy Technology Data Exchange (ETDEWEB)

    Brodmann, R.

    1984-11-01

    The measuring system described here is based on the light-scattering method, and was developed by Optische Werke G. Rodenstock, Munich. It is especially useful for rapid non-contact monitoring of surface roughness in production-related areas. This paper outlines the differences between this system and the common stylus instrument, including descriptions of some applications in industry.

  2. Bistatic scattering from a three-dimensional object above a two-dimensional randomly rough surface modeled with the parallel FDTD approach.

    Science.gov (United States)

    Guo, L-X; Li, J; Zeng, H

    2009-11-01

    We present an investigation of the electromagnetic scattering from a three-dimensional (3-D) object above a two-dimensional (2-D) randomly rough surface. A Message Passing Interface-based parallel finite-difference time-domain (FDTD) approach is used, and the uniaxial perfectly matched layer (UPML) medium is adopted for truncation of the FDTD lattices, in which the finite-difference equations can be used for the total computation domain by properly choosing the uniaxial parameters. This makes the parallel FDTD algorithm easier to implement. The parallel performance with different number of processors is illustrated for one rough surface realization and shows that the computation time of our parallel FDTD algorithm is dramatically reduced relative to a single-processor implementation. Finally, the composite scattering coefficients versus scattered and azimuthal angle are presented and analyzed for different conditions, including the surface roughness, the dielectric constants, the polarization, and the size of the 3-D object.

  3. Effect of sealant agents on the color stability and surface roughness of nanohybrid composite resins.

    Science.gov (United States)

    Dede, Doğu Ömür; Şahin, Onur; Koroglu, Aysegül; Yilmaz, Burak

    2016-07-01

    The effect of sealant agents on the surface roughness and color stability of nanohybrid composite resins is unknown. The purpose of this in vitro study was to evaluate the effect of sealant agents on the surface roughness and color stability of 4 nanohybrid composite resin materials. Forty disks (10×2 mm) were fabricated for each nanohybrid composite resin material (Z-550, Tetric EvoCeram, Clearfill Majesty, Ice) (N=160) and divided into 4 surface treatment groups: 1 conventional polishing (control) and 3 different sealant agent (Palaseal, Optiglaze, BisCover) coupling groups (n=10). The specimens were thermocycled, and surface roughness (Ra) values were obtained with a profilometer. Scanning electron microscope images were also recorded. CIELab color parameters of each specimen were measured with a spectrophotometer before and after 7 days of storage in a coffee solution. Color differences were calculated by the CIEDE 2000 (ΔE00) formula. The data were statistically analyzed by 2-way ANOVA and by the Tukey HSD test (α=.05). The surface treatment technique significantly affected the Ra values of the composite resins tested (Pcomposite resin material was also significant for ΔE00 values (Pcomposite resin groups, significant decreases in Ra were observed only for the Palaseal agent coupled composite resin groups (except Ice) compared with the control groups (Pcomposite resin group, except for BisCover applied Clearfill Majesty (Pcomposite resin groups, significant differences were observed between the color change seen with BisCover and other sealants for Clearfill Majesty composite resin (Pcomposite resins except for Ice produced smoother surfaces. All surface sealant agents provided less discoloration of nanohybrid composite resins after coffee staining compared with conventional polishing except for BisCover applied Clearfill Majesty composite resin. Copyright © 2016 Editorial Council for the Journal of Prosthetic Dentistry. Published by Elsevier Inc. All

  4. Surface roughness effect on the metallic bipolar plates of a proton exchange membrane fuel cell

    International Nuclear Information System (INIS)

    Lin, Chien-Hung

    2013-01-01

    Highlights: ► Various degrees of roughness are caused by the sandblasting method. ► An improper surface modification depletes the PEMFC performance severely. ► The AC impedance are used to assess the fuel gas transfer effect. ► The Warburg resistance form in the coarse flow channel surface. - Abstract: Proton exchange membrane fuel cells (PEMFCs) is a promising candidate as energy systems. However, the stability and lifetime of cells are still important issues. The effect of surface roughness on metallic bipolar plate is discussed in this paper. Various roughness on the bulk surface are obtained by the sandblasting method. The grain sizes of sand are selected as 50, 100 and 200 μm. The Ac impedance experiment results show that the bipolar plate roughness and carbon paper porosity are well matched when the surface roughness is within 1–2 μm. Superior condition decreases the contact resistance loss in the fuel cell. The high frequency resistance of the coarse surface was larger than that of the substrate by around 5 mΩ. Furthermore, a new arc was formed at the low frequency region. Hence, the unmatch roughness condition of the bipolar plate significantly increases the contact resistance and mass transfer resistance. This paper develops a sequential approach to study an optimum surface roughness by combining the whole performance (I–V) curve and AC impedance result. It benefits us to quantify the contact and mass transfer resistance exists in the PEMFC. The proposed surface treatment improves the surface effect and promotes the implement of potential metallic bipolar plate in near future

  5. Bacterial Adhesion and Surface Roughness for Different Clinical Techniques for Acrylic Polymethyl Methacrylate

    Directory of Open Access Journals (Sweden)

    Lucas Costa de Medeiros Dantas

    2016-01-01

    Full Text Available This study sought to assess the effect of different surface finishing and polishing protocols on the surface roughness and bacterial adhesion (S. sanguinis to polymethyl methacrylates (PMMA. Fifty specimens were divided into 5 groups (n=10 according to their fabrication method and surface finishing protocol: LP (3 : 1 ratio and laboratory polishing, NF (Nealon technique and finishing, NP (Nealon technique and manual polishing, MF (3 : 1 ratio and manual finishing, and MP (3 : 1 ratio and manual polishing. For each group, five specimens were submitted to bacterial adhesion tests and analyzed by scanning electron microscopy (SEM. Two additional specimens were subjected to surface topography analysis by SEM and the remaining three specimens were subjected to surface roughness measurements. Data were compared by one-way ANOVA. The mean bacterial counts were as follows: NF, 19.6±3.05; MP, 5.36±2.08; NP, 4.96±1.93; MF, 7.36±2.45; and LP, 1.56±0.62 (CFU. The mean surface roughness values were as follows: NF, 3.23±0.15; MP, 0.52±0.05; NP, 0.60±0.08; MF, 2.69±0.12; and LP, 0.07±0.02 (μm. A reduction in the surface roughness was observed to be directly related to a decrease in bacterial adhesion. It was verified that the laboratory processing of PMMA might decrease the surface roughness and consequently the adhesion of S. sanguinis to this material.

  6. Experimental investigation and modelling of surface roughness and resultant cutting force in hard turning of AISI H13 Steel

    Science.gov (United States)

    Boy, M.; Yaşar, N.; Çiftçi, İ.

    2016-11-01

    In recent years, turning of hardened steels has replaced grinding for finishing operations. This process is compared to grinding operations; hard turning has higher material removal rates, the possibility of greater process flexibility, lower equipment costs, and shorter setup time. CBN or ceramic cutting tools are widely used hard part machining. For successful application of hard turning, selection of suitable cutting parameters for a given cutting tool is an important step. For this purpose, an experimental investigation was conducted to determine the effects of cutting tool edge geometry, feed rate and cutting speed on surface roughness and resultant cutting force in hard turning of AISI H13 steel with ceramic cutting tools. Machining experiments were conducted in a CNC lathe based on Taguchi experimental design (L16) in different levels of cutting parameters. In the experiments, a Kistler 9257 B, three cutting force components (Fc, Ff and Fr) piezoelectric dynamometer was used to measure cutting forces. Surface roughness measurements were performed by using a Mahrsurf PS1 device. For statistical analysis, analysis of variance has been performed and mathematical model have been developed for surface roughness and resultant cutting forces. The analysis of variance results showed that the cutting edge geometry, cutting speed and feed rate were the most significant factors on resultant cutting force while the cutting edge geometry and feed rate were the most significant factor for the surface roughness. The regression analysis was applied to predict the outcomes of the experiment. The predicted values and measured values were very close to each other. Afterwards a confirmation tests were performed to make a comparison between the predicted results and the measured results. According to the confirmation test results, measured values are within the 95% confidence interval.

  7. Nanoscale Electrical Potential and Roughness of a Calcium Phosphate Surface Promotes the Osteogenic Phenotype of Stromal Cells

    Directory of Open Access Journals (Sweden)

    Igor A. Khlusov

    2018-06-01

    Full Text Available Mesenchymal stem cells (MSCs and osteoblasts respond to the surface electrical charge and topography of biomaterials. This work focuses on the connection between the roughness of calcium phosphate (CP surfaces and their electrical potential (EP at the micro- and nanoscales and the possible role of these parameters in jointly affecting human MSC osteogenic differentiation and maturation in vitro. A microarc CP coating was deposited on titanium substrates and characterized at the micro- and nanoscale. Human adult adipose-derived MSCs (hAMSCs or prenatal stromal cells from the human lung (HLPSCs were cultured on the CP surface to estimate MSC behavior. The roughness, nonuniform charge polarity, and EP of CP microarc coatings on a titanium substrate were shown to affect the osteogenic differentiation and maturation of hAMSCs and HLPSCs in vitro. The surface EP induced by the negative charge increased with increasing surface roughness at the microscale. The surface relief at the nanoscale had an impact on the sign of the EP. Negative electrical charges were mainly located within the micro- and nanosockets of the coating surface, whereas positive charges were detected predominantly at the nanorelief peaks. HLPSCs located in the sockets of the CP surface expressed the osteoblastic markers osteocalcin and alkaline phosphatase. The CP multilevel topography induced charge polarity and an EP and overall promoted the osteoblast phenotype of HLPSCs. The negative sign of the EP and its magnitude at the micro- and nanosockets might be sensitive factors that can trigger osteoblastic differentiation and maturation of human stromal cells.

  8. Evaluation of the roughness of the surface of porcelain systems with the atomic force microscope

    International Nuclear Information System (INIS)

    Chavarria Rodriguez, Bernal

    2013-01-01

    The surface of a dental ceramic was evaluated and compared with an atomic force microscope after being treated with different systems of polishing. 14 identical ceramic Lava® Zirconia discs were used to test the different polishing systems. 3 polishing systems from different matrix houses were used to polish dental porcelain. The samples were evaluated quantitatively with an atomic force microscope in order to study the real effectiveness of each system, on the roughness average (Ra) and the maximum peak to valley roughness (Ry) of the ceramic surfaces. A considerable reduction of the surface roughness was obtained by applying different polishing systems on the surface of dental ceramics. Very reliable values of Ra and Ry were obtained by making measurements on the structure reproduced by the atomic force microscope. The advanced ceramics of zirconium oxide presented the best physical characteristics and low levels of surface roughness. A smoother surface was achieved with the application of polishing systems, thus demonstrating the reduction of the surface roughness of a dental ceramic [es

  9. Research of influence of mobile cathodic stains of the vacuum arc for reception of the adjustable roughness of metal surfaces

    Science.gov (United States)

    Anikeev, V. N.; Dokukin, M. Yu

    2017-05-01

    In the modern technics there is a requirement in micro- and macrorough surfaces of products for improvement of their operational characteristics (improvement of adhesive properties of various coverings, decrease in deterioration of rubbing details because of the best deduction of greasing, increase of the heat exchanging coefficient from a surface, stimulation of adhesive processes on sites of contact to a bone fabric of medical implants in stomatology and orthopedy etc.). In the given work the modes of reception regulated micro- and macrorough surfaces on samples from a titanic alloy and stainless steel by electrothermal influence of moving cathodic stains in the vacuum arc discharge are investigated. Chaotically moving stains, possessing high specific power allocation (∼ 107 W/cm2), “scan” the difficult design of a product, including “shadow” sites, doing rough its blanket. The sizes of roughnesses are regulated by a current and time of influence of the discharge, pressure in the vacuum chamber and a number of other parameters. The scheme of experimental device, photo and the characteristic of rough surfaces and technological modes of their reception are resulted.

  10. Measurement of surface roughness

    DEFF Research Database (Denmark)

    De Chiffre, Leonardo

    This document is used in connection with two 3 hours laboratory exercises that are part of the course GEOMETRICAL METROLOGY AND MACHINE TESTING. The laboratories include a demonstration of the function of roughness measuring instruments plus a series of exercises illustrating roughness measurement...

  11. SURFACE ROUGHNESS AND CUTTING FORCES IN CRYOGENIC TURNING OF CARBON STEEL

    Directory of Open Access Journals (Sweden)

    T. C. YAP

    2015-07-01

    Full Text Available The effect of cryogenic liquid nitrogen on surface roughness, cutting forces, and friction coefficient of the machined surface when machining of carbon steel S45C in wet, dry and cryogenic condition was studied through experiments. The experimental results show that machining with liquid nitrogen increases the cutting forces, reduces the friction coefficient, and improves the chips produced. Beside this, conventional machining with cutting fluid is still the most suitable method to produce good surface in high speed machining of carbon steel S45C whereas dry machining produced best surface roughness in low speed machining. Cryogenic machining is not able to replace conventional cutting fluid in turning carbon steel.

  12. Slope-velocity equilibrium and evolution of surface roughness on a stony hillslope

    Science.gov (United States)

    Nearing, Mark A.; Polyakov, Viktor O.; Nichols, Mary H.; Hernandez, Mariano; Li, Li; Zhao, Ying; Armendariz, Gerardo

    2017-06-01

    Slope-velocity equilibrium is hypothesized as a state that evolves naturally over time due to the interaction between overland flow and surface morphology, wherein steeper areas develop a relative increase in physical and hydraulic roughness such that flow velocity is a unique function of overland flow rate independent of slope gradient. This study tests this hypothesis under controlled conditions. Artificial rainfall was applied to 2 m by 6 m plots at 5, 12, and 20 % slope gradients. A series of simulations were made with two replications for each treatment with measurements of runoff rate, velocity, rock cover, and surface roughness. Velocities measured at the end of each experiment were a unique function of discharge rates, independent of slope gradient or rainfall intensity. Physical surface roughness was greater at steeper slopes. The data clearly showed that there was no unique hydraulic coefficient for a given slope, surface condition, or rainfall rate, with hydraulic roughness greater at steeper slopes and lower intensities. This study supports the hypothesis of slope-velocity equilibrium, implying that use of hydraulic equations, such as Chezy and Manning, in hillslope-scale runoff models is problematic because the coefficients vary with both slope and rainfall intensity.

  13. Survey of surface roughness properties of synchrotron radiation optics

    International Nuclear Information System (INIS)

    Takacs, P.Z.; Colbert, J.; Church, E.L.

    1986-03-01

    Measurements of surface roughness were made on a large number of grazing incidence mirrors delivered for use at the National Synchrotron Light Source (NSLS) at Brookhaven National Laboratory. The measurements were made with a WYKO optical profiler using a 2.5X and a 10X objective and analyzed with our PROFILE code to generate an average periodogram representation for each surface. The data is presented in the form of representative profiles with all of the periodogram curves arranged according to figure type. Analysis of the periodograms allows one to compute bandwidth-limited values for RMS roughness and slope, to provide valuable feedback information to manufacturers regarding compliance with specifications, and to predict the performance of the optic at x-ray wavelengths

  14. EFFECT OF PLASMA CUTTING PARAMETERS UPON SHAPES OF BEARING CURVE OF C45 STEEL SURFACE

    Directory of Open Access Journals (Sweden)

    Agnieszka Skoczylas

    2015-08-01

    Full Text Available The article presents the results of studies on the effect of plasma cutting technological parameters upon the shape of bearing curves and the parameters of the curve. The topography of surface formed by plasma cutting were analyzed. For measuring surface roughness and determining the bearing curve the appliance T8000 RC120 – 400 by Hommel-Etamic was used together with software.

  15. Influence of bounce mode on surface roughness of CH coating on microshells

    International Nuclear Information System (INIS)

    Zhang Baoling; China Academy of Engineering Physics, Mianyang; He Zhibing; Wu Weidong; Liu Xinghua; Ma Xiaojun; Yang Mengsheng; Lin Huaping; Yang Xiangdong

    2008-01-01

    The CH coating on microshells was fabricated by low-pressure plasma chemical vapor deposition (LPPCVD) with a bounce pan system. The influence of bounce modes on the surface topography of the CH coating was discussed. The surface topography was probed by optical microscopy and scanning electron microscopy(SEM). Roughness and sphericity were measured with an atomic force microscopy(AFM). X-radiography was used to obtain the concentricity. The results show that the surface topography of the coating is improved significantly by the intermittent bounce mode, and the roughness of medium high mode is reduced. The surface finish is improved ulteriorly by the intermittent bounce mode as the duty ratio is reduced. The RMS roughness of 30 μm CH coating is less than 30 nm. The spericity and concentricity of hydrocarbon-polystyrene (CH-PS) microshell are all better than 99% when the duty ratio is 1/4. (authors)

  16. Surface roughness and morphology of dental nanocomposites polished by four different procedures evaluated by a multifractal approach

    Energy Technology Data Exchange (ETDEWEB)

    Ţălu, Ştefan, E-mail: stefan_ta@yahoo.com [Technical University of Cluj-Napoca, Faculty of Mechanical Engineering, Department of AET, Discipline of Descriptive Geometry and Engineering Graphics, 103-105 B-dul Muncii St., Cluj-Napoca 400641, Cluj (Romania); Stach, Sebastian, E-mail: sebastian.stach@us.edu.pl [University of Silesia, Faculty of Computer Science and Materials Science, Institute of Informatics, Department of Biomedical Computer Systems, Będzińska 39, 41-205 Sosnowiec (Poland); Lainović, Tijana, E-mail: tijana.lainovic@gmail.com [University of Novi Sad, Faculty of Medicine, School of Dentistry, Hajduk Veljkova 3, 21000 Novi Sad (Serbia); Vilotić, Marko, E-mail: markovil@uns.ac.rs [University of Novi Sad, Faculty of Technical Sciences, Department for Production Engineering, Trg Dositeja Obradovića 6, 21000 Novi Sad (Serbia); Blažić, Larisa, E-mail: larisa.blazic@gmail.com [University of Novi Sad, Faculty of Medicine, School of Dentistry, Clinic of Dentistry of Vojvodina, Department of Restorative Dentistry and Endodontics, Hajduk Veljkova 3, 21000 Novi Sad (Serbia); Alb, Sandu Florin, E-mail: albflorin@yahoo.com [“Iuliu Haţieganu” University of Medicine and Pharmacy, Faculty of Dentistry, Department of Periodontology, 8 Victor Babeş St., 400012 Cluj-Napoca (Romania); Kakaš, Damir, E-mail: kakasdam@uns.ac.rs [University of Novi Sad, Faculty of Technical Sciences, Department for Production Engineering, Trg Dositeja Obradovića 6, 21000 Novi Sad (Serbia)

    2015-03-01

    Graphical abstract: - Highlights: • Multifractals are good indicators of polished dental composites 3-D surface structure. • The nanofilled composite had superior 3-D surface properties than the nanohybrid one. • Composite polishing with diamond paste created improved 3-D multifractal structure. • Recommendation: polish the composite with diamond paste if using the one-step tool. • Multifractal analysis could become essential in designing new dental surfaces. - Abstract: The objective of this study was to determine the effect of different dental polishing methods on surface texture parameters of dental nanocomposites. The 3-D surface morphology was investigated by atomic force microscopy (AFM) and multifractal analysis. Two representative dental resin-based nanocomposites were investigated: a nanofilled and a nanohybrid composite. The samples were polished by two dental polishing protocols using multi-step and one-step system. Both protocols were then followed by diamond paste polishing. The 3-D surface roughness of samples was studied by AFM on square areas of topography on the 80 × 80 μm{sup 2} scanning area. The multifractal spectrum theory based on computational algorithms was applied for AFM data and multifractal spectra were calculated. The generalized dimension D{sub q} and the singularity spectrum f(α) provided quantitative values that characterize the local scale properties of dental nanocomposites polished by four different dental polishing protocols at nanometer scale. The results showed that the larger the spectrum width Δα (Δα = α{sub max} − α{sub min}) of the multifractal spectra f(α), the more non-uniform was the surface morphology. Also, the 3-D surface topography was described by statistical parameters, according to ISO 25178-2:2012. The 3-D surface of samples had a multifractal nature. Nanofilled composite had lower values of height parameters than nanohybrid composites, due to its composition. Multi-step polishing protocol

  17. Surface roughness and morphology of dental nanocomposites polished by four different procedures evaluated by a multifractal approach

    International Nuclear Information System (INIS)

    Ţălu, Ştefan; Stach, Sebastian; Lainović, Tijana; Vilotić, Marko; Blažić, Larisa; Alb, Sandu Florin; Kakaš, Damir

    2015-01-01

    Graphical abstract: - Highlights: • Multifractals are good indicators of polished dental composites 3-D surface structure. • The nanofilled composite had superior 3-D surface properties than the nanohybrid one. • Composite polishing with diamond paste created improved 3-D multifractal structure. • Recommendation: polish the composite with diamond paste if using the one-step tool. • Multifractal analysis could become essential in designing new dental surfaces. - Abstract: The objective of this study was to determine the effect of different dental polishing methods on surface texture parameters of dental nanocomposites. The 3-D surface morphology was investigated by atomic force microscopy (AFM) and multifractal analysis. Two representative dental resin-based nanocomposites were investigated: a nanofilled and a nanohybrid composite. The samples were polished by two dental polishing protocols using multi-step and one-step system. Both protocols were then followed by diamond paste polishing. The 3-D surface roughness of samples was studied by AFM on square areas of topography on the 80 × 80 μm 2 scanning area. The multifractal spectrum theory based on computational algorithms was applied for AFM data and multifractal spectra were calculated. The generalized dimension D q and the singularity spectrum f(α) provided quantitative values that characterize the local scale properties of dental nanocomposites polished by four different dental polishing protocols at nanometer scale. The results showed that the larger the spectrum width Δα (Δα = α max − α min ) of the multifractal spectra f(α), the more non-uniform was the surface morphology. Also, the 3-D surface topography was described by statistical parameters, according to ISO 25178-2:2012. The 3-D surface of samples had a multifractal nature. Nanofilled composite had lower values of height parameters than nanohybrid composites, due to its composition. Multi-step polishing protocol created a better

  18. Empirical model for estimating the surface roughness of machined ...

    African Journals Online (AJOL)

    Michael Horsfall

    one of the most critical quality measure in mechanical products. In the ... Keywords: cutting speed, centre lathe, empirical model, surface roughness, Mean absolute percentage deviation ... The factors considered were work piece properties.

  19. Novel CNC Grinding Process Control for Nanometric Surface Roughness for Aspheric Space Optical Surfaces

    Directory of Open Access Journals (Sweden)

    Jeong-Yeol Han

    2004-06-01

    Full Text Available Optics fabrication process for precision space optical parts includes bound abrasive grinding, loose abrasive lapping and polishing. The traditional bound abrasive grinding with bronze bond cupped diamond wheel leaves the machine marks of about 20 μm rms in height and the subsurface damage of about 1 μm rms in height to be removed by subsequent loose abrasive lapping. We explored an efficient quantitative control of precision CNC grinding. The machining parameters such as grain size, work-piece rotation speed and feed rate were altered while grinding the work-piece surfaces of 20-100 mm in diameter. The input grinding variables and the resulting surface quality data were used to build grinding prediction models using empirical and multi-variable regression analysis. The effectiveness of such grinding prediction models was then examined by running a series of precision CNC grinding operation with a set of controlled input variables and predicted output surface quality indicators. The experiment achieved the predictability down to ±20 nm in height and the surface roughness down to 36 nm in height. This study contributed to improvement of the process efficiency reaching directly the polishing and figuring process without the need for the loose abrasive lapping stage.

  20. Sub ablative Er: YAG laser irradiation on surface roughness of eroded dental enamel.

    Science.gov (United States)

    Curylofo-Zotti, Fabiana Almeida; Lepri, Taísa Penazzo; Colucci, Vivian; Turssi, Cecília Pedroso; Corona, Silmara Aparecida Milori

    2015-11-01

    This study evaluated the effects of Er:YAG laser irradiation applied at varying pulse repetition rate on the surface roughness of eroded enamel. Bovine enamel slabs (n = 10) were embedded in polyester resin, ground, and polished. To erosive challenges, specimens were immersed two times per day in 20mL of concentrated orange juice (pH = 3.84) under agitation, during a two-day period. Specimens were randomly assigned to irradiation with the Er:YAG laser (focused mode, pulse energy of 60 mJ and energy density of 3.79 J/cm(2) ) operating at 1, 2, 3, or 4 Hz. The control group was left nonirradiated. Surface roughness measurements were recorded post erosion-like formation and further erosive episodes by a profilometer and observed through atomic force microscopy (AFM). Analysis of variance revealed that the control group showed the lowest surface roughness, while laser-irradiated substrates did not differ from each other following post erosion-like lesion formation. According to analysis of covariance, at further erosive episodes, the control group demonstrated lower surface roughness (P > 0.05), than any of the irradiated groups (P enamel eroded. The AFM images showed that the specimens irradiated by the Er:YAG laser at 1 Hz presented a less rough surface than those irradiated at 2, 3, and 4 Hz. © 2015 Wiley Periodicals, Inc.

  1. Factors Affecting Optimal Surface Roughness of AISI 4140 Steel in Turning Operation Using Taguchi Experiment

    Science.gov (United States)

    Novareza, O.; Sulistiyarini, D. H.; Wiradmoko, R.

    2018-02-01

    This paper presents the result of using Taguchi method in turning process of medium carbon steel of AISI 4140. The primary concern is to find the optimal surface roughness after turning process. The taguchi method is used to get a combination of factors and factor levels in order to get the optimum surface roughness level. Four important factors with three levels were used in experiment based on Taguchi method. A number of 27 experiments were carried out during the research and analysed using analysis of variance (ANOVA) method. The result of surface finish was determined in Ra type surface roughness. The depth of cut was found to be the most important factors for reducing the surface roughness of AISI 4140 steel. On the contrary, the other important factors i.e. spindle speed and rake side angle of the tool were proven to be less factors that affecting the surface finish. It is interesting to see the effect of coolant composition that gained the second important factors to reduce the roughness. It may need further research to explain this result.

  2. Effect of surface roughness of trench sidewalls on electrical properties in 4H-SiC trench MOSFETs

    Science.gov (United States)

    Kutsuki, Katsuhiro; Murakami, Yuki; Watanabe, Yukihiko; Onishi, Toru; Yamamoto, Kensaku; Fujiwara, Hirokazu; Ito, Takahiro

    2018-04-01

    The effects of the surface roughness of trench sidewalls on electrical properties have been investigated in 4H-SiC trench MOSFETs. The surface roughness of trench sidewalls was well controlled and evaluated by atomic force microscopy. The effective channel mobility at each measurement temperature was analyzed on the basis of the mobility model including optical phonon scattering. The results revealed that surface roughness scattering had a small contribution to channel mobility, and at the arithmetic average roughness in the range of 0.4-1.4 nm, there was no correlation between the experimental surface roughness and the surface roughness scattering mobility. On the other hand, the characteristics of the gate leakage current and constant current stress time-dependent dielectric breakdown tests demonstrated that surface morphology had great impact on the long-term reliability of gate oxides.

  3. Surface roughness and morphologic changes of zirconia: Effect of ...

    African Journals Online (AJOL)

    4-6 W/20 Hz presented significantly effect in surface roughness changes of zirconia than other surface treatments. Key words:Erbium, chromium: Yttrium, scandium, gallium, garnet laser, scanning electron .... ZrO2, (f) After sintering ZrO2 in letter “e”, (g) 2 W laser irridiation pre-sintered ZrO2, (h) After ..... Acta Odontol Scand.

  4. Prenatally administered HMB modifies the enamel surface roughness in spiny mice offspring: An atomic force microscopy study.

    Science.gov (United States)

    Świetlicka, Izabela; Muszyński, Siemowit; Tomaszewska, Ewa; Dobrowolski, Piotr; Kwaśniewska, Anita; Świetlicki, Michał; Skic, Anna; Gołacki, Krzysztof

    2016-10-01

    The aim of this research was to check the effect of the prenatally administered β-hydroxy β-methylbutyrate (HMB) on the development of enamel surface of the spiny mice offspring. The spiny mice dams were randomly assigned into three groups: control group (not supplemented with HMB) and two experimental groups in which powdered HMB was given at the daily dosage of 0.2g/kg of body weight (group I) and 0.02g/kg of body weight (group II) during the last period of gestation. Newborn pups were euthanized by CO 2 inhalation. The morphology of incisor teeth was analysed using atomic force microscopy (AFM) in semi-contact mode in the height, magnitude and phase domains. Height images became a basis for determination of surface roughness parameters. Conducted study indicated that maternal HMB administration markedly influences enamel development. Enamel of offspring's teeth in both experimental groups was characterized by significantly smaller values of indices describing surface roughness and profile. HMB supplementation influenced the calculated parameters regardless of the diet type and offspring sex, however higher dose of HMB caused stronger changes in enamel surface's physical properties and could be observed in higher intensity in the male group. HMB administration caused reduction in the irregularities of enamel surface, thereby possibly reducing the probability of bacteria adhesion and caries development. These observations may serve to improve nutrition and supplementation of animals and could be a lead for further research. Copyright © 2016 Elsevier Ltd. All rights reserved.

  5. Collisions of ideal gas molecules with a rough/fractal surface. A computational study.

    Science.gov (United States)

    Panczyk, Tomasz

    2007-02-01

    The frequency of collisions of ideal gas molecules (argon) with a rough surface has been studied. The rough/fractal surface was created using random deposition technique. By applying various depositions, the roughness of the surface was controlled and, as a measure of the irregularity, the fractal dimensions of the surfaces were determined. The surfaces were next immersed in argon (under pressures 2 x 10(3) to 2 x 10(5) Pa) and the numbers of collisions with these surfaces were counted. The calculations were carried out using a simplified molecular dynamics simulation technique (only hard core repulsions were assumed). As a result, it was stated that the frequency of collisions is a linear function of pressure for all fractal dimensions studied (D = 2, ..., 2.5). The frequency per unit pressure is quite complex function of the fractal dimension; however, the changes of that frequency with the fractal dimension are not strong. It was found that the frequency of collisions is controlled by the number of weakly folded sites on the surfaces and there is some mapping between the shape of adsorption energy distribution functions and this number of weakly folded sites. The results for the rough/fractal surfaces were compared with the prediction given by the Langmuir-Hertz equation (valid for smooth surface), generally the departure from the Langmuir-Hertz equation is not higher than 48% for the studied systems (i.e. for the surfaces created using the random deposition technique).

  6. Inertia of rough and vicinal surfaces of helium-4 crystals

    International Nuclear Information System (INIS)

    Amrit, J.; Legros, P.; Poitrenaud, J.

    1995-01-01

    This paper reports a study of the inertia of rough and vicinal of 4 He crystals. We have measured the transmission coefficient of ultrasonic waves at frequencies 10, 30, 50 and 70 MHz, across the liquid-solid interface. The experiments are carried out at temperatures ranging between 0.4 and 1.0 K for four crystallographic orientations. Two important phenomena are put to evidence for the first time. We have found the first experimental evidence that the inertia of rough surfaces depends on temperature. For vicinal surfaces, we have shown the strong increase of the inertia as the tilt angle decreases. Our experimental results agree very well with the theoretical predictions

  7. Effect of the surface roughness on interfacial properties of carbon fibers reinforced epoxy resin composites

    International Nuclear Information System (INIS)

    Song Wei; Gu Aijuan; Liang Guozheng; Yuan Li

    2011-01-01

    The effect of the surface roughness on interfacial properties of carbon fibers (CFs) reinforced epoxy (EP) resin composite is studied. Aqueous ammonia was applied to modify the surfaces of CFs. The morphologies and chemical compositions of original CFs and treated CFs (a-CFs) were characterized by Atomic Force Microscopy (AFM), and X-ray Photoelectron Spectroscopy (XPS). Compared with the smooth surface of original CF, the surface of a-CF has bigger roughness; moreover, the roughness increases with the increase of the treating time. On the other hand, no obvious change in chemical composition takes place, indicating that the treating mechanism of CFs by aqueous ammonia is to physically change the morphologies rather than chemical compositions. In order to investigate the effect of surface roughness on the interfacial properties of CF/EP composites, the wettability and Interfacial Shear Strength (IFSS) were measured. Results show that with the increase of the roughness, the wettabilities of CFs against both water and ethylene glycol improves; in addition, the IFSS value of composites also increases. These attractive phenomena prove that the surface roughness of CFs can effectively overcome the poor interfacial adhesions between CFs and organic matrix, and thus make it possible to fabricate advanced composites based on CFs.

  8. Optical and terahertz measurement techniques for flat-faced pharmaceutical tablets: a case study of gloss, surface roughness and bulk properties of starch acetate tablets

    International Nuclear Information System (INIS)

    Juuti, M; Tuononen, H; Kontturi, V; Peiponen, K-E; Prykäri, T; Alarousu, E; Myllylä, R; Kuosmanen, M; Ketolainen, J

    2009-01-01

    Surface and bulk properties of flat-faced starch acetate tablets were studied. For surface quality inspection optical coherence tomography and recently developed diffractive glossmeter were utilized. Both these optical devices together provide local information on surface roughness and gloss of a tablet over a measured area. The concepts of mean topography and mean gloss profile for surface quality of a tablet are introduced. It was observed that the surface quality of the tablet varies, and compression at high pressure may not guarantee a good surface quality of the tablet. Using novel statistical parameters for gloss and relevant surface roughness parameter, it is possible to get more comprehensive quantitative data on the surface condition of a tablet. THz spectrometer was utilized for detection of THz pulse delay in transmission measurement mode from the tablets. The delay time and thickness ratio of the tablet are consistent with the porosity of the tablet as a function of compression pressure. We suggest that the multimeasurement scheme using three different devices helps tablet makers to better assess bulk and surface quality of their products

  9. Statistics of ductile fracture surfaces: the effect of material parameters

    DEFF Research Database (Denmark)

    Ponson, Laurent; Cao, Yuanyuan; Bouchaud, Elisabeth

    2013-01-01

    distributed. The three dimensional analysis permits modeling of a three dimensional material microstructure and of the resulting three dimensional stress and deformation states that develop in the fracture process region. Material parameters characterizing void nucleation are varied and the statistics...... of the resulting fracture surfaces is investigated. All the fracture surfaces are found to be self-affine over a size range of about two orders of magnitude with a very similar roughness exponent of 0.56 ± 0.03. In contrast, the full statistics of the fracture surfaces is found to be more sensitive to the material...

  10. RMS slope of exponentially correlated surface roughness for radar applications

    DEFF Research Database (Denmark)

    Dierking, Wolfgang

    2000-01-01

    In radar signature analysis, the root mean square (RMS) surface slope is utilized to assess the relative contribution of multiple scattering effects. For an exponentially correlated surface, an effective RMS slope can be determined by truncating the high frequency tail of the roughness spectrum...

  11. A lattice Boltzmann model for substrates with regularly structured surface roughness

    Science.gov (United States)

    Yagub, A.; Farhat, H.; Kondaraju, S.; Singh, T.

    2015-11-01

    Superhydrophobic surface characteristics are important in many industrial applications, ranging from the textile to the military. It was observed that surfaces fabricated with nano/micro roughness can manipulate the droplet contact angle, thus providing an opportunity to control the droplet wetting characteristics. The Shan and Chen (SC) lattice Boltzmann model (LBM) is a good numerical tool, which holds strong potentials to qualify for simulating droplets wettability. This is due to its realistic nature of droplet contact angle (CA) prediction on flat smooth surfaces. But SC-LBM was not able to replicate the CA on rough surfaces because it lacks a real representation of the physics at work under these conditions. By using a correction factor to influence the interfacial tension within the asperities, the physical forces acting on the droplet at its contact lines were mimicked. This approach allowed the model to replicate some experimentally confirmed Wenzel and Cassie wetting cases. Regular roughness structures with different spacing were used to validate the study using the classical Wenzel and Cassie equations. The present work highlights the strength and weakness of the SC model and attempts to qualitatively conform it to the fundamental physics, which causes a change in the droplet apparent contact angle, when placed on nano/micro structured surfaces.

  12. Comparative investigation of optical techniques for topography measurement of rough plastic surfaces

    DEFF Research Database (Denmark)

    Bariani, Paolo; Hansen, Hans Nørgaard; Arlø, Uffe Rolf

    2003-01-01

    An experimental assessment of three-dimensional surface topography characterisation methods for use with rough plastic parts has been carried out. Also, calibration methods and measuring procedures including optimal measuring conditions have been developed and applied. The study is based on rough...

  13. Colloidal CuInSe2 nanocrystals thin films of low surface roughness

    International Nuclear Information System (INIS)

    Kergommeaux, Antoine de; Fiore, Angela; Faure-Vincent, Jérôme; Pron, Adam; Reiss, Peter

    2013-01-01

    Thin-film processing of colloidal semiconductor nanocrystals (NCs) is a prerequisite for their use in (opto-)electronic devices. The commonly used spin-coating is highly materials consuming as the overwhelming amount of deposited matter is ejected from the substrate during the spinning process. Also, the well-known dip-coating and drop-casting procedures present disadvantages in terms of the surface roughness and control of the film thickness. We show that the doctor blade technique is an efficient method for preparing nanocrystal films of controlled thickness and low surface roughness. In particular, by optimizing the deposition conditions, smooth and pinhole-free films of 11 nm CuInSe 2 NCs have been obtained exhibiting a surface roughness of 13 nm root mean square (rms) for a 350 nm thick film, and less than 4 nm rms for a 75 nm thick film. (paper)

  14. Self-affine roughness influence on the friction coefficient for rubbers onto solid surfaces

    NARCIS (Netherlands)

    Palasantzas, G

    2004-01-01

    In this paper we investigate the influence of self-affine roughness on the friction coefficient mu(f) of a rubber body under incomplete contact onto a solid surface. The roughness is characterized by the rms amplitude w, the correlation length xi, and the roughness exponent H. It is shown that with

  15. Influence of surface roughness of stainless steel on microbial adhesion and corrosion resistance

    DEFF Research Database (Denmark)

    Hilbert, Lisbeth Rischel; Bagge-Ravn, Dorthe; Kold, John

    2003-01-01

    Abstract The aim of this study was to evaluate if hygienic characteristics of stainless steel used in the food industry could be improved by smoothing surface roughness from an Ra of 0.9 to 0.01 ƒÝm. The adherence of Pseudomonas sp., Listeria monocytogenes and Candida lipolytica to stainless steel...... was not affected by surface roughness (Ra) ranging from grit 4000 polished stainless steel (Ra steel (Ra 0.9). Neither adhesion of Ps. aeruginosa nor its removal by an alkaline commercial cleaner in a flow system was affected by surface roughness. Pitting corrosion resistance...... was evaluated in a commercial disinfectant and in 1 M NaCl. Electropolished and grit 4000 polished steel proved more corrosion resistant as opposed to grit 80 and 120 polished surfaces. In conclusion, the surface finish did not influence bacterial attachment, colonisation, or removal, but is an important...

  16. Ultrasound pulse-echo measurements on rough surfaces with linear array transducers

    DEFF Research Database (Denmark)

    Sjøj, Sidsel M. N.; Blanco, Esther N.; Wilhjelm, Jens E.

    2012-01-01

    The echo from planar surfaces with rms roughness, Rq, in the range from 0-155 μm was measured with a clinical linear array transducer at different angles of incidence at 6 MHz and 12 MHz. The echo-pulse from the surfaces was isolated with an equal sized window and the power of the echo-pulse was ......The echo from planar surfaces with rms roughness, Rq, in the range from 0-155 μm was measured with a clinical linear array transducer at different angles of incidence at 6 MHz and 12 MHz. The echo-pulse from the surfaces was isolated with an equal sized window and the power of the echo......-pulse was calculated. The power of the echo from the smooth surface (Rq = 0) is highly angle-dependent due to a high degree of specular reflection. Within the angular range considered here, -10° to 10°, the variation spans a range of 18 dB at both 6 MHz and 12 MHz. When roughness increases, the angle......-dependence decreases, as the echo process gradually changes from pure reflection to being predominantly governed by backscattering. The power of the echoes from the two roughest surfaces (Rq = 115 μm and 155 μm) are largely independent of angle at both 6 MHz and 12 MHz with a variation of 2 dB in the angular range...

  17. Improvement of PET surface hydrophilicity and roughness through blending

    Energy Technology Data Exchange (ETDEWEB)

    Kolahchi, Ahmad Rezaei; Ajji, Abdellah; Carreau, Pierre J. [CREPEC, Chemical Engineering Department, Polytechnique Montreal, 2500 chemin de Polytechnique, Quebec, Montreal (Canada)

    2015-05-22

    Controlling the adhesion of the polymer surface is a key issue in surface science, since polymers have been a commonly used material for many years. The surface modification in this study includes two different aspects. One is to enhance the hydrophilicity and the other is to create the roughness on the PET film surface. In this study we developed a novel and simple approach to modify polyethylene terephthalate (PET) film surface through polymer blending in twin-screw extruder. One example described in the study uses polyethylene glycol (PEG) in polyethylene terephthalate (PET) host to modify a PET film surface. Low content of polystyrene (PS) as a third component was used in the system to increase the rate of migration of PEG to the surface of the film. Surface enrichment of PEG was observed at the polymer/air interface of the polymer film containing PET-PEG-PS whereas for the PET-PEG binary blend more PEG was distributed within the bulk of the sample. Furthermore, a novel method to create roughness at the PET film surface was proposed. In order to roughen the surface of PET film, a small amount of PKHH phenoxy resin to change PS/PET interfacial tension was used. The compatibility effect of PKHH causes the formation of smaller PS droplets, which were able to migrate more easily through PET matrix. Consequently, resulting in a locally elevated concentration of PS near the surface of the film. The local concentration of PS eventually reached a level where a co-continuous morphology occurred, resulting in theinstabilities on the surface of the film.

  18. OPTIMIZATION OF SURFACE ROUGHNESS OF AISI 304 AUSTENITIC STAINLESS STEEL IN DRY TURNING OPERATION USING TAGUCHI DESIGN METHOD

    Directory of Open Access Journals (Sweden)

    D. PHILIP SELVARAJ

    2010-09-01

    Full Text Available The present work is concentrated with the dry turning of AISI 304 Austenitic Stainless Steel (ASS. This paper presents the influence of cutting parameters like cutting speed, feed rate and depth of cut on the surface roughness of austenitic stainless steel during dry turning. A plan of experiments based on Taguchi’s technique has been used to acquire the data. An orthogonal array, the signal to noise (S/N ratio and the analysis of variance (ANOVA are employed to investigate the cutting characteristics of AISI 304 austenitic stainless steel bars using TiC and TiCN coated tungsten carbide cutting tool. Finally the confirmation tests that have been carried out to compare the predicted values with the experimental values confirm its effectiveness in the analysis of surface roughness.

  19. Stick–slip friction of gecko-mimetic flaps on smooth and rough surfaces

    Science.gov (United States)

    Das, Saurabh; Cadirov, Nicholas; Chary, Sathya; Kaufman, Yair; Hogan, Jack; Turner, Kimberly L.; Israelachvili, Jacob N.

    2015-01-01

    The discovery and understanding of gecko ‘frictional-adhesion’ adhering and climbing mechanism has allowed researchers to mimic and create gecko-inspired adhesives. A few experimental and theoretical approaches have been taken to understand the effect of surface roughness on synthetic adhesive performance, and the implications of stick–slip friction during shearing. This work extends previous studies by using a modified surface forces apparatus to quantitatively measure and model frictional forces between arrays of polydimethylsiloxane gecko footpad-mimetic tilted microflaps against smooth and rough glass surfaces. Constant attachments and detachments occur between the surfaces during shearing, as described by an avalanche model. These detachments ultimately result in failure of the adhesion interface and have been characterized in this study. Stick–slip friction disappears with increasing velocity when the flaps are sheared against a smooth silica surface; however, stick–slip was always present at all velocities and loads tested when shearing the flaps against rough glass surfaces. These results demonstrate the significance of pre-load, shearing velocity, shearing distances, commensurability and shearing direction of gecko-mimetic adhesives and provide us a simple model for analysing and/or designing such systems. PMID:25589569

  20. The influence of surface roughness on volatile transport on the Moon

    Science.gov (United States)

    Prem, P.; Goldstein, D. B.; Varghese, P. L.; Trafton, L. M.

    2018-01-01

    The Moon and other virtually airless bodies provide distinctive environments for the transport and sequestration of water and other volatiles delivered to their surfaces by various sources. In this work, we conduct Monte Carlo simulations of water vapor transport on the Moon to investigate the role of small-scale roughness (unresolved by orbital measurements) in the migration and cold-trapping of volatiles. Observations indicate that surface roughness, combined with the insulating nature of lunar regolith and the absence of significant exospheric heat flow, can cause large variations in temperature over very small scales. Surface temperature has a strong influence on the residence time of migrating water molecules on the lunar surface, which in turn affects the rate and magnitude of volatile transport to permanently shadowed craters (cold traps) near the lunar poles, as well as exospheric structure and the susceptibility of migrating molecules to photodestruction. Here, we develop a stochastic rough surface temperature model suitable for simulations of volatile transport on a global scale, and compare the results of Monte Carlo simulations of volatile transport with and without the surface roughness model. We find that including small-scale temperature variations and shadowing leads to a slight increase in cold-trapping at the lunar poles, accompanied by a slight decrease in photodestruction. Exospheric structure is altered only slightly, primarily at the dawn terminator. We also examine the sensitivity of our results to the temperature of small-scale shadows, and the energetics of water molecule desorption from the lunar regolith - two factors that remain to be definitively constrained by other methods - and find that both these factors affect the rate at which cold trap capture and photodissociation occur, as well as exospheric density and longevity.

  1. Comparative Evaluation of Conventional and Accelerated Castings on Marginal Fit and Surface Roughness

    Science.gov (United States)

    Jadhav, Vivek Dattatray; Motwani, Bhagwan K.; Shinde, Jitendra; Adhapure, Prasad

    2017-01-01

    Aims: The aim of this study was to evaluate the marginal fit and surface roughness of complete cast crowns made by a conventional and an accelerated casting technique. Settings and Design: This study was divided into three parts. In Part I, the marginal fit of full metal crowns made by both casting techniques in the vertical direction was checked, in Part II, the fit of sectional metal crowns in the horizontal direction made by both casting techniques was checked, and in Part III, the surface roughness of disc-shaped metal plate specimens made by both casting techniques was checked. Materials and Methods: A conventional technique was compared with an accelerated technique. In Part I of the study, the marginal fit of the full metal crowns as well as in Part II, the horizontal fit of sectional metal crowns made by both casting techniques was determined, and in Part III, the surface roughness of castings made with the same techniques was compared. Statistical Analysis Used: The results of the t-test and independent sample test do not indicate statistically significant differences in the marginal discrepancy detected between the two casting techniques. Results: For the marginal discrepancy and surface roughness, crowns fabricated with the accelerated technique were significantly different from those fabricated with the conventional technique. Conclusions: Accelerated casting technique showed quite satisfactory results, but the conventional technique was superior in terms of marginal fit and surface roughness. PMID:29042726

  2. Influence of Surface Roughness of Stainless steel on Microbial Adhesion

    DEFF Research Database (Denmark)

    Bagge, D.; Hilbert, Lisbeth Rischel; Gram, L.

    2002-01-01

    Bacterial adhesion and biofilm formation is of growing interest in the food processing industry where bacteria can survive on surfaces and resist cleaning and disinfection. The condition of the surfaces (eg lack of cracks) and their general roughness is assumed to be important for the hygienic...

  3. Multi-objective optimization of surface roughness, cutting forces, productivity and Power consumption when turning of Inconel 718

    Directory of Open Access Journals (Sweden)

    Hamid Tebassi

    2016-01-01

    Full Text Available Nickel based super alloys are excellent for several applications and mainly in structural components submitted to high temperatures owing to their high strength to weight ratio, good corrosion resistance and metallurgical stability such as in cases of jet engine and gas turbine components. The current work presents the experimental investigations of the cutting parameters effects (cutting speed, depth of cut and feed rate on the surface roughness, cutting force components, productivity and power consumption during dry conditions in straight turning using coated carbide tool. The mathematical models for output parameters have been developed using Box-Behnken design with 15 runs and Box-Cox transformation was used for improving normality. The results of the analysis have shown that the surface finish was statistically sensitive to the feed rate and cutting speed with the contribution of 43.58% and 23.85% respectively, while depth of cut had the greatest effect on the evolution of cutting force components with the contribution of 79.87% for feed force, 66.92% for radial force and 66.26% for tangential force. Multi-objective optimization procedure allowed minimizing roughness Ra, cutting forces and power consumption and maximizing material removal rate using desirability approach.

  4. Variations in sea surface roughness induced by the 2004 Sumatra-Andaman tsunami

    Directory of Open Access Journals (Sweden)

    O. A. Godin

    2009-07-01

    Full Text Available Observations of tsunamis away from shore are critically important for improving early warning systems and understanding of tsunami generation and propagation. Tsunamis are difficult to detect and measure in the open ocean because the wave amplitude there is much smaller than it is close to shore. Currently, tsunami observations in deep water rely on measurements of variations in the sea surface height or bottom pressure. Here we demonstrate that there exists a different observable, specifically, ocean surface roughness, which can be used to reveal tsunamis away from shore. The first detailed measurements of the tsunami effect on sea surface height and radar backscattering strength in the open ocean were obtained from satellite altimeters during passage of the 2004 Sumatra-Andaman tsunami. Through statistical analyses of satellite altimeter observations, we show that the Sumatra-Andaman tsunami effected distinct, detectable changes in sea surface roughness. The magnitude and spatial structure of the observed variations in radar backscattering strength are consistent with hydrodynamic models predicting variations in the near-surface wind across the tsunami wave front. Tsunami-induced changes in sea surface roughness can be potentially used for early tsunami detection by orbiting microwave radars and radiometers, which have broad surface coverage across the satellite ground track.

  5. The effect of roughness model on scattering properties of ice crystals

    International Nuclear Information System (INIS)

    Geogdzhayev, Igor; Diedenhoven, Bastiaan van

    2016-01-01

    We compare stochastic models of microscale surface roughness assuming uniform and Weibull distributions of crystal facet tilt angles to calculate scattering by roughened hexagonal ice crystals using the geometric optics (GO) approximation. Both distributions are determined by similar roughness parameters, while the Weibull model depends on the additional shape parameter. Calculations were performed for two visible wavelengths (864 nm and 410 nm) for roughness values between 0.2 and 0.7 and Weibull shape parameters between 0 and 1.0 for crystals with aspect ratios of 0.21, 1 and 4.8. For this range of parameters we find that, for a given roughness level, varying the Weibull shape parameter can change the asymmetry parameter by up to about 0.05. The largest effect of the shape parameter variation on the phase function is found in the backscattering region, while the degree of linear polarization is most affected at the side-scattering angles. For high roughness, scattering properties calculated using the uniform and Weibull models are in relatively close agreement for a given roughness parameter, especially when a Weibull shape parameter of 0.75 is used. For smaller roughness values, a shape parameter close to unity provides a better agreement. Notable differences are observed in the phase function over the scattering angle range from 5° to 20°, where the uniform roughness model produces a plateau while the Weibull model does not. - Highlights: • We compare scattering by hexagonal crystals for uniform and Weibull roughness models. • The Weibull shape parameter has a stronger effect on the phase function at backscattering. • DoLP is mostly affected at the side-scattering angles. • For high roughness, the two models are in relatively close agreement for a given roughness. • A plateau from 5° to 20° is observed in the phase function when using the uniform model.

  6. Prediction of Optimal Designs for Material Removal Rate and Surface Roughness Characteristics

    Directory of Open Access Journals (Sweden)

    Maheswara Rao Ch

    2016-12-01

    Full Text Available The present work involves in finding the optimal combination of cutting parameters, in dry turning of EN19 steel using a tungsten carbide tool of nose radius 0.4 mm. The experiments were conducted on a CNC turret lathe as per the designed L9 (3^3 orthogonal array. In order to optimize the Material Removal Rate (MRR, Arithmetic Average Roughness (Ra and Average Peak-to-Valley Height Roughness (Rz individually, Single objective Taguchi method has been employed. From the results, the optimal combination of cutting parameters for MRR is found at: 225 m/min, 0.15 mm/rev and 0.6 mm. Optimal combination of Ra and Rz is found at: 225 m/min, 0.05 mm/rev and 0.6 mm. Analysis of variance (ANOVA is used to find the influence of cutting parameters on the responses. ANOVA results revealed that speed and feed has high influence on MRR. Speed has high influence in affecting the Roughness parameters. Linear regression models for the responses were prepared using the MINITAB-16 software. From the results, it is found that the models prepared are more significant and accurate.

  7. Spectrophotometric Examination of Rough Print Surfaces

    Directory of Open Access Journals (Sweden)

    Erzsébet Novotny

    2011-05-01

    Full Text Available The objective was to assess the impact of the surface texture of individual creative paper types (coated or patternedon the quality of printing and to identify to what extent the various creative paper types require specific types ofspectrophotometers. We used stereomicroscopic images to illustrate unprinted and printed surfaces of creative papertypes. Surface roughness was measured to obtain data on the unevenness of surfaces. Spectrophotometric tests wereused to select the most suitable spectrophotometer from meters with different illumination setup for testing anygiven print. For the purpose of testing, we used spectrophotometers which are commonly available generally used totest print products for colour accuracy. With the improvement of measuring geometries, illumination setup, colourmeasurement becomes more and more capable of producing reliable results unaffected by surface textures. Our testshave proved this fact by showing that the GretagMacbeth Spectrolino with annular illumination is less sensitive tosurface texture than the X-Rite Spetrodensitometer and the Techkon SpetroDens with directional illumination. Furthertests have brought us to the conclusion that there is a difference even between the two devices with directionalillumination. While the X-Rite 530 Spectrodensitometer is more suitable for testing coated surfaces, the TechkonSpectroDens can come close to ΔE*ab values produced by the annular illuminated device for textured surfaces.

  8. Mesoscopic modeling of structural and thermodynamic properties of fluids confined by rough surfaces.

    Science.gov (United States)

    Terrón-Mejía, Ketzasmin A; López-Rendón, Roberto; Gama Goicochea, Armando

    2015-10-21

    The interfacial and structural properties of fluids confined by surfaces of different geometries are studied at the mesoscopic scale using dissipative particle dynamics simulations in the grand canonical ensemble. The structure of the surfaces is modeled by a simple function, which allows us to simulate readily different types of surfaces through the choice of three parameters only. The fluids we have modeled are confined either by two smooth surfaces or by symmetrically and asymmetrically structured walls. We calculate structural and thermodynamic properties such as the density, temperature and pressure profiles, as well as the interfacial tension profiles for each case and find that a structural order-disorder phase transition occurs as the degree of surface roughness increases. However, the magnitude of the interfacial tension is insensitive to the structuring of the surfaces and depends solely on the magnitude of the solid-fluid interaction. These results are important for modern nanotechnology applications, such as in the enhanced recovery of oil, and in the design of porous materials with specifically tailored properties.

  9. [Observation of topography and analysis of surface contamination of titanium implant after roughness treatment].

    Science.gov (United States)

    Cao, Hongdan; Yang, Xiaodong; Wu, Dayi; Zhang, Xingdong

    2007-04-01

    The roughness treatment of dental implant surface could improve the bone bonding and increase the success rate of implant, but the difference of diverse treatments is still unknown. In this study using scanning electron microscopy (SEM), energy disperse spectrometer (EDS) and the test of contact angle, we studied the microstructure, surface contamination and surface energy, and hence conducted a comparative analysis of the following surface roughness treatments: Polished Treatment (PT), Sandblasting with Alumina(SA), Sandblasting with Aluminia and Acid-etched (SAA), Sandblasting with Titanium Acid-etched (STA), Electro-erosion Treatment(ET). The result of SEM showed that the surface displayed irregularities after roughness treatments and that the surface properties of different roughness treatments had some distinctions. SAA and SA had some sharp edges and protrutions; the STA showed a regular pattern like honeycomb, but the ET sample treated by electric erosion exhibited the deeper pores of different sizes and the pores with a perforated secondary structure. The EDS indicated that the surface was contaminated after the treatment with foreign materials; the SA surface had some embedded contaminations even after acid etching. The measurement of water contact angle indicated that the morphology correlated with the surface treatments. These findings suggest that the distinction of surface structure and composition caused by different treatments may result in the disparity in biological behavior of dental implant.

  10. Effect of surface roughness on the heating rates of large-angled hypersonic blunt cones

    Science.gov (United States)

    Irimpan, Kiran Joy; Menezes, Viren

    2018-03-01

    Surface-roughness caused by the residue of an ablative Thermal Protection System (TPS) can alter the turbulence level and surface heating rates on a hypersonic re-entry capsule. Large-scale surface-roughness that could represent an ablated TPS, was introduced over the forebody of a 120° apex angle blunt cone, in order to test for its influence on surface heating rates in a hypersonic freestream of Mach 8.8. The surface heat transfer rates measured on smooth and roughened models under the same freestream conditions were compared. The hypersonic flow-fields of the smooth and rough-surfaced models were visualized to analyse the flow physics. Qualitative numerical simulations and pressure measurements were carried out to have an insight into the high-speed flow physics. Experimental observations under moderate Reynolds numbers indicated a delayed transition and an overall reduction of 17-46% in surface heating rates on the roughened model.

  11. The interaction between non-metallic inclusions and surface roughness in fatigue failure and their influence on fatigue strength

    International Nuclear Information System (INIS)

    Saberifar, S.; Mashreghi, A.R.; Mosalaeepur, M.; Ghasemi, S.S.

    2012-01-01

    Highlights: ► The fatigue strength of a tested steel was affected by inclusions and surface notches. ► Inclusions were the main fatigue crack sources even in rough specimens. ► The stress intensity factor represented the behavior of inclusions properly. ► In rough steels the effect of inclusions was intensified by surface roughness. ► The critical inclusion size increased when surface roughness was removed. -- Abstract: In this study, the influence of non-metallic inclusions on the fatigue behavior of 30MnVS6 steel containing different inclusion sizes and surface roughness has been investigated. Scanning electron microscope (SEM) was used to examine fatigue fracture origins. It was concluded that the non-metallic inclusions were dominant fatigue crack initiation sites in both smooth and rough specimens. This was justified by the calculation of stress intensity factor generated by both surface roughness and non-metallic inclusions, based on Murakami’s model. In addition, it was found that for a given stress, the critical inclusion size could be increased by eliminating the surface roughness.

  12. Effect of various tooth whitening modalities on microhardness, surface roughness and surface morphology of the enamel.

    Science.gov (United States)

    Kwon, So Ran; Kurti, Steven R; Oyoyo, Udochukwu; Li, Yiming

    2015-09-01

    The purpose of this study was to evaluate the effect of four whitening modalities on surface enamel as assessed with microhardness tester, profilometer, and scanning electron microscopy (SEM). Whitening was performed according to manufacturer's directions for over-the-counter (OTC), dentist dispensed for home use (HW) and in-office (OW) whitening. Do-it-yourself (DIY) whitening consisted of a strawberry and baking soda mix. Additionally, negative and positive controls were used. A total of 120 enamel specimens were used for microhardness testing at baseline and post-whitening. Following microhardness testing specimens were prepared for SEM observations. A total of 120 enamel specimens were used for surface roughness testing at baseline and post-whitening (n = 20 per group). Rank-based Analysis of Covariance was performed to compare microhardness and surface roughness changes. Tests of hypotheses were two-sided with α = 0.05. There was a significant difference in Knoop hardness changes (ΔKHN) among the groups (Kruskal-Wallis test, p whitening modalities-DIY, OTC, HW and OW induced minimal surface morphology changes when observed with SEM. It can be concluded that none of the four whitening modalities adversely affected enamel surface morphology. However, caution should be advised when using a DIY regimen as it may affect enamel microhardness and an OTC product as it has the potential to increase surface roughness.

  13. Wave scattering from statistically rough surfaces

    CERN Document Server

    Bass, F G; ter Haar, D

    2013-01-01

    Wave Scattering from Statistically Rough Surfaces discusses the complications in radio physics and hydro-acoustics in relation to wave transmission under settings seen in nature. Some of the topics that are covered include radar and sonar, the effect of variations in topographic relief or ocean waves on the transmission of radio and sound waves, the reproduction of radio waves from the lower layers of the ionosphere, and the oscillations of signals within the earth-ionosphere waveguide. The book begins with some fundamental idea of wave transmission theory and the theory of random processes a

  14. A contact mechanics model for ankle implants with inclusion of surface roughness effects

    International Nuclear Information System (INIS)

    Hodaei, M; Farhang, K; Maani, N

    2014-01-01

    Total ankle replacement is recognized as one of the best procedures to treat painful arthritic ankles. Even though this method can relieve patients from pain and reproduce the physiological functions of the ankle, an improper design can cause an excessive amount of metal debris due to wear, causing toxicity in implant recipient. This paper develops a contact model to treat the interaction of tibia and talus implants in an ankle joint. The contact model describes the interaction of implant rough surfaces including both elastic and plastic deformations. In the model, the tibia and the talus surfaces are viewed as macroscopically conforming cylinders or conforming multi-cylinders containing micrometre-scale roughness. The derived equations relate contact force on the implant and the minimum mean surface separation of the rough surfaces. The force is expressed as a statistical integral function of asperity heights over the possible region of interaction of the roughness of the tibia and the talus implant surfaces. A closed-form approximate equation relating contact force and minimum separation is used to obtain energy loss per cycle in a load–unload sequence applied to the implant. In this way implant surface statistics are related to energy loss in the implant that is responsible for internal void formation and subsequent wear and its harmful toxicity to the implant recipient. (paper)

  15. A contact mechanics model for ankle implants with inclusion of surface roughness effects

    Science.gov (United States)

    Hodaei, M.; Farhang, K.; Maani, N.

    2014-02-01

    Total ankle replacement is recognized as one of the best procedures to treat painful arthritic ankles. Even though this method can relieve patients from pain and reproduce the physiological functions of the ankle, an improper design can cause an excessive amount of metal debris due to wear, causing toxicity in implant recipient. This paper develops a contact model to treat the interaction of tibia and talus implants in an ankle joint. The contact model describes the interaction of implant rough surfaces including both elastic and plastic deformations. In the model, the tibia and the talus surfaces are viewed as macroscopically conforming cylinders or conforming multi-cylinders containing micrometre-scale roughness. The derived equations relate contact force on the implant and the minimum mean surface separation of the rough surfaces. The force is expressed as a statistical integral function of asperity heights over the possible region of interaction of the roughness of the tibia and the talus implant surfaces. A closed-form approximate equation relating contact force and minimum separation is used to obtain energy loss per cycle in a load-unload sequence applied to the implant. In this way implant surface statistics are related to energy loss in the implant that is responsible for internal void formation and subsequent wear and its harmful toxicity to the implant recipient.

  16. Effect of surface roughness variation on the transmission characteristics of D-shaped fibers with ambient index change

    International Nuclear Information System (INIS)

    Kim, Hyun-Joo; Kwon, Oh-Jang; Han, Young-Geun

    2010-01-01

    The influence of surface roughness on the sensitivity of D-shaped fibers to changes in the ambient index was investigated. In order to obtain D-shaped fibers with different surface roughness, we polished one side of the fibers by using different abrasive grits. The topographies of the surfaces of the polished D-shaped fibers were then observed by using atomic force microscopy (AFM). The light scattered from the rough surfaces of the D-shaped fibers was measured by using optical microscopy. The effect of an ambient index change on the transmission characteristics of D-shaped fibers was measured for various values of the surface roughness. The experimental results indicate that variations in the surface roughness have a considerable influence on the sensitivity of the transmission characteristics of D-shaped fibers to changes in the ambient index.

  17. Surface roughness of polyvinyl siloxane impression materials following chemical disinfection, autoclave and microwave sterilization.

    Science.gov (United States)

    Al Kheraif, Abdulaziz Abdullah

    2013-05-01

    Autoclave sterilization and microwave sterilization has been suggested as the effective methods for the disinfection of elastomeric impressions, but subjecting elastomeric impressions to extreme temperature may have adverse effects on critical properties of the elastomers. To evaluate the effect of chemical disinfection as well as autoclave and microwave sterilization on the surface roughness of elastomeric impression materials. The surface roughness of five commercially available polyvinyl siloxane impression materials (Coltene President, Affinis Perfect impression, Aquasil, 3M ESPE Express and GC Exafast) were evaluated after subjecting them to chemical disinfection, autoclaving and microwave sterilization using a Talysurf Intra 50 instrument. Twenty specimens from each material were fabricated and divided into four equal groups, three experimental and one control (n=25). The differences in the mean surface roughness between the treatment groups were recorded and statistically analyzed. No statistically significant increase in the surface roughness was observed when the specimens were subjected to chemical disinfection and autoclave sterilization, increase in roughness and discoloration was observed in all the materials when specimens were subjected to microwave sterilization. Chemical disinfection did not have a significant effect but, since it is less effective, autoclave sterilization can be considered effective and autoclaving did not show any specimen discoloration as in microwave sterilization. Microwave sterilization may be considered when impressions are used to make diagnostic casts. A significant increase in surface roughness may produce rougher casts, resulting in rougher tissue surfaces for denture and cast restorations. Autoclave sterilization of vinyl polysiloxane elastomeric impressions for 5 minutes at 134°C at 20 psi may be considered an effective method over chemical disinfection and microwave sterilization, because chemical disinfection does

  18. 4He adsorption and third-sound propagation on rough CaF2 surfaces

    International Nuclear Information System (INIS)

    Herrmann, J.C.; Hallock, R.B.

    2003-01-01

    We have investigated the propagation of third sound on well characterized rough CaF 2 surfaces as a function of 4 He film thickness. In addition we have measured the adsorption of 4 He to the CaF 2 surfaces using quartz crystal microbalances. We report values for the superfluid depletion thickness D for the three surfaces examined here. A model for the reduction of the third-sound speed due to the increased helium adsorption on rough CaF 2 is explored

  19. 3D Imaging of Dielectric Objects Buried under a Rough Surface by Using CSI

    Directory of Open Access Journals (Sweden)

    Evrim Tetik

    2015-01-01

    Full Text Available A 3D scalar electromagnetic imaging of dielectric objects buried under a rough surface is presented. The problem has been treated as a 3D scalar problem for computational simplicity as a first step to the 3D vector problem. The complexity of the background in which the object is buried is simplified by obtaining Green’s function of its background, which consists of two homogeneous half-spaces, and a rough interface between them, by using Buried Object Approach (BOA. Green’s function of the two-part space with planar interface is obtained to be used in the process. Reconstruction of the location, shape, and constitutive parameters of the objects is achieved by Contrast Source Inversion (CSI method with conjugate gradient. The scattered field data that is used in the inverse problem is obtained via both Method of Moments (MoM and Comsol Multiphysics pressure acoustics model.

  20. Comparison of different polishing methods on the surface roughness of microhybrid, microfill, and nanofill composite resins.

    Science.gov (United States)

    Moda, Mariana D; Godas, André Gustavo de L; Fernandes, Juliana C; Suzuki, Thaís Y U; Guedes, Ana Paula A; Briso, André L F; Bedran-Russo, Ana Karina; Dos Santos, Paulo H

    2018-02-01

    The aim of the present study was to evaluate the effect of different polishing methods on the surface roughness of resin-based composites subjected to a thermocycling procedure. A total of 192 specimens were divided into 24 groups, according to composite materials (Filtek Z250, Point 4, Renamel Nanofill, Filtek Supreme Plus, Renamel Microfill, and Premise) and finishing and polishing systems (Sof-Lex Pop On, Super Snap, Flexidisc, and Flexidisc+Enamelize). The specimens were subjected to thermocycling (5000 cycles). Filtek Supreme Plus showed the lowest surface roughness values before thermocycling. After thermocycling, Filtek Supreme Plus continued to have the lowest surface roughness, with a statistically-significant difference for the other materials. After thermocycling, there was no statistically-significant difference among all the polishing techniques studied. The thermocycling was concluded as being able to change composite resins' surface roughness, whereas different finishing and polishing methods did not result in surface roughness changes after thermocycling. © 2017 John Wiley & Sons Australia, Ltd.

  1. Morphology, surface roughness, electron inelastic and quasi-elastic scattering in elastic peak electron spectroscopy of polymers

    International Nuclear Information System (INIS)

    Lesiak, B.; Kosinski, A.; Nowakowski, R.; Koever, L.; Toth, J.; Varga, D.; Cserny, I.; Sulyok, A.; Gergely, G.

    2006-01-01

    Complete text of publication follows. Elastic peak electron spectroscopy (EPES) deals with the interaction of electrons with atoms of a solid surface, studying the distribution of electrons backscattered elastically. The nearest vicinity of the elastic peak, (low kinetic energy region) reflects both, electron inelastic and quasi-elastic processes. The incident electrons produce surface excitations, inducing surface plasmons with the corresponding loss peaks separated by 1 - 20 eV energy from the elastic peak. Quasi-elastic losses result from the recoil of scattering atoms of different atomic number, Z. The respective energy shift and Doppler broadening of the elastic peak depend on Z, the primary electron energy, E, and the measurement geometry. Quantitative surface analytical application of EPES, such as determination of parameters describing electron transport, requires a comparison of experimental data with corresponding data derived from Monte Carlo (MC) simulation. Several problems occur in EPES studies of polymers. The intensity of elastic peak, considered in quantitative surface analysis, is influenced by both, the inelastic and quasi-elastic scattering processes (especially for hydrogen scattering atoms and primary electron energy above 1000 eV). An additional factor affecting the elastic peak intensity is the surface morphology and roughness. The present work compares the effect of these factors on the elastic peak intensity for selected polymers (polyethylene, polyaniline and polythiophenes). X-ray photoelectron spectroscopy (XPS) and helium pycnometry are applied for deriving the surface atomic composition and the bulk density, while scanning electron microscopy (SEM) and atomic force microscopy (AFM) for determining surface morphology and roughness. According to presented results, the influence of surface morphology and roughness is larger than those of surface excitations or recoil of hydrogen atoms. The component due to recoil of hydrogen atoms can be

  2. Stick-slip friction of gecko-mimetic flaps on smooth and rough surfaces.

    Science.gov (United States)

    Das, Saurabh; Cadirov, Nicholas; Chary, Sathya; Kaufman, Yair; Hogan, Jack; Turner, Kimberly L; Israelachvili, Jacob N

    2015-03-06

    The discovery and understanding of gecko 'frictional-adhesion' adhering and climbing mechanism has allowed researchers to mimic and create gecko-inspired adhesives. A few experimental and theoretical approaches have been taken to understand the effect of surface roughness on synthetic adhesive performance, and the implications of stick-slip friction during shearing. This work extends previous studies by using a modified surface forces apparatus to quantitatively measure and model frictional forces between arrays of polydimethylsiloxane gecko footpad-mimetic tilted microflaps against smooth and rough glass surfaces. Constant attachments and detachments occur between the surfaces during shearing, as described by an avalanche model. These detachments ultimately result in failure of the adhesion interface and have been characterized in this study. Stick-slip friction disappears with increasing velocity when the flaps are sheared against a smooth silica surface; however, stick-slip was always present at all velocities and loads tested when shearing the flaps against rough glass surfaces. These results demonstrate the significance of pre-load, shearing velocity, shearing distances, commensurability and shearing direction of gecko-mimetic adhesives and provide us a simple model for analysing and/or designing such systems. © 2015 The Author(s) Published by the Royal Society. All rights reserved.

  3. The influence of surface roughness on cloud cavitation flow around hydrofoils

    Science.gov (United States)

    Hao, Jiafeng; Zhang, Mindi; Huang, Xu

    2018-02-01

    The aim of this study is to investigate experimentally the effect of surface roughness on cloud cavitation around Clark-Y hydrofoils. High-speed video and particle image velocimetry (PIV) were used to obtain cavitation patterns images (Prog. Aerosp. Sci. 37: 551-581, 2001), as well as velocity and vorticity fields. Results are presented for cloud cavitating conditions around a Clark-Y hydrofoil fixed at angle of attack of α =8{°} for moderate Reynolds number of Re=5.6 × 105. The results show that roughness had a great influence on the pattern, velocity and vorticity distribution of cloud cavitation. For cavitating flow around a smooth hydrofoil (A) and a rough hydrofoil (B), cloud cavitation occurred in the form of finger-like cavities and attached subulate cavities, respectively. The period of cloud cavitation around hydrofoil A was shorter than for hydrofoil B. Surface roughness had a great influence on the process of cloud cavitation. The development of cloud cavitation around hydrofoil A consisted of two stages: (1) Attached cavities developed along the surface to the trailing edge; (2) A reentrant jet developed, resulting in shedding and collapse of cluster bubbles or vortex structure. Meanwhile, its development for hydrofoil B included three stages: (1) Attached cavities developed along the surface to the trailing edge, with accumulation and rotation of bubbles at the trailing edge of the hydrofoil affecting the flow field; (2) Development of a reentrant jet resulted in the first shedding of cavities. Interaction and movement of flows from the pressure side and suction side brought liquid water from the pressure side to the suction side of the hydrofoil, finally forming a reentrant jet. The jet kept moving along the surface to the leading edge of the hydrofoil, resulting in large-scale shedding of cloud bubbles. Several vortices appeared and dissipated during the process; (3) Cavities grew and shed again.

  4. Influence of surface mechanical activation of the X40Cr13 steel on roughness after ion and gas nitriding

    International Nuclear Information System (INIS)

    Jasinski, J.; Wojtal, A.; Jeziorski, L.; Radecki, A.; Ucieklak, S.

    2003-01-01

    The article describes the problem of the thermal and mechanical activation of the surface of the X40Cr13 steel on the state of the ion and gas nitriding. in order to determine the nitriding influence and make the analysis of results, the steel was subjected to: soft annealing, hardening with subsequent tempering at T = 550 o C and also mechanical activation of the surface consisting in peripheral grinding with abrasive papers of the grain size 60, 360, 1000 and mechanical polishing. The main aim of this work was to establish the influence of different surface geometrical structure, depending on X40Cr13 steel structure, on the roughness profile after ion and gas nitriding. With regard to the above, the examinations of basic roughness parameters prior to and after thermochemical processes and the analysis of utilitarian usefulness of activations applied were carried out. (author)

  5. Quantitative evaluation of sputtering induced surface roughness and its influence on AES depth profiles of polycrystalline Ni/Cu multilayer thin films

    Energy Technology Data Exchange (ETDEWEB)

    Yan, X.L.; Coetsee, E. [Department of Physics, University of the Free State, P O Box 339, Bloemfontein, ZA9300 (South Africa); Wang, J.Y., E-mail: wangjy@stu.edu.cn [Department of Physics, Shantou University, 243 Daxue Road, Shantou, 515063, Guangdong (China); Swart, H.C., E-mail: swartHC@ufs.ac.za [Department of Physics, University of the Free State, P O Box 339, Bloemfontein, ZA9300 (South Africa); Terblans, J.J., E-mail: terblansjj@ufs.ac.za [Department of Physics, University of the Free State, P O Box 339, Bloemfontein, ZA9300 (South Africa)

    2017-07-31

    Highlights: • Linear Least Square (LLS) method used to separate Ni and Cu Auger spectra. • The depth-dependent ion sputtering induced roughness was quantitatively evaluated. • The depth resolution better when profiling with dual-ion beam vs. a single-ion beam. • AES depth profiling with a lower ion energy results in a better depth resolution. - Abstract: The polycrystalline Ni/Cu multilayer thin films consisting of 8 alternating layers of Ni and Cu were deposited on a SiO{sub 2} substrate by means of electron beam evaporation in a high vacuum. Concentration-depth profiles of the as-deposited multilayered Ni/Cu thin films were determined with Auger electron spectroscopy (AES) in combination with Ar{sup +} ion sputtering, under various bombardment conditions with the samples been stationary as well as rotating in some cases. The Mixing-Roughness-Information depth (MRI) model used for the fittings of the concentration-depth profiles accounts for the interface broadening of the experimental depth profiling. The interface broadening incorporates the effects of atomic mixing, surface roughness and information depth of the Auger electrons. The roughness values extracted from the MRI model fitting of the depth profiling data agrees well with those measured by atomic force microscopy (AFM). The ion sputtering induced surface roughness during the depth profiling was accordingly quantitatively evaluated from the fitted MRI parameters with sample rotation and stationary conditions. The depth resolutions of the AES depth profiles were derived directly from the values determined by the fitting parameters in the MRI model.

  6. Rough surface mitigates electron and gas emission

    International Nuclear Information System (INIS)

    Molvik, A.

    2004-01-01

    Heavy-ion beams impinging on surfaces near grazing incidence (to simulate the loss of halo ions) generate copious amounts of electrons and gas that can degrade the beam. We measured emission coefficients of η e (le) 130 and η 0 ∼ 10 4 respectively, with 1 MeV K + incident on stainless steel. Electron emission scales as η e ∝ 1/cos(θ), where θ is the ion angle of incidence relative to normal. If we were to roughen a surface by blasting it with glass beads, then ions that were near grazing incidence (90 o ) on smooth surface would strike the rims of the micro-craters at angles closer to normal incidence. This should reduce the electron emission: the factor of 10 reduction, Fig. 1(a), implies an average angle of incidence of 62 o . Gas desorption varies more slowly with θ (Fig. 1(b)) decreasing a factor of ∼2, and along with the electron emission is independent of the angle of incidence on a rough surface. In a quadrupole magnet, electrons emitted by lost primary ions are trapped near the wall by the magnetic field, but grazing incidence ions can backscatter and strike the wall a second time at an azimuth where magnetic field lines intercept the beam. Then, electrons can exist throughout the beam (see the simulations of Cohen, HIF News 1-2/04). The SRIM (TRIM) Monte Carlo code predicts that 60-70% of 1 MeV K + ions backscatter when incident at 88-89 o from normal on a smooth surface. The scattered ions are mostly within ∼10 o of the initial direction but a few scatter by up to 90 o . Ion scattering decreases rapidly away from grazing incidence, Fig. 1(c ). At 62 deg. the predicted ion backscattering (from a rough surface) is 3%, down a factor of 20 from the peak, which should significantly reduce electrons in the beam from lost halo ions. These results are published in Phys. Rev. ST - Accelerators and Beams

  7. Estimation of scattering from a moist rough surface with spheroidal ...

    Indian Academy of Sciences (India)

    Administrator

    less than 5⋅5% of the magnetic wavelength. We empha- size that the surface deviation is responsible for scattering at a given electromagnetic wavelength. 2. Theoretical consideration (basic theory). We consider a horizontally rough surface with slight per- centage of moisture (2–4⋅5%) with spheroidal dust parti- cles.

  8. Implementation and applications of a finite-element model for the contact between rough surfaces

    DEFF Research Database (Denmark)

    Poulios, Konstantinos; Klit, Peder

    2013-01-01

    Due to the rough nature of real mechanical surfaces, the contact between elastic bodies occurs at several size-scales. Statistical and fractal contact models can take a wide range of roughness wavelengths into account, without additional computational cost. However, deterministic models are more ...... in the examples. Among the presented results one can find the distribution of the contact pressure at the interface and diagrams of the real area of contact as a function of the nominal contact pressure. © 2013 Elsevier B.V.......Due to the rough nature of real mechanical surfaces, the contact between elastic bodies occurs at several size-scales. Statistical and fractal contact models can take a wide range of roughness wavelengths into account, without additional computational cost. However, deterministic models are more...... straightforward to understand and easier to extend to more complex cases like contacting bodies that demonstrate elasto-plastic behavior. This paper presents a finite-element model for studying the frictionless contact between nominally flat rough surfaces. Apart from a description of the model implementation...

  9. Influence of the surface roughness on the fatigue properties in ausferritic ductile irons (ADI

    Directory of Open Access Journals (Sweden)

    Svenningsson Roger

    2014-06-01

    Full Text Available Heat treatment of cast ductile iron (DI to ausferritic ductile iron (ADI is known to increase fatigue properties. However, the surface roughness of the cast material is also of significant importance. In this investigation, test rods with seven different surface qualities were cast from the same melt i.e. with same chemical composition. The surfaces of the test rods were varied by a number of parameters; grain size of the moulding sand, coated or non-coated mould surfaces, as-cast or machined and polished, shot peened or not. In addition, a reference material in conventional DI was cast and tested. All eight series were subjected to high-cycle fatigue bending tests. The results show that surface defects, such as micro porosity and minor inclusions drastically decrease the fatigue properties. For some ADI materials the stress amplitude limit was actually lower compared to the non-heat treated DI. The machined, polished and shot-peened material demonstrated the best fatigue properties, which is as expected.

  10. Rough Electrode Creates Excess Capacitance in Thin-Film Capacitors.

    Science.gov (United States)

    Torabi, Solmaz; Cherry, Megan; Duijnstee, Elisabeth A; Le Corre, Vincent M; Qiu, Li; Hummelen, Jan C; Palasantzas, George; Koster, L Jan Anton

    2017-08-16

    The parallel-plate capacitor equation is widely used in contemporary material research for nanoscale applications and nanoelectronics. To apply this equation, flat and smooth electrodes are assumed for a capacitor. This essential assumption is often violated for thin-film capacitors because the formation of nanoscale roughness at the electrode interface is very probable for thin films grown via common deposition methods. In this work, we experimentally and theoretically show that the electrical capacitance of thin-film capacitors with realistic interface roughness is significantly larger than the value predicted by the parallel-plate capacitor equation. The degree of the deviation depends on the strength of the roughness, which is described by three roughness parameters for a self-affine fractal surface. By applying an extended parallel-plate capacitor equation that includes the roughness parameters of the electrode, we are able to calculate the excess capacitance of the electrode with weak roughness. Moreover, we introduce the roughness parameter limits for which the simple parallel-plate capacitor equation is sufficiently accurate for capacitors with one rough electrode. Our results imply that the interface roughness beyond the proposed limits cannot be dismissed unless the independence of the capacitance from the interface roughness is experimentally demonstrated. The practical protocols suggested in our work for the reliable use of the parallel-plate capacitor equation can be applied as general guidelines in various fields of interest.

  11. Transition Experiments on Large Bluntness Cones with Distributed Roughness in Hypersonic Flight

    Science.gov (United States)

    Reda, Daniel. C.; Wilder, Michael C.; Prabhu, Dinesh K.

    2012-01-01

    Large bluntness cones with smooth nosetips and roughened frusta were flown in the NASA Ames hypersonic ballistic range at a Mach number of 10 through quiescent air environments. Global surface intensity (temperature) distributions were optically measured and analyzed to determine transition onset and progression over the roughened surface. Real-gas Navier-Stokes calculations of model flowfields, including laminar boundary layer development in these flowfields, were conducted to predict values of key dimensionless parameters used to correlate transition on such configurations in hypersonic flow. For these large bluntness cases, predicted axial distributions of the roughness Reynolds number showed (for each specified freestream pressure) that this parameter was a maximum at the physical beginning of the roughened zone and decreased with increasing run length along the roughened surface. Roughness-induced transition occurred downstream of this maximum roughness Reynolds number location, and progressed upstream towards the beginning of the roughened zone as freestream pressure was systematically increased. Roughness elements encountered at the upstream edge of the roughened frusta thus acted like a finite-extent trip array, consistent with published results concerning the tripping effectiveness of roughness bands placed on otherwise smooth surfaces.

  12. Colloidal CuInSe2 nanocrystals thin films of low surface roughness

    Science.gov (United States)

    de Kergommeaux, Antoine; Fiore, Angela; Faure-Vincent, Jérôme; Pron, Adam; Reiss, Peter

    2013-03-01

    Thin-film processing of colloidal semiconductor nanocrystals (NCs) is a prerequisite for their use in (opto-)electronic devices. The commonly used spin-coating is highly materials consuming as the overwhelming amount of deposited matter is ejected from the substrate during the spinning process. Also, the well-known dip-coating and drop-casting procedures present disadvantages in terms of the surface roughness and control of the film thickness. We show that the doctor blade technique is an efficient method for preparing nanocrystal films of controlled thickness and low surface roughness. In particular, by optimizing the deposition conditions, smooth and pinhole-free films of 11 nm CuInSe2 NCs have been obtained exhibiting a surface roughness of 13 nm root mean square (rms) for a 350 nm thick film, and less than 4 nm rms for a 75 nm thick film. Invited talk at the 6th International Workshop on Advanced Materials Science and Nanotechnology, 30 October-2 November 2012, Ha Long, Vietnam.

  13. Scaling of surface roughness in sputter-deposited ZnO:Al thin films

    International Nuclear Information System (INIS)

    Mohanty, Bhaskar Chandra; Choi, Hong-Rak; Cho, Yong Soo

    2009-01-01

    We have studied surface roughness scaling of ZnO:Al thin films grown by rf magnetron sputtering of a compound target within framework of the dynamic scaling theory using atomic force microscopy. We have observed a crossover in scaling behavior of surface roughness at a deposition time of 25 min. Both the regimes are characterized by power-law dependence of local surface width w(r,t) on deposition time for small r, typical of anomalous scaling. The scaling exponents for the first regime indicate the existence of a new dynamics. For t≥25 min, the films follow super-rough scaling behavior with global exponents α=1.5±0.2 and β=1.03±0.01, and local exponents α local =1 and β local =0.67±0.05. The anomaly in the scaling behavior of the films is discussed in terms of the shadowing instability and bombardment of energetic particles during growth of the films.

  14. A study on the contact angles of a water droplet on smooth and rough solid surfaces

    International Nuclear Information System (INIS)

    Park, Ju Young; Ha, Man Yeong; Choi, Ho Jin; Hong, Seung Do; Yoon, Hyun Sik

    2011-01-01

    We investigated the wetting characteristics such as contact angle, wetting radius and topography of water droplets on smooth and random solid surfaces. Molecular dynamic simulation is employed to analyze the wetting behavior of water droplets on smooth and rough surfaces by considering different potential energy models of bond, angle, Lennard-Jones and Coulomb to calculate the interacting forces between water molecules. The Lennard-Jones potential energy model is adopted as an interaction model between water molecules and solid surface atoms. The randomly rough surface is generated by changing the standard deviation of roughness height from 1 A to 3 A with the fixed autocorrelation length. The size of water droplet considered is in the range from 2,000 to 5,000 molecules. The contact angles increase generally with increasing number of water molecules. For a hydrophobic surface whose characteristic energy is 0.1 kcal/mol, the contact angles depend rarely on the standard deviation of the roughness height. However, when the surface energy is 0.5 and 1.0 kcal/mol, the contact angles depend on both the roughness height of surfaces and droplet size

  15. Mapping gullies, dunes, lava fields, and landslides via surface roughness

    Science.gov (United States)

    Korzeniowska, Karolina; Pfeifer, Norbert; Landtwing, Stephan

    2018-01-01

    Gully erosion is a widespread and significant process involved in soil and land degradation. Mapping gullies helps to quantify past, and anticipate future, soil losses. Digital terrain models offer promising data for automatically detecting and mapping gullies especially in vegetated areas, although methods vary widely measures of local terrain roughness are the most varied and debated among these methods. Rarely do studies test the performance of roughness metrics for mapping gullies, limiting their applicability to small training areas. To this end, we systematically explored how local terrain roughness derived from high-resolution Light Detection And Ranging (LiDAR) data can aid in the unsupervised detection of gullies over a large area. We also tested expanding this method for other landforms diagnostic of similarly abrupt land-surface changes, including lava fields, dunes, and landslides, as well as investigating the influence of different roughness thresholds, resolutions of kernels, and input data resolution, and comparing our method with previously published roughness algorithms. Our results show that total curvature is a suitable metric for recognising analysed gullies and lava fields from LiDAR data, with comparable success to that of more sophisticated roughness metrics. Tested dunes or landslides remain difficult to distinguish from the surrounding landscape, partly because they are not easily defined in terms of their topographic signature.

  16. Diversity of dermal denticle structure in sharks: Skin surface roughness and three-dimensional morphology.

    Science.gov (United States)

    Ankhelyi, Madeleine V; Wainwright, Dylan K; Lauder, George V

    2018-05-29

    Shark skin is covered with numerous placoid scales or dermal denticles. While previous research has used scanning electron microscopy and histology to demonstrate that denticles vary both around the body of a shark and among species, no previous study has quantified three-dimensional (3D) denticle structure and surface roughness to provide a quantitative analysis of skin surface texture. We quantified differences in denticle shape and size on the skin of three individual smooth dogfish sharks (Mustelus canis) using micro-CT scanning, gel-based surface profilometry, and histology. On each smooth dogfish, we imaged between 8 and 20 distinct areas on the body and fins, and obtained further comparative skin surface data from leopard, Atlantic sharpnose, shortfin mako, spiny dogfish, gulper, angel, and white sharks. We generated 3D images of individual denticles and measured denticle volume, surface area, and crown angle from the micro-CT scans. Surface profilometry was used to quantify metrology variables such as roughness, skew, kurtosis, and the height and spacing of surface features. These measurements confirmed that denticles on different body areas of smooth dogfish varied widely in size, shape, and spacing. Denticles near the snout are smooth, paver-like, and large relative to denticles on the body. Body denticles on smooth dogfish generally have between one and three distinct ridges, a diamond-like surface shape, and a dorsoventral gradient in spacing and roughness. Ridges were spaced on average 56 µm apart, and had a mean height of 6.5 µm, comparable to denticles from shortfin mako sharks, and with narrower spacing and lower heights than other species measured. We observed considerable variation in denticle structure among regions on the pectoral, dorsal, and caudal fins, including a leading-to-trailing edge gradient in roughness for each region. Surface roughness in smooth dogfish varied around the body from 3 to 42 microns. © 2018 Wiley Periodicals, Inc.

  17. Solvent effect on polystyrene surface roughness on top of QCM sensor

    Energy Technology Data Exchange (ETDEWEB)

    Sakti, Setyawan P., E-mail: sakti@ub.ac.id; Rahmawati, Eka; Robiandi, Fadli [Advanced System and Material Technology, Laboratory of Instrumentation and Measurement Department of Physics, Brawijaya University (Indonesia)

    2016-03-11

    Quartz Crystal Microbalance (QCM) has been used as a basis for many chemical sensors and biosensor. Its sensitivity to mass change which can detect a mass change on its surface down to sub ng/cm2 is one of its interesting aspects. Another interesting feature is its ability to work in liquid environment. However, there are many aspects which influence QCM sensor properties in contact with liquid. One of the aspects is surface roughness of the matrix layer where on top of it a biological sensitive layer will be immobilized. One of matrix layers in the immobilizing biological sensitive layer was polystyrene. Polystyrene was coated on the QCM sensor by using the spin coating method. During the coating process, polystyrene was solved using non-polar solvent. It is known that the physical and chemical properties of the solvent affect a transition process from soluble polymer becoming rigid polymer layer. In this work, we show that polystyrene solved in chloroform has a higher surface roughness compare to one solved in toluene, xylene, or tetrahydrofuran. Surface roughness of the polystyrene coating were measured using a non-contact profilometer. However, we also found that there is no difference on the electrical impedance of the QCM sensor coated with polystyrene resulted from differing solvent when the sensor was in contact with air and water. Thus, all of the mentioned solvent can be used to solve the polystyrene as a coating material for QCM sensor without affecting the electrical performance of the sensor, but the choice of the solution can be used as a simple method to control the difference roughness of the polystyrene coating.

  18. Surface forces between rough and topographically structured interfaces

    DEFF Research Database (Denmark)

    Thormann, Esben

    2017-01-01

    Within colloidal science, direct or indirect measurements of surface forces represent an important tool for developing a fundamental understanding of colloidal systems, as well as for predictions of the stability of colloidal suspensions. While the general understanding of colloidal interactions...... and manufactured materials, which possess topographical variations. Further, with technological advances in nanotechnology, fabrication of nano- or micro-structured surfaces has become increasingly important for many applications, which calls for a better understanding of the effect of surface topography...... on the interaction between interfaces. This paper presents a review of the current state of understanding of the effect of surface roughness on DLVO forces, as well as on the interactions between topographically structured hydrophobic surfaces in water. While the first case is a natural choice because it represents...

  19. SECONDARY EMISSION FROM NON-SPHERICAL DUST GRAINS WITH ROUGH SURFACES: APPLICATION TO LUNAR DUST

    International Nuclear Information System (INIS)

    Richterová, I.; Němeček, Z.; Beránek, M.; Šafránková, J.; Pavlů, J.

    2012-01-01

    Electrons impinging on a target can release secondary electrons and/or they can be scattered out of the target. It is well established that the number of escaping electrons per primary electron depends on the target composition and dimensions, the energy, and incidence angle of the primary electrons, but there are suggestions that the target's shape and surface roughness also influence the secondary emission. We present a further modification of the model of secondary electron emission from dust grains which is applied to non-spherical grains and grains with defined surface roughness. It is shown that the non-spherical grains give rise to a larger secondary electron yield, whereas the surface roughness leads to a decrease in the yield. Moreover, these effects can be distinguished: the shape effect is prominent for high primary energies, whereas the surface roughness predominantly affects the yield at the low-energy range. The calculations use the Lunar Highlands Type NU-LHT-2M simulant as a grain material and the results are compared with previously published laboratory and in situ measurements.

  20. Tailoring the Wettability of Colloidal Particles for Pickering Emulsions via Surface Modification and Roughness

    Directory of Open Access Journals (Sweden)

    Meina Xiao

    2018-06-01

    Full Text Available Pickering emulsions are water or oil droplets that are stabilized by colloidal particles and have been intensely studied since the late 90s. The surfactant-free nature of these emulsions has little adverse effects such as irritancy and contamination of environment and typically exhibit enhanced stability compared to surfactant-stabilized emulsions. Therefore, they offer promising applications in cosmetics, food science, controlled release, and the manufacturing of microcapsules and porous materials. The wettability of the colloidal particles is the main parameter determining the formation and stability of Pickering emulsions. Tailoring the wettability by surface chemistry or surface roughness offers considerable scope for the design of a variety of hybrid nanoparticles that may serve as novel efficient Pickering emulsion stabilizers. In this review, we will discuss the recent advances in the development of surface modification of nanoparticles.

  1. Comparison of surface roughness and chip characteristics obtained under different modes of lubrication during hard turning of AISI H13 tool work steel.

    Science.gov (United States)

    Raj, Anil; Wins, K. Leo Dev; Varadarajan, A. S.

    2016-09-01

    Surface roughness is one of the important parameters, which not only affects the service life of a component but also serves as a good index of machinability. Near Dry Machining, methods (NDM) are considered as sustainable alternative for workshops trying to bring down their dependence on cutting fluids and the hazards associated with their indiscriminate usage. The present work presents a comparison of the surface roughness and chip characteristics during hard turning of AISI H13 tool work steel using hard metal inserts under two popular NDM techniques namely the minimal fluid application and the Minimum Quantity Lubrication technique(MQL) using an experiment designed based on Taguchi's techniques. The statistical method of analysis of variance (ANOVA) was used to determine the relative significance of input parameters consisting of cutting speed, feed and depth of cut on the attainable surface finish and the chip characteristics. It was observed that the performance during minimal fluid application was better than that during MQL application.

  2. Effects of surface roughness and vortex generators on the LS(1)-0417MOD airfoil

    Energy Technology Data Exchange (ETDEWEB)

    Reuss, R.L.; Hoffman, M.J.; Gregorek, G.M. [Ohio State Univ., Columbus, OH (United States)

    1995-12-01

    An 18-inch constant-chord model of the LS(l)-0417MOD airfoil section was tested under two dimensional steady state conditions ate University 7{times}10 Subsonic Wind Tunnel. The objective was to document section lift and moment characteristics model and air flow conditions. Surface pressure data was acquired at {minus}60{degrees} through + 230{degrees} geometric angles of attack, at a nominal 1 million Reynolds number. Cases with and without leading edge grit roughness were investigated. The leading edge mulated blade conditions in the field. Additionally, surface pressure data were acquired for Reynolds numbers of 1.5 and 2.0 million, with and without leading edge grit roughness; the angle of attack was limited to a {minus}20{degrees} to 40{degrees} range. In general, results showed lift curve slope sensitivities to Reynolds number and roughness. The maximum lift coefficient was reduced as much as 29% by leading edge roughness. Moment coefficient showed little sensitivity to roughness beyond 50{degrees} angle of attack, but the expected decambering effect of a thicker boundary layer with roughness did show at lower angles. Tests were also conducted with vortex generators located at the 30% chord location on the upper surface only, at 1 and 1.5 million Reynolds numbers, with and without leading edge grit roughness. In general, with leading edge grit roughness applied, the vortex generators restored 85 percent of the baseline level of maximum lift coefficient but with a more sudden stall break and at a higher angle of attack than the baseline.

  3. Effect of counterface roughness on the friction of bionic wall-shaped microstructures for gecko-like attachments.

    Science.gov (United States)

    Kasem, Haytam; Cohen, Yossi

    2017-08-04

    Hairy adhesive systems involved in gecko locomotion have drawn the interest of many researchers regarding the development of bionic solutions for fast and reversible adhesive technologies. To date, despite extensive efforts to design gecko-inspired adhesive surfaces, adhesion and friction capacities are often evaluated using smooth and rigid counterfaces, in general glass, whereas most natural and artificial surfaces inevitably have a certain level of roughness. For that reason, in this study experiments tested the effects of the substrate roughness on the friction of bionic wale-shaped microstructures for gecko-like attachments. To this end, 12 substrates with different isotropic roughness were prepared using the same Epoxy material. Friction force was measured under various normal loads. It was concluded that classical roughness parameters, considered separately, are not appropriate to explain roughness-related variations in friction force. This has led us to develop a new integrative roughness parameter that combines characteristics of the surface. The parameter is capable of classifying the obtained experimental results in a readable way. An analytical model based on the experimental results has been developed to predict the variation of the friction force as a function of counterface roughness and applied normal load.

  4. Electrostatic chuck consisting of polymeric electrostatic inductive fibers for handling of objects with rough surfaces

    International Nuclear Information System (INIS)

    Dhelika, Radon; Sawai, Kenji; Saito, Shigeki; Takahashi, Kunio; Takarada, Wataru; Kikutani, Takeshi

    2013-01-01

    An electrostatic chuck (ESC) is a type of reversible dry adhesive which clamps objects by means of electrostatic force. Currently an ESC is used only for objects having flat surfaces because the attractive force is reduced for rough surfaces. An ESC that can handle objects with rough surfaces will expand its applications to MEMS (micro electro mechanical system) or optical parts handling. An ESC consisting of compliant electrostatic inductive fibers which conform to the profile of the surface has been proposed for such use. This paper aims at furthering previous research by observing the attractive force/pressure generated, both theoretically and experimentally, through step-by-step fabrication and analysis. Additionally, how the proposed fiber ESC behaves toward rough surfaces is also observed. The attractive force/pressure of the fiber ESC is theoretically investigated using a robust mechano-electrostatic model. Subsequently, a prototype of the fiber ESC consisting of ten fibers arranged at an angle is employed to experimentally observe its attractive force/pressure for objects with rough surfaces. The attractive force of the surface which is modeled as a sinusoidal wave with various amplitudes is observed, through which the feasibility of a fiber ESC is justified. (paper)

  5. A fast Gaussian filtering algorithm for three-dimensional surface roughness measurements

    International Nuclear Information System (INIS)

    Yuan, Y B; Piao, W Y; Xu, J B

    2007-01-01

    The two-dimensional (2-D) Gaussian filter can be separated into two one-dimensional (1-D) Gaussian filters. The 1-D Gaussian filter can be implemented approximately by the cascaded Butterworth filters. The approximation accuracy will be improved with the increase of the number of the cascaded filters. A recursive algorithm for Gaussian filtering requires a relatively small number of simple mathematical operations such as addition, subtraction, multiplication, or division, so that it has considerable computational efficiency and it is very useful for three-dimensional (3-D) surface roughness measurements. The zero-phase-filtering technique is used in this algorithm, so there is no phase distortion in the Gaussian filtered mean surface. High-order approximation Gaussian filters are proposed for practical use to assure high accuracy of Gaussian filtering of 3-D surface roughness measurements

  6. A fast Gaussian filtering algorithm for three-dimensional surface roughness measurements

    Science.gov (United States)

    Yuan, Y. B.; Piao, W. Y.; Xu, J. B.

    2007-07-01

    The two-dimensional (2-D) Gaussian filter can be separated into two one-dimensional (1-D) Gaussian filters. The 1-D Gaussian filter can be implemented approximately by the cascaded Butterworth filters. The approximation accuracy will be improved with the increase of the number of the cascaded filters. A recursive algorithm for Gaussian filtering requires a relatively small number of simple mathematical operations such as addition, subtraction, multiplication, or division, so that it has considerable computational efficiency and it is very useful for three-dimensional (3-D) surface roughness measurements. The zero-phase-filtering technique is used in this algorithm, so there is no phase distortion in the Gaussian filtered mean surface. High-order approximation Gaussian filters are proposed for practical use to assure high accuracy of Gaussian filtering of 3-D surface roughness measurements.

  7. The influence of cooling techniques on cutting forces and surface roughness during cryogenic machining of titanium alloys

    Directory of Open Access Journals (Sweden)

    Wstawska Iwona

    2016-12-01

    Full Text Available Titanium alloys are one of the materials extensively used in the aerospace industry due to its excellent properties of high specific strength and corrosion resistance. On the other hand, they also present problems wherein titanium alloys are extremely difficult materials to machine. In addition, the cost associated with titanium machining is also high due to lower cutting velocities and shorter tool life. The main objective of this work is a comparison of different cooling techniques during cryogenic machining of titanium alloys. The analysis revealed that applied cooling technique has a significant influence on cutting force and surface roughness (Ra parameter values. Furthermore, in all cases observed a positive influence of cryogenic machining on selected aspects after turning and milling of titanium alloys. This work can be also the starting point to the further research, related to the analysis of cutting forces and surface roughness during cryogenic machining of titanium alloys.

  8. Ellipsometry of rough CdTe(211)B-Ge(211) surfaces grown by molecular beam epitaxy

    International Nuclear Information System (INIS)

    Badano, Giacomo; Ballet, Philippe; Zanatta, Jean-Paul; Baudry, Xavier; Million, Alain; Garland, James W.

    2006-01-01

    The effect of surface roughness on the ellipsometric response of semiconductor surfaces is investigated. CdTe(211)B layers were grown on Ge(211) by molecular beam epitaxy using less than optimal growth conditions to enhance the formation of surface roughness. Their optical properties, measured by rotating-compensator ellipsometry, showed small but significant sample-to-sample differences not explainable in terms of nanometer-scale roughness. A critical-point analysis established that the critical-point structure of the dielectric function was the same for all samples. This result suggested that the observed sample-to-sample variations were due to macroscopic roughness, which scatters off-specular light into the detector, thereby causing errors. We introduced tentative corrections for off-specular reflection that fitted the observed differences and thus supported the idea that off-specular reflection was responsible for the observed differences. These results were obtained using CdTe but are easily extensible to other rough opaque materials

  9. Optical scattering from rough-rolled aluminum surfaces.

    Science.gov (United States)

    Rönnelid, M; Adsten, M; Lindström, T; Nostell, P; Wäckelgård, E

    2001-05-01

    Bidirectional, angular resolved scatterometry was used to evaluate the feasibility of using rolled aluminum as reflectors in solar thermal collectors and solar cells. Two types of rolled aluminum with different surface roughnesses were investigated. The results show that the smoother of the two samples [rms height, (0.20 ? 0.02) mum] can be used as a nonimaging, concentrating reflector with moderate reflection losses compared with those of optically smooth aluminum reflectors. The sample with the rougher surface [rms height, (0.6 ? 0.1) mum] is not suitable as a concentrating element but can be used as planar reflectors. The orientation of the rolling grooves is then of importance for minimizing reflection losses in the system.

  10. Capillary-induced crack healing between surfaces of nanoscale roughness.

    Science.gov (United States)

    Soylemez, Emrecan; de Boer, Maarten P

    2014-10-07

    Capillary forces are important in nature (granular materials, insect locomotion) and in technology (disk drives, adhesion). Although well studied in equilibrium state, the dynamics of capillary formation merit further investigation. Here, we show that microcantilever crack healing experiments are a viable experimental technique for investigating the influence of capillary nucleation on crack healing between rough surfaces. The average crack healing velocity, v̅, between clean hydrophilic polycrystalline silicon surfaces of nanoscale roughness is measured. A plot of v̅ versus energy release rate, G, reveals log-linear behavior, while the slope |d[log(v̅)]/dG| decreases with increasing relative humidity. A simplified interface model that accounts for the nucleation time of water bridges by an activated process is developed to gain insight into the crack healing trends. This methodology enables us to gain insight into capillary bridge dynamics, with a goal of attaining a predictive capability for this important microelectromechanical systems (MEMS) reliability failure mechanism.

  11. Measurement of surface roughness changes of unpolished and polished enamel following erosion.

    Directory of Open Access Journals (Sweden)

    Francesca Mullan

    Full Text Available To determine if Sa roughness data from measuring one central location of unpolished and polished enamel were representative of the overall surfaces before and after erosion.Twenty human enamel sections (4x4 mm were embedded in bis-acryl composite and randomised to either a native or polishing enamel preparation protocol. Enamel samples were subjected to an acid challenge (15 minutes 100 mL orange juice, pH 3.2, titratable acidity 41.3mmol OH/L, 62.5 rpm agitation, repeated for three cycles. Median (IQR surface roughness [Sa] was measured at baseline and after erosion from both a centralised cluster and four peripheral clusters. Within each cluster, five smaller areas (0.04 mm2 provided the Sa roughness data.For both unpolished and polished enamel samples there were no significant differences between measuring one central cluster or four peripheral clusters, before and after erosion. For unpolished enamel the single central cluster had a median (IQR Sa roughness of 1.45 (2.58 μm and the four peripheral clusters had a median (IQR of 1.32 (4.86 μm before erosion; after erosion there were statistically significant reductions to 0.38 (0.35 μm and 0.34 (0.49 μm respectively (p<0.0001. Polished enamel had a median (IQR Sa roughness 0.04 (0.17 μm for the single central cluster and 0.05 (0.15 μm for the four peripheral clusters which statistically significantly increased after erosion to 0.27 (0.08 μm for both (p<0.0001.Measuring one central cluster of unpolished and polished enamel was representative of the overall enamel surface roughness, before and after erosion.

  12. Facile fabrication of superhydrophobic surfaces with low roughness on Ti–6Al–4V substrates via anodization

    Energy Technology Data Exchange (ETDEWEB)

    Gao, Yuze; Sun, Yuwen, E-mail: ywsun@dlut.edu.cn; Guo, Dongming

    2014-09-30

    Highlights: • A facile and efficient method for fabricating low-roughness superhydrophobic titanium alloy surfaces is successfully developed. • Formation mechanism of micro-scale pore structures built by a novel anodic oxidation is carefully analyzed. • The prepared superhydrophobic surface possesses good durability and abrasion resistance. - Abstract: The combination of suitable micro-scale structures and low surface energy modification plays a vital role in fabricating superhydrophobic surfaces on hydrophilic metal substrates. This work proposes a simple, facile and efficient method of fabricating superhydrophobic titanium alloy surfaces with low surface roughness. Complex micro-pore structures are generated on titanium alloy surfaces by anodic oxidation in the NaOH and H{sub 2}O{sub 2} mixed solution. Fluoroalkylsilane (FAS) is used to reduce the surface energy of the electrochemically oxidized surface. Scanning electron microscopy (SEM), energy-dispersive X-ray spectroscopy (EDS), X-ray diffraction (XRD), Zygogpi-xp6 (ZYGO) and contact angle measurements are performed to determine the morphological features, chemical composition, surface roughness and wettability. The formation mechanism of micro-scale rough structures, wettability of the superhydrophobic surfaces and the relationship between reaction time with wettability and roughness of the superhydrophobic surfaces are also analyzed in detail. The as-prepared titanium alloy surfaces not only show low roughness Ra = 0.669 μm and good superhydrophobicity with a water contact angle of 158.5° ± 1.9° as well as a water tilting angle of 5.3° ± 1.1°, but also possess good long-term stability and abrasion resistance.

  13. Interferometric microscopy study of the surface roughness of Portland cement under the action of different irrigants.

    Science.gov (United States)

    Ballester-Palacios, Maria L; Berástegui-Jimeno, Esther M; Parellada-Esquius, Neus; Canalda-Sahli, Carlos

    2013-09-01

    Some investigations suggested common Portland cement (PC) as a substitute material for MTA for endodontic use; both MTA and PC have a similar composition. The aim of this study was to determine the surface roughness of common PC before and after the exposition to different endodontic irrigating solutions: 10% and 20% citric acid, 17% ethylenediaminetetraacetic (EDTA) and 5% sodium hypochlorite. Fifty PC samples in the form of cubes were prepared. PC was mixed with distilled water (powder/liquid ratio 3:1 by weight). The samples were immersed for one minute in 10% and 20% citric acid, 17% EDTA and 5% sodium hypochlorite. After gold coating, PC samples were examined using the New View 100 Zygo interferometric microscope. It was used to examine and register the surface roughness and the profile of two different areas of each sample. Analysis of variance (ANOVA) was carried out, and as the requirements were not met, use was made of the Kruskal-Wallis test for analysis of the results obtained, followed by contrasts using Tukey's contrast tests. Sodium hypochlorite at a concentration of 5% significantly reduced the surface roughness of PC, while 20% citric acid significantly increased surface roughness. The other evaluated citric acid concentration (10%) slightly increased the surface roughness of PC, though statistical significance was not reached. EDTA at a concentration of 17% failed to modify PC surface roughness. Irrigation with 5% sodium hypochlorite and 20% citric acid lowered and raised the roughness values, respectively. The surface texture of PC is modified as the result of treatment with different irrigating solutions commonly used in endodontics, depending on their chemical composition and concentration.

  14. Influence of Roughness-Induced Slip on Colloid Transport: Experimental and Modelling Insights

    Science.gov (United States)

    Rasmuson, J. A.; Johnson, W. P.

    2017-12-01

    A limitation of classic colloid filtration theory is that it applies only to smooth surfaces, yet most natural surfaces present some degree of nano- to micro-scale roughness. A large volume of research has been dedicated to understanding the effects of roughness on particle attachment at the nano-scale since these interactions dictate field scale transport behavior. It has been previously demonstrated that roughness imposes a finite slip vector at the surface that causes particles to experience higher near-surface velocities than would be expected over a smooth surface. Slip near a rough surface can affect two primary mechanisms of particle attenuation: 1) interception of the surface (finding a landing spot) and 2) arrest on the surface (sticking the landing). However, a clear designation on how slip affects particle transport near rough surfaces is missing. The goal of this study was to provide a guide for the height of the slip layer and contact surface in reference to the mean-plane for rough surfaces. Direct observation was used to measure near-surface velocities of particles translating near surfaces of varying roughness spanning three orders of magnitude. The influence of roughness on particle transport was investigated using computational fluid dynamics (CFD) modeling with rough surfaces measured with atomic force microscopy (AFM). The CFD and experimental results were used to calibrate a Lagrangian particle transport model that utilizes simple modifications to the flow field for a smooth surface using statistically based roughness parameters. Advantages of the Lagrangian model are significantly decreased computation times and applicability to a wide range of natural surfaces without explicitly simulating individual asperities. The results suggest that the no-slip boundary should be placed at the bottom of the maximum asperity valleys, and that the contact surface should be placed at the root mean square (RMS) roughness above the mean plane. Collector

  15. Hybrid intelligence systems and artificial neural network (ANN approach for modeling of surface roughness in drilling

    Directory of Open Access Journals (Sweden)

    Ch. Sanjay

    2014-12-01

    Full Text Available In machining processes, drilling operation is material removal process that has been widely used in manufacturing since industrial revolution. The useful life of cutting tool and its operating conditions largely controls the economics of machining operations. Drilling is most frequently performed material removing process and is used as a preliminary step for many operations, such as reaming, tapping, and boring. Drill wear has a bad effect on the surface finish and dimensional accuracy of the work piece. The surface finish of a machined part is one of the most important quality characteristics in manufacturing industries. The primary objective of this research is the prediction of suitable parameters for surface roughness in drilling. Cutting speed, cutting force, and machining time were given as inputs to the adaptive fuzzy neural network and neuro-fuzzy analysis for estimating the values of surface roughness by using 2, 3, 4, and 5 membership functions. The best structures were selected based on minimum of summation of square with the actual values with the estimated values by artificial neural fuzzy inference system (ANFIS and neuro-fuzzy systems. For artificial neural network (ANN analysis, the number of neurons was selected from 1, 2, 3, … , 20. The learning rate was selected as .5 and .5 smoothing factor was used. The inputs were selected as cutting speed, feed, machining time, and thrust force. The best structures of neural networks were selected based on the criteria as the minimum of summation of square with the actual value of surface roughness. Drilling experiments with 10 mm size were performed at two cutting speeds and feeds. Comparative analysis has been done between the actual values and the estimated values obtained by ANFIS, neuro-fuzzy, and ANN analysis.

  16. Optimisation of wire-cut EDM process parameter by Grey-based response surface methodology

    Science.gov (United States)

    Kumar, Amit; Soota, Tarun; Kumar, Jitendra

    2018-03-01

    Wire electric discharge machining (WEDM) is one of the advanced machining processes. Response surface methodology coupled with Grey relation analysis method has been proposed and used to optimise the machining parameters of WEDM. A face centred cubic design is used for conducting experiments on high speed steel (HSS) M2 grade workpiece material. The regression model of significant factors such as pulse-on time, pulse-off time, peak current, and wire feed is considered for optimising the responses variables material removal rate (MRR), surface roughness and Kerf width. The optimal condition of the machining parameter was obtained using the Grey relation grade. ANOVA is applied to determine significance of the input parameters for optimising the Grey relation grade.

  17. Spatial characteristics of secondary flow in a turbulent boundary layer over longitudinal surface roughness

    Science.gov (United States)

    Hwang, Hyeon Gyu; Lee, Jae Hwa

    2017-11-01

    Direct numerical simulations of turbulent boundary layers (TBLs) over spanwise heterogeneous surface roughness are performed to investigate the characteristics of secondary flow. The longitudinal surface roughness, which features lateral change in bed elevation, is described by immersed boundary method. The Reynolds number based on the momentum thickness is varied in the range of Reθ = 300-900. As the TBLs over the roughness elements spatially develop in the streamwise direction, a secondary flow emerges in a form of counter-rotating vortex pair. As the spanwise spacing between the roughness elements and roughness width vary, it is shown that the size of the secondary flow is determined by the valley width between the roughness elements. In addition, the strength of the secondary flow is mostly affected by the spanwise distance between the cores of the secondary flow. Analysis of the Reynolds-averaged turbulent kinetic energy transport equation reveals that the energy redistribution terms in the TBLs over-the ridge type roughness play an important role to derive low-momentum pathways with upward motion over the roughness crest, contrary to the previous observation with the strip-type roughness. This research was supported by the National Research Foundation of Korea (NRF) funded by the Ministry of Education (NRF-2017R1D1A1A09000537) and the Ministry of Science, ICT & Future Planning (NRF-2017R1A5A1015311).

  18. A model system to mimic environmentally active surface film roughness and hydrophobicity.

    Science.gov (United States)

    Grant, Jacob S; Shaw, Scott K

    2017-10-01

    This work presents the development and initial assessment of a laboratory platform to allow quantitative studies on model urban films. The platform consists of stearic acid and eicosane mixtures that are solution deposited from hexanes onto smooth, solid substrates. We show that this model has distinctive capabilities to better mimic a naturally occurring film's morphology and hydrophobicity, two important parameters that have not previously been incorporated into model film systems. The physical and chemical properties of the model films are assessed using a variety of analytical instruments. The film thickness and roughness are probed via atomic force microscopy while the film composition, wettability, and water uptake are analyzed by Fourier transform infrared spectroscopy, contact angle goniometry, and quartz crystal microbalance, respectively. Simulated environmental maturation is achieved by exposing the film to regulated amounts of UV/ozone. Ultimately, oxidation of the film is monitored by the analytical techniques mentioned above and proceeds as expected to produce a utile model film system. Including variable roughness and tunable surface coverage results in several key advantages over prior model systems, and will more accurately represent native urban film behavior. Copyright © 2017 Elsevier Ltd. All rights reserved.

  19. Scaling behavior of the surface roughness of platinum films grown by oblique angle deposition

    Science.gov (United States)

    Dolatshahi-Pirouz, A.; Hovgaard, M. B.; Rechendorff, K.; Chevallier, J.; Foss, M.; Besenbacher, F.

    2008-03-01

    Thin platinum films with well-controlled rough surface morphologies are grown by e-gun evaporation at an oblique angle of incidence between the deposition flux and the substrate normal. Atomic force microscopy is used to determine the root-mean-square value w of the surface roughness on the respective surfaces. From the scaling behavior of w , we find that while the roughness exponent α remains nearly unchanged at about 0.90, the growth exponent β changes from 0.49±0.04 to 0.26±0.01 as the deposition angle approaches grazing incidence. The values of the growth exponent β indicate that the film growth is influenced by both surface diffusion and shadowing effects, while the observed change from 0.49 to 0.26 can be attributed to differences in the relative importance of diffusion and shadowing with the deposition angle.

  20. Influence of Sea Surface Roughness on the Electromagnetic Wave Propagation in the Duct Environment

    Directory of Open Access Journals (Sweden)

    X. Zhao

    2010-12-01

    Full Text Available This paper deals with a study of the influence of sea surface roughness on the electromagnetic wave propagation in the duct environment. The problem of electromagnetic wave propagation is modeled by using the parabolic equation method. The roughness of the sea surface is computed by modifying the smooth surface Fresnel reflection coefficient to account for the reduction in the specular reflection due to the roughness resulting from sea wind speed. The propagation model is solved by the mixed Fourier split-step algorithm. Numerical experiments indicate that wind-driven roughened sea surface has an impact on the electromagnetic wave propagation in the duct environment, and the strength is intensified along with the increment of sea wind speeds and/or the operating frequencies. In a fixed duct environment, however, proper disposition of the transmitter could reduce these impacts.