WorldWideScience

Sample records for surface plasma sources

  1. Negative ion surface plasma source development for plasma trap injectors in Novosibirsk

    International Nuclear Information System (INIS)

    Bel'chenko, Yu.I.; Dimov, G.I.; Dudnikov, V.G.; Kupriyanov, A.S.

    1989-01-01

    Work on high-current ion sources carried out at the Novosibirsk Institute of Nuclear Physics (INP) is presented. The INP investigations on ''pure plasma'' planotron and ''pure surface'' secondary emission systems of H - generation, which preceded the surface-plasma concept developed in Novosibirsk, are described. The physical basis of the surface-plasma method of negative-ion production is considered. The versions and operating characteristics of different surface-plasma sources including the multi-ampere (approx-gt 10A) source are discussed. Research on efficient large-area (∼10 2 cm 2 ) negative ion surface-plasma emitters is described. The INP long-pulse multiaperture surface- plasma generators, with a current of about 1A, are described. 38 refs., 17 figs

  2. Plasma-surface interaction in negative hydrogen ion sources

    Science.gov (United States)

    Wada, Motoi

    2018-05-01

    A negative hydrogen ion source delivers more beam current when Cs is introduced to the discharge, but a continuous operation of the source reduces the beam current until more Cs is added to the source. This behavior can be explained by adsorption and ion induced desorption of Cs atoms on the plasma grid surface of the ion source. The interaction between the ion source plasma and the plasma grid surface of a negative hydrogen ion source is discussed in correlation to the Cs consumption of the ion source. The results show that operation with deuterium instead of hydrogen should require more Cs consumption and the presence of medium mass impurities as well as ions of the source wall materials in the arc discharge enlarges the Cs removal rate during an ion source discharge.

  3. Surface plasma source with saddle antenna radio frequency plasma generator.

    Science.gov (United States)

    Dudnikov, V; Johnson, R P; Murray, S; Pennisi, T; Piller, C; Santana, M; Stockli, M; Welton, R

    2012-02-01

    A prototype RF H(-) surface plasma source (SPS) with saddle (SA) RF antenna is developed which will provide better power efficiency for high pulsed and average current, higher brightness with longer lifetime and higher reliability. Several versions of new plasma generators with small AlN discharge chambers and different antennas and magnetic field configurations were tested in the plasma source test stand. A prototype SA SPS was installed in the Spallation Neutron Source (SNS) ion source test stand with a larger, normal-sized SNS AlN chamber that achieved unanalyzed peak currents of up to 67 mA with an apparent efficiency up to 1.6 mA∕kW. Control experiments with H(-) beam produced by SNS SPS with internal and external antennas were conducted. A new version of the RF triggering plasma gun has been designed. A saddle antenna SPS with water cooling is fabricated for high duty factor testing.

  4. Study on surface modification of polymer films by using atmospheric plasma jet source

    International Nuclear Information System (INIS)

    Takemura, Yuichiro; Hara, Tamio; Yamaguchi, Naohiro

    2008-01-01

    Reactive gas plasma treatments of poly(ethylene terephthalate) (PET) and polyimide (Kapton) have been performed using an atmospheric plasmas jet source. Characteristics of surface modification have been examined by changing the distance between the plasma jet source and the treated sample, and by changing the working gas spaces. Simultaneously, each plasma jet source has been investigated by space-resolving spectroscopy in the UV/visible region. Polymer surfaces have been analyzed by X-ray photoelectron spectroscopy (XPS). A marked improvement in the hydrophilicity of the polymer surfaces has been made by using N 2 or O 2 plasma jet source with a very short exposure time of about 0.01 s, whereas the less improvement has been obtained using on air plasma jet source because of NO x compound production. Changes in the chemical states of C of the polymer surfaces have been observed in XPS spectra after N 2 plasma jet spraying. (author)

  5. Honeycomb surface-plasma negative-ion source

    International Nuclear Information System (INIS)

    Bel'chenko, Yu.I.

    1983-01-01

    A honeycomb surface-plasma source (SPS) of negative hydrogen ions the cathode of which consists of a great number of cells with spherical-concave surfaces, is described. Negative ions, knocked off the cathode by cesium-hydrogen discharge fast particles are accelerated in the near-cathode potential drop layer and focused geometrically on small emission apertures in the anode. Due to this, the gas and energy efficiency of the source is increased and the power density on the cathode is decreased. The H - yield is proportional to the number of celts. A pulse beam of negative ions with current up to 4 A is obtained and accelerated to 25 kV from the cathode effective area of 10.6 cm 2 through emission ports of 0.5 cm 2 total area. The honeycomb SPSs with a greater number of cells are promising as regards obtaining negative ion-beams with the current of scores of amperes

  6. Adaptation of metal arc plasma source to plasma source ion implantation

    International Nuclear Information System (INIS)

    Shamim, M.M.; Fetherston, R.P.; Conrad, J.R.

    1995-01-01

    In Plasma Source Ion Implantation (PSII) a target is immersed in a plasma and a train of high negative voltage pulses is applied to accelerate ions into the target and to modify the properties in the near surface region. In PSII, until now the authors have been using gaseous species to generate plasmas. However metal ion plasma may be used to modify the surface properties of material for industrial applications. Conventionally the ion implantation of metal ions is performed using beam line accelerators which have complex engineering and high cost. The employment of a metal arc source to PSII has tremendous potential due to its ability to process the conformal surfaces, simple engineering and cost effectiveness. They have installed metal arc source for generation of titanium plasma. Currently, they are investigating the properties of titanium plasma and material behavior of titanium implanted aluminum and 52100 steel. The recent results of this investigation are presented

  7. Characterization of an atmospheric pressure air plasma source for polymer surface modification

    Science.gov (United States)

    Yang, Shujun; Tang, Jiansheng

    2013-10-01

    An atmospheric pressure air plasma source was generated through dielectric barrier discharge (DBD). It was used to modify polyethyleneterephthalate (PET) surfaces with very high throughput. An equivalent circuit model was used to calculate the peak average electron density. The emission spectrum from the plasma was taken and the main peaks in the spectrum were identified. The ozone density in the down plasma region was estimated by Absorption Spectroscopy. NSF and ARC-ODU

  8. Measuring the Plasma Density of a Ferroelectric Plasma Source in an Expanding Plasma

    International Nuclear Information System (INIS)

    Dunaevsky, A.; Fisch, N.J.

    2003-01-01

    The initial density and electron temperature at the surface of a ferroelectric plasma source were deduced from floating probe measurements in an expanding plasma. The method exploits negative charging of the floating probe capacitance by fast flows before the expanding plasma reaches the probe. The temporal profiles of the plasma density can be obtained from the voltage traces of the discharge of the charged probe capacitance by the ion current from the expanding plasma. The temporal profiles of the plasma density, at two different distances from the surface of the ferroelectric plasma source, could be further fitted by using the density profiles for the expanding plasma. This gives the initial values of the plasma density and electron temperature at the surface. The method could be useful for any pulsed discharge, which is accompanied by considerable electromagnetic noise, if the initial plasma parameters might be deduced from measurements in expanding plasma

  9. Surface negative ion production in ion sources

    International Nuclear Information System (INIS)

    Belchenko, Y.

    1993-01-01

    Negative ion sources and the mechanisms for negative ion production are reviewed. Several classes of sources with surface origin of negative ions are examined in detail: surface-plasma sources where ion production occurs on the electrode in contact with the plasma, and ''pure surface'' sources where ion production occurs due to conversion or desorption processes. Negative ion production by backscattering, impact desorption, and electron- and photo-stimulated desorption are discussed. The experimental efficiencies of intense surface negative ion production realized on electrodes contacted with hydrogen-cesium or pure hydrogen gas-discharge plasma are compared. Recent modifications of surface-plasma sources developed for accelerator and fusion applications are reviewed in detail

  10. Diagnostics of microwave assisted electron cyclotron resonance plasma source for surface modification of nylon 6

    Science.gov (United States)

    More, Supriya E.; Das, Partha Sarathi; Bansode, Avinash; Dhamale, Gayatri; Ghorui, S.; Bhoraskar, S. V.; Sahasrabudhe, S. N.; Mathe, Vikas L.

    2018-01-01

    Looking at the increasing scope of plasma processing of materials surface, here we present the development and diagnostics of a microwave assisted Electron Cyclotron Resonance (ECR) plasma system suitable for surface modification of polymers. Prior to the surface-treatment, a detailed diagnostic mapping of the plasma parameters throughout the reactor chamber was carried out by using single and double Langmuir probe measurements in Ar plasma. Conventional analysis of I-V curves as well as the elucidation form of the Electron Energy Distribution Function (EEDF) has become the source of calibration of plasma parameters in the reaction chamber. The high energy tail in the EEDF of electron temperature is seen to extend beyond 60 eV, at much larger distances from the ECR zone. This proves the suitability of the rector for plasma processing, since the electron energy is much beyond the threshold energy of bond breaking in most of the polymers. Nylon 6 is used as a representative candidate for surface processing in the presence of Ar, H2 + N2, and O2 plasma, treated at different locations inside the plasma chamber. In a typical case, the work of adhesion is seen to almost get doubled when treated with oxygen plasma. Morphology of the plasma treated surface and its hydrophilicity are discussed in view of the variation in electron density and electron temperature at these locations. Nano-protrusions arising from plasma treatment are set to be responsible for the hydrophobicity. Chemical sputtering and physical sputtering are seen to influence the surface morphology on account of sufficient electron energies and increased plasma potential.

  11. Ferroelectric Plasma Source for Heavy Ion Beam Charge Neutralization

    CERN Document Server

    Efthimion, Philip; Gilson, Erik P; Grisham, Larry; Logan, B G; Waldron, William; Yu, Simon

    2005-01-01

    Plasmas are employed as a medium for charge neutralizing heavy ion beams to allow them to focus to a small spot size. Calculations suggest that plasma at a density of 1-100 times the ion beam density and at a length ~ 0.1-1 m would be suitable. To produce 1 meter plasma, large-volume plasma sources based upon ferroelectric ceramics are being considered. These sources have the advantage of being able to increase the length of the plasma and operate at low neutral pressures. The source will utilize the ferroelectric ceramic BaTiO3 to form metal plasma. The drift tube inner surface of the Neutralized Drift Compression Experiment (NDCX) will be covered with ceramic. High voltage (~ 1-5 kV) is applied between the drift tube and the front surface of the ceramic by placing a wire grid on the front surface. A prototype ferroelectric source 20 cm long produced plasma densities ~ 5x1011 cm-3. The source was integrated into the experiment and successfully charge neutralized the K ion beam. Presently, the 1 meter source ...

  12. Resonances and surface waves in bounded plasmas

    International Nuclear Information System (INIS)

    Bowers, K.J.; Qui, D.W.; Smith, H.B.; Birdsall, C.K.

    1999-01-01

    Surface waves provide a promising means of creating large, area plasmas. These waves can uniformly distribute the excitation energy and while presenting a small resistance and zero reactance to the driving source. Experimentally and in the simulations, the electron temperature is low (like 1--3 eV) as is the plasma potential (like 10 Te). The use of surface waves experimentally, and now industrially, to sustain large area plasma sources with device size is comparable to free space wavelength have motivated the authors to refine the theories of [1] and [2] to be fully electromagnetic. The wave dispersion predicted by the electromagnetic theory differs from the predictions of the prior theories and the results illuminate limitations of the electrostatic model. The use of surface waves have also motivated them to explore the mechanisms by which surface waves heat the plasma. In the 1d electrostatic simulations high velocity electron bunches are formed in the sheaths and are alternatively accelerated from each sheath into the bulk plasma each RF cycle. They speculate similar mechanisms provide the ionization in surface wave discharges. They also see in these simulations the plasma makes an abrupt transition from capacitively coupled to resistively coupled and the series resonance locks onto the drive frequency; these abrupt transitions resemble mode-jumping seen experimentally in large area sources. Furthermore, the density profile of the plasma tracks the drive frequency while in the resonant mode giving a new mechanism by which the plasma parameters can be controlled. They are currently investigating the effect of the driving electrode shape has on these resonances and conducting 2d simulations of a large area surface wave source to explore the ignition of surface wave devices and how the plasma fills in the device

  13. Double plasma system with inductively coupled source plasma and quasi-quiescent target plasma

    International Nuclear Information System (INIS)

    Massi, M.; Maciel, H.S.

    1995-01-01

    Cold plasmas have successfully been used in the plasma-assisted material processing industry. An understanding of the physicochemical mechanisms involved in the plasma-surface interaction is needed for a proper description of deposition and etching processes at material surfaces. Since these mechanisms are dependent on the plasma properties, the development of diagnostic techniques is strongly desirable for determination of the plasma parameters as well as the characterization of the electromagnetic behaviour of the discharge. In this work a dual discharge chamber, was specially designed to study the deposition of thin films via plasma polymerization process. In the Pyrex chamber an inductively coupled plasma can be excited either in the diffuse low density E-mode or in the high density H-mode. This plasma diffuses into the cylindrical stainless steel chamber which is covered with permanent magnets to produce a multidipole magnetic field configuration at the surface. By that means a double plasma is established consisting of a RF source plasma coupled to a quasi-quiescent target plasma. The preliminary results presented here refer to measurements of the profiles of plasma parameters along the central axis of the double plasma apparatus. Additionally a spectrum analysis performed by means of a Rogowski coil probe immersed into the source plasma is also presented. The discharge is made in argon with pressure varying from 10 -2 to 1 torr, and the rf from 10 to 150 W

  14. Inner surface modification of a tube by magnetic glow-arc plasma source ion implantation

    International Nuclear Information System (INIS)

    Zhang Guling; Chinese Academy of Sciences, Beijing; Wang Jiuli; Feng Wenran; Chen Guangliang; Gu Weichao; Niu Erwu; Fan Songhua; Liu Chizi; Yang Size; Wu Xingfang

    2006-01-01

    A new method named the magnetic glow-arc plasma source ion implantation (MGA-PSII) is proposed for inner surface modification of tubes. In MGA-PSII, under the control of an axial magnetic field, which is generated by an electric coil around the tube sample, glow arc plasma moves spirally into the tube from its two ends. A negative voltage applied on the tube realized its inner surface implantation. Titanium nitride (TiN) films are prepared on the inner surface of a stainless steel tube in diameter 90 mm and length 600 mm. Hardness tests show that the hardness at the tube centre is up to 20 GPa. XRD, XPS and AES analyses demonstrate that good quality of TiN films can be achieved. (authors)

  15. Inner Surface Modification of a Tube by Magnetic Glow-Arc Plasma Source Ion Implantation

    Science.gov (United States)

    Zhang, Gu-Ling; Wang, Jiu-Li; Wu, Xing-Fang; Feng, Wen-Ran; Chen, Guang-Liang; Gu, Wei-Chao; Niu, Er-Wu; Fan, Song-Hua; Liu, Chi-Zi; Yang, Si-Ze

    2006-05-01

    A new method named the magnetic glow-arc plasma source ion implantation (MGA-PSII) is proposed for inner surface modification of tubes. In MGA-PSII, under the control of an axial magnetic field, which is generated by an electric coil around the tube sample, glow arc plasma moves spirally into the tube from its two ends. A negative voltage applied on the tube realized its inner surface implantation. Titanium nitride (TiN) films are prepared on the inner surface of a stainless steel tube in diameter 90 mm and length 600 mm. Hardness tests show that the hardness at the tube centre is up to 20 GPa. XRD, XPS and AES analyses demonstrate that good quality of TiN films can be achieved.

  16. Suppression of the e- coextracted from a Penning surface-plasma H-source

    International Nuclear Information System (INIS)

    Smith, V.H.; Allison, P.

    1992-01-01

    The ratio of electrons to negative ions extracted from Penning surface-plasma sources (SPS) such as the 8X source is low even before any steps are taken to suppress the electrons. For the 8X source the e - /H - ratio is typically four or five to one for H - operation and nine to one for D - operation. Because the coextracted e - present a power-loading problem to the 8X-source extraction system, methods to dissipate and/or reduce the power in the e - beam must be developed before extracting a dc H - or D - beam. Thus, we conducted this study to determine whether a collar installed in the near-extraction region of the 8X source suppresses the electrons extracted from that source. (Author) 8 refs., 6 figs

  17. Helicon plasma generator-assisted surface conversion ion source for the production of H(-) ion beams at the Los Alamos Neutron Science Center.

    Science.gov (United States)

    Tarvainen, O; Rouleau, G; Keller, R; Geros, E; Stelzer, J; Ferris, J

    2008-02-01

    The converter-type negative ion source currently employed at the Los Alamos Neutron Science Center (LANSCE) is based on cesium enhanced surface production of H(-) ion beams in a filament-driven discharge. In this kind of an ion source the extracted H(-) beam current is limited by the achievable plasma density which depends primarily on the electron emission current from the filaments. The emission current can be increased by increasing the filament temperature but, unfortunately, this leads not only to shorter filament lifetime but also to an increase in metal evaporation from the filament, which deposits on the H(-) converter surface and degrades its performance. Therefore, we have started an ion source development project focused on replacing these thermionic cathodes (filaments) of the converter source by a helicon plasma generator capable of producing high-density hydrogen plasmas with low electron energy. In our studies which have so far shown that the plasma density of the surface conversion source can be increased significantly by exciting a helicon wave in the plasma, and we expect to improve the performance of the surface converter H(-) ion source in terms of beam brightness and time between services. The design of this new source and preliminary results are presented, along with a discussion of physical processes relevant for H(-) ion beam production with this novel design. Ultimately, we perceive this approach as an interim step towards our long-term goal, combining a helicon plasma generator with an SNS-type main discharge chamber, which will allow us to individually optimize the plasma properties of the plasma cathode (helicon) and H(-) production (main discharge) in order to further improve the brightness of extracted H(-) ion beams.

  18. Helicon plasma generator-assisted surface conversion ion source for the production of H- ion beams at the Los Alamos Neutron Science Centera)

    Science.gov (United States)

    Tarvainen, O.; Rouleau, G.; Keller, R.; Geros, E.; Stelzer, J.; Ferris, J.

    2008-02-01

    The converter-type negative ion source currently employed at the Los Alamos Neutron Science Center (LANSCE) is based on cesium enhanced surface production of H- ion beams in a filament-driven discharge. In this kind of an ion source the extracted H- beam current is limited by the achievable plasma density which depends primarily on the electron emission current from the filaments. The emission current can be increased by increasing the filament temperature but, unfortunately, this leads not only to shorter filament lifetime but also to an increase in metal evaporation from the filament, which deposits on the H- converter surface and degrades its performance. Therefore, we have started an ion source development project focused on replacing these thermionic cathodes (filaments) of the converter source by a helicon plasma generator capable of producing high-density hydrogen plasmas with low electron energy. In our studies which have so far shown that the plasma density of the surface conversion source can be increased significantly by exciting a helicon wave in the plasma, and we expect to improve the performance of the surface converter H- ion source in terms of beam brightness and time between services. The design of this new source and preliminary results are presented, along with a discussion of physical processes relevant for H- ion beam production with this novel design. Ultimately, we perceive this approach as an interim step towards our long-term goal, combining a helicon plasma generator with an SNS-type main discharge chamber, which will allow us to individually optimize the plasma properties of the plasma cathode (helicon) and H- production (main discharge) in order to further improve the brightness of extracted H- ion beams.

  19. Helicon plasma generator-assisted surface conversion ion source for the production of H- ion beams at the Los Alamos Neutron Science Center

    International Nuclear Information System (INIS)

    Tarvainen, O.; Rouleau, G.; Keller, R.; Geros, E.; Stelzer, J.; Ferris, J.

    2008-01-01

    The converter-type negative ion source currently employed at the Los Alamos Neutron Science Center (LANSCE) is based on cesium enhanced surface production of H - ion beams in a filament-driven discharge. In this kind of an ion source the extracted H - beam current is limited by the achievable plasma density which depends primarily on the electron emission current from the filaments. The emission current can be increased by increasing the filament temperature but, unfortunately, this leads not only to shorter filament lifetime but also to an increase in metal evaporation from the filament, which deposits on the H - converter surface and degrades its performance. Therefore, we have started an ion source development project focused on replacing these thermionic cathodes (filaments) of the converter source by a helicon plasma generator capable of producing high-density hydrogen plasmas with low electron energy. In our studies which have so far shown that the plasma density of the surface conversion source can be increased significantly by exciting a helicon wave in the plasma, and we expect to improve the performance of the surface converter H - ion source in terms of beam brightness and time between services. The design of this new source and preliminary results are presented, along with a discussion of physical processes relevant for H - ion beam production with this novel design. Ultimately, we perceive this approach as an interim step towards our long-term goal, combining a helicon plasma generator with an SNS-type main discharge chamber, which will allow us to individually optimize the plasma properties of the plasma cathode (helicon) and H - production (main discharge) in order to further improve the brightness of extracted H - ion beams

  20. VUV absorption spectroscopy of a Penning surface-plasma H- source

    International Nuclear Information System (INIS)

    Pitcher, E.J.

    1992-01-01

    Because H - is efficiently neutralized at high energies, these beams are ideally suited to applications where energetic neutral beams of particles are required to propagate across magnetic fields. A class of sources that holds great promise for meeting the stringent requirements for these beams is the surface-plasma source (SPS), and in particular, the Penning type of SPS. It has been conjectured that atomic hydrogen plays an important role in both H - formation and transport in these sources. Understanding the interdependence of atomic hydrogen properties and those of H - , and how this relationship might be exploited to improve source performance is the motivation for this research. An overview of SPS's is presented. Previous measurements on the discharge are reviewed. Absorption spectroscopy is discussed. Techniques that may potentially be used to measure the properties of H - in the discharge are discussed. The two absorption spectrometers used in this experiment are described. Measurements of ground-state atomic hydrogen density and temperature in a Penning SPS are presented. These measurements are the first of this kind for this type of discharge. An upper limit on the H - density in the extraction region of the source is measured by the application of a novel diagnostic technique: the hydrogen atom density following H - photodetachment by a Nd:YAG beam is measured and compared to the equilibrium atomic density. A simple model is derived that describes the dependence of the atomic temperature on the externally-controlled parameters of discharge current and H 2 gas flow. The measured atomic density is considered in light of the widely-accepted hypothesis of the mechanism for H - formation. The measured upper limit of the H - density is used to infer the potential of the discharge plasma relative to the source anode

  1. Measurements of the cesium flow from a surface-plasma H- ion source

    International Nuclear Information System (INIS)

    Smith, H.V.; Allison, P.W.

    1979-01-01

    A surface ionization gauge (SIG) was constructed and used to measure the Cs 0 flow rate through the emission slit of a surface-plasma source (SPS) of H - ions with Penning geometry. The equivalent cesium density in the SPS discharge is deduced from these flow measurements. For dc operation the optimum H - current occurs at an equivalent cesium density of approx. 7 x 10 12 cm -3 (corresponding to an average cesium consumption rate of 0.5 mg/h). For pulsed operation the optimum H - current occurs at an equivalent cesium density of approx. 2 x 10 13 cm -3 (1-mg/h average cesium consumption rate). Cesium trapping by the SPS discharge was observed for both dc and pulsed operation. A cesium energy of approx. 0.1 eV is deduced from the observed time of flight to the SIG. In addition to providing information on the physics of the source, the SIG is a useful diagnostic tool for source startup and operation

  2. Volumetric plasma source development and characterization

    International Nuclear Information System (INIS)

    Crain, Marlon D.; Maron, Yitzhak; Oliver, Bryan Velten; Starbird, Robert L.; Johnston, Mark D.; Hahn, Kelly Denise; Mehlhorn, Thomas Alan; Droemer, Darryl W.

    2008-01-01

    The development of plasma sources with densities and temperatures in the 10 15 -10 17 cm -3 and 1-10eV ranges which are slowly varying over several hundreds of nanoseconds within several cubic centimeter volumes is of interest for applications such as intense electron beam focusing as part of the x-ray radiography program. In particular, theoretical work (1,2) suggests that replacing neutral gas in electron beam focusing cells with highly conductive, pre-ionized plasma increases the time-averaged e-beam intensity on target, resulting in brighter x-ray sources. This LDRD project was an attempt to generate such a plasma source from fine metal wires. A high voltage (20-60kV), high current (12-45kA) capacitive discharge was sent through a 100 (micro)m diameter aluminum wire forming a plasma. The plasma's expansion was measured in time and space using spectroscopic techniques. Lineshapes and intensities from various plasma species were used to determine electron and ion densities and temperatures. Electron densities from the mid-10 15 to mid-10 16 cm -3 were generated with corresponding electron temperatures of between 1 and 10eV. These parameters were measured at distances of up to 1.85 cm from the wire surface at times in excess of 1 (micro)s from the initial wire breakdown event. In addition, a hydrocarbon plasma from surface contaminants on the wire was also measured. Control of these contaminants by judicious choice of wire material, size, and/or surface coating allows for the ability to generate plasmas with similar density and temperature to those given above, but with lower atomic masses

  3. Long plasma source for heavy ion beam charge neutralization

    International Nuclear Information System (INIS)

    Efthimion, Philip C.; Gilson, Erik P.; Grisham, Larry; Davidson, Ronald C.; Grant Logan, Larry B.; Seidl, Peter A.; Waldron, William

    2009-01-01

    Plasmas are a source of unbound electrons for charge neutralizing intense heavy ion beams to focus them to a small spot size and compress their axial length. The plasma source should operate at low neutral pressures and without strong externally applied fields. To produce long plasma columns, sources based upon ferroelectric ceramics with large dielectric coefficients have been developed. The source utilizes the ferroelectric ceramic BaTiO 3 to form metal plasma. The drift tube inner surface of the Neutralized Drift Compression Experiment (NDCX) is covered with ceramic material. High voltage (∼8 kV) is applied between the drift tube and the front surface of the ceramics. A BaTiO 3 source comprised of five 20-cm-long sources has been tested and characterized, producing relatively uniform plasma in the 5x10 10 cm -3 density range. The source was integrated into the NDCX device for charge neutralization and beam compression experiments, and yielded current compression ratios ∼120. Present research is developing multi-meter-long and higher density sources to support beam compression experiments for high-energy-density physics applications.

  4. Surface studies of plasma processed Nb samples

    International Nuclear Information System (INIS)

    Tyagi, Puneet V.; Doleans, Marc; Hannah, Brian S.; Afanador, Ralph; Stewart, Stephen; Mammosser, John; Howell, Matthew P; Saunders, Jeffrey W; Degraff, Brian D; Kim, Sang-Ho

    2015-01-01

    Contaminants present at top surface of superconducting radio frequency (SRF) cavities can act as field emitters and restrict the cavity accelerating gradient. A room temperature in-situ plasma processing technology for SRF cavities aiming to clean hydrocarbons from inner surface of cavities has been recently developed at the Spallation Neutron Source (SNS). Surface studies of the plasma-processed Nb samples by Secondary ion mass spectrometry (SIMS) and Scanning Kelvin Probe (SKP) showed that the NeO_2 plasma processing is very effective to remove carbonaceous contaminants from top surface and improves the surface work function by 0.5 to 1.0 eV.

  5. Plasma source ion implantation

    International Nuclear Information System (INIS)

    Conrad, J.R.; Forest, C.

    1986-01-01

    The authors' technique allows the ion implantation to be performed directly within the ion source at higher currents without ion beam extraction and transport. The potential benefits include greatly increased production rates (factors of 10-1000) and the ability to implant non-planar targets without rastering or shadowing. The technique eliminates the ion extractor grid set, beam raster equipment, drift space and target manipulator equipment. The target to be implanted is placed directly within the plasma source and is biased to a large negative potential so that plasma ions gain energy as they accelerate through the potential drop across the sheath that forms at the plasma boundary. Because the sheath surrounds the target on all sides, all surfaces of the target are implanted without the necessity to raster the beam or to rotate the target. The authors have succeeded in implanting nitrogen ions in a silicon target to the depths and concentrations required for surface treatment of materials like stainless steel and titanium alloys. They have performed ESCA measurements of the penetration depth profile of a silicon target that was biased to 30 kV in a nitrogen discharge plasma. Nitrogen ions were implanted to a depth of 700A at a peak concentration of 30% atomic. The measured profile is quite similar to a previously obtained profile in titanium targets with conventional techniques

  6. Hall Current Plasma Source Having a Center-Mounted or a Surface-Mounted Cathode

    Science.gov (United States)

    Martinez, Rafael A. (Inventor); Williams, John D. (Inventor); Moritz, Jr., Joel A. (Inventor); Farnell, Casey C. (Inventor)

    2018-01-01

    A miniature Hall current plasma source apparatus having magnetic shielding of the walls from ionized plasma, an integrated discharge channel and gas distributor, an instant-start hollow cathode mounted to the plasma source, and an externally mounted keeper, is described. The apparatus offers advantages over other Hall current plasma sources having similar power levels, including: lower mass, longer lifetime, lower part count including fewer power supplies, and the ability to be continuously adjustable to lower average power levels using pulsed operation and adjustment of the pulse duty cycle. The Hall current plasma source can provide propulsion for small spacecraft that either do not have sufficient power to accommodate a propulsion system or do not have available volume to incorporate the larger propulsion systems currently available. The present low-power Hall current plasma source can be used to provide energetic ions to assist the deposition of thin films in plasma processing applications.

  7. Operation of Ferroelectric Plasma Sources in a Gas Discharge Mode

    International Nuclear Information System (INIS)

    Dunaevsky, A.; Fisch, N.J.

    2004-01-01

    Ferroelectric plasma sources in vacuum are known as sources of ablative plasma, formed due to surface discharge. In this paper, observations of a gas discharge mode of operation of the ferroelectric plasma sources (FPS) are reported. The gas discharge appears at pressures between approximately 20 and approximately 80 Torr. At pressures of 1-20 Torr, there is a transition from vacuum surface discharge to the gas discharge, when both modes coexist and the surface discharges sustain the gas discharge. At pressures between 20 and 80 Torr, the surface discharges are suppressed, and FPS operate in pure gas discharge mode, with the formation of almost uniform plasma along the entire surface of the ceramics between strips. The density of the expanding plasma is estimated to be about 1013 cm-3 at a distance of 5.5 mm from the surface. The power consumption of the discharge is comparatively low, making it useful for various applications. This paper also presents direct measurements of the yield of secondary electron emission from ferroelectric ceramics, which, at low energies of primary electrons, is high and dependent on the polarization of the ferroelectric material

  8. Production of accelerated electrons near an electron source in the plasma resonance region

    International Nuclear Information System (INIS)

    Fedorov, V.A.

    1989-01-01

    Conditions of generation of plasma electrons accelerated and their characteristics in the vicinity of an electron source are determined. The electron source isolated electrically with infinitely conducting surface, being in unrestricted collisionless plasma ω 0 >>ν, where ω 0 - plasma frequency of nonperturbated plasma, ν - frequency of plasma electron collisions with other plasma particles, is considered. Spherically symmetric injection of electrons, which rates are simulated by ω frequency, occurs from the source surface. When describing phenomena in the vicinity of the electron source, one proceeds from the quasihydrodynamic equation set

  9. Plasma sources of solar system magnetospheres

    CERN Document Server

    Blanc, Michel; Chappell, Charles; Krupp, Norbert

    2016-01-01

    This volume reviews what we know of the corresponding plasma source for each intrinsically magnetized planet. Plasma sources fall essentially in three categories: the solar wind, the ionosphere (both prevalent on Earth), and the satellite-related sources. Throughout the text, the case of each planet is described, including the characteristics, chemical composition and intensity of each source. The authors also describe how the plasma generated at the source regions is transported to populate the magnetosphere, and how it is later lost. To summarize, the dominant sources are found to be the solar wind and sputtered surface ions at Mercury, the solar wind and ionosphere at Earth (the relative importance of the two being discussed in a specific introductory chapter), Io at Jupiter and – a big surprise of the Cassini findings – Enceladus at Saturn. The situation for Uranus and Neptune, which were investigated by only one fly-by each, is still open and requires further studies and exploration. In the final cha...

  10. Plasma Processing of Metallic and Semiconductor Thin Films in the Fisk Plasma Source

    Science.gov (United States)

    Lampkin, Gregory; Thomas, Edward, Jr.; Watson, Michael; Wallace, Kent; Chen, Henry; Burger, Arnold

    1998-01-01

    The use of plasmas to process materials has become widespread throughout the semiconductor industry. Plasmas are used to modify the morphology and chemistry of surfaces. We report on initial plasma processing experiments using the Fisk Plasma Source. Metallic and semiconductor thin films deposited on a silicon substrate have been exposed to argon plasmas. Results of microscopy and chemical analyses of processed materials are presented.

  11. Efficient cesiation in RF driven surface plasma negative ion source

    Energy Technology Data Exchange (ETDEWEB)

    Belchenko, Yu.; Ivanov, A.; Konstantinov, S.; Sanin, A., E-mail: sanin@inp.nsk.su; Sotnikov, O. [Budker Institute of Nuclear Physics, Siberian Branch of Russian Academy of Sciences, Novosibirsk (Russian Federation)

    2016-02-15

    Experiments on hydrogen negative ions production in the large radio-frequency negative ion source with cesium seed are described. The system of directed cesium deposition to the plasma grid periphery was used. The small cesium seed (∼0.5 G) provides an enhanced H{sup −} production during a 2 month long experimental cycle. The gradual increase of negative ion yield during the long-term source runs was observed after cesium addition to the source. The degraded H{sup −} production was recorded after air filling to the source or after the cesium washing away from the driver and plasma chamber walls. The following source conditioning by beam shots produces the gradual recovery of H{sup −} yield to the high value. The effect of H{sup −} yield recovery after cesium coverage passivation by air fill was studied. The concept of cesium coverage replenishment and of H{sup −} yield recovery due to sputtering of cesium from the deteriorated layers is discussed.

  12. Ferroelectric plasma sources for NDCX-II and heavy ion drivers

    Energy Technology Data Exchange (ETDEWEB)

    Gilson, E.P., E-mail: egilson@pppl.gov [Princeton Plasma Physics Laboratory, Princeton University, P.O. Box 451, Princeton, New Jersey, 08543 (United States); Davidson, R.C.; Efthimion, P.C.; Kaganovich, I.D. [Princeton Plasma Physics Laboratory, Princeton University, P.O. Box 451, Princeton, New Jersey, 08543 (United States); Kwan, J.W.; Lidia, S.M.; Ni, P.A.; Roy, P.K.; Seidl, P.A.; Waldron, W.L. [Lawrence Berkeley National Laboratory, One Cyclotron Road, Berkeley, California, 94720 (United States); Barnard, J.J.; Friedman, A. [Lawrence Livermore National Laboratory, P.O. Box 808, Livermore, California, 94550 (United States)

    2014-01-01

    A barium titanate ferroelectric cylindrical plasma source has been developed, tested and delivered for the Neutralized Drift Compression Experiment NDCX-II at Lawrence Berkeley National Laboratory (LBNL). The plasma source design is based on the successful design of the NDCX-I plasma source. A 7 kV pulse applied across the 3.8 mm-thick ceramic cylinder wall produces a large polarization surface charge density that leads to breakdown and plasma formation. The plasma that fills the NDCX-II drift section upstream of the final-focusing solenoid has a plasma number density exceeding 10{sup 10} cm{sup −3} and an electron temperature of several eV. The operating principle of the ferroelectric plasma source are reviewed and a detailed description of the installation plans is presented. The criteria for plasma sources with larger number density will be given, and concepts will be presented for plasma sources for driver applications. Plasma sources for drivers will need to be highly reliable, and operate at several Hz for millions of shots.

  13. Ferroelectric plasma source for heavy ion beam space charge neutralization

    International Nuclear Information System (INIS)

    Efthimion, Philip C.; Gilson, Erik P.; Davidson, Ronald C.; Grisham, Larry; Grant Logan, B.; Seidl, Peter A.; Waldron, William; Yu, Simon S.

    2007-01-01

    Plasmas are a source of unbound electrons for charge neutralizing intense heavy ion beams to allow them to focus to a small spot size and compress their axial pulse length. The plasma source should be able to operate at low neutral pressures and without strong externally applied electric or magnetic fields. To produce 1 m-long plasma columns, sources based upon ferroelectric ceramics with large dielectric coefficients are being developed. The sources utilize the ferroelectric ceramic BaTiO 3 to form metal plasma. The drift tube inner surface of the Neutralized Drift Compression Experiment (NDCX) will be covered with ceramic material, and high voltage (∼7 kV) will be applied between the drift tube and the front surface of the ceramics. A prototype ferroelectric source, 20 cm in length, has produced plasma densities of 5x10 11 cm -3 . It was integrated into the Neutralized Transport Experiment (NTX), and successfully charge neutralized the K + ion beam. A 1 m-long source comprised of five 20-cm-long sources has been tested. Simply connecting the five sources in parallel to a single pulse forming network power supply yielded non-uniform performance due to the time-dependent nature of the load that each of the five plasma sources experiences. Other circuit combinations have been considered, including powering each source by its own supply. The 1-m-long source has now been successfully characterized, producing relatively uniform plasma over the 1 m length of the source in the mid-10 10 cm -3 density range. This source will be integrated into the NDCX device for charge neutralization and beam compression experiments

  14. Fine structure of the electromagnetic fields formed by backward surface waves in an azimuthally symmetric surface wave-excited plasma source

    International Nuclear Information System (INIS)

    Kousaka, Hiroyuki; Ono, Kouichi

    2003-01-01

    The electromagnetic fields and plasma parameters have been studied in an azimuthally symmetric surface wave-excited plasma (SWP) source, by using a two-dimensional numerical analysis based on the finite-difference time-domain (FDTD) approximation to Maxwell's equations self-consistently coupled with a fluid model for plasma evolution. The FDTD/fluid hybrid simulation was performed for different gas pressures in Ar and different microwave powers at 2.45 GHz, showing that the surface waves (SWs) occur along the plasma-dielectric interfaces to sustain overdense plasmas. The numerical results indicated that the electromagnetic SWs consist of two different waves, Wave-1 and Wave-2, having relatively shorter and longer wavelengths. The Wave-1 was seen to fade away with increasing pressure and increasing power, while the Wave-2 remained relatively unchanged over the range of pressure and power investigated. The numerical results revealed that the Wave-1 propagates as backward SWs whose phase velocity and group velocity point in the opposite directions. In contrast, the Wave-2 appeared to form standing waves, being ascribed to a superposition of forward SWs whose phase and group velocities point in the same direction. The fadeaway of the Wave-1 or backward SWs at increased pressures and increased powers was seen with the damping rate increasing in the axial direction, being related to the increased plasma electron densities. A comparison with the conventional FDTD simulation indicated that such fine structure of the electromagnetic fields of SWs is not observed in the FDTD simulation with spatially uniform and time-independent plasma distributions; thus, the FDTD/fluid hybrid model should be employed in simulating the electromagnetic fields and plasma parameters in SWPs with high accuracy

  15. Moderate pressure plasma source of nonthermal electrons

    Science.gov (United States)

    Gershman, S.; Raitses, Y.

    2018-06-01

    Plasma sources of electrons offer control of gas and surface chemistry without the need for complex vacuum systems. The plasma electron source presented here is based on a cold cathode glow discharge (GD) operating in a dc steady state mode in a moderate pressure range of 2–10 torr. Ion-induced secondary electron emission is the source of electrons accelerated to high energies in the cathode sheath potential. The source geometry is a key to the availability and the extraction of the nonthermal portion of the electron population. The source consists of a flat and a cylindrical electrode, 1 mm apart. Our estimates show that the length of the cathode sheath in the plasma source is commensurate (~0.5–1 mm) with the inter-electrode distance so the GD operates in an obstructed regime without a positive column. Estimations of the electron energy relaxation confirm the non-local nature of this GD, hence the nonthermal portion of the electron population is available for extraction outside of the source. The use of a cylindrical anode presents a simple and promising method of extracting the high energy portion of the electron population. Langmuir probe measurements and optical emission spectroscopy confirm the presence of electrons with energies ~15 eV outside of the source. These electrons become available for surface modification and radical production outside of the source. The extraction of the electrons of specific energies by varying the anode geometry opens exciting opportunities for future exploration.

  16. Correlation of H- production and the work function of a surface in a hydrogen plasma

    International Nuclear Information System (INIS)

    Wada, M.

    1983-03-01

    Surface-plasma negative hydrogen ion sources are being developed as possible parts for future neutral beam systems. In these ion sources, negative hydrogen ions (H - ) are produced at low work function metal surfaces immersed in hydrogen plasmas. To investigate the correlation between the work function and the H - production at the surface with a condition similar to the one in the actual plasma ion source, these two parameters were simultaneously measured in the hydrogen plasma environment

  17. Development of a 1-m plasma source for heavy ion beam charge neutralization

    Science.gov (United States)

    Efthimion, Philip C.; Gilson, Erik P.; Grisham, Larry; Davidson, Ronald C.; Yu, Simon; Waldron, William; Grant Logan, B.

    2005-05-01

    Highly ionized plasmas are being employed as a medium for charge neutralizing heavy ion beams in order to focus to a small spot size. Calculations suggest that plasma at a density of 1-100 times the ion beam density and at a length ˜0.1-1 m would be suitable for achieving a high level of charge neutralization. A radio frequency (RF) source was constructed at the Princeton Plasma Physics Laboratory (PPPL) in support of the joint Neutralized Transport Experiment (NTX) at the Lawrence Berkeley National Laboratory (LBNL) to study ion beam neutralization. Pulsing the source enabled operation at pressures ˜10 -6 Torr with plasma densities of 10 11 cm -3. Near 100% ionization was achieved. The plasma was 10 cm in length, but future experiments require a source 1 m long. The RF source does not easily scale to the length. Consequently, large-volume plasma sources based upon ferroelectric ceramics are being considered. These sources have the advantage of being able to increase the length of the plasma and operate at low neutral pressures. The source will utilize the ferroelectric ceramic BaTiO 3 to form metal plasma. A 1 m long section of the drift tube inner surface of NTX will be covered with ceramic. A high voltage (˜1-5 kV) is applied between the drift tube and the front surface of the ceramic by placing a wire grid on the front surface. Plasma densities of 10 12 cm -3 and neutral pressures ˜10 -6 Torr are expected. A test stand to produce 20 cm long plasma is being constructed and will be tested before a 1 m long source is developed.

  18. Development of a 1-m plasma source for heavy ion beam charge neutralization

    International Nuclear Information System (INIS)

    Efthimion, Philip C.; Gilson, Erik P.; Grisham, Larry; Davidson, Ronald C.; Yu, Simon; Waldron, William; Grant Logan, B.

    2005-01-01

    Highly ionized plasmas are being employed as a medium for charge neutralizing heavy ion beams in order to focus to a small spot size. Calculations suggest that plasma at a density of 1-100 times the ion beam density and at a length ∼0.1-1 m would be suitable for achieving a high level of charge neutralization. A radio frequency (RF) source was constructed at the Princeton Plasma Physics Laboratory (PPPL) in support of the joint Neutralized Transport Experiment (NTX) at the Lawrence Berkeley National Laboratory (LBNL) to study ion beam neutralization. Pulsing the source enabled operation at pressures ∼10 -6 Torr with plasma densities of 10 11 cm -3 . Near 100% ionization was achieved. The plasma was 10 cm in length, but future experiments require a source 1 m long. The RF source does not easily scale to the length. Consequently, large-volume plasma sources based upon ferroelectric ceramics are being considered. These sources have the advantage of being able to increase the length of the plasma and operate at low neutral pressures. The source will utilize the ferroelectric ceramic BaTiO 3 to form metal plasma. A 1 m long section of the drift tube inner surface of NTX will be covered with ceramic. A high voltage (∼1-5 kV) is applied between the drift tube and the front surface of the ceramic by placing a wire grid on the front surface. Plasma densities of 10 12 cm -3 and neutral pressures ∼10 -6 Torr are expected. A test stand to produce 20 cm long plasma is being constructed and will be tested before a 1 m long source is developed

  19. Electron backstream to the source plasma region in an ion source

    International Nuclear Information System (INIS)

    Ohara, Y.; Akiba, M.; Arakawa, Y.; Okumura, Y.; Sakuraba, J.

    1980-01-01

    The flux of backstream electrons to the source plasma region increases significantly with the acceleration voltage of an ion beam, so that the back plate in the arc chamber should be broken for quasi-dc operation. The flux of backstream electrons is estimated at the acceleration voltage of 50--100 kV for a proton beam with the aid of ion beam simulation code. The power flux of backstream electrons is up to about 7% of the total beam output at the acceleration voltage of 75 kV. It is pointed out that the conventional ion sources such as the duoPIGatron or the bucket source which use a magnetic field for source plasma production are not suitable for quasi-dc and high-energy ion sources, because the surface heat flux of the back plate is increased by the focusing of backstream electrons and the removal of it is quite difficult. A new ion source which has an electron beam dump in the arc chamber is proposed

  20. Dense Plasma Focus - From Alternative Fusion Source to Versatile High Energy Density Plasma Source for Plasma Nanotechnology

    Science.gov (United States)

    Rawat, R. S.

    2015-03-01

    The dense plasma focus (DPF), a coaxial plasma gun, utilizes pulsed high current electrical discharge to heat and compress the plasma to very high density and temperature with energy densities in the range of 1-10 × 1010 J/m3. The DPF device has always been in the company of several alternative magnetic fusion devices as it produces intense fusion neutrons. Several experiments conducted on many different DPF devices ranging over several order of storage energy have demonstrated that at higher storage energy the neutron production does not follow I4 scaling laws and deteriorate significantly raising concern about the device's capability and relevance for fusion energy. On the other hand, the high energy density pinch plasma in DPF device makes it a multiple radiation source of ions, electron, soft and hard x-rays, and neutrons, making it useful for several applications in many different fields such as lithography, radiography, imaging, activation analysis, radioisotopes production etc. Being a source of hot dense plasma, strong shockwave, intense energetic beams and radiation, etc, the DPF device, additionally, shows tremendous potential for applications in plasma nanoscience and plasma nanotechnology. In the present paper, the key features of plasma focus device are critically discussed to understand the novelties and opportunities that this device offers in processing and synthesis of nanophase materials using, both, the top-down and bottom-up approach. The results of recent key experimental investigations performed on (i) the processing and modification of bulk target substrates for phase change, surface reconstruction and nanostructurization, (ii) the nanostructurization of PLD grown magnetic thin films, and (iii) direct synthesis of nanostructured (nanowire, nanosheets and nanoflowers) materials using anode target material ablation, ablated plasma and background reactive gas based synthesis and purely gas phase synthesis of various different types of

  1. Dense Plasma Focus - From Alternative Fusion Source to Versatile High Energy Density Plasma Source for Plasma Nanotechnology

    International Nuclear Information System (INIS)

    Rawat, R S

    2015-01-01

    The dense plasma focus (DPF), a coaxial plasma gun, utilizes pulsed high current electrical discharge to heat and compress the plasma to very high density and temperature with energy densities in the range of 1-10 × 10 10 J/m 3 . The DPF device has always been in the company of several alternative magnetic fusion devices as it produces intense fusion neutrons. Several experiments conducted on many different DPF devices ranging over several order of storage energy have demonstrated that at higher storage energy the neutron production does not follow I 4 scaling laws and deteriorate significantly raising concern about the device's capability and relevance for fusion energy. On the other hand, the high energy density pinch plasma in DPF device makes it a multiple radiation source of ions, electron, soft and hard x-rays, and neutrons, making it useful for several applications in many different fields such as lithography, radiography, imaging, activation analysis, radioisotopes production etc. Being a source of hot dense plasma, strong shockwave, intense energetic beams and radiation, etc, the DPF device, additionally, shows tremendous potential for applications in plasma nanoscience and plasma nanotechnology. In the present paper, the key features of plasma focus device are critically discussed to understand the novelties and opportunities that this device offers in processing and synthesis of nanophase materials using, both, the top-down and bottom-up approach. The results of recent key experimental investigations performed on (i) the processing and modification of bulk target substrates for phase change, surface reconstruction and nanostructurization, (ii) the nanostructurization of PLD grown magnetic thin films, and (iii) direct synthesis of nanostructured (nanowire, nanosheets and nanoflowers) materials using anode target material ablation, ablated plasma and background reactive gas based synthesis and purely gas phase synthesis of various different types of

  2. Hypothesis for the mechanism of negative ion production in the surface-plasma negative hydrogen ion source

    International Nuclear Information System (INIS)

    Hiskes, J.R.

    1975-01-01

    An analysis of the surface-plasma negative hydrogen ion source has shown that the tungsten cathode supports approximately a monolayer of cesium. The backscattering of protons from the cathode as energetic neutrals and the subsequent backscattering of these neutrals from the anode provides for a flux of energetic atoms incident upon the cathode which is comparable to the ion flux. A hypothesis is proposed for the generation of negative ions during the collision of these energetic atoms with the cathode. Several mechanisms for negative ion production by proton collision with the surface are discussed. (U.S.)

  3. Characterization of DBD plasma source for biomedical applications

    Energy Technology Data Exchange (ETDEWEB)

    Kuchenbecker, M; Vioel, W [University of Applied Sciences and Arts, Faculty of Natural Sciences and Technology, Von-Ossietzky-Str. 99, 37085 Goettingen (Germany); Bibinov, N; Awakowicz, P [Institute for Electrical Engineering and Plasma Technology, Ruhr-Universitaet Bochum, Universitaetstr. 150, 44780 Bochum (Germany); Kaemlimg, A; Wandke, D, E-mail: m.kuchenbecker@web.d, E-mail: Nikita.Bibinov@rub.d, E-mail: awakowicz@aept-ruhr-uni-bochum.d, E-mail: vioel@hawk-hhg.d [CINOGY GmbH, Max-Naeder-Str. 15, 37114 Duderstadt (Germany)

    2009-02-21

    The dielectric barrier discharge (DBD) plasma source for biomedical application is characterized using optical emission spectroscopy, plasma-chemical simulation and voltage-current measurements. This plasma source possesses only one electrode covered by ceramic. Human body or some other object with enough high electric capacitance or connected to ground can serve as the opposite electrode. DBD consists of a number of microdischarge channels distributed in the gas gap between the electrodes and on the surface of the dielectric. To characterize the plasma conditions in the DBD source, an aluminium plate is used as an opposite electrode. Electric parameters, the diameter of microdischarge channel and plasma parameters (electron distribution function and electron density) are determined. The gas temperature is measured in the microdischarge channel and calculated in afterglow phase. The heating of the opposite electrode is studied using probe measurement. The gas and plasma parameters in the microdischarge channel are studied at varied distances between electrodes. According to an energy balance study, the input microdischarge electric energy dissipates mainly in heating of electrodes (about 90%) and partially (about 10%) in the production of chemical active species (atoms and metastable molecules).

  4. Plasma etching treatment for surface modification of boron-doped diamond electrodes

    Energy Technology Data Exchange (ETDEWEB)

    Kondo, Takeshi [Department of Industrial Chemistry, Faculty of Engineering, Tokyo University of Science, 1-3 Kagurazaka, Shinjuku-ku, Tokyo 162-8601 (Japan); Ito, Hiroyuki [Department of Industrial Chemistry, Faculty of Engineering, Tokyo University of Science, 1-3 Kagurazaka, Shinjuku-ku, Tokyo 162-8601 (Japan); Kusakabe, Kazuhide [Department of Applied Physics, Faculty of Science, Tokyo University of Science, 1-3 Kagurazaka, Shinjuku-ku, Tokyo 162-8601 (Japan); Ohkawa, Kazuhiro [Department of Applied Physics, Faculty of Science, Tokyo University of Science, 1-3 Kagurazaka, Shinjuku-ku, Tokyo 162-8601 (Japan); Einaga, Yasuaki [Department of Chemistry, Faculty of Science and Technology, Keio University, 3-14-1 Hiyoshi, Yokohama 223-8522 (Japan); Fujishima, Akira [Kanagawa Academy of Science and Technology (KAST), 3-2-1 Sakado, Takatsu-ku, Kawasaki, Kanagawa 213-0012 (Japan); Kawai, Takeshi [Department of Industrial Chemistry, Faculty of Engineering, Tokyo University of Science, 1-3 Kagurazaka, Shinjuku-ku, Tokyo 162-8601 (Japan)]. E-mail: kawai@ci.kagu.tus.ac.jp

    2007-03-01

    Boron-doped diamond (BDD) thin film surfaces were modified by brief plasma treatment using various source gases such as Cl{sub 2}, CF{sub 4}, Ar and CH{sub 4}, and the electrochemical properties of the surfaces were subsequently investigated. From X-ray photoelectron spectroscopy analysis, Cl and F atoms were detected on the BDD surfaces after 3 min of Cl{sub 2} and CF{sub 4} plasma treatments, respectively. From the results of cyclic voltammetry and electrochemical AC impedance measurements, the electron-transfer rate for Fe(CN){sub 6} {sup 3-/4-} and Fe{sup 2+/3+} at the BDD electrodes was found to decrease after Cl{sub 2} and CF{sub 4} plasma treatments. However, the electron-transfer rate for Ru(NH{sub 3}){sub 6} {sup 2+/3+} showed almost no change after these treatments. This may have been related to the specific interactions of surface halogen (C-Cl and C-F) moieties with the redox species because no electrical passivation was observed after the treatments. In addition, Raman spectroscopy showed that CH{sub 4} plasma treatment of diamond surfaces formed an insulating diamond-like carbon thin layer on the surfaces. Thus, by an appropriate choice of plasma source, short-duration plasma treatments can be an effective way to functionalize diamond surfaces in various ways while maintaining a wide potential window and a low background current.

  5. Development of negative heavy ion sources for plasma potential measurement

    International Nuclear Information System (INIS)

    Sasao, M.; Okabe, Y.; Fujisawa, A.; Iguchi, H.; Fujita, J.; Yamaoka, H.; Wada, M.

    1991-10-01

    A plasma sputter negative ion source was studied for its applicability to the potential measurement of a fusion plasma. Both the beam current density and the beam energy spread are key issues. Energy spectra of a self extracted Au - beam from the source were measured under the condition of a constant work function of the production surface. The full width of half maximum (FWHM) increases from 3 eV to 9 eV monotonically as the target voltage increases from 50 V to 300 V, independently from the target surface work function of 2.2 - 3 eV. (author)

  6. Plasma-surface interactions

    International Nuclear Information System (INIS)

    Goeckner, M J; Nelson, C T; Sant, S P; Jindal, A K; Joseph, E A; Zhou, B S; Padron-Wells, G; Jarvis, B; Pierce, R; Overzet, L J

    2008-01-01

    Materials processing is at a crossroads. Currently a large fraction of industrially viable materials processing is via plasmas. Until recently it has been economical to just examine the influence the plasma properties on the desired surface processes and through this ultimately optimize manufacturing. For example, it is well known that the surface processes (etch or deposition), occur in the top few mono-layers of the surface. Thus, in film growth one requires that molecules from the gas-phase land and bond on the surface. However as processing has reached the nano-scale, development of viable processes has become more and more difficult. In part, this is because of all of the free parameters that exist in plasmas. To overcome this economic issue, tool vendors and semiconductor companies have turned to complex computational models of processing plasmas. For those models to work, one requires a through understanding of all of the gas-phase and surface-phase processes that are exhibited in plasmas. Unfortunately, these processes, particularly those at the surface, are not well understood. In this article we describe a viable model of the surface-phase based on cross sections for processes that occur. While originally developed of fluorocarbon systems, the model also appears to be applicable to hydrocarbon systems.

  7. Plasma-surface interactions

    Energy Technology Data Exchange (ETDEWEB)

    Goeckner, M J; Nelson, C T; Sant, S P; Jindal, A K; Joseph, E A; Zhou, B S; Padron-Wells, G; Jarvis, B; Pierce, R; Overzet, L J [Department of Electrical Engineering, University of Texas at Dallas (United States)], E-mail: goeckner@utdallas.edu

    2008-10-01

    Materials processing is at a crossroads. Currently a large fraction of industrially viable materials processing is via plasmas. Until recently it has been economical to just examine the influence the plasma properties on the desired surface processes and through this ultimately optimize manufacturing. For example, it is well known that the surface processes (etch or deposition), occur in the top few mono-layers of the surface. Thus, in film growth one requires that molecules from the gas-phase land and bond on the surface. However as processing has reached the nano-scale, development of viable processes has become more and more difficult. In part, this is because of all of the free parameters that exist in plasmas. To overcome this economic issue, tool vendors and semiconductor companies have turned to complex computational models of processing plasmas. For those models to work, one requires a through understanding of all of the gas-phase and surface-phase processes that are exhibited in plasmas. Unfortunately, these processes, particularly those at the surface, are not well understood. In this article we describe a viable model of the surface-phase based on cross sections for processes that occur. While originally developed of fluorocarbon systems, the model also appears to be applicable to hydrocarbon systems.

  8. First results from the Los Alamos plasma source ion implantation experiment

    International Nuclear Information System (INIS)

    Rej, D.J.; Faehl, R.J.; Gribble, R.J.; Henins, I.; Kodali, P.; Nastasi, M.; Reass, W.A.; Tesmer, J.; Walter, K.C.; Wood, B.P.; Conrad, J.R.; Horswill, N.; Shamim, M.; Sridharan, K.

    1993-01-01

    A new facility is operational at Los Alamos to examine plasma source ion implantation on a large scale. Large workpieces can be treated in a 1.5-m-diameter, 4.6-m-long plasma vacuum chamber. Primary emphasis is directed towards improving tribological properties of metal surfaces. First experiments have been performed at 40 kV with nitrogen plasmas. Both coupons and manufactured components, with surface areas up to 4 m 2 , have been processed. Composition and surface hardness of implanted materials are evaluated. Implant conformality and dose uniformity into practical geometries are estimated with multidimensional particle-in-cell computations of plasma electron and ion dynamics, and Monte Carlo simulations of ion transport in solids

  9. Study of Au- production in a plasma-sputter type negative ion source

    International Nuclear Information System (INIS)

    Okabe, Yushirou.

    1991-10-01

    A negative ion source of plasma-sputter type has been constructed for the purpose of studying physical processes which take place in the ion source. Negative ions of gold are produced on the gold target which is immersed in an argon discharge plasma and biased negatively with respect to the plasma. The work function of the target surface was lowered by the deposition of Cs on the target. An in-situ method has been developed to determine the work function of the target surface in the ion source under discharge conditions. The observed minimum work function of a cesiated gold surface in an argon plasma was 1.3 eV, when the negative ion production rate took the maximum value. The production rate increased monotonically and saturated when the surface work function was reduced from 1.9 eV to 1.3 eV. The dependence of Au - production rate on the incident ion energy and on the number of the incident ion was studied. From the experimental results, it is shown that the sputtering process is an important physical process for the negative ion production in the plasma-sputter type negative ion source. The energy distribution function was also measured. When the bias voltage was smaller than 280 V, the high energy component in the distribution decreased as the target voltage was decreased. Therefore, the energy spread ΔE, of the observed negative ion energy distribution also decreased. This tendency is also seen in the energy spectrum of Cu atoms sputtered in normal direction by Ar + ions. (J.P.N.)

  10. A new large-scale plasma source with plasma cathode

    International Nuclear Information System (INIS)

    Yamauchi, K.; Hirokawa, K.; Suzuki, H.; Satake, T.

    1996-01-01

    A new large-scale plasma source (200 mm diameter) with a plasma cathode has been investigated. The plasma has a good spatial uniformity, operates at low electron temperature, and is highly ionized under relatively low gas pressure of about 10 -4 Torr. The plasma source consists of a plasma chamber and a plasma cathode generator. The plasma chamber has an anode which is 200 mm in diameter, 150 mm in length, is made of 304 stainless steel, and acts as a plasma expansion cup. A filament-cathode-like plasma ''plasma cathode'' is placed on the central axis of this source. To improve the plasma spatial uniformity in the plasma chamber, a disk-shaped, floating electrode is placed between the plasma chamber and the plasma cathode. The 200 mm diameter plasma is measure by using Langmuir probes. As a result, the discharge voltage is relatively low (30-120 V), the plasma space potential is almost equal to the discharge voltage and can be easily controlled, the electron temperature is several electron volts, the plasma density is about 10 10 cm -3 , and the plasma density is about 10% variance in over a 100 mm diameter. (Author)

  11. 21 CFR 640.60 - Source Plasma.

    Science.gov (United States)

    2010-04-01

    ... 21 Food and Drugs 7 2010-04-01 2010-04-01 false Source Plasma. 640.60 Section 640.60 Food and... ADDITIONAL STANDARDS FOR HUMAN BLOOD AND BLOOD PRODUCTS Source Plasma § 640.60 Source Plasma. The proper name of the product shall be Source Plasma. The product is defined as the fluid portion of human blood...

  12. Propagation of high frequency electrostatic surface waves along the planar interface between plasma and dusty plasma

    Science.gov (United States)

    Mishra, Rinku; Dey, M.

    2018-04-01

    An analytical model is developed that explains the propagation of a high frequency electrostatic surface wave along the interface of a plasma system where semi-infinite electron-ion plasma is interfaced with semi-infinite dusty plasma. The model emphasizes that the source of such high frequency waves is inherent in the presence of ion acoustic and dust ion acoustic/dust acoustic volume waves in electron-ion plasma and dusty plasma region. Wave dispersion relation is obtained for two distinct cases and the role of plasma parameters on wave dispersion is analyzed in short and long wavelength limits. The normalized surface wave frequency is seen to grow linearly for lower wave number but becomes constant for higher wave numbers in both the cases. It is observed that the normalized frequency depends on ion plasma frequencies when dust oscillation frequency is neglected.

  13. Modification of glassy carbon surfaces by atmospheric pressure cold plasma torch

    DEFF Research Database (Denmark)

    Mortensen, Henrik Junge; Kusano, Yukihiro; Leipold, Frank

    2006-01-01

    The effect of plasma treatment on glassy carbon (GC) surfaces was studied with adhesion improvement in mind. A newly constructed remote plasma source was used to treat GC plates. Pure He and a dilute NH3/He mixture were used as feed gases. Optical emission spectroscopy was performed for plasma to...

  14. Ion beam extraction from a matrix ECR plasma source by discrete ion-focusing effect

    DEFF Research Database (Denmark)

    Stamate, Eugen; Draghici, Mihai

    2010-01-01

    -ECR plasma source [3] with transversal magnetic filter for electron temperature control. 12 ECR plasma cells are placed 7.5 cm apart on the top of a cubic chamber 40x40x40 cm3. Each cell can be controlled independently by tuning the injected microwave power. The discharge is operated at pressures below 1 m......Positive or negative ion beams extracted from plasma are used in a large variety of surface functionalization techniques such as implantation, etching, surface activation, passivation or oxidation. Of particular importance is the surface treatment of materials sensitive to direct plasma exposure...... due to high heath fluxes, the controllability of the ion incidence angle, and charge accumulation when treating insulating materials. Despite of a large variety of plasma sources available for ion beam extraction, there is a clear need for new extraction mechanisms that can make available ion beams...

  15. Broad beam ion sources and some surface processes

    International Nuclear Information System (INIS)

    Neumann, H.; Scholze, F.; Tarz, M.; Schindler, A.; Wiese, R.; Nestler, M.; Blum, T.

    2005-01-01

    Modern broad-beam multi-aperture ion sources are widely used in material and surface technology applications. Customizing the generated ion beam properties (i. e. the ion current density profile) for specific demands of the application is a main challenge in the improvement of the ion beam technologies. First we introduce ion sources based on different plasma excitation principles shortly. An overview of source plasma and ion beam measurement methods deliver input data for modelling methods. This beam profile modelling using numerical trajectory codes and the validation of the results by Faraday cup measurements as a basis for ion beam profile design are described. Furthermore possibilities for ex situ and in situ beam profile control are demonstrated, like a special method for in situ control of a linear ion source beam profile, a grid modification for circular beam profile design and a cluster principle for broad beam sources. By means of these methods, the beam shape may be adapted to specific technological demands. Examples of broad beam source application in ion beam figuring of optical surfaces, modification of stainless steel, photo voltaic processes and deposition of EUVL-multilayer stacks are finally presented. (Author)

  16. Improving the work function of the niobium surface of SRF cavities by plasma processing

    Science.gov (United States)

    Tyagi, P. V.; Doleans, M.; Hannah, B.; Afanador, R.; McMahan, C.; Stewart, S.; Mammosser, J.; Howell, M.; Saunders, J.; Degraff, B.; Kim, S.-H.

    2016-04-01

    An in situ plasma processing technique using chemically reactive oxygen plasma to remove hydrocarbons from superconducting radio frequency cavity surfaces at room temperature has been developed at the spallation neutron source, at Oak Ridge National Laboratory. To understand better the interaction between the plasma and niobium surface, surface studies on small samples were performed. In this article, we report the results from those surface studies. The results show that plasma processing removes hydrocarbons from top surface and improves the surface work function by 0.5-1.0 eV. Improving the work function of RF surface of cavities can help to improve their operational performance.

  17. Surface modification of nanoporous alumina membranes by plasma polymerization

    Energy Technology Data Exchange (ETDEWEB)

    Losic, Dusan; Cole, Martin A; Dollmann, Bjoern; Vasilev, Krasimir; Griesser, Hans J [Ian Wark Research Institute, University of South Australia, Mawson Lakes, Adelaide, SA 5095 (Australia)], E-mail: dusan.losic@unisa.edu.au

    2008-06-18

    The deposition of plasma polymer coatings onto porous alumina (PA) membranes was investigated with the aim of adjusting the surface chemistry and the pore size of the membranes. PA membranes from commercial sources with a range of pore diameters (20, 100 and 200 nm) were used and modified by plasma polymerization using n-heptylamine (HA) monomer, which resulted in a chemically reactive polymer surface with amino groups. Heptylamine plasma polymer (HAPP) layers with a thickness less than the pore diameter do not span the pores but reduce their diameter. Accordingly, by adjusting the deposition time and thus the thickness of the plasma polymer coating, it is feasible to produce any desired pore diameter. The structural and chemical properties of modified membranes were studied by scanning electron microscopy (SEM), atomic force microscopy (AFM) and x-ray electron spectroscopy (XPS). The resultant PA membranes with specific surface chemistry and controlled pore size are applicable for molecular separation, cell culture, bioreactors, biosensing, drug delivery, and engineering complex composite membranes.

  18. Surface modification of nanoporous alumina membranes by plasma polymerization

    International Nuclear Information System (INIS)

    Losic, Dusan; Cole, Martin A; Dollmann, Bjoern; Vasilev, Krasimir; Griesser, Hans J

    2008-01-01

    The deposition of plasma polymer coatings onto porous alumina (PA) membranes was investigated with the aim of adjusting the surface chemistry and the pore size of the membranes. PA membranes from commercial sources with a range of pore diameters (20, 100 and 200 nm) were used and modified by plasma polymerization using n-heptylamine (HA) monomer, which resulted in a chemically reactive polymer surface with amino groups. Heptylamine plasma polymer (HAPP) layers with a thickness less than the pore diameter do not span the pores but reduce their diameter. Accordingly, by adjusting the deposition time and thus the thickness of the plasma polymer coating, it is feasible to produce any desired pore diameter. The structural and chemical properties of modified membranes were studied by scanning electron microscopy (SEM), atomic force microscopy (AFM) and x-ray electron spectroscopy (XPS). The resultant PA membranes with specific surface chemistry and controlled pore size are applicable for molecular separation, cell culture, bioreactors, biosensing, drug delivery, and engineering complex composite membranes

  19. Correlation of H- production and the work function of a surface in a hydrogen plasma

    International Nuclear Information System (INIS)

    Wada, M.

    1983-01-01

    Surface-plasma negative hydrogen ion sources are being developed as possible parts for future netural beam systems. In these ion sources, negative hydrogen ions (H - ) are produced at low work function metal surfaces immersed in hydrogen plasmas. To investigate the correlation between the work function and the H-production at the surface with a condition similar to the one in the actual plasma ion source, these two parameters were simultaneously measured in the hydrogen plasma environment. The photoelectron emission currents from Mo and Cu surfaces in a cesiated hydrogen discharge were measured in the photon energy range from 1.45 to 4.14 eV, to determine the work function based on Fowler's theory. A small magnetic line cusp plasma container was specially designed to minimize the plasma noise and to realize the efficient collection of incident light onto the target. The photelectron current was detected phase sensitively and could be measured with reasonable accuracy up to about 5 x 10 11 cm -3 of the plasma electron density. As Cs density was increased in the hydrogen discharge, the work function decreased until it reached a minimum value. This value of the lowest work function was approximately 1.4 eV for both Mo and Cu surfaces, and the detected total H - current was a maximum at this condition

  20. Experimental study of a RF plasma source with helicon configuration in the mix Ar/H_2. Application to the chemical etching of carbon materials surfaces in the framework of the plasma-wall interactions studies of ITER's divertor

    International Nuclear Information System (INIS)

    Bieber, T.

    2012-01-01

    The issue of the interaction wall-plasma is important in thermonuclear devices. The purpose of this work is to design a very low pressure atomic plasma source in order to study chemical etching of carbon surfaces in the same conditions as edge plasma in tokamaks. The experimental work has consisted in 2 stages: first, the characterisation of the new helicon configuration reactor developed for this research and secondly the atomic hydrogen source used for the chemical etching. The first chapter recalls what thermonuclear fusion is. The helicon configuration reactor as well as its diagnostics (optical emission spectroscopy, laser induced fluorescence - LIF, and Langmuir probe) are described in the second chapter. The third chapter deals with the different coupling modes (RF power and plasma) identified in pure argon plasmas and how they are obtained by setting experimental parameters such as injected RF power, magnetic fields or pressure. The fourth chapter is dedicated to the study of the difference in behavior between the electronic density and the relative density of metastable Ar"+ ions. The last chapter presents the results in terms of mass losses of the carbon material surfaces obtained with the atomic hydrogen source. (A.C.)

  1. Tailoring of materials by atomic oxygen from ECR plasma source

    International Nuclear Information System (INIS)

    Naddaf, Munzer; Bhoraskar, S.V.

    2002-01-01

    Full text: An intense source of oxygen finds important applications in many areas of science, technology and industry. It has been successfully used for surface activation and cleaning in the electronic, chemical and automotive industries. Atomic oxygen and interaction with materials have also a significant importance in space science and technology. This paper describes the detailed studies related to the surface modification and processing of different materials, which include metals and polymers by atomic oxygen produced in microwave assisted electron cyclotron resonance plasma. The energy distribution of ions was measured as a function of plasma parameters and density measurements were supplemented by catalytic probe using nickel and oxidation of silver surface

  2. Experimental studies on the surface confined quiescent plasma at INPE

    International Nuclear Information System (INIS)

    Ferreira, J.L.; Ferreira, J.G.; Sandonato, G.M.; Alves, M.V.; Ludwig, G.O.; Montes, A.

    1988-01-01

    The quiescent plasma machines used in several experiments at the Associated Plasma Laboratory in INPE are presented. The research activities comprise particle simulation studies on ion acoustic double layers, and studies on the plasma production and loss in surface confined magnetic multidipole thermionic discharges. Recent results from these studies have shown a non-maxwellian plasma formed in most of the discharge conditions. The plasma leakage through the multidipole fields shows an anomalous diffusion process driven by ion acoustic turbulence in the magnetic sheath. The information derived from these studies are being used in the construction and characterization of ion sources for shallow ion implantation in semiconductors, in ion thruster for space propulsion and in the development of powerful ion sources for future use in neutral beam injection systems. (author) [pt

  3. Experimental studies on the surface confined quiescent plasma at INPE

    International Nuclear Information System (INIS)

    Ferreira, J.L.; Ferreira, J.G.; Sandonato, G.M.; Alves, M.V.; Ludwig, G.O.; Montes, A.

    1988-06-01

    Quiescent plasma machines are being used in several experiments at the Associated Plasma Laboratory in INPE. The research activities comprises particle simulation studies on ion acoustic double Layers, and studies on the plasma production and loss in surface confined magnetic multidipole thermionic discharges. Recent results from these studies have shown a non-maxwellian plasma formed in most of the discharge conditions. The plasma leakage through the multidipole fields shows an anomalous diffusion process driven by ion acoustic turbulence in the magnetic sheath. The information derived from these studies are being used in the construction and characterization of ion sources for shallow ion implantation in semiconductors, in ion thruster for space propulsion and in the development of powerful ion sources for future use in neutral beam injection systems. (author) [pt

  4. Experimental studies of microwave interaction with a plasma-covered planar conducting surface

    International Nuclear Information System (INIS)

    Destler, W.W.; Rodgers, J.; DeGrange, J.E.; Segalov, Z.

    1990-01-01

    The authors present experimental studies of the reflection and absorption of microwave radiation from a plasma-covered planar conducting surface. In the experiments, microwave radiation from both highpower, short pulse (10 GHz, 100 MW, 30 ns) and low power (10 GHz, 10 mW, CW) sources is radiated at a 30 cm diameter conducting plate. A time-varying plasma is created on the surface of the conductor by 19 coaxial plasma guns embedded in the surface of the plate and discharged using a fast-rise capacitor bank. The plasma density distribution on the conducting surface is a function of time and the charging voltage on the capacitor bank. Incident and reflected microwave radiation has been measured for a wide variety of experimental conditions

  5. Improving the work function of the niobium surface of SRF cavities by plasma processing

    International Nuclear Information System (INIS)

    Tyagi, P.V.; Doleans, M.; Hannah, B.; Afanador, R.; McMahan, C.; Stewart, S.; Mammosser, J.; Howell, M.; Saunders, J.; Degraff, B.; Kim, S.-H.

    2016-01-01

    Highlights: • An in situ plasma processing for SNS SRF cavities has been developed to remove hydrocarbons from cavity surface. • Reactive oxygen plasma is very effective to remove hydrocarbons from niobium top surface. • Reactive oxygen plasma processing increases the work function of niobium surface in the range of 0.5–1.0 eV. • It was observed that hydrocarbons can migrate at plasma cleaned top surface from near surface regions when waiting in vacuum at room temperature. • Multiple cycles of plasma processing with waiting periods in between was found beneficial to mitigate such hydrocarbons migration at plasma cleaned surface. - Abstract: An in situ plasma processing technique using chemically reactive oxygen plasma to remove hydrocarbons from superconducting radio frequency cavity surfaces at room temperature has been developed at the spallation neutron source, at Oak Ridge National Laboratory. To understand better the interaction between the plasma and niobium surface, surface studies on small samples were performed. In this article, we report the results from those surface studies. The results show that plasma processing removes hydrocarbons from top surface and improves the surface work function by 0.5–1.0 eV. Improving the work function of RF surface of cavities can help to improve their operational performance.

  6. Non thermal plasma surface cleaner and method of use

    KAUST Repository

    Neophytou, Marios

    2017-09-14

    Described herein are plasma generation devices and methods of use of the devices. The devices can be used for the cleaning of various surfaces and/or for inhibiting or preventing the accumulation of particulates, such as dust, or moisture on various surfaces. The devices can be used to remove dust and other particulate contaminants from solar panels and windows, or to avoid or minimize condensation on various surfaces. In an embodiment a plasma generation device is provided. The plasma generation device can comprise: a pair of electrodes (1,2) positioned in association with a surface of a dielectric substrate (3). The pair of electrodes (1,2) can comprise a first electrode (1) and a second electrode (2). The first electrode and second electrode can be of different sizes, one of the electrodes being smaller than the other of the electrodes. The first electrode and second electrode can be separated by a distance and electrically connected to a voltage source (4,5).

  7. Non thermal plasma surface cleaner and method of use

    KAUST Repository

    Neophytou, Marios; Lacoste, Deanna A.; Kirkus, Mindaugas

    2017-01-01

    Described herein are plasma generation devices and methods of use of the devices. The devices can be used for the cleaning of various surfaces and/or for inhibiting or preventing the accumulation of particulates, such as dust, or moisture on various surfaces. The devices can be used to remove dust and other particulate contaminants from solar panels and windows, or to avoid or minimize condensation on various surfaces. In an embodiment a plasma generation device is provided. The plasma generation device can comprise: a pair of electrodes (1,2) positioned in association with a surface of a dielectric substrate (3). The pair of electrodes (1,2) can comprise a first electrode (1) and a second electrode (2). The first electrode and second electrode can be of different sizes, one of the electrodes being smaller than the other of the electrodes. The first electrode and second electrode can be separated by a distance and electrically connected to a voltage source (4,5).

  8. Microwave Plasma Sources for Gas Processing

    International Nuclear Information System (INIS)

    Mizeraczyk, J.; Jasinski, M.; Dors, M.; Zakrzewski, Z.

    2008-01-01

    In this paper atmospheric pressure microwave discharge methods and devices used for producing the non-thermal plasmas for processing of gases are presented. The main part of the paper concerns the microwave plasma sources (MPSs) for environmental protection applications. A few types of the MPSs, i.e. waveguide-based surface wave sustained MPS, coaxial-line-based and waveguide-based nozzle-type MPSs, waveguide-based nozzleless cylinder-type MPS and MPS for microdischarges are presented. Also, results of the laboratory experiments on the plasma processing of several highly-concentrated (up to several tens percent) volatile organic compounds (VOCs), including Freon-type refrigerants, in the moderate (200-400 W) waveguide-based nozzle-type MPS (2.45 GHz) are presented. The results showed that the microwave discharge plasma fully decomposed the VOCs at relatively low energy cost. The energy efficiency of VOCs decomposition reached 1000 g/kWh. This suggests that the microwave discharge plasma can be a useful tool for environmental protection applications. In this paper also results of the use of the waveguide-based nozzleless cylinder-type MPS to methane reforming into hydrogen are presented

  9. High-Current Plasma Electron Sources

    International Nuclear Information System (INIS)

    Gushenets, J.Z.; Krokhmal, V.A.; Krasik, Ya. E.; Felsteiner, J.; Gushenets, V.

    2002-01-01

    In this report we present the design, electrical schemes and preliminary results of a test of 4 different electron plasma cathodes operating under Kg h-voltage pulses in a vacuum diode. The first plasma cathode consists of 6 azimuthally symmetrically distributed arc guns and a hollow anode having an output window covered by a metal grid. Plasma formation is initiated by a surface discharge over a ceramic washer placed between a W-made cathode and an intermediate electrode. Further plasma expansion leads to a redistribution of the discharge between the W-cathode and the hollow anode. An accelerating pulse applied between the output anode grid and the collector extracts electrons from this plasma. The operation of another plasma cathode design is based on Penning discharge for preliminary plasma formation. The main glow discharge occurs between an intermediate electrode of the Penning gun and the hollow anode. To keep the background pressure in the accelerating gap at P S 2.5x10 4 Torr either differential pumping or a pulsed gas puff valve were used. The operation of the latter electron plasma source is based on a hollow cathode discharge. To achieve a sharp pressure gradient between the cathode cavity and the accelerating gap a pulsed gas puff valve was used. A specially designed ferroelectric plasma cathode initiated plasma formation inside the hollow cathode. This type of the hollow cathode discharge ignition allowed to achieve a discharge current of 1.2 kA at a background pressure of 2x10 4 Torr. All these cathodes were developed and initially tested inside a planar diode with a background pressure S 2x10 4 Torr under the same conditions: accelerating voltage 180 - 300 kV, pulse duration 200 - 400 ns, electron beam current - 1 - 1.5 kA, and cross-sectional area of the extracted electron beam 113 cm 2

  10. Plasma source ion implantation research at southwestern institute of physics

    International Nuclear Information System (INIS)

    Shang Zhenkui; Geng Man; Tong Honghui

    1997-10-01

    The PSII-EX device and PSII-IM device for research and development of plasma source ion implantation (PSII) technology are described briefly. The functions, main technical specifications and properties of the devices are also discussed. After ion implantation by PSII, the improvements of the surface-mechanical properties (such as microhardness, wear-resistance, friction factor, biological compatibility, etc) for some materials, microanalysis and numerical simulation of modified layers of materials, the technical developments for the practical workpiece treatments and the preliminary experiments for plasma source ion implantation-enhanced deposition are introduced too. As last, the future work about PSII have been proposed

  11. Development and application of helicon plasma sources. Evolution of extensive plasma science

    International Nuclear Information System (INIS)

    Shinohara, Shunjiro

    2009-01-01

    Recent advances in plasma science are remarkable, and are deeply indebted to the development of sophisticated plasma sources. While numerous methods have been proposed for producing the plasma, helicon plasma sources, capable of generating high density (>10 13 cm -3 ) plasma with high ionization degree (>several ten percent) over a wide range of external control parameters, have been utilized in such broad areas as fundamental and processing plasmas, nuclear fusion, gas laser, modeling of space plasma, plasma acceleration/propulsion, among others. On the other hand, a number of important issues are left unsolved, in particular, those relevant to the wave phenomena and efficient plasma production. Solution to these issues are expected to play key roles in taking full advantage of the helicon plasma sources in the next generation. In this article, we overview our current understanding of the helicon plasma production and recent development of characteristic helicon plasma sources, and discuss possible future advancement of extensive plasma science utilizing them. (author)

  12. Quantification of air plasma chemistry for surface disinfection

    International Nuclear Information System (INIS)

    Pavlovich, Matthew J; Clark, Douglas S; Graves, David B

    2014-01-01

    Atmospheric-pressure air plasmas, created by a variety of discharges, are promising sources of reactive species for the emerging field of plasma biotechnology because of their convenience and ability to operate at ambient conditions. One biological application of ambient-air plasma is microbial disinfection, and the ability of air plasmas to decontaminate both solid surfaces and liquid volumes has been thoroughly established in the literature. However, the mechanism of disinfection and which reactive species most strongly correlate with antimicrobial effects are still not well understood. We describe quantitative gas-phase measurements of plasma chemistry via infrared spectroscopy in confined volumes, focusing on air plasma generated via surface micro-discharge (SMD). Previously, it has been shown that gaseous chemistry is highly sensitive to operating conditions, and the measurements we describe here extend those findings. We quantify the gaseous concentrations of ozone (O 3 ) and nitrogen oxides (NO and NO 2 , or NO x ) throughout the established ‘regimes’ for SMD air plasma chemistry: the low-power, ozone-dominated mode; the high-power, nitrogen oxides-dominated mode; and the intermediate, unstable transition region. The results presented here are in good agreement with previously published experimental studies of aqueous chemistry and parameterized models of gaseous chemistry. The principal finding of the present study is the correlation of bacterial inactivation on dry surfaces with gaseous chemistry across these time and power regimes. Bacterial decontamination is most effective in ‘NO x mode’ and less effective in ‘ozone mode’, with the weakest antibacterial effects in the transition region. Our results underscore the dynamic nature of air plasma chemistry and the importance of careful chemical characterization of plasma devices intended for biological applications. (paper)

  13. Quantification of air plasma chemistry for surface disinfection

    Science.gov (United States)

    Pavlovich, Matthew J.; Clark, Douglas S.; Graves, David B.

    2014-12-01

    Atmospheric-pressure air plasmas, created by a variety of discharges, are promising sources of reactive species for the emerging field of plasma biotechnology because of their convenience and ability to operate at ambient conditions. One biological application of ambient-air plasma is microbial disinfection, and the ability of air plasmas to decontaminate both solid surfaces and liquid volumes has been thoroughly established in the literature. However, the mechanism of disinfection and which reactive species most strongly correlate with antimicrobial effects are still not well understood. We describe quantitative gas-phase measurements of plasma chemistry via infrared spectroscopy in confined volumes, focusing on air plasma generated via surface micro-discharge (SMD). Previously, it has been shown that gaseous chemistry is highly sensitive to operating conditions, and the measurements we describe here extend those findings. We quantify the gaseous concentrations of ozone (O3) and nitrogen oxides (NO and NO2, or NOx) throughout the established ‘regimes’ for SMD air plasma chemistry: the low-power, ozone-dominated mode; the high-power, nitrogen oxides-dominated mode; and the intermediate, unstable transition region. The results presented here are in good agreement with previously published experimental studies of aqueous chemistry and parameterized models of gaseous chemistry. The principal finding of the present study is the correlation of bacterial inactivation on dry surfaces with gaseous chemistry across these time and power regimes. Bacterial decontamination is most effective in ‘NOx mode’ and less effective in ‘ozone mode’, with the weakest antibacterial effects in the transition region. Our results underscore the dynamic nature of air plasma chemistry and the importance of careful chemical characterization of plasma devices intended for biological applications.

  14. A 14-MeV beam-plasma neutron source for materials testing

    International Nuclear Information System (INIS)

    Futch, A.H.; Coensgen, F.H.; Damm, C.C.; Molvik, A.W.

    1989-01-01

    The design and performance of 14-MeV beam-plasma neutron sources for accelerated testing of fusion reactor materials are described. Continuous production of 14-MeV neutron fluxes in the range of 5 to 10 MW/m 2 at the plasma surface are produced by D-T reactions in a two-component plasma. In the present designs, 14-MeV neutrons result from collisions of energetic deuterium ions created by transverse injection of 150-keV deuterium atoms on a fully ionized tritium target plasma. The beam energy, which deposited at the center of the tritium column, is transferred to the warm plasma by electron drag, which flows axially to the end regions. Neutral gas at high pressure absorbs the energy in the tritium plasma and transfers the heat to the walls of the vacuum vessel. The plasma parameters of the neutron source, in dimensionless units, have been achieved in the 2XIIB high-β plasma. The larger magnetic field of the present design permits scaling to the higher energy and density of the neutron source design. In the extrapolation, care has been taken to preserve the scaling and plasma attributes that contributed to equilibrium, magnetohydrodynamic (MHD) stability, and microstability in 2XIIB. The performance and scaling characteristics are described for several designs chosen to enhance the thermal isolation of the two-component plasmas. 11 refs., 3 figs., 3 tabs

  15. Ion source with plasma cathode

    International Nuclear Information System (INIS)

    Yabe, E.

    1987-01-01

    A long lifetime ion source with plasma cathode has been developed for use in ion implantation. In this ion source, a plasma of a nonreactive working gas serves as a cathode in place of a thermionic tungsten filament used in the Freeman ion source. In an applied magnetic field, the plasma is convergent, i.e., filamentlike; in zero magnetic field, it turns divergent and spraylike. In the latter case, the plasma exhibits a remarkable ability when the working gas has an ionization potential larger than the feed gas. By any combination of a working gas of either argon or neon and a feed gas of AsF 5 or PF 5 , the lifetime of this ion source was found to be more than 90 h with an extraction voltage of 40 kV and the corresponding ion current density 20 mA/cm 2 . Mass spectrometry results show that this ion source has an ability of generating a considerable amount of As + and P + ions from AsF 5 and PF 5 , and hence will be useful for realizing a fully cryopumped ion implanter system. This ion source is also eminently suitable for use in oxygen ion production

  16. Micro- and Nanoprocessing of Polymers Using a Laser Plasma Extreme Ultraviolet Source

    International Nuclear Information System (INIS)

    Bartnik, A.; Fiedorowicz, H.; Jarocki, R.; Kostecki, J.; Rakowski, R.; Szczurek, A.; Szczurek, M.

    2010-01-01

    Laser plasma with temperature of the order of tens eV can be an efficient source of extreme ultraviolet (EUV). The radiation can be focused using different kind of optics, giving sufficient fluence for some applications. In this work we present results of investigations concerning applications of a laser plasma EUV source based on a double stream gas puff target. The source was equipped with two different grazing incidence collectors. One of them was a multifoil collector, the second one was an axisymmetrical ellipsoidal collector. The multifoil mirror was used mainly in experiments concerning micromachining of organic polymers by direct photo-etching. The experiments were performed for different polymers that were irradiated through a fine metal grid as a contact mask. The smallest element of a pattern structure obtained in this way was 5 μm, while the structure height was 50 μm giving an aspect ratio about 10. The laser-plasma EUV source equipped with the axisymmetrical ellipsoidal collector was used for surface modification of organic polymers and inorganic solids. The surface morphology after irradiation was investigated. Different forms of micro- and nanostructures were obtained depending on material and irradiation conditions. (author)

  17. Plasma diagnostic tools for optimizing negative hydrogen ion sources

    International Nuclear Information System (INIS)

    Fantz, U.; Falter, H.D.; Franzen, P.; Speth, E.; Hemsworth, R.; Boilson, D.; Krylov, A.

    2006-01-01

    The powerful diagnostic tool of optical emission spectroscopy is used to measure the plasma parameters in negative hydrogen ion sources based on the surface mechanism. Results for electron temperature, electron density, atomic-to-molecular hydrogen density ratio, and gas temperature are presented for two types of sources, a rf source and an arc source, which are currently under development for a neutral beam heating system of ITER. The amount of cesium in the plasma volume is obtained from cesium radiation: the Cs neutral density is five to ten orders of magnitude lower than the hydrogen density and the Cs ion density is two to three orders of magnitude lower than the electron density in front of the grid. It is shown that monitoring of cesium lines is very useful for monitoring the cesium balance in the source. From a line-ratio method negative ion densities are determined. In a well-conditioned source the negative ion density is of the same order of magnitude as the electron density and correlates with extracted current densities

  18. Surface treatment of a titanium implant using low temperature atmospheric pressure plasmas

    Science.gov (United States)

    Lee, Hyun-Young; Tang, Tianyu; Ok, Jung-Woo; Kim, Dong-Hyun; Lee, Ho-Jun; Lee, Hae June

    2015-09-01

    During the last two decades, atmospheric pressure plasmas(APP) are widely used in diverse fields of biomedical applications, reduction of pollutants, and surface treatment of materials. Applications of APP to titanium surface of dental implants is steadily increasing as it renders surfaces wettability and modifies the oxide layer of titanium that hinders the interaction with cells and proteins. In this study, we have treated the titanium surfaces of screw-shaped implant samples using a plasma jet which is composed of a ceramic coaxial tube of dielectrics, a stainless steel inner electrode, and a coper tube outer electrode. The plasma ignition occurred with Ar gas flow between two coaxial metal electrodes and a sinusoidal bias voltage of 3 kV with a frequency of 20 kHz. Titanium materials used in this study are screw-shaped implants of which diameter and length are 5 mm and 13 mm, respectively. Samples were mounted at a distance of 5 mm below the plasma source, and the plasma treatment time was set to 3 min. The wettability of titanium surface was measured by the moving speed of water on its surface, which is enhanced by plasma treatment. The surface roughness was also measured by atomic force microscopy. The optimal condition for wettability change is discussed.

  19. Ground Simulations of Near-Surface Plasma Field and Charging at the Lunar Terminator

    Science.gov (United States)

    Polansky, J.; Ding, N.; Wang, J.; Craven, P.; Schneider, T.; Vaughn, J.

    2012-12-01

    Charging in the lunar terminator region is the most complex and is still not well understood. In this region, the surface potential is sensitively influenced by both solar illumination and plasma flow. The combined effects from localized shadow generated by low sun elevation angles and localized wake generated by plasma flow over the rugged terrain can generate strongly differentially charged surfaces. Few models currently exist that can accurately resolve the combined effects of plasma flow and solar illumination over realistic lunar terminator topographies. This paper presents an experimental investigation of lunar surface charging at the terminator region in simulated plasma environments in a vacuum chamber. The solar wind plasma flow is simulated using an electron bombardment gridded Argon ion source. An electrostatic Langmuir probe, nude Faraday probes, a floating emissive probe, and retarding potential analyzer are used to quantify the plasma flow field. Surface potentials of both conducting and dielectric materials immersed in the plasma flow are measured with a Trek surface potential probe. The conducting material surface potential will simultaneously be measured with a high impedance voltmeter to calibrate the Trek probe. Measurement results will be presented for flat surfaces and objects-on-surface for various angles of attack of the plasma flow. The implications on the generation of localized plasma wake and surface charging at the lunar terminator will be discussed. (This research is supported by the NASA Lunar Advanced Science and Exploration Research program.)

  20. Plasma source ion implantation of ammonia into electroplated chromium

    International Nuclear Information System (INIS)

    Scheuer, J.T.; Walter, K.C.; Rej, D.J.; Nastasi, M.; Blanchard, J.P.

    1995-01-01

    Ammonia gas (NH 3 ) has been used as a nitrogen source for plasma source ion implantation processing of electroplated chromium. No evidence was found of increased hydrogen concentrations in the bulk material, implying that ammonia can be used without risking hydrogen embrittlement. The retained nitrogen dose of 2.1 x 10 17 N-at/cm 2 is sufficient to increase the surface hardness of electroplated Cr by 24% and decrease the wear rate by a factor of 4

  1. Effect of air gap on uniformity of large-scale surface-wave plasma

    International Nuclear Information System (INIS)

    Lan Chaohui; Hu Xiwei; Jiang Zhonghe; Liu Minghai

    2009-01-01

    The effect of air gap on the uniformity of large-scale surface-wave plasma (SWP) in a rectangular chamber device is studied by using three-dimensional numerical analyses based on the finite difference time-domain (FDTD) approximation to Maxwell's equations and plasma fluid model. The spatial distributions of surface wave excited by slot-antenna array and the plasma parameters such as electron density and temperature are presented. For different air gap thicknesses, the results show that the existence of air gap would severely weaken the excitations of the surface wave and thereby the SWP. Thus the air gap should be eliminated completely in the design of the SWP source, which is opposite to the former research results. (authors)

  2. On plasma ion beam formation in the Advanced Plasma Source

    International Nuclear Information System (INIS)

    Harhausen, J; Foest, R; Hannemann, M; Ohl, A; Brinkmann, R P; Schröder, B

    2012-01-01

    The Advanced Plasma Source (APS) is employed for plasma ion-assisted deposition (PIAD) of optical coatings. The APS is a hot cathode dc glow discharge which emits a plasma ion beam to the deposition chamber at high vacuum (p ≲ 2 × 10 −4 mbar). It is established as an industrial tool but to date no detailed information is available on plasma parameters in the process chamber. As a consequence, the details of the generation of the plasma ion beam and the reasons for variations of the properties of the deposited films are barely understood. In this paper the results obtained from Langmuir probe and retarding field energy analyzer diagnostics operated in the plasma plume of the APS are presented, where the source was operated with argon. With increasing distance to the source exit the electron density (n e ) is found to drop by two orders of magnitude and the effective electron temperature (T e,eff ) drops by a factor of five. The parameters close to the source region read n e ≳ 10 11 cm −3 and T e,eff ≳ 10 eV. The electron distribution function exhibits a concave shape and can be described in the framework of the non-local approximation. It is revealed that an energetic ion population leaves the source region and a cold ion population in the plume is build up by charge exchange collisions with the background neutral gas. Based on the experimental data a scaling law for ion beam power is deduced, which links the control parameters of the source to the plasma parameters in the process chamber. (paper)

  3. Plasma stream transport method (2) Use of charge exchange plasma source

    International Nuclear Information System (INIS)

    Tsuchimoto, T.

    1978-01-01

    The plasma stream transport method using a single plasma source has limitations for practical film deposition. Using a charge exchange phenomenon, a new plasma source is devised and tested by the plasma stream transport machine. Metals, silicon dioxide, and nitride films are deposited by this system. The mechanism of deposition under relatively high vacuum surrounding a silicon wafer is discussed as is the effect of radical atoms

  4. Negative ion beam formation using thermal contact ionization type plasma source

    Energy Technology Data Exchange (ETDEWEB)

    Fukuura, Yoshiyuki; Murakami, Kazutugu; Masuoka, Toshio; Katsumata, Itsuo [Osaka City Univ. (Japan). Faculty of Engineering

    1997-02-01

    The small ion sources utilizing thermal ionization have been already developed, and at present, in order to increase ion yield, that being developed to the cylindrical plasma prototype having the inner surface of a Re foil cylinder as the ionization surface, and stably functioning at 3,000 K has been developed, and by using this plasma source, the research on the formation of various ions has been carried out. At present, the research on the formation of Li negative ion beam is carried out. The separation of negative ions from electrons is performed with the locally limited magnetic field using a small iron core electromagnet placed behind the electrostatic accelerating lens system. So for, the formation of about 2 {mu}A at maximum of negative ions was confirmed. It was decided to identify the kinds of ions by time of flight (TOF) process, and the various improvements for this purpose were carried out. The experimental setup, the structure of the plasma source, the circuits for TOF measurement and so on are explained. The experimental results are reported. The problems are the possibility of the formation of alkali metals, the resolution of the time axis of the TOF system and so on. (K.I.)

  5. Large area ion and plasma beam sources

    Energy Technology Data Exchange (ETDEWEB)

    Waldorf, J. [IPT Ionen- und Plasmatech. GmbH, Kaiserslautern (Germany)

    1996-06-01

    In the past a number of ion beam sources utilizing different methods for plasma excitation have been developed. Nevertheless, a widespread use in industrial applications has not happened, since the sources were often not able to fulfill specific demands like: broad homogeneous ion beams, compatibility with reactive gases, low ion energies at high ion current densities or electrical neutrality of the beam. Our contribution wants to demonstrate technical capabilities of rf ion and plasma beam sources, which can overcome the above mentioned disadvantages. The physical principles and features of respective sources are presented. We report on effective low pressure plasma excitation by electron cyclotron wave resonance (ECWR) for the generation of dense homogeneous plasmas and the rf plasma beam extraction method for the generation of broad low energy plasma beams. Some applications like direct plasma beam deposition of a-C:H and ion beam assisted deposition of Al and Cu with tailored thin film properties are discussed. (orig.).

  6. Large area ion and plasma beam sources

    International Nuclear Information System (INIS)

    Waldorf, J.

    1996-01-01

    In the past a number of ion beam sources utilizing different methods for plasma excitation have been developed. Nevertheless, a widespread use in industrial applications has not happened, since the sources were often not able to fulfill specific demands like: broad homogeneous ion beams, compatibility with reactive gases, low ion energies at high ion current densities or electrical neutrality of the beam. Our contribution wants to demonstrate technical capabilities of rf ion and plasma beam sources, which can overcome the above mentioned disadvantages. The physical principles and features of respective sources are presented. We report on effective low pressure plasma excitation by electron cyclotron wave resonance (ECWR) for the generation of dense homogeneous plasmas and the rf plasma beam extraction method for the generation of broad low energy plasma beams. Some applications like direct plasma beam deposition of a-C:H and ion beam assisted deposition of Al and Cu with tailored thin film properties are discussed. (orig.)

  7. Characterization of atomic oxygen from an ECR plasma source

    International Nuclear Information System (INIS)

    Naddaf, M; Bhoraskar, V N; Mandale, A B; Sainkar, S R; Bhoraskar, S V

    2002-01-01

    A low-power microwave-assisted electron cyclotron resonance (ECR) plasma system is shown to be a powerful and effective source of atomic oxygen (AO) useful in material processing. A 2.45 GHz microwave source with maximum power of 600 W was launched into the cavity to generate the ECR plasma. A catalytic nickel probe was used to determine the density of AO. The density of AO is studied as a function of pressure and axial position of the probe in the plasma chamber. It was found to vary from ∼1x10 20 to ∼10x10 20 atom m -3 as the plasma pressure was varied from 0.8 to 10 mTorr. The effect of AO in oxidation of silver is investigated by gravimetric analysis. The stoichiometric properties of the oxide are studied using the x-ray photoelectron spectroscopy as well as energy dispersive x-ray analysis. The degradation of the silver surface due to sputtering effect was viewed by scanning electron spectroscopy. The sputtering yield of oxygen ions in the plasma is calculated using the TRIM code. The effects of plasma pressure and the distance from the ECR zone on the AO density were also investigated. The density of AO measured by oxidation of silver is in good agreement with results obtained from the catalytic nickel probe

  8. Characterization of atomic oxygen from an ECR plasma source

    Science.gov (United States)

    Naddaf, M.; Bhoraskar, V. N.; Mandale, A. B.; Sainkar, S. R.; Bhoraskar, S. V.

    2002-11-01

    A low-power microwave-assisted electron cyclotron resonance (ECR) plasma system is shown to be a powerful and effective source of atomic oxygen (AO) useful in material processing. A 2.45 GHz microwave source with maximum power of 600 W was launched into the cavity to generate the ECR plasma. A catalytic nickel probe was used to determine the density of AO. The density of AO is studied as a function of pressure and axial position of the probe in the plasma chamber. It was found to vary from ~1×1020 to ~10×1020 atom m-3 as the plasma pressure was varied from 0.8 to 10 mTorr. The effect of AO in oxidation of silver is investigated by gravimetric analysis. The stoichiometric properties of the oxide are studied using the x-ray photoelectron spectroscopy as well as energy dispersive x-ray analysis. The degradation of the silver surface due to sputtering effect was viewed by scanning electron spectroscopy. The sputtering yield of oxygen ions in the plasma is calculated using the TRIM code. The effects of plasma pressure and the distance from the ECR zone on the AO density were also investigated. The density of AO measured by oxidation of silver is in good agreement with results obtained from the catalytic nickel probe.

  9. Characterization of atomic oxygen from an ECR plasma source

    Energy Technology Data Exchange (ETDEWEB)

    Naddaf, M [Center for Advanced Studies in Material Science and Solid State Physics, University of Pune, Pune 411 007 (India); Bhoraskar, V N [Center for Advanced Studies in Material Science and Solid State Physics, University of Pune, Pune 411 007 (India); Mandale, A B [National Chemical Laboratory, Pashan, Pune 411008 (India); Sainkar, S R [National Chemical Laboratory, Pashan, Pune 411008 (India); Bhoraskar, S V [Center for Advanced Studies in Material Science and Solid State Physics, University of Pune, Pune 411 007 (India)

    2002-11-01

    A low-power microwave-assisted electron cyclotron resonance (ECR) plasma system is shown to be a powerful and effective source of atomic oxygen (AO) useful in material processing. A 2.45 GHz microwave source with maximum power of 600 W was launched into the cavity to generate the ECR plasma. A catalytic nickel probe was used to determine the density of AO. The density of AO is studied as a function of pressure and axial position of the probe in the plasma chamber. It was found to vary from {approx}1x10{sup 20} to {approx}10x10{sup 20} atom m{sup -3} as the plasma pressure was varied from 0.8 to 10 mTorr. The effect of AO in oxidation of silver is investigated by gravimetric analysis. The stoichiometric properties of the oxide are studied using the x-ray photoelectron spectroscopy as well as energy dispersive x-ray analysis. The degradation of the silver surface due to sputtering effect was viewed by scanning electron spectroscopy. The sputtering yield of oxygen ions in the plasma is calculated using the TRIM code. The effects of plasma pressure and the distance from the ECR zone on the AO density were also investigated. The density of AO measured by oxidation of silver is in good agreement with results obtained from the catalytic nickel probe.

  10. Plasma particle sources due to interactions with neutrals in a turbulent scrape-off layer of a toroidally confined plasma

    DEFF Research Database (Denmark)

    Thrysøe, Alexander Simon; Løiten, M.; Madsen, J.

    2018-01-01

    The conditions in the edge and scrape-off layer (SOL) of magnetically confined plasmas determine the overall performance of the device, and it is of great importance to study and understand the mechanics that drive transport in those regions. If a significant amount of neutral molecules and atoms...... is present in the edge and SOL regions, those will influence the plasma parameters and thus the plasma confinement. In this paper, it is displayed how neutrals, described by a fluid model, introduce source terms in a plasma drift-fluid model due to inelastic collisions. The resulting source terms...... are included in a four-field drift fluid model, and it is shown how an increasing neutral particle density in the edge and SOL regions influences the plasma particle transport across the lastclosed-flux-surface. It is found that an appropriate gas puffing rate allows for the edge density in the simulation...

  11. Improvement of Polytetrafluoroethylene Surface Energy by Repetitive Pulse Non-Thermal Plasma Treatment in Atmospheric Air

    International Nuclear Information System (INIS)

    Yang Guoqing; Zhang Guanjun; Zhang Wenyuan

    2011-01-01

    Improvement of polytetrafluoroethylene surface energy by non-thermal plasma treatment is presented, using a nanosecond-positive-edge repetitive pulsed dielectric barrier discharge generator in atmospheric air. The electrical parameters including discharging power, peak and density of micro-discharge current were calculated, and the electron energy was estimated. Surface treatment experiments of polytetrafluoroethylene films were conducted for both different applied voltages and different treating durations. Results show that the surface energy of polytetrafluoroethylene film could be improved to 40 mJ/m 2 or more by plasma treatment. Surface roughness measurement and surface X-ray photoelectron spectroscopy analysis indicate that there are chemical etching and implantation of polar oxygen groups in the sample surface treating process, resulting in the improvement of the sample surface energy. Compared with an AC source of 50 Hz, the dielectric barrier discharges generated by a repetitive pulsed source could provide higher peak power, lower mean power, larger micro-discharge current density and higher electron energy. Therefore, with the same applied peak voltage and treating duration, the improvement of polytetrafluoroethylene surface energy using repetitive pulsed plasma is more effective, and the plasma treatment process based on repetitive pulsed dielectric barrier discharges in air is thus feasible and applicable.

  12. Plasma surface interaction processes and possible synergisms

    International Nuclear Information System (INIS)

    Behrisch, R.; Roberto, J.B.

    1984-08-01

    The process determining the plasma surface interaction in today's high temperature plasma experiments are investigated following several lines. First, in plasma devices, the particle and energy fluxes to the different first wall areas the fluxes from the walls back into the plasma are measured and the boundary plasma parameters are determined. The surface composition and structure of the walls, limiters and divertor plates are analyzed following exposure to many discharges. Secondly, the different surface processes which are expected to contribute to the plasma surface interaction (particularly to hydrogen particle balance and impurity introduction) are studied in simulation experiments using well defined particle beams

  13. An assessment of surface emissivity variation effects on plasma uniformity analysis using IR cameras

    Science.gov (United States)

    Greenhalgh, Abigail; Showers, Melissa; Biewer, Theodore

    2017-10-01

    The Prototype-Material Plasma Exposure eXperiment (Proto-MPEX) is a linear plasma device operating at Oak Ridge National Laboratory (ORNL). Its purpose is to test plasma source and heating concepts for the planned Material Plasma Exposure eXperiment (MPEX), which has the mission to test the plasma-material interactions under fusion reactor conditions. In this device material targets will be exposed to high heat fluxes (>10 MW/m2). To characterize the heat fluxes to the target a IR thermography system is used taking up to 432 frames per second videos. The data is analyzed to determine the surface temperature on the target in specific regions of interest. The IR analysis has indicated a low level of plasma uniformity; the plasma often deposits more heat to the edge of the plate than the center. An essential parameter for IR temperature calculation is the surface emissivity of the plate (stainless steel). A study has been performed to characterize the variation in the surface emissivity of the plate as its temperature changes and its surface finish is modified by plasma exposure.

  14. Plasma x-ray radiation source.

    Science.gov (United States)

    Popkov, N F; Kargin, V I; Ryaslov, E A; Pikar', A S

    1995-01-01

    This paper gives the results of studies on a plasma x-ray source, which enables one to obtain a 2.5-krad radiation dose per pulse over an area of 100 cm2 in the quantum energy range from 20 to 500 keV. Pulse duration is 100 ns. Spectral radiation distributions from a diode under various operation conditions of a plasma are obtained. A Marx generator served as an initial energy source of 120 kJ with a discharge time of T/4 = 10-6 s. A short electromagnetic pulse (10-7 s) was shaped using plasma erosion opening switches.

  15. Plasma immersion surface modification with metal ion plasma

    International Nuclear Information System (INIS)

    Brown, I.G.; Yu, K.M.; Godechot, X.

    1991-04-01

    We describe here a novel technique for surface modification in which metal plasma is employed and by which various blends of plasma deposition and ion implantation can be obtained. The new technique is a variation of the plasma immersion technique described by Conrad and co-workers. When a substrate is immersed in a metal plasma, the plasma that condenses on the substrate remains there as a film, and when the substrate is then implanted, qualitatively different processes can follow, including' conventional' high energy ion implantation, recoil implantation, ion beam mixing, ion beam assisted deposition, and metallic thin film and multilayer fabrication with or without species mixing. Multiple metal plasma guns can be used with different metal ion species, films can be bonded to the substrate through ion beam mixing at the interface, and multilayer structures can be tailored with graded or abrupt interfaces. We have fabricated several different kinds of modified surface layers in this way. 22 refs., 4 figs

  16. Implosive Thermal Plasma Source for Energy Conversion

    Czech Academy of Sciences Publication Activity Database

    Šonský, Jiří; Tesař, Václav; Gruber, Jan; Mašláni, Alan

    2017-01-01

    Roč. 4, č. 1 (2017), s. 87-90 ISSN 2336-2626 Institutional support: RVO:61388998 ; RVO:61389021 Keywords : implosion * thermal plasma * detonation wave Subject RIV: BL - Plasma and Gas Discharge Physics; BL - Plasma and Gas Discharge Physics (UFP-V) OBOR OECD: Fluids and plasma physics (including surface physics); Fluids and plasma physics (including surface physics) (UFP-V) https://ppt.fel.cvut.cz/ppt2017.html#number1

  17. Ion acceleration in the plasma source sheath

    International Nuclear Information System (INIS)

    Birdsall, C.K.

    1986-01-01

    This note is a calculation of the potential drop for a planar plasma source, across the source sheath, into a uniform plasma region defined by vector E = 0 and/or perhaps ∂ 2 PHI/∂ x 2 = 0. The calculation complements that of Bohm who obtained the potential drop at the other end of a plasma, at a planar collector sheath. The result is a relation between the source ion flux and the source sheath potential drop and the accompanying ion acceleration. This planar source sheath ion acceleration mechanism (or that from a distributed source) can provide the pre-collector-sheath ion acceleration as found necessary by Bohm. 3 refs

  18. Physical principles of the surface plasma method for producing beams of negative ions

    International Nuclear Information System (INIS)

    Bel'chenko, Yu.I.; Dimov, G.I.; Dudnikov, V.G.

    1977-01-01

    The processes which are important for the production of intense beams of negative ions from surface plasma sources (SPS) are examined. The formation of negative ions when atomic particles interact with a surface is analyzed on the basis of both experimental results obtained when a surface was bombarded with beams and recently developed theoretical considerations of reflection, scattering, and electron exchange. The characteristic features of these processes in SPS, when a surface is bombarded with intense fluxes of plasma particles, are revealed in special experiments. The characteristics of generation and acceleration of the bombarding particles in a gas discharge SPS plasma, the characteristics of transportation of negative ions through the plasma toward the beam forming system, the role of cesium in SPS, and the characteristics of formation of the intense negative ion beams as well as the removal of parasite electrons from the beam

  19. Plasma particle sources due to interactions with neutrals in a turbulent scrape-off layer of a toroidally confined plasma

    Science.gov (United States)

    Thrysøe, A. S.; Løiten, M.; Madsen, J.; Naulin, V.; Nielsen, A. H.; Rasmussen, J. Juul

    2018-03-01

    The conditions in the edge and scrape-off layer (SOL) of magnetically confined plasmas determine the overall performance of the device, and it is of great importance to study and understand the mechanics that drive transport in those regions. If a significant amount of neutral molecules and atoms is present in the edge and SOL regions, those will influence the plasma parameters and thus the plasma confinement. In this paper, it is displayed how neutrals, described by a fluid model, introduce source terms in a plasma drift-fluid model due to inelastic collisions. The resulting source terms are included in a four-field drift-fluid model, and it is shown how an increasing neutral particle density in the edge and SOL regions influences the plasma particle transport across the last-closed-flux-surface. It is found that an appropriate gas puffing rate allows for the edge density in the simulation to be self-consistently maintained due to ionization of neutrals in the confined region.

  20. Optimal pulse modulator design criteria for plasma source ion implanters

    International Nuclear Information System (INIS)

    Reass, W.

    1993-01-01

    This paper describes what are believed to be the required characteristics of a high-voltage modulator for efficient and optimal ion deposition from the ''Plasma Source Ion Implantation'' (PSII) process. The PSII process is a method to chemically or physically alter and enhance surface properties of objects by placing them in a weakly ionized plasma and pulsing the object with a high negative voltage. The attracted ions implant themselves and form chemical bonds or are interstitially mixed with the base material. Present industrial uses of implanted objects tends to be for limited-production, high-value-added items. Traditional implanting hardware uses the typical low-current (ma) semiconductor ''raster scan'' implanters. The targets must also be manipulated to maintain a surface normal to the ion beam. The PSII method can provide ''bulk'' equipment processing on a large industrial scale. For the first generation equipment, currents are scaled from milliamps to hundreds of amps, voltages to -175kV, at kilohertz rep-rates, and high plasma ion densities

  1. Development of the DC-RF Hybrid Plasma Source and the Application to the Etching and Texturing of the Silicon Surface

    International Nuclear Information System (INIS)

    Kim, Ji Hun

    2011-02-01

    Plasma used in dry etching, thin film deposition and surface treatment for display or semiconductor industries are operating at low pressures in general. However, low pressure processing is very costly due to the use of vacuum equipment and vacuum components. Subsequent wet processing is environmentally undesirable due to the use of a large amount of chemicals. Also, the usage of vacuum processing increases fabrication cost and decreases productivity. If stable atmospheric plasmas can be used, not only the decrease in processing costs but also the increase in productivity could be obtained. New DC arc plasmatron with a hot rod cathode and cold nozzle anode was developed and its V-A (Volt-Ampere) characteristics were investigated. Outlook of the measured V-A characteristics cleared the question of the stability of arc burning in the new DC arc plasmatron. The plasmatron that has a stable operations with distributed anode spot could possibly be applied to plasma chemical processing. And measured value of the erosion rate for copper anode is m Cu 3.6x10 -10 g/C which is better than corresponding data for thermo-ionic emission cathodes made of tungsten mw ∼10 -9 g/C. These facts mean that plasmatron durability reaches ∼10 3 h. The low anode erosion rate is related to the large surface of arc-anode contact due to distributed anode arc spot, which reduces the current density. Unique characteristics of the new plasma source concerning its durability and plasma purity at rather low temperatures make it an interesting tool for technical applications, such as etching/deposition and chemical reaction. To apply a plasma processing, the T-type plasmatron was modified to A-type. The A-type plasmatron was used to activate the CF 4 and SF 6 gases in etching experiments at atmospheric and low pressure. To reduce the recombination rate of the activated gas particles inside a plasmatron and let them preserve their activated state outside, the whole device was installed outside of

  2. Summary of mirror experiments relevant to beam-plasma neutron source

    International Nuclear Information System (INIS)

    Molvik, A.W.

    1988-01-01

    A promising design for a deuterium-tritium (DT) neutron source is based on the injection of neutral beams into a dense, warm plasma column. Its purpose is to test materials for possible use in fusion reactors. A series of designs have evolved, from a 4-T version to an 8-T version. Intense fluxes of 5--10 MW/m 2 is achieved at the plasma surface, sufficient to complete end-of-life tests in one to two years. In this report, we review data from earlier mirror experiments that are relevant to such neutron sources. Most of these data are from 2XIIB, which was the only facility to ever inject 5 MW of neutral beams into a single mirror call. The major physics issues for a beam-plasma neutron source are magnetohydrodynamic (MHD) equilibrium and stability, microstability, startup, cold-ion fueling of the midplane to allow two-component reactions, and operation in the Spitzer conduction regime, where the power is removed to the ends by an axial gradient in the electron temperature T/sub e/. We show in this report that the conditions required for a neutron source have now been demonstrated in experiments. 20 refs., 15 figs., 3 tabs

  3. 21 CFR 640.74 - Modification of Source Plasma.

    Science.gov (United States)

    2010-04-01

    ... 21 Food and Drugs 7 2010-04-01 2010-04-01 false Modification of Source Plasma. 640.74 Section 640...) BIOLOGICS ADDITIONAL STANDARDS FOR HUMAN BLOOD AND BLOOD PRODUCTS Source Plasma § 640.74 Modification of Source Plasma. (a) Upon approval by the Director, Center for Biologics Evaluation and Research, Food and...

  4. Discharge physics and chemistry of a novel atmospheric pressure plasma source

    Energy Technology Data Exchange (ETDEWEB)

    Park, J.; Henins, I.; Hermann, J.W.; Selwyn, G.S.; Jeong, J.Y.; Hickis, R.

    1999-07-01

    The atmospheric pressure plasma jet (APPJ) is a unique plasma source operating at atmospheric pressure. The APPJ operates with RF power and produces a stable non-thermal discharge in capacitively-coupled configuration. The discharge is spatially and temporally homogeneous and provides a unique gas phase chemistry that is well suited for various applications including etching, film deposition, surface treatment and decontamination of chemical and biological warfare (CBW) agents. A theoretical model shows electron densities of 0.2--2 x 10{sup 11} cm{sup {minus}3} for a helium discharge at a power level of 3--30 W cm{sup {minus}3}. The APPJ also produces a large flux, equivalent of up to 10,000 monolayer s{sup {minus}1}, of chemically-active, atomic and metastable molecular species which can impinge surfaces several cm downstream of the confined source. In addition, the efforts are in progress to measure the electron density using microwave diagnostics and to benchmark the gas phase chemical model by using LIF and titration.

  5. A Penning-assisted subkilovolt coaxial plasma source

    International Nuclear Information System (INIS)

    Wang Zhehui; Beinke, Paul D.; Barnes, Cris W.; Martin, Michael W.; Mignardot, Edward; Wurden, Glen A.; Hsu, Scott C.; Intrator, Thomas P.; Munson, Carter P.

    2005-01-01

    A Penning-assisted 20 MW coaxial plasma source (plasma gun), which can achieve breakdown at sub-kV voltages, is described. The minimum breakdown voltage is about 400 V, significantly lower than previously reported values of 1-5 kV. The Penning region for electrons is created using a permanent magnet assembly, which is mounted to the inside of the cathode of the coaxial plasma source. A theoretical model for the breakdown is given. A 900 V 0.5 F capacitor bank supplies energy for gas breakdown and plasma sustainment from 4 to 6 ms duration. Typical peak gun current is about 100 kA and gun voltage between anode and cathode after breakdown is about 200 V. A circuit model is used to understand the current-voltage characteristics of the coaxial gun plasma. Energy deposited into the plasma accounts for about 60% of the total capacitor bank energy. This plasma source is uniquely suitable for studying multi-MW multi-ms plasmas with sub-MJ capacitor bank energy

  6. Optical emission spectra of a copper plasma produced by a metal vapour vacuum arc plasma source

    International Nuclear Information System (INIS)

    Yotsombat, B.; Poolcharuansin, P.; Vilaithong, T.; Davydov, S.; Brown, I.G.

    2001-01-01

    Optical emission spectroscopy in the range 200-800 nm was applied for investigation of the copper plasma produced by a metal vapour vacuum arc plasma source. The experiments were conducted for the cases when the plasma was guided by straight and Ω-shaped curved solenoids as well as without solenoids, and also for different vacuum conditions. It was found that, besides singly- and doubly-charged ions, a relatively high concentration of excited neutral copper atoms was present in the plasma. The relative fraction of excited atoms was much higher in the region close to the cathode surface than in the plasma column inside the solenoid. The concentration of excited neutral, singly- and doubly-ionized atoms increased proportionally when the arc current was increased to 400 A. Some weak lines were attributed to more highly ionized copper species and impurities in the cathode material. (author)

  7. Formation of plasma induced surface damage in silica glass etching for optical waveguides

    International Nuclear Information System (INIS)

    Choi, D.Y.; Lee, J.H.; Kim, D.S.; Jung, S.T.

    2004-01-01

    Ge, B, P-doped silica glass films are widely used as optical waveguides because of their low losses and inherent compatibility with silica optical fibers. These films were etched by ICP (inductively coupled plasma) with chrome etch masks, which were patterned by reactive ion etching (RIE) using chlorine-based gases. In some cases, the etched surfaces of silica glass were very rough (root-mean square roughness greater than 100 nm) and we call this phenomenon plasma induced surface damage (PISD). Rough surface cannot be used as a platform for hybrid integration because of difficulty in alignment and bonding of active devices. PISD reduces the etch rate of glass and it is very difficult to remove residues on a rough surface. The objective of this study is to elucidate the mechanism of PISD formation. To achieve this goal, PISD formation during different etching conditions of chrome etch mask and silica glass was investigated. In most cases, PISD sources are formed on a glass surface after chrome etching, and metal compounds are identified in theses sources. Water rinse after chrome etching reduces the PISD, due to the water solubility of metal chlorides. PISD is decreased or even disappeared at high power and/or low pressure in glass etching, even if PISD sources were present on the glass surface before etching. In conclusion, PISD sources come from the chrome etching process, and polymer deposition on these sources during the silica etching cause the PISD sources to grow. In the area close to the PISD source there is a higher ion flux, which causes an increase in the etch rate, and results in the formation of a pit

  8. Material impacts and heat flux characterization of an electrothermal plasma source with an applied magnetic field

    Science.gov (United States)

    Gebhart, T. E.; Martinez-Rodriguez, R. A.; Baylor, L. R.; Rapp, J.; Winfrey, A. L.

    2017-08-01

    To produce a realistic tokamak-like plasma environment in linear plasma device, a transient source is needed to deliver heat and particle fluxes similar to those seen in an edge localized mode (ELM). ELMs in future large tokamaks will deliver heat fluxes of ˜1 GW/m2 to the divertor plasma facing components at a few Hz. An electrothermal plasma source can deliver heat fluxes of this magnitude. These sources operate in an ablative arc regime which is driven by a DC capacitive discharge. An electrothermal source was configured with two pulse lengths and tested under a solenoidal magnetic field to determine the resulting impact on liner ablation, plasma parameters, and delivered heat flux. The arc travels through and ablates a boron nitride liner and strikes a tungsten plate. The tungsten target plate is analyzed for surface damage using a scanning electron microscope.

  9. First plasma of the A-PHOENIX electron cyclotron resonance ion source

    International Nuclear Information System (INIS)

    Thuillier, T.; Lamy, T.; Latrasse, L.; Angot, J.

    2008-01-01

    A-PHOENIX is a new compact hybrid electron cyclotron resonance ion source using a large permanent magnet hexapole (1.92 T at the magnet surface) and high temperature superconducting Solenoids (3 T) to make min-vertical bar B vertical bar structure suitable for 28 GHz cw operation. The final assembly of the source was achieved at the end of June 2007. The first plasma of A-PHOENIX at 18 GHz was done on the 16th of August, 2007. The technological specificities of A-PHOENIX are presented. The large hexapole built is presented and experimental magnetic measurements show that it is nominal with respect to simulation. A fake plasma chamber prototype including thin iron inserts showed that the predicted radial magnetic confinement can be fulfilled up to 2.15 T at the plasma chamber wall. Scheduled planning of experiments until the end of 2008 is presented

  10. Electrolytic plasma processing of steel surfaces

    International Nuclear Information System (INIS)

    Bejar, M.A; Araya, R.N; Baeza, B

    2006-01-01

    The thermo-chemical treatments of steels with plasma is normally carried out in low-pressure ionized gaseous atmospheres. Among the treatments used most often are: nitruration, carburization and boronized. A plasma can also generate at atmospheric pressure. One way to produce it is with an electrochemical cell that works at a relatively high inter-electrode voltage and under conditions of heavy gas generation. This type of plasma is known as electrolytic plasma. This work studies the feasibility of using electrolytic plasma for the surface processing of steels. Two processes were selected: boronized and nitruration., for the hardening of two types of steel: one with low carbon (1020) and one with low alloy (4140). In the case of the nitruration, the 1020 steel was first aluminized. The electrolytes were aqueous solutions of borax for the boronizing and urea for the nitruration. The electrolytic plasmas were classified qualitatively, in relation with their luminosity by low, medium and high intensity. The boronizing was carried out with low intensity plasmas for a period of one hour. The nitruration was performed with plasmas of different intensities and for period of a few minutes to half an hour. The test pieces processed by electrolytic plasma were characterized by micro-hardness tests and X-ray diffraction. The maximum surface hardnesses obtained for the 1020 and 4140 steels were the following: 300 and 700 HV for the boronizing, and 1650 and 1200 HV for the nitruration, respectively. The utilization of an electrolytic plasma permits the surface processing of steels, noticeably increasing their hardness. With this type of plasma some thermo-chemical surface treatments can be done very rapidly as well (CW)

  11. Capillary plasma jet: A low volume plasma source for life science applications

    Energy Technology Data Exchange (ETDEWEB)

    Topala, I., E-mail: ionut.topala@uaic.ro, E-mail: tmnagat@ipc.shizuoka.ac.jp [Alexandru Ioan Cuza University of Iasi, Faculty of Physics, Iasi Plasma Advanced Research Center (IPARC), Bd. Carol I No. 11, Iasi 700506 (Romania); Nagatsu, M., E-mail: ionut.topala@uaic.ro, E-mail: tmnagat@ipc.shizuoka.ac.jp [Graduate School of Science and Technology, Shizuoka University, 3-5-1 Johoku, Naka-ku, Hamamatsu 432-8561 (Japan)

    2015-02-02

    In this letter, we present results from multispectroscopic analysis of protein films, after exposure to a peculiar plasma source, i.e., the capillary plasma jet. This plasma source is able to generate very small pulsed plasma volumes, in kilohertz range, with characteristic dimensions smaller than 1 mm. This leads to specific microscale generation and transport of all plasma species. Plasma diagnosis was realized using general electrical and optical methods. Depending on power level and exposure duration, this miniature plasma jet can induce controllable modifications to soft matter targets. Detailed discussions on protein film oxidation and chemical etching are supported by results from absorption, X-ray photoelectron spectroscopy, and microscopy techniques. Further exploitation of principles presented here may consolidate research interests involving plasmas in biotechnologies and plasma medicine, especially in patterning technologies, modified biomolecule arrays, and local chemical functionalization.

  12. Capillary plasma jet: A low volume plasma source for life science applications

    Science.gov (United States)

    Topala, I.; Nagatsu, M.

    2015-02-01

    In this letter, we present results from multispectroscopic analysis of protein films, after exposure to a peculiar plasma source, i.e., the capillary plasma jet. This plasma source is able to generate very small pulsed plasma volumes, in kilohertz range, with characteristic dimensions smaller than 1 mm. This leads to specific microscale generation and transport of all plasma species. Plasma diagnosis was realized using general electrical and optical methods. Depending on power level and exposure duration, this miniature plasma jet can induce controllable modifications to soft matter targets. Detailed discussions on protein film oxidation and chemical etching are supported by results from absorption, X-ray photoelectron spectroscopy, and microscopy techniques. Further exploitation of principles presented here may consolidate research interests involving plasmas in biotechnologies and plasma medicine, especially in patterning technologies, modified biomolecule arrays, and local chemical functionalization.

  13. Compact laser-produced plasma EUV sources for processing polymers and nanoimaging

    International Nuclear Information System (INIS)

    Fiedorowicz, H.; Bartnik, A.; Jarocki, R.; Kostecki, J.; Szczurek, M.; Wachulak, P.

    2010-01-01

    Complete text of publication follows. Extreme ultraviolet (EUV) can be produced form a high-temperature plasma generated by interaction of high power laser pulses with matter. Laser plasma EUV sources are considered to be used in various applications in physics, material science, biomedicine, and technology. In the paper new compact laser plasma EUV sources developed for processing polymers and imaging are presented. The sources are based on a gas puff target formed by pulsed injection of a small amount of gas under high-pressure into a laser focus region. The use of the gas puff target instead of a solid target allows for efficient generation of EUV radiation without debris production. The compact laser plasma EUV source based on a gas puff target was developed for metrology applications. The EUV source developed for processing polymers is equipped with a grazing incidence axisymmetrical ellipsoidal mirror to focus EUV radiation in the relatively broad spectral range with the strong maximum near 10 nm. The size of the focal spot is about 1.3 mm in diameter with the maximum fluence up to 70 mJ/cm 2 . EUV radiation in the wavelength range of about 5 to 50 nm is produced by irradiation of xenon or krypton gas puff target with a Nd:YAG laser operating at 10 Hz and delivering 4 ns pulses of energy up to 0.8 J per pulse. The experiments on EUV irradiation of various polymers have been performed. Modification of polymer surfaces was achieved, primarily due to direct photo-etching with EUV photons and formation of micro- and nanostructures onto the surface. The mechanism of the interaction is similar to the UV laser ablation where energetic photons cause chemical bonds of the polymer chain to be broken. However, because of very low penetration depth of EUV radiation, the interaction region is limited to a very thin surface layer (<100 nm). This makes it possible to avoid degradation of bulk material caused by deeply penetrating UV radiation. The results of the studies

  14. Dependence of Lunar Surface Charging on Solar Wind Plasma Conditions and Solar Irradiation

    Science.gov (United States)

    Stubbs, T. J.; Farrell, W. M.; Halekas, J. S.; Burchill, J. K.; Collier, M. R.; Zimmerman, M. I.; Vondrak, R. R.; Delory, G. T.; Pfaff, R. F.

    2014-01-01

    The surface of the Moon is electrically charged by exposure to solar radiation on its dayside, as well as by the continuous flux of charged particles from the various plasma environments that surround it. An electric potential develops between the lunar surface and ambient plasma, which manifests itself in a near-surface plasma sheath with a scale height of order the Debye length. This study investigates surface charging on the lunar dayside and near-terminator regions in the solar wind, for which the dominant current sources are usually from the pohotoemission of electrons, J(sub p), and the collection of plasma electrons J(sub e) and ions J(sub i). These currents are dependent on the following six parameters: plasma concentration n(sub 0), electron temperature T(sub e), ion temperature T(sub i), bulk flow velocity V, photoemission current at normal incidence J(sub P0), and photo electron temperature T(sub p). Using a numerical model, derived from a set of eleven basic assumptions, the influence of these six parameters on surface charging - characterized by the equilibrium surface potential, Debye length, and surface electric field - is investigated as a function of solar zenith angle. Overall, T(sub e) is the most important parameter, especially near the terminator, while J(sub P0) and T(sub p) dominate over most of the dayside.

  15. Plasma-surface interactions under high heat and particle fluxes

    NARCIS (Netherlands)

    De Temmerman, G.; Bystrov, K.; Liu, F.; Liu, W.; Morgan, T.; Tanyeli, I.; van den Berg, M.; Xu, H.; Zielinski, J.

    2013-01-01

    The plasma-surface interactions expected in the divertor of a future fusion reactor are characterized by extreme heat and particle fluxes interacting with the plasma-facing surfaces. Powerful linear plasma generators are used to reproduce the expected plasma conditions and allow plasma-surface

  16. Characterization of the pulse plasma source

    International Nuclear Information System (INIS)

    Milosavljevic, V; Karkari, S K; Ellingboe, A R

    2007-01-01

    Characterization of the pulse plasma source through the determination of the local thermodynamic equilibrium (LTE) threshold is described. The maximum electron density measured at the peak in discharge current is determined by the width of the He II Paschen alpha spectral line, and the electron temperature is determined from the ratios of the relative intensities of spectral lines emitted from successive ionized stages of atoms. The electron density and temperature maximum values are measured to be 1.3 x 10 17 cm -3 and 19 000 K, respectively. These are typical characteristics for low-pressure, pulsed plasma sources for input energy of 15.8 J at 130 Pa pressure in helium-argon mixture. The use of LTE-based analysis of the emission spectra is justified by measurement of the local plasma electron density at four positions in the discharge tube using a floating hairpin resonance probe. The hairpin resonance probe data are collected during the creation and decay phases of the pulse. From the spatio-temporal profile of the plasma density a 60 μs time-window during which LTE exists throughout the entire plasma source is determined

  17. 21 CFR 640.64 - Collection of blood for Source Plasma.

    Science.gov (United States)

    2010-04-01

    ... 21 Food and Drugs 7 2010-04-01 2010-04-01 false Collection of blood for Source Plasma. 640.64... (CONTINUED) BIOLOGICS ADDITIONAL STANDARDS FOR HUMAN BLOOD AND BLOOD PRODUCTS Source Plasma § 640.64 Collection of blood for Source Plasma. (a) Supervision. All blood for the collection of Source Plasma shall...

  18. Role of positive ions on the surface production of negative ions in a fusion plasma reactor type negative ion source--Insights from a three dimensional particle-in-cell Monte Carlo collisions model

    Science.gov (United States)

    Fubiani, G.; Boeuf, J. P.

    2013-11-01

    Results from a 3D self-consistent Particle-In-Cell Monte Carlo Collisions (PIC MCC) model of a high power fusion-type negative ion source are presented for the first time. The model is used to calculate the plasma characteristics of the ITER prototype BATMAN ion source developed in Garching. Special emphasis is put on the production of negative ions on the plasma grid surface. The question of the relative roles of the impact of neutral hydrogen atoms and positive ions on the cesiated grid surface has attracted much attention recently and the 3D PIC MCC model is used to address this question. The results show that the production of negative ions by positive ion impact on the plasma grid is small with respect to the production by atomic hydrogen or deuterium bombardment (less than 10%).

  19. Diamondlike carbon deposition on plastic films by plasma source ion implantation

    CERN Document Server

    Tanaka, T; Shinohara, M; Takagi, T

    2002-01-01

    Application of pulsed high negative voltage (approx 10 mu s pulse width, 300-900 pulses per second) to a substrate is found to induce discharge, thereby increasing ion current with an inductively coupled plasma source. This plasma source ion beam implantation (PSII) technique is investigated for the pretreatment and deposition of diamond-like carbon (DLC) thin layer on polyethylene terepthalate (PET) film. Pretreatment of PET with N sub 2 and Ar plasma is expected to provide added barrier effects when coupled with DLC deposition, with possible application to fabrication of PET beverage bottles. PSII treatment using N sub 2 and Ar in separate stages is found to change the color of the PET film, effectively increasing near-ultraviolet absorption. The effects of this pretreatment on the chemical bonding of C, H, and O are examined by x-ray photoelectron spectroscopy (XPS). DLC thin film was successfully deposited on the PET film. The surface of the DLC thin layer is observed to be smooth by scanning electron mic...

  20. Counter-facing plasma guns for efficient extreme ultra-violet plasma light source

    Science.gov (United States)

    Kuroda, Yusuke; Yamamoto, Akiko; Kuwabara, Hajime; Nakajima, Mitsuo; Kawamura, Tohru; Horioka, Kazuhiko

    2013-11-01

    A plasma focus system composed of a pair of counter-facing coaxial guns was proposed as a long-pulse and/or repetitive high energy density plasma source. We applied Li as the source of plasma for improvement of the conversion efficiency, the spectral purity, and the repetition capability. For operation of the system with ideal counter-facing plasma focus mode, we changed the system from simple coaxial geometry to a multi-channel configuration. We applied a laser trigger to make synchronous multi-channel discharges with low jitter. The results indicated that the configuration is promising to make a high energy density plasma with high spectral efficiency.

  1. Counter-facing plasma guns for efficient extreme ultra-violet plasma light source

    Directory of Open Access Journals (Sweden)

    Kuroda Yusuke

    2013-11-01

    Full Text Available A plasma focus system composed of a pair of counter-facing coaxial guns was proposed as a long-pulse and/or repetitive high energy density plasma source. We applied Li as the source of plasma for improvement of the conversion efficiency, the spectral purity, and the repetition capability. For operation of the system with ideal counter-facing plasma focus mode, we changed the system from simple coaxial geometry to a multi-channel configuration. We applied a laser trigger to make synchronous multi-channel discharges with low jitter. The results indicated that the configuration is promising to make a high energy density plasma with high spectral efficiency.

  2. Laser surface wakefield in a plasma column

    International Nuclear Information System (INIS)

    Gorbunov, L.M.; Mora, P.; Ramazashvili, R.R.

    2003-01-01

    The structure of the wakefield in a plasma column, produced by a short intense laser pulse, propagating through a gas affected by tunneling ionization is investigated. It is shown that besides the usual plasma waves in the bulk part of the plasma column [see Andreev et al., Phys. Plasmas 9, 3999 (2002)], the laser pulse also generates electromagnetic surface waves propagating along the column boundary. The length of the surface wake wave substantially exceeds the length of the plasma wake wave and its electromagnetic field extends far outside the plasma column

  3. Plasma assisted surface treatments of biomaterials.

    Science.gov (United States)

    Minati, L; Migliaresi, C; Lunelli, L; Viero, G; Dalla Serra, M; Speranza, G

    2017-10-01

    The biocompatibility of an implant depends upon the material it is composed of, in addition to the prosthetic device's morphology, mechanical and surface properties. Properties as porosity and pore size should allow, when required, cells penetration and proliferation. Stiffness and strength, that depend on the bulk characteristics of the material, should match the mechanical requirements of the prosthetic applications. Surface properties should allow integration in the surrounding tissues by activating proper communication pathways with the surrounding cells. Bulk and surface properties are not interconnected, and for instance a bone prosthesis could possess the necessary stiffness and strength for the application omitting out prerequisite surface properties essential for the osteointegration. In this case, surface treatment is mandatory and can be accomplished using various techniques such as applying coatings to the prosthesis, ion beams, chemical grafting or modification, low temperature plasma, or a combination of the aforementioned. Low temperature plasma-based techniques have gained increasing consensus for the surface modification of biomaterials for being effective and competitive compared to other ways to introduce surface functionalities. In this paper we review plasma processing techniques and describe potentialities and applications of plasma to tailor the interface of biomaterials. Copyright © 2017 Elsevier B.V. All rights reserved.

  4. Pulsed Electron Source with Grid Plasma Cathode and Longitudinal Magnetic Field for Modification of Material and Product Surfaces

    Science.gov (United States)

    Devyatkov, V. N.; Koval, N. N.

    2018-01-01

    The description and the main characteristics of the pulsed electron source "SOLO" developed on the basis of the plasma cathode with grid stabilization of the emission plasma boundary are presented. The emission plasma is generated by a low-pressure arc discharge, and that allows to form the dense low-energy electron beam with a wide range of independently adjustable parameters of beam current pulses (pulse duration of 20-250 μs, pulse repetition rate of 1-10 s-1, amplitude of beam current pulses of 20-300 A, and energy of beam electrons of 5-25 keV). The special features of generation of emission plasma by constricted low-pressure arc discharge in the grid plasma cathode partially dipped into a non-uniform magnetic field and of formation and transportation of the electron beam in a longitudinal magnetic field are considered. The application area of the electron source and technologies realized with its help are specified.

  5. Beam-plasma discharge in a Kyoto beam-plasma-ion source

    International Nuclear Information System (INIS)

    Ishikawa, J.; Takagi, T.

    1983-01-01

    A beam-plasma type ion source employing an original operating principle has been developed by the present authors. The ion source consists of an ion extraction region with an electron gun, a thin long drift tube as the plasma production chamber, and a primary electron beam collector. An electron beam is effectively utilized for the dual purpose of high density plasma production as a result of beam-plasma discharge, and high current ion beam extraction with ion space-charge compensation. A high density plasma of the order of 10 11 --10 13 cm -3 was produced by virtue of the beam-plasma discharge which was caused by the interaction between a space-charge wave on the electron beam and a high frequency plasma wave. The plasma density then produced was 10 2 --10 3 times the density produced only by collisional ionization by the electron beam. In order to obtain a stable beam-plasma discharge, a secondary electron beam emitted from the electron collector should be utilized. The mechanism of the beam-plasma discharge was analyzed by use of a linear theory in the case of the small thermal energy of the electron beam, and by use of a quasilinear theory in the case of the large thermal energy. High current ion beams of more than 0.1 A were extracted even at a low extraction voltage of 1--5 kV

  6. Deposition of dielectric films on silicon using a fore-vacuum plasma electron source

    Energy Technology Data Exchange (ETDEWEB)

    Zolotukhin, D. B.; Tyunkov, A. V.; Yushkov, Yu. G., E-mail: yuyushkov@gmail.com [Tomsk State University of Control Systems and Radioelectronics, 40 Lenin Ave., Tomsk 634050 (Russian Federation); Oks, E. M. [Tomsk State University of Control Systems and Radioelectronics, 40 Lenin Ave., Tomsk 634050 (Russian Federation); Institute of High Current Electronics SB RAS, 2/3, Akademichesky Ave., Tomsk 634055 (Russian Federation)

    2016-06-15

    We describe an experiment on the use of a fore-vacuum-pressure, plasma-cathode, electron beam source with current up to 100 mA and beam energy up to 15 keV for deposition of Mg and Al oxide films on Si substrates in an oxygen atmosphere at a pressure of 10 Pa. The metals (Al and Mg) were evaporated and ionized using the electron beam with the formation of a gas-metal beam-plasma. The plasma was deposited on the surface of Si substrates. The elemental composition of the deposited films was analyzed.

  7. Simple microwave plasma source at atmospheric pressure

    International Nuclear Information System (INIS)

    Kim, Jeong H.; Hong, Yong C.; Kim, Hyoung S.; Uhm, Han S.

    2003-01-01

    We have developed a thermal plasma source operating without electrodes. One electrodeless torch is the microwave plasma-torch, which can produce plasmas in large quantities. We can generate plasma at an atmospheric pressure by marking use of the same magnetrons used as commercial microwave ovens. Most of the magnetrons are operated at the frequency of 2.45 GHz; the magnetron power microwave is about 1kW. Electromagnetic waves from the magnetrons propagate through a shorted waveguide. Plasma was generated under a resonant condition, by an auxiliary ignition system. The plasma is stabilized by vortex stabilization. Also, a high-power and high-efficiency microwave plasma-torch has been operated in air by combining two microwave plasma sources with 1kW, 2.45 GHz. They are arranged in series to generate a high-power plasma flame. The second torch adds all its power to the plasma flame of the first torch. Basically, electromagnetic waves in the waveguide were studied by a High Frequency Structure Simulator (HFSS) code and preliminary experiments were conducted

  8. Dynamics of ion beam charge neutralization by ferroelectric plasma sources

    Energy Technology Data Exchange (ETDEWEB)

    Stepanov, Anton D.; Gilson, Erik P.; Grisham, Larry R.; Kaganovich, Igor D.; Davidson, Ronald C. [Princeton Plasma Physics Laboratory, Princeton University, P.O. Box 451, Princeton, New Jersey 08543 (United States)

    2016-04-15

    Ferroelectric Plasma Sources (FEPSs) can generate plasma that provides effective space-charge neutralization of intense high-perveance ion beams, as has been demonstrated on the Neutralized Drift Compression Experiment NDCX-I and NDCX-II. This article presents experimental results on charge neutralization of a high-perveance 38 keV Ar{sup +} beam by a plasma produced in a FEPS discharge. By comparing the measured beam radius with the envelope model for space-charge expansion, it is shown that a charge neutralization fraction of 98% is attainable with sufficiently dense FEPS plasma. The transverse electrostatic potential of the ion beam is reduced from 15 V before neutralization to 0.3 V, implying that the energy of the neutralizing electrons is below 0.3 eV. Measurements of the time-evolution of beam radius show that near-complete charge neutralization is established ∼5 μs after the driving pulse is applied to the FEPS and can last for 35 μs. It is argued that the duration of neutralization is much longer than a reasonable lifetime of the plasma produced in the sub-μs surface discharge. Measurements of current flow in the driving circuit of the FEPS show the existence of electron emission into vacuum, which lasts for tens of μs after the high voltage pulse is applied. It is argued that the beam is neutralized by the plasma produced by this process and not by a surface discharge plasma that is produced at the instant the high-voltage pulse is applied.

  9. Microwave power coupling in a surface wave excited plasma

    Directory of Open Access Journals (Sweden)

    Satyananda Kar

    2015-01-01

    Full Text Available In recent decades, different types of plasma sources have been used for various types of plasma processing, such as, etching and thin film deposition. The critical parameter for effective plasma processing is high plasma density. One type of high density plasma source is Microwave sheath-Voltage combination Plasma (MVP. In the present investigation, a better design of MVP source is reported, in which over-dense plasma is generated for low input microwave powers. The results indicate that the length of plasma column increases significantly with increase in input microwave power.

  10. Atmospheric pressure plasma cleaning of contamination surfaces. 1997 mid-year progress report

    International Nuclear Information System (INIS)

    Hicks, R.; Selwyn, G.S.

    1997-01-01

    'Goals of the project are to (1) identify the key physics and chemistry underlying the use of high pressure plasmas for etching removal of actinides and actinide surrogates; and (2) identify key surface reactions and plasma physics necessary for optimization of the atmospheric pressure plasma jet. Technical description of the work decommissioning of transuranic waste (TRU) into low-level radioactive waste (LLW) represents the largest cleanup cost associated with the nuclear weapons complex. This work is directed towards developing a low-cost plasma technology capable of converting TRU into LLW, based upon highly selective plasma etching of plutonium and other actinides from contaminated surfaces. In this way, only the actinide material is removed, leaving the surface less contaminated. The plasma etches actinide material by producing a volatile halide compound, which may be efficiently trapped using filters. To achieve practical, low-cost operation of a plasma capable of etching actinide materials, the authors have developed a y-mode, resonant-cavity, atmospheric pressure plasma jet (APPJ). In contrast to conventional, low pressure plasmas, the APPJ produces a purely-chemical effluent free of ions, and so achieves very high selectivity and produces negligible damage to the surface. Since the jet operates outside a chamber, many nuclear wastes may be treated including machinery, duct-work, concrete and other building materials. In some cases, it may be necessary to first remove paint from contaminated surfaces using a plasma selective for that surface, then to switch to the actinide etching chemistry for removal of actinide contamination. The goal of this work is to develop the underlying science required for maturation of this technology and to establish early version engineering prototypes. Accomplishments to Date The authors have made significant progress in this program. The work conducted jointly at Los Alamos and at UCLA. This has been facilitated by exchange

  11. Atmospheric pressure plasma cleaning of contamination surfaces. 1997 mid-year progress report

    Energy Technology Data Exchange (ETDEWEB)

    Selwyn, G.S. [Los Alamos National Lab., NM (US); Hicks, R. [Univ. of California, Los Angeles, CA (US)

    1997-06-01

    'Goals of the project are to (1) identify the key physics and chemistry underlying the use of high pressure plasmas for etching removal of actinides and actinide surrogates; and (2) identify key surface reactions and plasma physics necessary for optimization of the atmospheric pressure plasma jet. Technical description of the work decommissioning of transuranic waste (TRU) into low-level radioactive waste (LLW) represents the largest cleanup cost associated with the nuclear weapons complex. This work is directed towards developing a low-cost plasma technology capable of converting TRU into LLW, based upon highly selective plasma etching of plutonium and other actinides from contaminated surfaces. In this way, only the actinide material is removed, leaving the surface less contaminated. The plasma etches actinide material by producing a volatile halide compound, which may be efficiently trapped using filters. To achieve practical, low-cost operation of a plasma capable of etching actinide materials, the authors have developed a y-mode, resonant-cavity, atmospheric pressure plasma jet (APPJ). In contrast to conventional, low pressure plasmas, the APPJ produces a purely-chemical effluent free of ions, and so achieves very high selectivity and produces negligible damage to the surface. Since the jet operates outside a chamber, many nuclear wastes may be treated including machinery, duct-work, concrete and other building materials. In some cases, it may be necessary to first remove paint from contaminated surfaces using a plasma selective for that surface, then to switch to the actinide etching chemistry for removal of actinide contamination. The goal of this work is to develop the underlying science required for maturation of this technology and to establish early version engineering prototypes. Accomplishments to Date The authors have made significant progress in this program. The work conducted jointly at Los Alamos and at UCLA. This has been facilitated by

  12. Production and transport chemistry of atomic fluorine in remote plasma source and cylindrical reaction chamber

    International Nuclear Information System (INIS)

    Gangoli, S P; Johnson, A D; Fridman, A A; Pearce, R V; Gutsol, A F; Dolgopolsky, A

    2007-01-01

    Increasingly, NF 3 -based plasmas are being used in semiconductor manufacturing to clean chemical vapour deposition (CVD) chambers. With advantages such as faster clean times, substantially lower emissions of gases having high global warming potentials, and reduced chamber damage, NF 3 plasmas are now favoured over fluorocarbon-based processes. Typically, a remote plasma source (RPS) is used to dissociate the NF 3 gas and produce atomic fluorine that etches the CVD residues from the chamber surfaces. However, it is important to efficiently transport F atoms from the plasma source into the process chamber. The current work is aimed at understanding and improving the key processes involved in the production and transport of atomic fluorine atoms. A zero-dimensional model of NF 3 dissociation and F production chemistry in the RPS is developed based on various known and derived plasma parameters. Additionally, a model describing the transport of atomic fluorine is proposed that includes both physical (diffusion, adsorption and desorption) and chemical processes (surface and three-body volume recombination). The kinetic model provides an understanding of the impact of chamber geometry, gas flow rates, pressure and temperature on fluorine recombination. The plasma-kinetic model is validated by comparing model predictions (percentage F atom density) with experimental results (etch rates)

  13. Pulsed, atmospheric pressure plasma source for emission spectrometry

    Science.gov (United States)

    Duan, Yixiang; Jin, Zhe; Su, Yongxuan

    2004-05-11

    A low-power, plasma source-based, portable molecular light emission generator/detector employing an atmospheric pressure pulsed-plasma for molecular fragmentation and excitation is described. The average power required for the operation of the plasma is between 0.02 W and 5 W. The features of the optical emission spectra obtained with the pulsed plasma source are significantly different from those obtained with direct current (dc) discharge higher power; for example, strong CH emission at 431.2 nm which is only weakly observed with dc plasma sources was observed, and the intense CN emission observed at 383-388 nm using dc plasma sources was weak in most cases. Strong CN emission was only observed using the present apparatus when compounds containing nitrogen, such as aniline were employed as samples. The present apparatus detects dimethylsulfoxide at 200 ppb using helium as the plasma gas by observing the emission band of the CH radical. When coupled with a gas chromatograph for separating components present in a sample to be analyzed, the present invention provides an apparatus for detecting the arrival of a particular component in the sample at the end of the chromatographic column and the identity thereof.

  14. Consideration of beam plasma ion-source

    International Nuclear Information System (INIS)

    Sano, Fumimichi; Kusano, Norimasa; Ishida, Yoshihiro; Ishikawa, Junzo; Takagi, Toshinori

    1976-01-01

    Theoretical and experimental analyses and their comparison were made on the plasma generation and on the beam extraction for the beam plasma ion-source. The operational principle and the structure of the ion-source are explained in the first part. Considerations are given on the electron beam-plasma interaction and the resulting generation of high frequency or microwaves which in turn increases the plasma density. The flow of energy in this system is also explained in the second part. The relation between plasma density and the imaginary part of frequency is given by taking the magnetic flux density, the electron beam energy, and the electron beam current as parameters. The relations between the potential difference between collector and drift tube and the plasma density or the ion-current are also given. Considerations are also given to the change of the plasma density due to the change of the magnetic flux density at drift tube, the change of the electron beam energy, and the change of the electron beam current. The third part deals with the extraction characteristics of the ion beam. The structure of the multiple-aperture electrode and the relation between plasma density and the extracted ion current are explained. (Aoki, K.)

  15. Plasma properties of a modified beam-plasma type ion source

    International Nuclear Information System (INIS)

    Ishikawa, Junzo; Sano, Fumimichi; Tsuji, Hiroshi; Ektessabi, A.M.; Takagi, Toshinori

    1978-01-01

    The properties of the plasma produced by beam-plasma discharge were experimentally investigated. The ion source used for this work consists of three parts, that is, the ion-extracting region with an electron gun, the drift space and the collector region. Primary and secondary electron beams are injected in to the drift tube. The interaction between plasma and these electron beams causes production of high density plasma by virtue of the beam-plasma discharge. The gas inlet is located in the middle of the drift tube, so that the gas conductance is high. The energy of the primary and secondary electron beams is transferred to that microwaves through beam-plasma interaction. The microwaves heat the plasma electrons by the cyclotron resonance or other mechanism. The amount of the energetic plasma electrons is much larger than that of the beam electrons, so that neutral gas is ionized. The density of the produced plasma is 10 2 or 10 3 times as large as the plasma produced by impact ionization. With a probe located in the middle of the drift tube, the plasma density and the electron temperature can be measured, and the power and spectra of the microwaves can be detected. The microwave oscillation, the primary electron beam characteristics, and the gas pressure characteristics were studied. Larger current of the high energy primary of secondary electron beam is required for the effective discharge. The ion source has to be operated at the minimum gas pressure. The length of beam-plasma interaction and the magnetic field intensity in the drift tube are also important parameters. (Kato, T.)

  16. Sheath physics and materials science results from recent plasma source ion implantation experiments

    International Nuclear Information System (INIS)

    Conrad, J.R.; Radtke, J.L.; Dodd, R.A.; Worzala, F.J.

    1987-01-01

    Plasma Source Ion Implantation (PSII) is a surface modification technique which has been optimized for ion-beam processing of materials. PSII departs radically from conventional implantation by circumventing the line of sight restriction inherent in conventional ion implantation. The authors used PSII to implant cutting tools and dies and have demonstrated substantial improvements in lifetime. Recent results on plasma physics scaling laws, microstructural, mechanical, and tribological properties of PSII-implanted materials are presented

  17. Measurement of performance parameters of plasma source for plasma opening switch on Qiangguang-Ⅰ generator

    International Nuclear Information System (INIS)

    Luo Weixi; Zeng Zhengzhong; Lei Tianshi; Wang Liangping; Hu Yixiang; Sun Tieping; Huang Tao

    2012-01-01

    The plasma source (cable guns) of the plasma opening switch (POS) on Qiangguang Ⅰ generator was chosen as the study object. The plasma source performance was investigated by using charge collectors. Experimental results show that the plasma ejection density is positively correlated with the structural parameter, the distance between gun core tip and muzzle plane, and the plasma ejection velocity is negatively correlated with the parameter. The increasing rate of plasma ejection density is less than that of drive current. As far as a plasma source with tens of cable plasma guns is concerned, the influence of single cable gun's discharge dispersancy on plasma uniformity is little. Analysis of uncertainty shows that the uncertainty of measurement can be reduced by increasing the number of experiments and averaging the results. The combined standard uncertainty of plasma ejection density is less than 10%. (authors)

  18. Ultrasound enhanced plasma surface modification at atmospheric pressure

    DEFF Research Database (Denmark)

    Kusano, Yukihiro; Singh, Shailendra Vikram; Norrman, Kion

    and the material surface, and thus many reactive species generated in the plasma can reach the surface before inactivated, and be efficiently utilized for surface modification. In the present work polyester plates are treated using a dielectric barrier discharge (DBD) and a gliding arc at atmospheric pressure......Atmospheric pressure plasma treatment can be highly enhanced by simultaneous high-power ultrasonic irradiation onto the treating surface. It is because ultrasonic waves with a sound pressure level (SPL) above approximately 140 dB can reduce the thickness of a boundary gas layer between the plasma...... irradiation, the water contact angle dropped markedly, and tended to decrease furthermore at higher power. The ultrasonic irradiation during the plasma treatment consistently improved the wettability. Oxygen containing polar functional groups were introduced at the surface by the plasma treatment...

  19. Design of a UHV-compatible rf plasma source and its application to self-assembled layers of CoPt3 nanoparticles

    International Nuclear Information System (INIS)

    Gehl, B.; Leist, U.; Aleksandrovic, V.; Nickut, P.; Zielasek, V.; Weller, H.; Al-Shamery, K.; Baeumer, M.

    2006-01-01

    A compact, versatile, and simple rf plasma source with capacitive coupling compatible to ultrahigh vacuum (UHV) requirements was designed and built to allow sequences of sample surface modification in plasma and surface preparation and analysis in vacuum without breaking the vacuum. The plasma source was operated at working pressures of less than 1 to a few millibars. Sample transfer to UHV was performed at pressures around 10 -9 mbar. For easy integration into an existing UHV setup, the sample recipient and transfer system were made to accept standard commercial sample holders. Preliminary experiments were performed by exposing monolayers of colloidal CoPt 3 nanoparticles to oxygen and hydrogen plasmas. The structural and chemical effects of the plasma treatments were analyzed with scanning electron microscopy and x-ray photoelectron spectroscopy

  20. Experimental demonstration of Martian soil simulant removal from a surface using a pulsed plasma jet

    Science.gov (United States)

    Ticoş, C. M.; Scurtu, A.; Toader, D.; Banu, N.

    2015-03-01

    A plasma jet produced in a small coaxial plasma gun operated at voltages up to 2 kV and working in pure carbon dioxide (CO2) at a few Torr is used to remove Martian soil simulant from a surface. A capacitor with 0.5 mF is charged up from a high voltage source and supplies the power to the coaxial electrodes. The muzzle of the coaxial plasma gun is placed at a few millimeters near the dusty surface and the jet is fired parallel with the surface. Removal of dust is imaged in real time with a high speed camera. Mars regolith simulant JSC-Mars-1A with particle sizes up to 5 mm is used on different types of surfaces made of aluminium, cotton fabric, polyethylene, cardboard, and phenolic.

  1. Experimental demonstration of Martian soil simulant removal from a surface using a pulsed plasma jet.

    Science.gov (United States)

    Ticoş, C M; Scurtu, A; Toader, D; Banu, N

    2015-03-01

    A plasma jet produced in a small coaxial plasma gun operated at voltages up to 2 kV and working in pure carbon dioxide (CO2) at a few Torr is used to remove Martian soil simulant from a surface. A capacitor with 0.5 mF is charged up from a high voltage source and supplies the power to the coaxial electrodes. The muzzle of the coaxial plasma gun is placed at a few millimeters near the dusty surface and the jet is fired parallel with the surface. Removal of dust is imaged in real time with a high speed camera. Mars regolith simulant JSC-Mars-1A with particle sizes up to 5 mm is used on different types of surfaces made of aluminium, cotton fabric, polyethylene, cardboard, and phenolic.

  2. Dependence of Au- production upon the target work function in a plasma-sputter-type negative ion source

    International Nuclear Information System (INIS)

    Okabe, Yushirou; Sasao, Mamiko; Fujita, Junji; Yamaoka, Hitoshi; Wada, Motoi.

    1991-01-01

    A method to measure the work function of the target surface in a plasma-sputter-type negative ion source has been developed. The method can determine the work function by measuring the photoelectric current induced by two lasers (He-Ne, Ar + laser). The dependence of Au - production upon the work function of the target surface in the ion source was studied using this method. The time variation of the target work function and Au - production rate were measured during the cesium coverage decrease due to the plasma ion sputtering. The observed minimum work function of a cesiated gold surface in an Ar plasma was 1.3 eV. At the same time, the negative ion production rate (Au - current/target current) took the maximum value. The negative ion production rate indicated the same dependence on the incident ion energy as that of the sputtering rate when the work function was constant. (author)

  3. Microwave interferometry of PEOS plasma sources

    International Nuclear Information System (INIS)

    Weber, B.V.; Commisso, R.J.; Goodrich, P.J.; Hinshelwood, D.D.; Neri, J.M.

    1988-01-01

    A 70 GHz microwave interferometer is used to measure the electron density for various configurations of sources used in plasma erosion opening switch (PEOS) experiments. The interferometer is a phase quadrature system, so the density can be measured as a function of time without ambiguity. Measurements have been made for carbon guns and flashboards driven by a .6 μF. 25 kV capacitor. The plasma density from a gun rises to its peak value in about 10 μs. Then decays in the next 40 μs. A metal screen placed between the gun and the microwave beam attenuates the plasma density by a factor greater than the geometrical transparency of the screen. Density measurements as a function of distance from the gun are analyzed to give the plasma spatial dependence, and the particle flux density and flow velocity are calculated from the continuity equation. Density values used to model previous PEOS experiments are comparable to the values measured here. The flashboard sources produce a denser, faster plasma that is more difficult to diagnose with the interferometer than the gun plasma because of refractive bending of the microwave beam. Reducing the plasma length reduces the refractive bending enough that some measurements are possible. Direct comparison with Gamble II PEOS experiments that used these flashboard sources may not be possible at this frequency because of refraction, but estimates based on measurements at larger distances give reasonable agreement with values used to model these experiments. Other measurements that will be presented include the effects of plasma flow against metal walls, effects of changing the driving current waveform, measurements made in actual experimental configurations and comparisons with Faraday cup and electric probe measurements

  4. Performance evaluation of a permanent ring magnet based helicon plasma source for negative ion source research

    Science.gov (United States)

    Pandey, Arun; Bandyopadhyay, M.; Sudhir, Dass; Chakraborty, A.

    2017-10-01

    Helicon wave heated plasmas are much more efficient in terms of ionization per unit power consumed. A permanent magnet based compact helicon wave heated plasma source is developed in the Institute for Plasma Research, after carefully optimizing the geometry, the frequency of the RF power, and the magnetic field conditions. The HELicon Experiment for Negative ion-I source is the single driver helicon plasma source that is being studied for the development of a large sized, multi-driver negative hydrogen ion source. In this paper, the details about the single driver machine and the results from the characterization of the device are presented. A parametric study at different pressures and magnetic field values using a 13.56 MHz RF source has been carried out in argon plasma, as an initial step towards source characterization. A theoretical model is also presented for the particle and power balance in the plasma. The ambipolar diffusion process taking place in a magnetized helicon plasma is also discussed.

  5. MAGNUM-PSI, a plasma generator for plasma-surface interaction research in ITER-like conditions

    International Nuclear Information System (INIS)

    Goedheer, W.J.; Rooij, G.J. van; Veremiyenko, V.; Ahmad, Z.; Barth, C.J.; Eck, H.J.N. van; Groot, B. de; Hellermann, M.G. von; Kruijtzer, G.L.; Wolff, J.C.; Brezinsek, S.; Philipps, V.; Pospieszczyk, A.; Samm, U.; Schweer, B.; Dahiya, R.P.; Engeln, R.A.H.; Schram, D.C.; Fantz, U.; Kleyn, A.W.; Lopes Cardozo, N.J.

    2005-01-01

    The FOM-Institute for Plasma Physics - together with its TEC partners - is preparing the construction of Magnum-psi, a magnetized (3 T), steady-state, large area (100 cm 2 ), high-flux (up to 10 24 H + ions m -2 s -1 ) plasma generator. The research programme of Magnum-psi will address the questions for the ITER divertor: erosion, redeposition and hydrogen retention with carbon substrates, melting of metal surfaces, erosion and redeposition with mixed materials. In order to explore and develop the techniques to be applied in Magnum-psi, a pilot experiment (Pilot-psi), operating at a magnetic field up to 1.6 Tesla, has been constructed. Pilot-psi produces a hydrogen plasma beam with the required parameters (T e ≤ 1eV and flux ≥ 10 23 m -2 s -1 ) over an area of 1 cm 2 . In this paper the results of extensive diagnostic measurements on Pilot-psi (a.o., Thomson Scattering and high-resolution spectroscopy), combined with numerical studies of the source and the expansion of the plasma will be presented to demonstrate the feasibility of the large Magnum-psi plasma generator. (author)

  6. Magnum-psi, a plasma generator for plasma-surface interaction research in ITER-like conditions

    International Nuclear Information System (INIS)

    Groot, B. de; Rooij, G.J. van; Veremiyenko, V.; Hellermann, M.G. von; Eck, H.J.N. van; Barth, C.J.; Kruijtzer, G.L.; Wolff, J.C.; Goedheer, W.J.; Lopes Cardozo, N.J.; Kleyn, A.W.; Smeets, P.H.M.; Brezinsek, S.; Pospieszczyk, A.; Engeln, R.A.H.; Dahiya, R.P.

    2005-01-01

    The FOM Institute for Plasma Physics is preparing the construction of the linear plasma generator, Magnum-psi. A pilot experiment (Pilot-psi) has been constructed, which we have used to optimize the cascaded arc plasma source and to explore the effect of high magnetic fields on the source operation as well as the expanding plasma beam and the effectiveness of Ohmic heating for manipulating the electron temperature and plasma density after the plasma expansion. Results are presented that demonstrate increasing source efficiency for increasing magnetic fields (up to 1.6 T). Thomson scattering measurements demonstrate that ITER relevant plasma fluxes are presently achieved in Pilot-psi: ∼10 24 m -2 s -1 and that additional heating could elevate the plasma temperature from 1.0 to 1.7 eV

  7. Surface type conversion of CuInSe2 with H2S plasma exposure: A photoemission investigation

    International Nuclear Information System (INIS)

    Nelson, A.J.; Frigo, S.P.; Rosenberg, R.

    1995-01-01

    Surface type conversion of CuInSe 2 by H 2 S plasma exposure was studied by synchrotron radiation soft x-ray photoemission spectroscopy. The low power H 2 S plasma was generated with a commercial electron cyclotron resonance plasma source using pure H 2 S with the plasma exposure being performed at 400 degree C. In situ photoemission measurements were acquired after each plasma exposure in order to observe changes in the valence band electronic structure as well as changes in the In 4d and Se 3d core lines. The results were correlated in order to relate changes in surface chemistry to the electronic structure. These measurements indicate that the H 2 S plasma exposure type converts the n-type CuInSe 2 surface to a p-type surface at this elevated temperature and that the magnitude of the band bending is 0.5 eV, resulting in a homojunction interface. copyright 1995 American Vacuum Society

  8. Plasma Surface Interactions Common to Advanced Fusion Wall Materials and EUV Lithography - Lithium and Tin

    Science.gov (United States)

    Ruzic, D. N.; Alman, D. A.; Jurczyk, B. E.; Stubbers, R.; Coventry, M. D.; Neumann, M. J.; Olczak, W.; Qiu, H.

    2004-09-01

    Advanced plasma facing components (PFCs) are needed to protect walls in future high power fusion devices. In the semiconductor industry, extreme ultraviolet (EUV) sources are needed for next generation lithography. Lithium and tin are candidate materials in both areas, with liquid Li and Sn plasma material interactions being critical. The Plasma Material Interaction Group at the University of Illinois is leveraging liquid metal experimental and computational facilities to benefit both fields. The Ion surface InterAction eXperiment (IIAX) has measured liquid Li and Sn sputtering, showing an enhancement in erosion with temperature for light ion bombardment. Surface Cleaning of Optics by Plasma Exposure (SCOPE) measures erosion and damage of EUV mirror samples, and tests cleaning recipes with a helicon plasma. The Flowing LIquid surface Retention Experiment (FLIRE) measures the He and H retention in flowing liquid metals, with retention coefficients varying between 0.001 at 500 eV to 0.01 at 4000 eV.

  9. Design of a helicon plasma source for ion–ion plasma production

    Energy Technology Data Exchange (ETDEWEB)

    Sharma, N., E-mail: narayan.sharma@cppipr.res.in; Chakraborty, M.; Neog, N.K.; Bandyopadhyay, M.

    2017-04-15

    Highlights: • Development of a helicon plasma system to carry out ion–ion plasma studies in electronegative gases such as Hydrogen, Oxygen and Chlorine. • Determination of initial parameters of helicon plasma source for ion–ion plasma by using dispersion relation of bounded helicon waves. • Design and development of solenoid with magnetic field strength production capability of ∼ 600 G along the axis of the chamber. • Optimization of the chamber parameters using Helic codes and estimation of optimum attainable density. • Estimation of RF power requirements for various gases. - Abstract: A helicon plasma system is being designed and developed at CPP-IPR. The design parameters of the system are deduced from the dispersion relation of bounded helicon waves and the required magnetic fields are simulated by using Poisson Superfish code. The Helic code is used to simulate the power deposition profile for various conditions and to investigate the optimum values of chamber parameters for effective coupling of radio frequency (RF) power to plasma. The helicon source system is aimed at carrying out ion–ion plasma studies in electronegative gases such as Hydrogen, Oxygen and Chlorine. The system mainly consists of a source chamber in which helicon plasma will be produced by injecting RF power at a frequency of 13.56 MHz through a right helical antenna in presence of a DC magnetic field followed by an expansion chamber in which it is expected to produce negative ions along with the positive ions. Installation of the various parts of the system is in progress. The details of the design and development of the system is presented in this article.

  10. The role of surface currents in plasma confinement

    International Nuclear Information System (INIS)

    Webster, Anthony J.

    2011-01-01

    During plasma instabilities, ''surface currents'' can flow at the interface between the plasma and the surrounding vacuum, and in most cases, they are a harmless symptom of the instability that is causing them. Large instabilities can lead to ''disruptions,'' an abrupt termination of the plasma with the potential to damage the machine in which it is contained. For disruptions, the correct calculation of surface currents is thought to be essential for modelling disruptions properly. Recently, however, there has been debate and disagreement about the correct way to calculate surface currents. The purpose of this paper is to clarify as simply as possible the role of surface currents for plasma confinement and to show that a commonly used representation for surface currents σ-vector with σ-vector=∇I and n-vector, I a scalar function, and n-vector the unit normal to the plasma surface, is only appropriate for the calculation of surface currents that are in magnetohydrodynamic equilibrium. Fortunately, this is the situation thought to be of most relevance for disruption calculations.

  11. Electroreflectance and the problem of studying plasma-surface interactions

    International Nuclear Information System (INIS)

    Preppernau, B.L.

    1995-01-01

    A long standing problem in low-temperature plasma discharge physics is to understand in detail the mutual interaction of real exposed surfaces (electrodes) with the reactive plasma environment. In particular, one wishes to discern the influence of these surfaces on the plasma parameters given their contributions from secondary electrons and ions. This paper briefly reviews the known surface interaction processes as well as currently available diagnostics to study the interface between plasmas and surfaces. Next comes a discussion describing the application of plasma-modulated electroreflectance to this research and some potential experimental techniques

  12. Construction of a high beta plasma source

    International Nuclear Information System (INIS)

    Naraghi, M.; Torabi-Fard, A.

    1976-02-01

    A high beta plasma source has been designed and constructed. This source will serve as a means of developing and exercising different diagnostic techniques as required for ALVAND I, linear theta pinch experiment. Also, it will serve to acquaint the technicians with some of the techniques and safety rules of high voltage and capacitor discharge experiments. The operating parameters of the theta pinch and Z-pinch preionization is presented and the program of diagnostic measurements on the high beta plasma source is discussed

  13. A high-current pulsed cathodic vacuum arc plasma source

    International Nuclear Information System (INIS)

    Oates, T.W.H.; Pigott, J.; Mckenzie, D.R.; Bilek, M.M.M.

    2003-01-01

    Cathodic vacuum arcs (CVAs) are well established as a method for producing metal plasmas for thin film deposition and as a source of metal ions. Fundamental differences exist between direct current (dc) and pulsed CVAs. We present here results of our investigations into the design and construction of a high-current center-triggered pulsed CVA. Power supply design based on electrolytic capacitors is discussed and optimized based on obtaining the most effective utilization of the cathode material. Anode configuration is also discussed with respect to the optimization of the electron collection capability. Type I and II cathode spots are observed and discussed with respect to cathode surface contamination. An unfiltered deposition rate of 1.7 nm per pulse, at a distance of 100 mm from the source, has been demonstrated. Instantaneous plasma densities in excess of 1x10 19 m -3 are observed after magnetic filtering. Time averaged densities an order of magnitude greater than common dc arc densities have been demonstrated, limited by pulse repetition rate and filter efficiency

  14. Development of the front end test stand and vessel for extraction and source plasma analyses negative hydrogen ion sources at the Rutherford Appleton Laboratory

    Energy Technology Data Exchange (ETDEWEB)

    Lawrie, S. R., E-mail: scott.lawrie@stfc.ac.uk [STFC ISIS Pulsed Spallation Neutron and Muon Facility, Rutherford Appleton Laboratory, Harwell Oxford, Harwell (United Kingdom); John Adams Institute of Accelerator Science, University of Oxford, Oxford (United Kingdom); Faircloth, D. C.; Letchford, A. P.; Perkins, M.; Whitehead, M. O.; Wood, T. [STFC ISIS Pulsed Spallation Neutron and Muon Facility, Rutherford Appleton Laboratory, Harwell Oxford, Harwell (United Kingdom); Gabor, C. [ASTeC Intense Beams Group, Rutherford Appleton Laboratory, Harwell Oxford, Harwell (United Kingdom); Back, J. [High Energy Physics Department, University of Warwick, Coventry (United Kingdom)

    2014-02-15

    The ISIS pulsed spallation neutron and muon facility at the Rutherford Appleton Laboratory (RAL) in the UK uses a Penning surface plasma negative hydrogen ion source. Upgrade options for the ISIS accelerator system demand a higher current, lower emittance beam with longer pulse lengths from the injector. The Front End Test Stand is being constructed at RAL to meet the upgrade requirements using a modified ISIS ion source. A new 10% duty cycle 25 kV pulsed extraction power supply has been commissioned and the first meter of 3 MeV radio frequency quadrupole has been delivered. Simultaneously, a Vessel for Extraction and Source Plasma Analyses is under construction in a new laboratory at RAL. The detailed measurements of the plasma and extracted beam characteristics will allow a radical overhaul of the transport optics, potentially yielding a simpler source configuration with greater output and lifetime.

  15. Surface modification of gutta-percha cones by non-thermal plasma

    Energy Technology Data Exchange (ETDEWEB)

    Prado, Maíra, E-mail: maira@metalmat.ufrj.br [Department of Metallurgic and Materials Engineering, Federal University of Rio de Janeiro – UFRJ, Rio de Janeiro, RJ (Brazil); Menezes, Marilia Santana de Oliveira [Department of Metallurgic and Materials Engineering, Federal University of Rio de Janeiro – UFRJ, Rio de Janeiro, RJ (Brazil); Gomes, Brenda Paula Figueiredo de Almeida [Department of Restorative Dentistry, Endodontics Division, Piracicaba Dental School, State University of Campinas - UNICAMP, Piracicaba, SP (Brazil); Barbosa, Carlos Augusto de Melo [Department of Clinical Dentistry, Endodontic Division, Federal University of Rio de Janeiro – UFRJ, Rio de Janeiro, RJ (Brazil); Athias, Leonardo [Social Indicators Division, Brazilian Institute of Geography and Statistics, Rio de Janeiro, RJ (Brazil); Simão, Renata Antoun [Department of Metallurgic and Materials Engineering, Federal University of Rio de Janeiro – UFRJ, Rio de Janeiro, RJ (Brazil)

    2016-11-01

    This study was designed to evaluate the effects of Oxygen and Argon plasma on gutta-percha surfaces. A total of 185 flat smooth gutta-percha surfaces were used. Samples were divided into groups: control: no plasma treatment; Oxygen: treatment with Oxygen plasma for 1 min; Argon: treatment with Argon plasma for 1 min. Samples were evaluated topographically by scanning electron microscopy and atomic force microscopy; and chemically by Fourier Transform-infrared Spectroscopy. A goniometer was used to determine the surface free energy and the wettability of the endodontic sealers. Additionally 60 bovine teeth were filled using pellets of gutta-percha (control, oxygen and argon plasma) and the sealers. Teeth were evaluated by push-out and microleakage tests. Data were statistically analyzed using specific tests. Argon plasma did not change the surface topography, while Oxygen plasma led to changes. Both treatments chemically modified the gutta-percha surface. Argon and Oxygen plasma increased the surface free energy and favored the wettability of AH Plus and Pulp Canal Sealer EWT. Regarding bond strength analysis, for AH Plus sealer, both plasma treatments on gutta-percha favored the bond strength to dentin. However, for Pulp Canal Sealer, there is no statistically significant influence. For leakage test, dye penetration occurred between sealer and dentin in all groups. In conclusion, Oxygen plasma led to both topographic and chemical changes in the gutta-percha surface, while Argon plasma caused only chemical changes. Both treatments increased the surface free energy, favoring the wettability of AH Plus and Pulp Canal Sealer EWT sealers and influenced positively in the adhesion and leakage. - Highlights: • Argon plasma did not change the gutta-percha surface. • Oxygen plasma led to topographic changes. • Both treatments chemically modified the gutta-percha surface. • Treatments increased the surface free energy and favored the wettability of sealers. • Plasma

  16. Surface modification of gutta-percha cones by non-thermal plasma

    International Nuclear Information System (INIS)

    Prado, Maíra; Menezes, Marilia Santana de Oliveira; Gomes, Brenda Paula Figueiredo de Almeida; Barbosa, Carlos Augusto de Melo; Athias, Leonardo; Simão, Renata Antoun

    2016-01-01

    This study was designed to evaluate the effects of Oxygen and Argon plasma on gutta-percha surfaces. A total of 185 flat smooth gutta-percha surfaces were used. Samples were divided into groups: control: no plasma treatment; Oxygen: treatment with Oxygen plasma for 1 min; Argon: treatment with Argon plasma for 1 min. Samples were evaluated topographically by scanning electron microscopy and atomic force microscopy; and chemically by Fourier Transform-infrared Spectroscopy. A goniometer was used to determine the surface free energy and the wettability of the endodontic sealers. Additionally 60 bovine teeth were filled using pellets of gutta-percha (control, oxygen and argon plasma) and the sealers. Teeth were evaluated by push-out and microleakage tests. Data were statistically analyzed using specific tests. Argon plasma did not change the surface topography, while Oxygen plasma led to changes. Both treatments chemically modified the gutta-percha surface. Argon and Oxygen plasma increased the surface free energy and favored the wettability of AH Plus and Pulp Canal Sealer EWT. Regarding bond strength analysis, for AH Plus sealer, both plasma treatments on gutta-percha favored the bond strength to dentin. However, for Pulp Canal Sealer, there is no statistically significant influence. For leakage test, dye penetration occurred between sealer and dentin in all groups. In conclusion, Oxygen plasma led to both topographic and chemical changes in the gutta-percha surface, while Argon plasma caused only chemical changes. Both treatments increased the surface free energy, favoring the wettability of AH Plus and Pulp Canal Sealer EWT sealers and influenced positively in the adhesion and leakage. - Highlights: • Argon plasma did not change the gutta-percha surface. • Oxygen plasma led to topographic changes. • Both treatments chemically modified the gutta-percha surface. • Treatments increased the surface free energy and favored the wettability of sealers. • Plasma

  17. Plasma-based EUV light source

    Science.gov (United States)

    Shumlak, Uri; Golingo, Raymond; Nelson, Brian A.

    2010-11-02

    Various mechanisms are provided relating to plasma-based light source that may be used for lithography as well as other applications. For example, a device is disclosed for producing extreme ultraviolet (EUV) light based on a sheared plasma flow. The device can produce a plasma pinch that can last several orders of magnitude longer than what is typically sustained in a Z-pinch, thus enabling the device to provide more power output than what has been hitherto predicted in theory or attained in practice. Such power output may be used in a lithography system for manufacturing integrated circuits, enabling the use of EUV wavelengths on the order of about 13.5 nm. Lastly, the process of manufacturing such a plasma pinch is discussed, where the process includes providing a sheared flow of plasma in order to stabilize it for long periods of time.

  18. Surface interaction of polyimide with oxygen ECR plasma

    International Nuclear Information System (INIS)

    Naddaf, M.; Balasubramanian, C.; Alegaonkar, P.S.; Bhoraskar, V.N.; Mandle, A.B.; Ganeshan, V.; Bhoraskar, S.V.

    2004-01-01

    Polyimide (Kapton-H), was subjected to atomic oxygen from an electron cyclotron resonance plasma. An optical emission spectrometer was used to characterize the atomic oxygen produced in the reactor chamber. The energy of the ions was measured using a retarding field analyzer, placed near the substrate. The density of atomic oxygen in the plasma was estimated using a nickel catalytic probe. The surface wettability of the polyimide samples monitored by contact angle measurements showed considerable improvement when treated with plasma. X-ray photoelectron spectroscopy and Fourier transform infrared spectroscopic studies showed that the atomic oxygen in the plasma is the main specie affecting the surface chemistry and adhesion properties of polyimide. The improvement in the surface wettability is attributed to the high degree of cross-linking and large concentration of polar groups generated in the surface region of polyimide, after plasma treatment. The changes in the surface region of polyimide were observed by atomic force microscopic analysis

  19. Surface interaction of polyimide with oxygen ECR plasma

    Science.gov (United States)

    Naddaf, M.; Balasubramanian, C.; Alegaonkar, P. S.; Bhoraskar, V. N.; Mandle, A. B.; Ganeshan, V.; Bhoraskar, S. V.

    2004-07-01

    Polyimide (Kapton-H), was subjected to atomic oxygen from an electron cyclotron resonance plasma. An optical emission spectrometer was used to characterize the atomic oxygen produced in the reactor chamber. The energy of the ions was measured using a retarding field analyzer, placed near the substrate. The density of atomic oxygen in the plasma was estimated using a nickel catalytic probe. The surface wettability of the polyimide samples monitored by contact angle measurements showed considerable improvement when treated with plasma. X-ray photoelectron spectroscopy and Fourier transform infrared spectroscopic studies showed that the atomic oxygen in the plasma is the main specie affecting the surface chemistry and adhesion properties of polyimide. The improvement in the surface wettability is attributed to the high degree of cross-linking and large concentration of polar groups generated in the surface region of polyimide, after plasma treatment. The changes in the surface region of polyimide were observed by atomic force microscopic analysis.

  20. Modification of polycarbonate surface in oxidizing plasma

    Science.gov (United States)

    Ovtsyn, A. A.; Smirnov, S. A.; Shikova, T. G.; Kholodkov, I. V.

    2017-11-01

    The properties of the surface of the film polycarbonate Lexan 8010 were experimentally studied after treatment in a DC discharge plasma in oxygen and air at pressures of 50-300 Pa and a discharge current of 80 mA. The contact angles of wetting and surface energies are measured. The topography of the surface was investigated by atomic force microscopy. The chemical composition of the surface was determined from the FT-IR spectroscopy data in the variant of total internal reflection, as well as X-ray photoelectron spectroscopy. Treatment in the oxidizing plasma leads to a change in morphology (average roughness increases), an increase in the surface energy, and the concentration of oxygen-containing groups (hydroxyl groups, carbonyl groups in ketones or aldehydes and in oxyketones) on the surface of the polymer. Possible reasons for the difference in surface properties of polymer under the action of oxygen and air plasma on it are discussed.

  1. Preparation of Ag-containing diamond-like carbon films on the interior surface of tubes by a combined method of plasma source ion implantation and DC sputtering

    Science.gov (United States)

    Hatada, R.; Flege, S.; Bobrich, A.; Ensinger, W.; Dietz, C.; Baba, K.; Sawase, T.; Watamoto, T.; Matsutani, T.

    2014-08-01

    Adhesive diamond-like carbon (DLC) films can be prepared by plasma source ion implantation (PSII), which is also suitable for the treatment of the inner surface of a tube. Incorporation of a metal into the DLC film provides a possibility to change the characteristics of the DLC film. One source for the metal is DC sputtering. In this study PSII and DC sputtering were combined to prepare DLC films containing low concentrations of Ag on the interior surfaces of stainless steel tubes. A DLC film was deposited using a C2H4 plasma with the help of an auxiliary electrode inside of the tube. This electrode was then used as a target for the DC sputtering. A mixture of the gases Ar and C2H4 was used to sputter the silver. By changing the gas flow ratios and process time, the resulting Ag content of the films could be varied. Sample characterizations were performed by X-ray photoelectron spectroscopy, secondary ion mass spectrometry, atomic force microscopy and Raman spectroscopy. Additionally, a ball-on-disk test was performed to investigate the tribological properties of the films. The antibacterial activity was determined using Staphylococcus aureus bacteria.

  2. Magnetohydrodynamic simulation study of plasma jets and plasma-surface contact in coaxial plasma accelerators

    Science.gov (United States)

    Subramaniam, Vivek; Raja, Laxminarayan L.

    2017-06-01

    Recent experiments by Loebner et al. [IEEE Trans. Plasma Sci. 44, 1534 (2016)] studied the effect of a hypervelocity jet emanating from a coaxial plasma accelerator incident on target surfaces in an effort to mimic the transient loading created during edge localized mode disruption events in fusion plasmas. In this paper, we present a magnetohydrodynamic (MHD) numerical model to simulate plasma jet formation and plasma-surface contact in this coaxial plasma accelerator experiment. The MHD system of equations is spatially discretized using a cell-centered finite volume formulation. The temporal discretization is performed using a fully implicit backward Euler scheme and the resultant stiff system of nonlinear equations is solved using the Newton method. The numerical model is employed to obtain some key insights into the physical processes responsible for the generation of extreme stagnation conditions on the target surfaces. Simulations of the plume (without the target plate) are performed to isolate and study phenomena such as the magnetic pinch effect that is responsible for launching pressure pulses into the jet free stream. The simulations also yield insights into the incipient conditions responsible for producing the pinch, such as the formation of conductive channels. The jet-target impact studies indicate the existence of two distinct stages involved in the plasma-surface interaction. A fast transient stage characterized by a thin normal shock transitions into a pseudo-steady stage that exhibits an extended oblique shock structure. A quadratic scaling of the pinch and stagnation conditions with the total current discharged between the electrodes is in qualitative agreement with the results obtained in the experiments. This also illustrates the dominant contribution of the magnetic pressure term in determining the magnitude of the quantities of interest.

  3. Electron acceleration by surface plasma waves in double metal surface structure

    Science.gov (United States)

    Liu, C. S.; Kumar, Gagan; Singh, D. B.; Tripathi, V. K.

    2007-12-01

    Two parallel metal sheets, separated by a vacuum region, support a surface plasma wave whose amplitude is maximum on the two parallel interfaces and minimum in the middle. This mode can be excited by a laser using a glass prism. An electron beam launched into the middle region experiences a longitudinal ponderomotive force due to the surface plasma wave and gets accelerated to velocities of the order of phase velocity of the surface wave. The scheme is viable to achieve beams of tens of keV energy. In the case of a surface plasma wave excited on a single metal-vacuum interface, the field gradient normal to the interface pushes the electrons away from the high field region, limiting the acceleration process. The acceleration energy thus achieved is in agreement with the experimental observations.

  4. Physical principles of the surface-plasma method of producing beams of negative ions

    International Nuclear Information System (INIS)

    Bel'chenko, Yu.I.; Dimov, G.I.; Dudnikov, V.G.

    A study is made of the processes used to produce intensive beams of negative ions from surface-plasma sources (SPS). The concepts now being formulated concerning the formation of negative ions upon interaction of bombarding particles with the surface of a solid are analyzed. The peculiarities of the realization of optimal conditions for the production of beams of negative ions in SPS of various designs are discussed

  5. Pulsed Plasma Electron Sources

    Science.gov (United States)

    Krasik, Yakov

    2008-11-01

    Pulsed (˜10-7 s) electron beams with high current density (>10^2 A/cm^2) are generated in diodes with electric field of E > 10^6 V/cm. The source of electrons in these diodes is explosive emission plasma, which limits pulse duration; in the case E Saveliev, J. Appl. Phys. 98, 093308 (2005). Ya. E. Krasik, A. Dunaevsky, and J. Felsteiner, Phys. Plasmas 8, 2466 (2001). D. Yarmolich, V. Vekselman, V. Tz. Gurovich, and Ya. E. Krasik, Phys. Rev. Lett. 100, 075004 (2008). J. Z. Gleizer, Y. Hadas and Ya. E. Krasik, Europhysics Lett. 82, 55001 (2008).

  6. Osteoblast response to oxygen functionalised plasma polymer surfaces

    International Nuclear Information System (INIS)

    Kelly, Jonathan M.

    2001-01-01

    Thin organic films with oxygen-carbon functionalities were deposited from plasmas containing vapour of the small organic compounds: allyI alcohol, methyl vinyl ketone and acrylic acid with octadiene. Characterisation of the deposits was carried out using X-ray photoelectron spectroscopy, in conjunction with chemical derivatisation, and this showed that plasma polymers retained high levels of original monomer functionality when the plasmas were sustained at low power for a given monomer vapour flow rate. High levels of attachment of rat osteosarcoma (ROS 17/2.8) cells were observed on surfaces that had high concentrations of hydroxyl and carbonyl functionalities and intermediate concentrations of carboxyl functionality. Cells did not attach to the octadiene plasma polymer. Cell attachment to carboxyl and methyl functionalised self-assembled monolayers increased with increasing concentration of surface carboxyl groups. Adsorption of the extracellular matrix protein fibronectin to acrylic acid/octadiene plasma copolymers was studied by enzyme linked immunosorbent assays and by I 125 radiolabelling. Fibronectin adsorbed in largest amounts to surfaces with intermediate concentrations of carboxyl functionality. Spreading of ROS cells and rat bone marrow stromal cells (BMSC) was characterised by computer image analysis. Cell spreading in media containing 10% serum, on a surface deposited from a plasma of 5 O/o acrylic acid was much greater than on the octadiene plasma polymer while most extensive cell spreading was observed on these surfaces when preadsorbed with fibronectin. Growth (proliferation) of BMSC was assessed over nine days and was found to be faster on an 50% acrylic acid plasma polymer than on tissue culture polystyrene or a hydrocarbon plasma polymer, though cell growth was fastest on fibronectin precoated substrates. Expression of cellular alkaline phosphatase, collagen and calcium reached similar levels on the 50% acrylic acid plasma polymer, tissue culture

  7. Osteoblast response to oxygen functionalised plasma polymer surfaces

    Energy Technology Data Exchange (ETDEWEB)

    Kelly, Jonathan M

    2001-07-01

    Thin organic films with oxygen-carbon functionalities were deposited from plasmas containing vapour of the small organic compounds: allyI alcohol, methyl vinyl ketone and acrylic acid with octadiene. Characterisation of the deposits was carried out using X-ray photoelectron spectroscopy, in conjunction with chemical derivatisation, and this showed that plasma polymers retained high levels of original monomer functionality when the plasmas were sustained at low power for a given monomer vapour flow rate. High levels of attachment of rat osteosarcoma (ROS 17/2.8) cells were observed on surfaces that had high concentrations of hydroxyl and carbonyl functionalities and intermediate concentrations of carboxyl functionality. Cells did not attach to the octadiene plasma polymer. Cell attachment to carboxyl and methyl functionalised self-assembled monolayers increased with increasing concentration of surface carboxyl groups. Adsorption of the extracellular matrix protein fibronectin to acrylic acid/octadiene plasma copolymers was studied by enzyme linked immunosorbent assays and by I{sup 125} radiolabelling. Fibronectin adsorbed in largest amounts to surfaces with intermediate concentrations of carboxyl functionality. Spreading of ROS cells and rat bone marrow stromal cells (BMSC) was characterised by computer image analysis. Cell spreading in media containing 10% serum, on a surface deposited from a plasma of 5 O/o acrylic acid was much greater than on the octadiene plasma polymer while most extensive cell spreading was observed on these surfaces when preadsorbed with fibronectin. Growth (proliferation) of BMSC was assessed over nine days and was found to be faster on an 50% acrylic acid plasma polymer than on tissue culture polystyrene or a hydrocarbon plasma polymer, though cell growth was fastest on fibronectin precoated substrates. Expression of cellular alkaline phosphatase, collagen and calcium reached similar levels on the 50% acrylic acid plasma polymer, tissue

  8. Analysis of the tuning characteristics of microwave plasma source

    International Nuclear Information System (INIS)

    Miotk, Robert; Jasiński, Mariusz; Mizeraczyk, Jerzy

    2016-01-01

    In this paper, we present an analysis of the tuning characteristics of waveguide-supplied metal-cylinder-based nozzleless microwave plasma source. This analysis has enabled to estimate the electron concentration n_e and electron frequency collisions ν in the plasma generated in nitrogen and in a mixture of nitrogen and ethanol vapour. The parameters n_e and ν are the basic quantities that characterize the plasma. The presented new plasma diagnostic method is particularly useful, when spectroscopic methods are useless. The presented plasma source is currently used in research of a hydrogen production from liquids.

  9. Traveling-wave laser-produced-plasma energy source for photoionization laser pumping and lasers incorporating said

    Science.gov (United States)

    Sher, Mark H.; Macklin, John J.; Harris, Stephen E.

    1989-09-26

    A traveling-wave, laser-produced-plasma, energy source used to obtain single-pass gain saturation of a photoionization pumped laser. A cylindrical lens is used to focus a pump laser beam to a long line on a target. Grooves are cut in the target to present a surface near normal to the incident beam and to reduce the area, and hence increase the intensity and efficiency, of plasma formation.

  10. Plasma diagnostics surface analysis and interactions

    CERN Document Server

    Auciello, Orlando

    2013-01-01

    Plasmas and their interaction with materials have become subjects of major interest because of their importance in modern forefront technologies such as microelectronics, fusion energy, and space. Plasmas are used in microelectronics to process semiconductors (etching of patterns for microcircuits, plasma-induced deposition of thin films, etc.); plasmas produce deleterious erosion effects on surfaces of materials used for fusion devices and spaceships exposed to the low earth environment.Diagnostics of plasmas and materials exposed to them are fundamental to the understanding of the physical a

  11. Evaluation of surface fractal dimension of carbon for plasma-facing material damaged by hydrogen plasma

    International Nuclear Information System (INIS)

    Nishino, Nobuhiro

    1997-01-01

    The surface structure of the plasma facing materials (PFM) changes due to plasma-surface interaction in a nuclear fusion reactor. Usually B 4 C coated graphite block are used as PFM. In this report, the surface fractal was applied to study the surface structure of plasma-damaged PFM carbon. A convenient flow-type adsorption apparatus was developed to evaluate the surface fractal dimension of materials. Four branched alkanol molecules with different apparent areas were used as the probe adsorbates. The samples used here were B 4 C coated isotopic graphite which were subjected to hydrogen plasma for various periods of exposure. The monolayer capacities of these samples for alkanols were determined by applying BET theory. The surface fractal dimension was calculated using the monolayer capacities and molecular areas for probe molecules and was found to increase from 2 to 3 with the plasma exposure time. (author)

  12. Removal of Microbial Contamination from Surface by Plasma

    Science.gov (United States)

    Feng, Xinxin; Liu, Hongxia; Shen, Zhenxing; Wang, Taobo

    2018-01-01

    Microbial contamination is closely associated with human and environmental health, they can be tested on food surfaces, medical devices, packing material and so on. In this paper the removal of the microbial contamination from surface using plasma treatment is investigated. The Escherichia coli (E. coli) has been chosen as a bio-indicator enabling to evaluate the effect of plasma assisted microbial inactivation. Oxygen gas was as the working gas. The plasma RF power, plasma exposition time, gas flow and the concentration of organic pollutant were varied in order to see the effect of the plasma treatment on the Gram-negative germ removal. After the treatment, the microbial abatement was evaluated by the standard plate count method. This proved a positive effect of the plasma treatment on Gram-negative germ removal. The kinetics and mathematical model of removal were studied after plasma treatment, and then the removing course of E. coli was analyzed. This work is meaningful for deepening our understanding of the fundamental scientific principles regarding microbial contamination from surface by plasma.

  13. Ultraviolet out-of-band radiation studies in laser tin plasma sources

    Science.gov (United States)

    Parchamy, Homaira; Szilagyi, John; Masnavi, Majid; Richardson, Martin

    2017-11-01

    Out-of-band long wavelength emission measurements from high power, high-repetition-rate extreme-ultra-violet lithography (EUVL) laser plasma sources are imperative to estimating heat deposition in EUV mirrors, and the impact of short wavelength light transported through the imaging system to the wafer surface. This paper reports a series of experiments conducted to measure the absolute spectral irradiances of laser-plasmas produced from planar tin targets over the wavelength region of 124 to 164 nm by 1.06 μm wavelength, 10 ns full-width-at-half-maximum Gaussian laser pulses. The use of spherical targets is relevant to the EUVL source scenario. Although plasmas produced from planar surfaces evolve differently, there is a close similarity to the evolution of current from 10.6 μm CO2 laser EUVL sources, which use a pre-pulse from a lower energy solid-state laser to melt and reform an initial spherical droplet into a thin planar disc target. The maximum of radiation conversion efficiency in the 124-164 nm wavelength band (1%/2πsr) occurs at the laser intensity of 1010 W cm-2. A developed collisional-radiative model reveals the strong experimental spectra that originate mainly from the 4d105p2-4d105s5p, 4d105p-4d105s resonance lines, and 4d95p-4d95s unresolved transition arrays from Sn III, Sn IV, and Sn V ions, respectively. The calculated conversion efficiencies using a 2D radiation-hydrodynamics model are in agreement with the measurements. The model predicts the out-of-band (100-400 nm) radiation conversion efficiencies generated by both 1.06 and 10.6 μm pulses. The 10.6 μm laser pulse produces a higher conversion efficiency (12%/2πsr) at the lower laser intensity of 109 W cm-2.

  14. Surface morphology changes to tungsten under exposure to He ions from an electron cyclotron resonance plasma source

    Science.gov (United States)

    Donovan, David; Maan, Anurag; Duran, Jonah; Buchenauer, Dean; Whaley, Josh

    2015-11-01

    Exposure of tungsten to low energy (ALMT ITER grade tungsten samples. A similar He plasma exposure stage has now been developed at the University of Tennessee-Knoxville with an improved compact ECR plasma source. Status of the new UTK exposure stage will be discussed as well as planned experiments and new material characterization techniques (EBSD, GIXRD). Work supported by US DOE Contract DE-AC04-94AL85000 and the PSI Science Center.

  15. Plasma transferred arc surface modification of atmospheric plasma sprayed ceramic coatings

    Energy Technology Data Exchange (ETDEWEB)

    Ulutan, Mustafa; Kilicay, Koray; Kaya, Esad; Bayar, Ismail [Dept. of Mechanical Engineering, Eskisehir Osmangazi University, Eskisehir (Turkmenistan)

    2016-08-15

    In this study, a 90MnCrV8 steel surface was coated with aluminum oxide and chromium oxide powders through the Atmospheric plasma spray (APS) and Plasma transferred arc (PTA) methods. The effects of PTA surface melting on the microstructure, hardness, and wear behavior were investigated. The microstructures of plasma-sprayed and modified layers were characterized by Optical microscopy (OM), Scanning electron microscopy (SEM) and Energy dispersive X-ray spectroscopy (EDS). The dry-sliding wear properties of the samples were determined through the ball-on-disk wear test method. Voids, cracks, and nonhomogeneous regions were observed in the microstructure of the APS ceramic-coated surface. These microstructure defects were eliminated by the PTA welding process. The microhardness of the samples was increased. Significant reductions in wear rate were observed after the PTA surface modification. The wear resistance of ceramic coatings increased 7 to 12 times compared to that of the substrate material.

  16. RF plasma source for heavy ion beam charge neutralization

    International Nuclear Information System (INIS)

    Efthimion, Philip C.; Gilson, Erik; Grisham, Larry; Davidson, Ronald C.; Yu, Simon S.; Logan, B. Grant

    2003-01-01

    Highly ionized plasmas are being used as a medium for charge neutralizing heavy ion beams in order to focus the ion beam to a small spot size. A radio frequency (RF) plasma source has been built at the Princeton Plasma Physics Laboratory (PPPL) in support of the joint Neutralized Transport Experiment (NTX) at the Lawrence Berkeley National Laboratory (LBNL) to study ion beam neutralization with plasma. The goal is to operate the source at pressures ∼ 10 -5 Torr at full ionization. The initial operation of the source has been at pressures of 10 -4 -10 -1 Torr and electron densities in the range of 10 8 -10 11 cm -3 . Recently, pulsed operation of the source has enabled operation at pressures in the 10 -6 Torr range with densities of 10 11 cm -3 . Near 100% ionization has been achieved. The source has been integrated with the NTX facility and experiments have begun

  17. Characterization of an electrothermal plasma source for fusion transient simulations

    Science.gov (United States)

    Gebhart, T. E.; Baylor, L. R.; Rapp, J.; Winfrey, A. L.

    2018-01-01

    The realization of fusion energy requires materials that can withstand high heat and particle fluxes at the plasma material interface. In this work, an electrothermal (ET) plasma source has been designed as a transient heat flux source for a linear plasma material interaction device. An ET plasma source operates in the ablative arc regime driven by a DC capacitive discharge. The current channel width is defined by the 4 mm bore of a boron nitride liner. At large plasma currents, the arc impacts the liner wall, leading to high particle and heat fluxes to the liner material, which subsequently ablates and ionizes. This results in a high density plasma with a large unidirectional bulk flow out of the source exit. The pulse length for the ET source has been optimized using a pulse forming network to have durations of 1 and 2 ms. The peak currents and maximum source energies seen in this system are 1.9 kA and 1.2 kJ for the 2 ms pulse and 3.2 kA and 2.1 kJ for the 1 ms pulse, respectively. This work is a proof of the principal project to show that an ET source produces electron densities and heat fluxes comparable to those anticipated in transient events in large future magnetic confinement fusion devices. Heat flux, plasma temperature, and plasma density were determined for each shot using infrared imaging and optical spectroscopy techniques. This paper will discuss the assumptions, methods, and results of the experiments.

  18. A high-intensity plasma-sputter heavy negative ion source

    International Nuclear Information System (INIS)

    Alton, G.D.; Mori, Y.; Takagi, A.; Ueno, A.; Fukumoto, S.

    1989-01-01

    A multicusp magnetic field plasma surface ion source, normally used for H/sup /minus//ion beam formation, has been modified for the generation of high-intensity, pulsed, heavy negative ion beams suitable for a variety of uses. To date, the source has been utilized to produce mA intensity pulsed beams of more than 24 species. A brief description of the source, and basic pulsed-mode operational data, (e.g., intensity versus cesium oven temperature, sputter probe voltage, and discharge pressure), are given. In addition, illustrative examples of intensity versus time and the mass distributions of ion beams extracted from a number of samples along with emittance data, are also presented. Preliminary results obtained during dc operation of the source under low discharge power conditions suggest that sources of this type may also be used to produce high-intensity (mA) dc beams. The results of these investigations are given, as well, and the technical issues that must be addressed for this mode of operation are discussed. 15 refs., 10 figs., 2 tabs

  19. Analysis of the tuning characteristics of microwave plasma source

    Energy Technology Data Exchange (ETDEWEB)

    Miotk, Robert, E-mail: rmiotk@imp.gda.pl; Jasiński, Mariusz [Centre for Plasma and Laser Engineering, The Szewalski Institute of Fluid-Flow Machinery, Polish Academy of Sciences, Fiszera 14, 80-231 Gdańsk (Poland); Mizeraczyk, Jerzy [Department of Marine Electronics, Gdynia Maritime University, Morska 81-87, 81-225 Gdynia (Poland)

    2016-04-15

    In this paper, we present an analysis of the tuning characteristics of waveguide-supplied metal-cylinder-based nozzleless microwave plasma source. This analysis has enabled to estimate the electron concentration n{sub e} and electron frequency collisions ν in the plasma generated in nitrogen and in a mixture of nitrogen and ethanol vapour. The parameters n{sub e} and ν are the basic quantities that characterize the plasma. The presented new plasma diagnostic method is particularly useful, when spectroscopic methods are useless. The presented plasma source is currently used in research of a hydrogen production from liquids.

  20. Studies of electron cyclotron resonance ion source plasma physics

    International Nuclear Information System (INIS)

    Tarvainen, O.

    2005-01-01

    This thesis consists of an introduction to the plasma physics of electron cyclotron resonance ion sources (ECRIS) and a review of the results obtained by the author and co-workers including discussion of related work by others. The thesis begins with a theoretical discussion dealing with plasma physics relevant for the production of highly charged ions in ECR ion source plasmas. This is followed by an overview of different techniques, such as gas mixing and double frequency heating, that can be used to improve the performance of this type of ion source. The experimental part of the work consists of studies related to ECRIS plasma physics. The effect of the gas mixing technique on the production efficiency of different ion beams was studied with both gaseous and solid materials. It was observed that gas mixing improves the confinement of the heavier element while the confinement of the lighter element is reduced. When the effect of gas mixing on MIVOC-plasmas was studied with several mixing gases it was observed that applying this technique can reduce the inevitable carbon contamination by a significant factor. In order to understand the different plasma processes taking place in ECRIS plasmas, a series of plasma potential and emittance measurements was carried out. An instrument, which can be used to measure the plasma potential in a single measurement without disturbing the plasma, was developed for this work. Studying the plasma potential of ECR ion sources is important not only because it helps to understand different plasma processes, but also because the information can be used as an input parameter for beam transport simulations and ion source extraction design. The experiments performed have revealed clear dependencies of the plasma potential on certain source parameters such as the amount of carbon contamination accumulated on the walls of the plasma chamber during a MIVOC-run. It was also observed that gas mixing affects not only the production efficiency

  1. Development of a long-slot microwave plasma source

    Energy Technology Data Exchange (ETDEWEB)

    Kuwata, Y., E-mail: euo1304@mail4.doshisha.ac.jp; Kasuya, T.; Miyamoto, N.; Wada, M. [Graduate School of Science and Engineering, Doshisha University, Kyotanabe, Kyoto 610-0321 (Japan)

    2016-02-15

    A 20 cm long 10 cm wide microwave plasma source was realized by inserting two 20 cm long 1.5 mm diameter rod antennas into the plasma. Plasma luminous distributions around the antennas were changed by magnetic field arrangement created by permanent magnets attached to the source. The distributions appeared homogeneous in one direction along the antenna when the spacing between the antenna and the source wall was 7.5 mm for the input microwave frequency of 2.45 GHz. Plasma density and temperature at a plane 20 cm downstream from the microwave shield were measured by a Langmuir probe array at 150 W microwave power input. The measured electron density and temperature varied over space from 3.0 × 10{sup 9} cm{sup −3} to 5.8 × 10{sup 9} cm{sup −3}, and from 1.1 eV to 2.1 eV, respectively.

  2. Oxygen Plasma Treatment of Rubber Surface by the Atmospheric Pressure Cold Plasma Torch

    DEFF Research Database (Denmark)

    Lee, Bong-ju; Kusano, Yukihiro; Kato, Nobuko

    1997-01-01

    adhesive. The adhesion property was improved by treatment of the rubber compound with plasma containing oxygen radicals. Physical and chemical changes of the rubber surface as a result of the plasma treatment were analyzed by field emission scanning electron microscopy (FE-SEM) and fourier transform......A new application of the atmospheric cold plasma torch has been investigated. Namely, the surface treatment of an air-exposed vulcanized rubber compound. The effect of plasma treatment was evaluated by the bondability of the treated rubber compound with another rubber compound using a polyurethane...

  3. Plasma drift towards a plane equipotential surface

    International Nuclear Information System (INIS)

    Carlqvist, P.

    1984-03-01

    Recently Alfven has qualitatively described how a collisionless plasma drifts in crossed electric and magnetic fields towards an infinite conducting plate of constant potential. In the present note we quantitatively study three models which are closely related to Alfven's model. It is found that when the plasma comes sufficiently close to a plane equipotential surface (conducting plate) it is deflected approximately along the surface. The deflection is not caused by pressure effects but rather by the electric and magnetic fields. Small fluxes of ions and electrons also cross the plane equipotential surface. These fluxes account for an electric current in the plasma which induces a magnetic field in the same direction as the total magnetic field assumed to be homogeneous. It is shown that if the Alfven number, M(sub)A, is much smaller than unity in the volume considered the magnetic field induced by plasma currents is small compared to the total magnetic field. However, if M(sub)A is of the order of unity or larger the total magnetic field is to a substantial degree generated by plasma currents. (Author)

  4. Experimental benchmark of the NINJA code for application to the Linac4 H- ion source plasma

    Science.gov (United States)

    Briefi, S.; Mattei, S.; Rauner, D.; Lettry, J.; Tran, M. Q.; Fantz, U.

    2017-10-01

    For a dedicated performance optimization of negative hydrogen ion sources applied at particle accelerators, a detailed assessment of the plasma processes is required. Due to the compact design of these sources, diagnostic access is typically limited to optical emission spectroscopy yielding only line-of-sight integrated results. In order to allow for a spatially resolved investigation, the electromagnetic particle-in-cell Monte Carlo collision code NINJA has been developed for the Linac4 ion source at CERN. This code considers the RF field generated by the ICP coil as well as the external static magnetic fields and calculates self-consistently the resulting discharge properties. NINJA is benchmarked at the diagnostically well accessible lab experiment CHARLIE (Concept studies for Helicon Assisted RF Low pressure Ion sourcEs) at varying RF power and gas pressure. A good general agreement is observed between experiment and simulation although the simulated electron density trends for varying pressure and power as well as the absolute electron temperature values deviate slightly from the measured ones. This can be explained by the assumption of strong inductive coupling in NINJA, whereas the CHARLIE discharges show the characteristics of loosely coupled plasmas. For the Linac4 plasma, this assumption is valid. Accordingly, both the absolute values of the accessible plasma parameters and their trends for varying RF power agree well in measurement and simulation. At varying RF power, the H- current extracted from the Linac4 source peaks at 40 kW. For volume operation, this is perfectly reflected by assessing the processes in front of the extraction aperture based on the simulation results where the highest H- density is obtained for the same power level. In surface operation, the production of negative hydrogen ions at the converter surface can only be considered by specialized beam formation codes, which require plasma parameters as input. It has been demonstrated that

  5. Humidity Effects on Fragmentation in Plasma-Based Ambient Ionization Sources.

    Science.gov (United States)

    Newsome, G Asher; Ackerman, Luke K; Johnson, Kevin J

    2016-01-01

    Post-plasma ambient desorption/ionization (ADI) sources are fundamentally dependent on surrounding water vapor to produce protonated analyte ions. There are two reports of humidity effects on ADI spectra. However, it is unclear whether humidity will affect all ADI sources and analytes, and by what mechanism humidity affects spectra. Flowing atmospheric pressure afterglow (FAPA) ionization and direct analysis in real time (DART) mass spectra of various surface-deposited and gas-phase analytes were acquired at ambient temperature and pressure across a range of observed humidity values. A controlled humidity enclosure around the ion source and mass spectrometer inlet was used to create programmed humidity and temperatures. The relative abundance and fragmentation of molecular adduct ions for several compounds consistently varied with changing ambient humidity and also were controlled with the humidity enclosure. For several compounds, increasing humidity decreased protonated molecule and other molecular adduct ion fragmentation in both FAPA and DART spectra. For others, humidity increased fragment ion ratios. The effects of humidity on molecular adduct ion fragmentation were caused by changes in the relative abundances of different reagent protonated water clusters and, thus, a change in the average difference in proton affinity between an analyte and the population of water clusters. Control of humidity in ambient post-plasma ion sources is needed to create spectral stability and reproducibility.

  6. Preparation and surface characterization of plasma-treated and biomolecular-micropatterned polymer substrates

    Science.gov (United States)

    Langowski, Bryan Alfred

    A micropatterning process creates distinct microscale domains on substrate surfaces that differ from the surfaces' original chemical/physical properties. Numerous micropatterning methods exist, each having relative advantages and disadvantages in terms of cost, ease, reproducibility, and versatility. Polymeric surfaces micropatterned with biomolecules have many applications, but are specifically utilized in tissue engineering as cell scaffolds that attempt to controlled tissue generation in vivo and ex vivo. As the physical and chemical cues presented by micropatterned substrates control resulting cellular behavior, characterization of these cues via surface-sensitive analytical techniques is essential in developing cell scaffolds that mimic complex in vivo physicochemical environments. The initial focus of this thesis is the chemical and physical characterization of plasma-treated, microcontact-printed (muCP) polymeric substrates used to direct nerve cell behavior. Unmodified and oxygen plasma-treated poly(methyl methacrylate) (PMMA) substrates were analyzed by surface sensitive techniques to monitor plasma-induced chemical and physical modifications. Additionally, protein-micropattern homogeneity and size were microscopically evaluated. Lastly, poly(dimethylsiloxane) (PDMS) stamps and contaminated PMMA substrates were characterized by spectroscopic and microscopic methods to identify a contamination source during microcontact printing. The final focus of this thesis is the development of microscale plasma-initiated patterning (muPIP) as a versatile, reproducible micropatterning method. Using muPIP, polymeric substrates were micropatterned with several biologically relevant inks. Polymeric substrates were characterized following muPIP by surface-sensitive techniques to identify the technique's underlying physical and chemical bases. In addition, neural stem cell response to muPIP-generated laminin micropatterns was microscopically and biologically evaluated

  7. Ion accumulation in an electron plasma confined on magnetic surfaces

    International Nuclear Information System (INIS)

    Berkery, John W.; Marksteiner, Quinn R.; Pedersen, Thomas Sunn; Kremer, Jason P.

    2007-01-01

    Accumulation of ions can alter and may destabilize the equilibrium of an electron plasma confined on magnetic surfaces. An analysis of ion sources and ion content in the Columbia Non-neutral Torus (CNT) [T.S. Pedersen, J.P. Kremer, R.G. Lefrancois, Q. Marksteiner, N. Pomphrey, W. Reiersen, F. Dahlgreen, and X. Sarasola, Fusion Sci. Technol. 50, 372 (2006)] is presented. In CNT ions are created preferentially at locations of high electron temperature, near the outer magnetic surfaces. A volumetric integral of n e ν iz gives an ion creation rate of 2.8x10 11 ions/s. This rate of accumulation would cause neutralization of a plasma with 10 11 electrons in about half a second. This is not observed experimentally, however, because currently in CNT ions are lost through recombination on insulated rods. From a steady-state balance between the calculated ion creation and loss rates, the equilibrium ion density in a 2x10 -8 Torr neutral pressure, 7.5x10 11 m -3 electron density plasma in CNT is calculated to be n i =6.2x10 9 m -3 , or 0.8%. The ion density is experimentally measured through the measurement of the ion saturation current on a large area probe to be about 6.0x10 9 m -3 for these plasmas, which is in good agreement with the predicted value

  8. Sterilization of Surfaces with a Handheld Atmospheric Pressure Plasma

    Science.gov (United States)

    Hicks, Robert; Habib, Sara; Chan, Wai; Gonzalez, Eleazar; Tijerina, A.; Sloan, Mark

    2009-10-01

    Low temperature, atmospheric pressure plasmas have shown great promise for decontaminating the surfaces of materials and equipment. In this study, an atmospheric pressure, oxygen and argon plasma was investigated for the destruction of viruses, bacteria, and spores. The plasma was operated at an argon flow rate of 30 L/min, an oxygen flow rate of 20 mL/min, a power density of 101.0 W/cm^3 (beam area = 5.1 cm^2), and at a distance from the surface of 7.1 mm. An average 6log10 reduction of viable spores was obtained after only 45 seconds of exposure to the reactive gas. By contrast, it takes more than 35 minutes at 121^oC to sterilize anthrax in an autoclave. The plasma properties were investigated by numerical modeling and chemical titration with nitric oxide. The numerical model included a detailed reaction mechanism for the discharge as well as for the afterglow. It was predicted that at a delivered power density of 29.3 W/cm^3, 30 L/min argon, and 0.01 volume% O2, the plasma generated 1.9 x 10^14 cm-3 O atoms, 1.6 x 10^12 cm-3 ozone, 9.3 x 10^13 cm-3 O2(^1δg), and 2.9 x 10^12 cm-3 O2(^1σ^+g) at 1 cm downstream of the source. The O atom density measured by chemical titration with NO was 6.0 x 10^14 cm-3 at the same conditions. It is believe that the oxygen atoms and the O2(^1δg) metastables were responsible for killing the anthrax and other microorganisms.

  9. Air plasma processing of poly(methyl methacrylate) micro-beads: Surface characterisations

    International Nuclear Information System (INIS)

    Liu Chaozong; Cui Naiyi; Osbeck, Susan; Liang He

    2012-01-01

    Highlights: ► PMMA micro-beads were processed using a rotary air plasma reactor. ► Surface chemistry and surface texture of PMMA micro-beads were characterised. ► Surface wettability was evaluated using “floating” water contact angle method. ► Surface oxidation and texture changes induced by air plasma attributed to the improvement of surface wettability. - Abstract: This paper reports the surface processing of poly(methyl methacrylate) (PMMA) micro-beads by using a rotary air plasma reactor, and its effects on surface properties. The surface properties, including surface wettability, surface chemistry and textures of the PMMA beads, were characterised. It was observed that the air plasma processing can improve the surface wettability of the PMMA microbeads significantly. A 15 min plasma processing can reduce the surface water contact angle of PMMA beads to about 50° from its original value of 80.3°. This was accompanied by about 8% increase in surface oxygen concentration as confirmed by XPS analysis. The optical profilometry examination revealed the air plasma processing resulted in a rougher surface that has a “delicate” surface texture. It is concluded that the surface chemistry and texture, induced by air plasma processing, co-contributed to the surface wettability improvement of PMMA micro-beads.

  10. Lage-area planar RF plasma productions by surface waves

    International Nuclear Information System (INIS)

    Nonaka, S.

    1994-01-01

    Large-area rf plasmas are confirmed to be produced by means of RF discharges inside a large-area dielectric tube. The plasma space is 73 cm x 176 cm and 2.5 cm. The plasma is thought to be produced by an odd plasma-surface wave (PSW ο ) in case of using large-area electrodes and by an even plasma-surface wave (PSW ο ) in case of without the electrodes. (author). 7 refs, 4 figs

  11. XIX Conference on Plasma Surface Interactions

    International Nuclear Information System (INIS)

    Kurnaev, V A; Gasparyan, Yu M

    2016-01-01

    The 19 th Conference on Plasma Surface Interactions (PSI-2016) was held at National Research Nuclear University MEPhI (Moscow Engineering Physics Institute) on 28-29 January 2016. This is a traditional annual meeting organized by MEPhI for many years and devoted to the recent achievements of Russian scientists and foreign colleagues in experimental and computer simulations of plasma and its components' interactions with plasma faced materials (PFM) for fusion devices and plasma technologies. The conference agenda covered a broad list of topics including: • plasma induced erosion and modification of materials; • radiation damage in materials; • lithium and liquid metals as PFM; • modeling of plasma surface interaction and processes in SOL of tokamak plasma; • diagnostics of plasma-surface interaction. The aim of the conference was to present and discuss new results in PSI in a wide audience with different areas of expertise. The important feature of the conference is to give PhD and undergraduate students the opportunity for approbation of results of their scientific activity and improve their knowledge in the novel directions of R and D in the field of fusion and plasma technologies. In total, more than 80 experienced and young researchers participated in the conference. These Proceedings contain 21 papers selected for publication, which were reviewed by the invited international team of editors (T. Tanabe, S. Petrovich, Ch. Grisolia, Yu. Martynenko, S. Krasheninnikov, L. Begrambekov, A. Pisarev). The Conference as well as editing of this issue were supported by National Research Nuclear University MEPhI in the framework of the Russian Academic Excellence Project (contract No. 02.a03.21.0005, 27.08.2013). Finally, we would like to thank all of the speakers, participants and organizing committee members for their contribution to the conference. Acknowledgements The organizers of the conference would like to thank for the support from National Research

  12. New electron-ion-plasma equipment for modification of materials and products surface

    International Nuclear Information System (INIS)

    Koval', N.N.

    2013-01-01

    Electron-ion-plasma treatment of materials and products, including surface clearing and activation, formation surface layers with changed chemical and phase structure, increased hardness and corrosion resistance; deposition of various functional coatings, has received a wide distribution in a science and industry. Widespread methods of ion-plasma modification of material and product surfaces are ion etching and activation, ion-plasma nitriding, arc or magnetron deposition of functional coatings, including nanostructured. The combination of above methods of surface modification allows essentially to improve exploitation properties of treated products and to optimize the characteristics of modified surfaces for concrete final requirements. For the purpose of a combination of various methods of ion-plasma treatment in a single work cycle at Institute of High Current Electronics of SB RAS (IHCE SB RAS) specialized technological equipment 'DUET', 'TRIO' and 'QUADRO' and 'KVINTA' have been developed. This equipment allow generating uniform low-temperature gas plasma at pressures of (0.1-1) Pa with concentration of (10 9 -10 11 ) cm -3 in volume of (0.1-1) m 3 . In the installations consistent realization of several various operations of materials and products treatment in a single work cycle is possible. The operations are preliminary heating and degassing, ion clearing, etching and activation of materials and products surface by plasma of arc discharges; chemicothermal treatment (nitriding) for formation of diffusion layer on a surface of treated sample using plasma of nonself-sustained low-pressure arc discharge; deposition of single- or multilayered superhard (≥40 GPa) nanocrystalline coatings on the basis of pure metals or their compounds (nitrides, carbides, carbonitrides) by the arc plasma-assisted method. For realization of the modes all installations are equipped by original sources of gas and metal plasma. Besides, in

  13. Frequency Upconversion and Parametric Surface Instabilities in Microwave Plasma Interactions.

    Science.gov (United States)

    Rappaport, Harold Lee

    In this thesis the interaction of radiation with plasmas whose density profiles are nearly step functions of space and/or time are studied. The wavelengths of radiation discussed are large compared with plasma density gradient scale lengths. The frequency spectra are evaluated and the energy balance investigated for the transmitted and reflected transient electromagnetic waves that are generated when a monochromatic source drives a finite width plasma in which a temporal step increase in density occurs. Transmission resonances associated with the abrupt boundaries manifest themselves as previously unreported multiple frequency peaks in the transmitted electromagnetic spectrum. A tunneling effect is described in which a burst of energy is transmitted from the plasma immediately following a temporal density transition. Stability of an abruptly bounded plasma, one for which the incident radiation wavelength is large compared with the plasma density gradient scale length, is investigated for both s and p polarized radiation types. For s-polarized radiation a new formalism is introduced in which pump induced perturbations are expressed as an explicit superposition of linear and non-linear plasma half-space modes. Results for a particular regime and a summary of relevant literature is presented. We conclude that when s-polarized radiation acts alone on an abrupt diffusely bounded underdense plasma stimulated excitation of electron surface modes is suppressed. For p-polarized radiation the recently proposed Lagrangian Frame Two-Plasmon Decay mode (LFTPD) ^dag is investigated in the regime in which the instability is not resonantly coupled to surface waves propagating along the boundary region. In this case, spatially dependent growth rate profiles and spatially dependent transit layer magnetic fields are reported. The regime is of interest because we have found that when the perturbation wavenumber parallel to the boundary is less than the pump frequency divided by twice

  14. RF Plasma Source for Heavy Ion Beam Charge Neutralization

    Science.gov (United States)

    Efthimion, P. C.; Gilson, E.; Grisham, L.; Davidson, R. C.

    2003-10-01

    Highly ionized plasmas are being employed as a medium for charge neutralizing heavy ion beams in order to focus to a small spot size. Calculations suggest that plasma at a density of 1 - 100 times the ion beam density and at a length 0.1-0.5 m would be suitable for achieving a high level of charge neutralization. An ECR source has been built at the Princeton Plasma Physics Laboratory (PPPL) in support of the joint Neutralized Transport Experiment (NTX) at the Lawrence Berkeley National Laboratory (LBNL) to study ion beam neutralization with plasma. The ECR source operates at 13.6 MHz and with solenoid magnetic fields of 0-10 gauss. The goal is to operate the source at pressures 10-5 Torr at full ionization. The initial operation of the source has been at pressures of 10-4 - 10-1 Torr. Electron densities in the range of 10^8 - 10^11 cm-3 have been achieved. Recently, pulsed operation of the source has enabled operation at pressures in the 10-6 Torr range with densities of 10^11 cm-3. Near 100% ionization has been achieved. The source has been integrated with NTX and is being used in the experiments. The plasma is approximately 10 cm in length in the direction of the beam propagation. Modifications to the source will be presented that increase its length in the direction of beam propagation.

  15. Design of a novel high efficiency antenna for helicon plasma sources

    Science.gov (United States)

    Fazelpour, S.; Chakhmachi, A.; Iraji, D.

    2018-06-01

    A new configuration for an antenna, which increases the absorption power and plasma density, is proposed for helicon plasma sources. The influence of the electromagnetic wave pattern symmetry on the plasma density and absorption power in a helicon plasma source with a common antenna (Nagoya) is analysed by using the standard COMSOL Multiphysics 5.3 software. In contrast to the theoretical model prediction, the electromagnetic wave does not represent a symmetric pattern for the common Nagoya antenna. In this work, a new configuration for an antenna is proposed which refines the asymmetries of the wave pattern in helicon plasma sources. The plasma parameters such as plasma density and absorption rate for a common Nagoya antenna and our proposed antenna under the same conditions are studied using simulations. In addition, the plasma density of seven operational helicon plasma source devices, having a common Nagoya antenna, is compared with the simulation results of our proposed antenna and the common Nagoya antenna. The simulation results show that the density of the plasma, which is produced by using our proposed antenna, is approximately twice in comparison to the plasma density produced by using the common Nagoya antenna. In fact, the simulation results indicate that the electric and magnetic fields symmetry of the helicon wave plays a vital role in increasing wave-particle coupling. As a result, wave-particle energy exchange and the plasma density of helicon plasma sources will be increased.

  16. Surface modification of polymer nanofibres by plasma treatment

    International Nuclear Information System (INIS)

    Wei, Q.F.; Gao, W.D.; Hou, D.Y.; Wang, X.Q.

    2005-01-01

    Polymer nanofibres have great potential for technical applications in biomaterials, filtration, composites and electronics. The surface properties of nanofibres are of importance in these applications. In this study, cold gas plasma treatment was used to modify the surface of polyamide 6 nanofibres prepared by electrospinning. The chemical nature of the nanofibre surfaces was examined by X-ray photoelectron spectroscopy (XPS). Atomic force microscopy (AFM) was employed to study the surface characteristics of the fibres. The AFM results indicate a significant change in the morphology of the fibre surface before and after plasma treatment. A Philips Environmental Scanning Electron Microscopy (ESEM) was also used to study the wetting behaviour of the fibres. In the ESEM, relative humidity was raised to 100% to facilitate the water condensation onto fibre surfaces for wetting observation. The ESEM observation revealed that the plasma treatment significantly altered the surface wettability of the polyamide 6 nanofibres

  17. Surface improvement of EPDM rubber by plasma treatment

    Energy Technology Data Exchange (ETDEWEB)

    Moraes, J H [LPP, Physics Department, ITA, CTA, Pca Mal Eduardo Gomes 50, 12228-900 Sao Jose dos Campos, S.P. (Brazil); Silva Sobrinho, A S da [LPP, Physics Department, ITA, CTA, Pca Mal Eduardo Gomes 50, 12228-900 Sao Jose dos Campos, S.P. (Brazil); Maciel, H S [LPP, Physics Department, ITA, CTA, Pca Mal Eduardo Gomes 50, 12228-900 Sao Jose dos Campos, S.P. (Brazil); Dutra, J C N [EBO, Chemistry Division, IAE, CTA, Pca Mal Eduardo Gomes 50, 12228-904 Sao Jose dos Campos, S.P. (Brazil); Massi, M [LPP, Physics Department, ITA, CTA, Pca Mal Eduardo Gomes 50, 12228-900 Sao Jose dos Campos, S.P. (Brazil); Mello, S A C [EBO, Chemistry Division, IAE, CTA, Pca Mal Eduardo Gomes 50, 12228-904 Sao Jose dos Campos, S.P. (Brazil); Schreiner, W H [Physics Department, UFPR, Centro Politecnico, 80060-000 Curitiba, P.R. (Brazil)

    2007-12-21

    The surface of ethylene-propylene-diene monomer (EPDM) rubber was treated in N{sub 2}/Ar and N{sub 2}/H{sub 2}/Ar RF plasmas in order to achieve similar or better adhesion properties than NBR (acrylonitrile-butadiene) rubber, nowadays used as thermal protection of rocket chambers. The surface properties were studied by contact angle measurements and by x-ray photoelectron spectroscopy (XPS). The treated surfaces of the EPDM samples show a significant reduction in the contact angle measurement, indicating an increase in the surface energy. XPS analyses show the incorporation of polar nitrogen- and oxygen-containing groups on the rubber surface. After plasma treatment the presence of oxygen is observed due to surface oxidation which occurs when the samples are exposed to the air. Atomic force microscopy and scanning electron microscopy analyses indicate a decrease in the EPDM rubber surface roughness, promoted by surface etching during the plasma treatment. Strength tests indicate improvement of about 30% and 110% in the adhesion strength for the plasma treated EPDM/polyurethane liner interface and for the EPDM/epoxy adhesive interface, respectively. The adhesion strength of the EPDM/liner is similar to that obtained for the NBR/liner, which indicates that EPDM rubber can safely be used as thermal protection of the solid propellant rocket chamber.

  18. Surface improvement of EPDM rubber by plasma treatment

    International Nuclear Information System (INIS)

    Moraes, J H; Silva Sobrinho, A S da; Maciel, H S; Dutra, J C N; Massi, M; Mello, S A C; Schreiner, W H

    2007-01-01

    The surface of ethylene-propylene-diene monomer (EPDM) rubber was treated in N 2 /Ar and N 2 /H 2 /Ar RF plasmas in order to achieve similar or better adhesion properties than NBR (acrylonitrile-butadiene) rubber, nowadays used as thermal protection of rocket chambers. The surface properties were studied by contact angle measurements and by x-ray photoelectron spectroscopy (XPS). The treated surfaces of the EPDM samples show a significant reduction in the contact angle measurement, indicating an increase in the surface energy. XPS analyses show the incorporation of polar nitrogen- and oxygen-containing groups on the rubber surface. After plasma treatment the presence of oxygen is observed due to surface oxidation which occurs when the samples are exposed to the air. Atomic force microscopy and scanning electron microscopy analyses indicate a decrease in the EPDM rubber surface roughness, promoted by surface etching during the plasma treatment. Strength tests indicate improvement of about 30% and 110% in the adhesion strength for the plasma treated EPDM/polyurethane liner interface and for the EPDM/epoxy adhesive interface, respectively. The adhesion strength of the EPDM/liner is similar to that obtained for the NBR/liner, which indicates that EPDM rubber can safely be used as thermal protection of the solid propellant rocket chamber

  19. Surface improvement of EPDM rubber by plasma treatment

    Science.gov (United States)

    Moraes, J. H.; da Silva Sobrinho, A. S.; Maciel, H. S.; Dutra, J. C. N.; Massi, M.; Mello, S. A. C.; Schreiner, W. H.

    2007-12-01

    The surface of ethylene-propylene-diene monomer (EPDM) rubber was treated in N2/Ar and N2/H2/Ar RF plasmas in order to achieve similar or better adhesion properties than NBR (acrylonitrile-butadiene) rubber, nowadays used as thermal protection of rocket chambers. The surface properties were studied by contact angle measurements and by x-ray photoelectron spectroscopy (XPS). The treated surfaces of the EPDM samples show a significant reduction in the contact angle measurement, indicating an increase in the surface energy. XPS analyses show the incorporation of polar nitrogen- and oxygen-containing groups on the rubber surface. After plasma treatment the presence of oxygen is observed due to surface oxidation which occurs when the samples are exposed to the air. Atomic force microscopy and scanning electron microscopy analyses indicate a decrease in the EPDM rubber surface roughness, promoted by surface etching during the plasma treatment. Strength tests indicate improvement of about 30% and 110% in the adhesion strength for the plasma treated EPDM/polyurethane liner interface and for the EPDM/epoxy adhesive interface, respectively. The adhesion strength of the EPDM/liner is similar to that obtained for the NBR/liner, which indicates that EPDM rubber can safely be used as thermal protection of the solid propellant rocket chamber.

  20. Surface plasma functionalization influences macrophage behavior on carbon nanowalls

    Energy Technology Data Exchange (ETDEWEB)

    Ion, Raluca [University of Bucharest, Department of Biochemistry and Molecular Biology, 91-95 Spl. Independentei, 050095 Bucharest (Romania); Vizireanu, Sorin [National Institute for Laser, Plasma and Radiation Physics, 409 Atomistilor, PO Box MG-36, 077125, Magurele, Bucharest (Romania); Stancu, Claudia Elena [National Institute for Laser, Plasma and Radiation Physics, 409 Atomistilor, PO Box MG-36, 077125, Magurele, Bucharest (Romania); Leibniz Institute for Plasma Science and Technology (INP Greifswald), Felix-Hausdorff-Str. 2, 17489 Greifswald (Germany); Luculescu, Catalin [National Institute for Laser, Plasma and Radiation Physics, 409 Atomistilor, PO Box MG-36, 077125, Magurele, Bucharest (Romania); Cimpean, Anisoara, E-mail: anisoara.cimpean@bio.unibuc.ro [University of Bucharest, Department of Biochemistry and Molecular Biology, 91-95 Spl. Independentei, 050095 Bucharest (Romania); Dinescu, Gheorghe [National Institute for Laser, Plasma and Radiation Physics, 409 Atomistilor, PO Box MG-36, 077125, Magurele, Bucharest (Romania)

    2015-03-01

    The surfaces of carbon nanowall samples as scaffolds for tissue engineering applications were treated with oxygen or nitrogen plasma to improve their wettability and to functionalize their surfaces with different functional groups. X-ray photoelectron spectroscopy and water contact angle results illustrated the effective conversion of the carbon nanowall surfaces from hydrophobic to hydrophilic and the incorporation of various amounts of carbon, oxygen and nitrogen functional groups during the treatments. The early inflammatory responses elicited by un-treated and modified carbon nanowall surfaces were investigated by quantifying tumor necrosis factor-alpha and macrophage inflammatory protein-1 alpha released by attached RAW 264.7 macrophage cells. Scanning electron microscopy and fluorescence studies were employed to investigate the changes in macrophage morphology and adhesive properties, while MTT assay was used to quantify cell proliferation. All samples sustained macrophage adhesion and growth. In addition, nitrogen plasma treatment was more beneficial for cell adhesion in comparison with un-modified carbon nanowall surfaces. Instead, oxygen plasma functionalization led to increased macrophage adhesion and spreading suggesting a more activated phenotype, confirmed by elevated cytokine release. Thus, our findings showed that the chemical surface alterations which occur as a result of plasma treatment, independent of surface wettability, affect macrophage response in vitro. - Highlights: • N{sub 2} and O{sub 2} plasma treatments alter the CNW surface chemistry and wettability. • Cells seeded on CNW scaffolds are viable and metabolically active. • Surface functional groups, independent of surface wettability, affect cell response. • O{sub 2} plasma treatment of CNW leads to a more activated macrophage phenotype.

  1. Plasma focus sources: Supplement to the neutron resonance radiography workshop proceedings

    International Nuclear Information System (INIS)

    Nardi, V.; Brzosko, J.

    1989-01-01

    Since their discovery, plasma focus discharges have been recognized as very intense pulsed sources of deuterium-deuterium (D-D) or deuterium-tritium (D-T) fusion-reaction neutrons, with outstanding capabilities. Specifically, the total neutron emission/shot, YN, and the rate of neutron emission, Y/sub n/, of an optimized plasma focus (PF) are higher than the corresponding quantities observed in any other type of pinched discharge at the same level of powering energy W 0 . Recent developments have led to the concept and experimental demonstration of an Advanced Plasma Focus System (APF) that consists of a Mather-geometry plasma focus in which field distortion elements (FDEs) are inserted in the inter-electrode gap for increasing the neutron yield/shot, Y/sub n/. The FDE-induced redistribution of the plasma current increases Y/sub n/ by a factor ≅5-10 above the value obtained without FDEs under otherwise identical conditions of operation of the plasma focus. For example, an APF that is fed by a fast capacitor bank with an energy, W 0 = 6kJ, and voltage, V 0 = 16.5 kV provides Y/sub n/ /congruent/ 4 /times/ 10 9 D-D neutrons/shot (pure D 2 filling) and Y/sub n/ = 4 /times/ 10 11 D-T neutrons/shot (filling is 50% deuterium and 50% tritium). The FDE-induced increase of Y/sub n/ for fixed values of (W 0 , V 0 ), the observed scaling law Y/sub n/ /proportional to/ W 0 2 for optimized plasma focus systems, and our experience with neutron scattering in bulk objects lead us to the conclusion that we can use an APF as a source of high-intensity neutron pulses (10 14 n/pulse) in the field off neutron radiography (surface and bulk) with a nanosecond or millisecond time resolution

  2. A Nanosecond Pulsed Plasma Brush for Surface Decontamination

    Science.gov (United States)

    Neuber, Johanna; Malik, Muhammad; Song, Shutong; Jiang, Chunqi

    2015-11-01

    This work optimizes a non-thermal, atmospheric pressure plasma brush for surface decontamination. The generated plasma plumes with a maximum length of 2 cm are arranged in a 5 cm long, brush-like array. The plasma was generated in ambient air with Acinetobacter baumannii. Laminate surfaces inoculated with over-night cultured bacteria were subject to the plasma treatment for varying water concentrations in He, flow rates and discharge voltages. It was found that increasing the water content of the feed gas greatly enhanced the bactericidal effect. Emission spectroscopy was performed to identify the reactive plasma species that contribute to this variation. Additional affiliation: Frank Reidy Research Center for Bioelectrics

  3. Color Developing Capacity of Plasma-treated Water as a Source of Nitrite for Meat Curing.

    Science.gov (United States)

    Jung, Samooel; Kim, Hyun Joo; Park, Sanghoo; Yong, Hae In; Choe, Jun Ho; Jeon, Hee-Joon; Choe, Wonho; Jo, Cheorun

    2015-01-01

    The interaction of plasma with liquid generates nitrogen species including nitrite (NO(-) 2). Therefore, the color developing capacity of plasma-treated water (PTW) as a nitrite source for meat curing was investigated in this study. PTW, which is generated by surface dielectric barrier discharge in air, and the increase of plasma treatment time resulted in increase of nitrite concentration in PTW. The PTW used in this study contains 46 ppm nitrite after plasma treatment for 30 min. To evaluate the effect of PTW on the cured meat color, meat batters were prepared under three different conditions (control, non-cured meat batter; PTW, meat batter cured with PTW; Sodium nitrite, meat batter cured with sodium nitrite). The meat batters were vacuum-packaged and cooked in a water-bath at 80℃ for 30 min. The typical color of cured meat developed in cooked meat batter treated with sodium nitrite or PTW. The lightness (L*) and yellowness (b*) values were similar in all conditions, whereas, the redness (a*) values of cooked meat batter with PTW and sodium nitrite (pnitrite source in the curing process of meat without addition of other nitrite sources.

  4. Dielectric barrier discharge plasma treatment of cellulose nanofibre surfaces

    DEFF Research Database (Denmark)

    Kusano, Yukihiro; Madsen, Bo; Berglund, Linn

    2017-01-01

    on the nanofibre surface. Ultrasonic irradiation further enhanced the wetting and oxidation of the nanofibre coating. Scanning electron microscopic observations showed skeleton-like features on the plasma-treated surface, indicating preferential etching of weaker domains, such as low-molecular weight domains......Dielectric barrier discharge plasma treatment was applied to modify cellulose nanofibre (CNF) surfaces with and without ultrasonic irradiation. The plasma treatment improved the wetting by deionised water and glycerol, and increased the contents of oxygen, carbonyl group, and carboxyl group...... and amorphous phases. Ultrasonic irradiation also improved the uniformity of the treatment. Altogether, it is demonstrated that atmospheric pressure plasma treatment is a promising technique to modify the CNF surface before composite processing....

  5. HARDENING OF CRANE RAILS BY PLASMA DISCRETE-TIME SURFACE TREATMENT

    Directory of Open Access Journals (Sweden)

    S. S. Samotugin

    2017-01-01

    Full Text Available Crane wheels and rails are subjected to intensive wear in the process of operation. Therefore, improvement of these components’ performance can be considered a task of high importance. A promising direction in this regard is surface treatment by highly concentrated energy flows such as laser beams or plasma jets. This thesis suggests that the use of gradient plasma surface treatment can improve the performance of crane rails. A research was conducted, according to which hardened zones were deposited on crane rails under different treatment modes. Microhardness was measured both at the surface and in depth using custom-made microsections. The article includes the results of study of plasma surface hardening effects on wear resistance of crane rails. Change of plasma surface treatment parameters (current, plasma torch movement speed, argon gas flow rate allows for desired steel hardness and structure, while the choice of optimal location for hardened zones makes it possible to significantly improve wear resistance and crack resistance. As a result of plasma surface hardening, the fine-grained martensite structure is obtained with mainly lamellar morphology and higher hardness rate compared toinduction hardening or overlaying. Wear test of carbon steels revealed that plasma surfacing reduces abrasive wear rate compared to the irinitial state by 2 to 3 times. Enough sharp boundary between hardened and non-hardened portions has a positive effect on the performance of parts under dynamic loads, contributing to the inhibition of cracks during the transition from solid to a soft metal. For carbon and low alloy rail steels, the properties achieved by plasma surface hardening can effectively replace induction hardening or overlaying.The mode range for plasma surface treatment that allow sobtaining a surface layer with certain operating properties has been determined.

  6. Development of an Organosilicon-Based Superhydrophobic/Icephobic Surface Using an Atmospheric Pressure Plasma Jet =

    Science.gov (United States)

    Asadollahi, Siavash

    During the past few decades, plasma-based surface treatment methods have gained a lot of interest in various applications such as thin film deposition, surface etching, surface activation and/or cleaning, etc. Generally, in plasma-based surface treatment methods, high-energy plasma-generated species are utilized to modify the surface structure or the chemical composition of a substrate. Unique physical and chemical characteristics of the plasma along with the high controllability of the process makes plasma treatment approaches very attractive in several industries. Plasma-based treatment methods are currently being used or investigated for a number of practical applications, such as adhesion promotion in auto industry, wound management and cancer treatment in biomedical industry, and coating development in aerospace industry. In this study, a two-step procedure is proposed for the development of superhydrophobic/icephobic coatings based on atmospheric-pressure plasma treatment of aluminum substrates using air and nitrogen plasma. The effects of plasma parameters on various surface properties are studied in order to identify the optimum conditions for maximum coating efficiency against icing and wetting. In the first step, the interactions between air or nitrogen plasma and the aluminum surface are studied. It is shown that by reducing jet-to-substrate distance, air plasma treatment, unlike nitrogen plasma treatment, is capable of creating micro-porous micro-roughened structures on the surface, some of which bear a significant resemblance to the features observed in laser ablation of metals with short and ultra-short laser pulses. The formation of such structures in plasma treatment is attributed to a transportation of energy from the jet to the surface over a very short period of time, in the range of picoseconds to microseconds. This energy transfer is shown to occur through a streamer discharge from the rotating arc source in the jet body to a close proximity of

  7. Enhanced surface functionality via plasma modification and plasma deposition techniques to create more biologically relevant materials

    Science.gov (United States)

    Shearer, Jeffrey C.

    Functionalizing nanoparticles and other unusually shaped substrates to create more biologically relevant materials has become central to a wide range of research programs. One of the primary challenges in this field is creating highly functionalized surfaces without modifying the underlying bulk material. Traditional wet chemistry techniques utilize thin film depositions to functionalize nanomaterials with oxygen and nitrogen containing functional groups, such as --OH and --NHx. These functional groups can serve to create surfaces that are amenable to cell adhesion or can act as reactive groups for further attachment of larger structures, such as macromolecules or antiviral agents. Additional layers, such as SiO2, are often added between the nanomaterial and the functionalized coating to act as a barrier films, adhesion layers, and to increase overall hydrophilicity. However, some wet chemistry techniques can damage the bulk material during processing. This dissertation examines the use of plasma processing as an alternative method for producing these highly functionalized surfaces on nanoparticles and polymeric scaffolds through the use of plasma modification and plasma enhanced chemical vapor deposition techniques. Specifically, this dissertation will focus on (1) plasma deposition of SiO2 barrier films on nanoparticle substrates; (2) surface functionalization of amine and alcohol groups through (a) plasma co-polymerization and (b) plasma modification; and (3) the design and construction of plasma hardware to facilitate plasma processing of nanoparticles and polymeric scaffolds. The body of work presented herein first examines the fabrication of composite nanoparticles by plasma processing. SiOxC y and hexylamine films were coated onto TiO2 nanoparticles to demonstrate enhanced water dispersion properties. Continuous wave and pulsed allyl alcohol plasmas were used to produce highly functionalized Fe2 O3 supported nanoparticles. Specifically, film composition was

  8. Modification of surface properties of LLDPE by water plasma discharge

    International Nuclear Information System (INIS)

    Chantara Thevy Ratnam; Hill, D.J.T.; Firas Rasoul; Whittaker, A.K.; Imelda Keen

    2007-01-01

    Linear low density polyethylene (LLDPE) surface was modified by water plasma treatment. The LLDPE surface was treated at 10 and 20 W discharge power at various exposure times. A laboratory scale Megatherm radio frequency (RF) plasma apparatus that operates at 27 MHz was used to generate the water plasmas. The changes in chemical structure of the LLDPE polymeric chain upon plasma treatment were characterized by FTIR and XPS techniques. The selectivity of trifluoroacetic anhydride (TFAA) toward hydroxyl groups is used to quantify the hydroxyl groups formed on the polymer surface upon plasma treatment. After exposition to the plasma discharge a decline in water contact angle were observed. FTIR and XPS measurements indicate an oxidation of degraded polymeric chains and creation of hydroxyl, carbonyl, ether, ester and carboxyl groups. Chemical derivatization with TFAA of water plasma treated polymer surfaces has shown that under the conditions employed, a very small (less than 5%) of the oxygen introduced by the water plasma treatment was present as hydroxyl group. (Author)

  9. Honeycomblike large area LaB6 plasma source for Multi-Purpose Plasma facility

    International Nuclear Information System (INIS)

    Woo, Hyun-Jong; Chung, Kyu-Sun; You, Hyun-Jong; Lee, Myoung-Jae; Lho, Taihyeop; Choh, Kwon Kook; Yoon, Jung-Sik; Jung, Yong Ho; Lee, Bongju; Yoo, Suk Jae; Kwon, Myeon

    2007-01-01

    A Multi-Purpose Plasma (MP 2 ) facility has been renovated from Hanbit mirror device [Kwon et al., Nucl. Fusion 43, 686 (2003)] by adopting the same philosophy of diversified plasma simulator (DiPS) [Chung et al., Contrib. Plasma Phys. 46, 354 (2006)] by installing two plasma sources: LaB 6 (dc) and helicon (rf) plasma sources; and making three distinct simulators: divertor plasma simulator, space propulsion simulator, and astrophysics simulator. During the first renovation stage, a honeycomblike large area LaB 6 (HLA-LaB 6 ) cathode was developed for the divertor plasma simulator to improve the resistance against the thermal shock fragility for large and high density plasma generation. A HLA-LaB 6 cathode is composed of the one inner cathode with 4 in. diameter and the six outer cathodes with 2 in. diameter along with separate graphite heaters. The first plasma is generated with Ar gas and its properties are measured by the electric probes with various discharge currents and magnetic field configurations. Plasma density at the middle of central cell reaches up to 2.6x10 12 cm -3 , while the electron temperature remains around 3-3.5 eV at the low discharge current of less than 45 A, and the magnetic field intensity of 870 G. Unique features of electric property of heaters, plasma density profiles, is explained comparing with those of single LaB 6 cathode with 4 in. diameter in DiPS

  10. Atmospheric-pressure plasma activation and surface characterization on polyethylene membrane separator

    Science.gov (United States)

    Tseng, Yu-Chien; Li, Hsiao-Ling; Huang, Chun

    2017-01-01

    The surface hydrophilic activation of a polyethylene membrane separator was achieved using an atmospheric-pressure plasma jet. The surface of the atmospheric-pressure-plasma-treated membrane separator was found to be highly hydrophilic realized by adjusting the plasma power input. The variations in membrane separator chemical structure were confirmed by Fourier transform infrared spectroscopy and X-ray photoelectron spectroscopy. Chemical analysis showed newly formed carbonyl-containing groups and high surface concentrations of oxygen-containing species on the atmospheric-pressure-plasma-treated polymeric separator surface. It also showed that surface hydrophilicity primarily increased from the polar component after atmospheric-pressure plasma treatment. The surface and pore structures of the polyethylene membrane separator were examined by scanning electron microscopy, revealing a slight alteration in the pore structure. As a result of the incorporation of polar functionalities by atmospheric-pressure plasma activation, the electrolyte uptake and electrochemical impedance of the atmospheric-pressure-plasma-treated membrane separator improved. The investigational results show that the separator surface can be controlled by atmospheric-pressure plasma surface treatment to tailor the hydrophilicity and enhance the electrochemical performance of lithium ion batteries.

  11. Tungsten and carbon surface change under high dose plasma exposure

    International Nuclear Information System (INIS)

    Martynenko, Y.V.; Khripunov, B.I.; Petrov, V.B.

    2009-01-01

    Study of surface composition dynamics has been made on the LENTA linear plasma simulator. Experiments have been made on tungsten and carbon materials subjected to steady-state plasma exposure. The achieved ion doses on the surface were 10 21 ion cm -2 . WL 10 tungsten containing 1% of La2O3 oxide and titanium-doped graphite RG-T were studied. The following experimental conditions were varied in these experiments: energy of ions, surface temperature, working gas. Irradiations of tungsten WL 10 were executed in deuterium plasma at low ion energies (about 20 eV) and at 200 eV for temperatures below 340 K. Graphite RG-T was exposed at 1300 K. Elevated surface temperature (about 1050K) was also characteristic of experiments on tungsten sample under nitrogen plasma impact (simulated inter-ELMs condition). Surface microstructure modification has been observed and surface composition changes were found on the materials showing influence of high dose plasma irradiations on element redistribution in the near surface layers. (author)

  12. Radiation phenomena of plasma waves, 1

    International Nuclear Information System (INIS)

    Ohnuma, Toshiro.

    1978-06-01

    The fundamental radiation theories on radiation phenomena of plasma waves are presented. As the fundamental concepts of propagating waves, phase, group and ray velocities are explained, and phase velocity surface, group velocity surface, ray velocity surface and refractive index surface are considered. These concepts are important in anisotropic plasma. Fundamental equations for electron plasma waves in a fluid model and fundamental equations for ion plasma waves can be expressed with the above mentioned concepts. Kuehl derived the formulas for general radiation fields of electromagnetic and electrostatic waves which are radiated from an arbitrary current source. Fundamental equations for kinetic model are the Vlasov equation and Maxwell equations. By investigating electromagnetic radiation in cold anisotropic plasma, Kuehl found the important behavior that the fields radiated from a source become very large in certain directions for some ranges of plasma parameters. The fact is the so-called high frequency resonance cone. A fundamental formula for quasi-static radiation from an oscillating point source in warm anisotropic plasma includes the near field of electromagnetic mode and the field of electrostatic mode, which are radiated from the source. This paper presents the formula in a generalized form. (Kato, T.)

  13. Physics-electrical hybrid model for real time impedance matching and remote plasma characterization in RF plasma sources.

    Science.gov (United States)

    Sudhir, Dass; Bandyopadhyay, M; Chakraborty, A

    2016-02-01

    Plasma characterization and impedance matching are an integral part of any radio frequency (RF) based plasma source. In long pulse operation, particularly in high power operation where plasma load may vary due to different reasons (e.g. pressure and power), online tuning of impedance matching circuit and remote plasma density estimation are very useful. In some cases, due to remote interfaces, radio activation and, due to maintenance issues, power probes are not allowed to be incorporated in the ion source design for plasma characterization. Therefore, for characterization and impedance matching, more remote schemes are envisaged. Two such schemes by the same authors are suggested in these regards, which are based on air core transformer model of inductive coupled plasma (ICP) [M. Bandyopadhyay et al., Nucl. Fusion 55, 033017 (2015); D. Sudhir et al., Rev. Sci. Instrum. 85, 013510 (2014)]. However, the influence of the RF field interaction with the plasma to determine its impedance, a physics code HELIC [D. Arnush, Phys. Plasmas 7, 3042 (2000)] is coupled with the transformer model. This model can be useful for both types of RF sources, i.e., ICP and helicon sources.

  14. Surface Modification of Polymeric Materials by Plasma Treatment

    Directory of Open Access Journals (Sweden)

    E.F. Castro Vidaurre

    2002-03-01

    Full Text Available Low-temperature plasma treatment has been used in the last years as a useful tool to modify the surface properties of different materials, in special of polymers. In the present work low temperature plasma was used to treat the surface of asymmetric porous substrates of polysulfone (PSf membranes. The main purpose of this work was to study the influence of the exposure time and the power supplied to argon plasma on the permeability properties of the membranes. Three rf power levels, respectively 5, 10 and 15 W were used. Treatment time ranged from 1 to 50 min. Reduction of single gas permeability was observed with Ar plasma treatments at low energy bombardment (5 W and short exposure time (20 min. Higher power and/or higher plasma exposition time causes a degradation process begins. The chemical and structural characterization of the membranes before and after the surface modification was done by AFM, SEM and XPS.

  15. High Current, High Density Arc Plasma as a New Source for WiPAL

    Science.gov (United States)

    Waleffe, Roger; Endrizzi, Doug; Myers, Rachel; Wallace, John; Clark, Mike; Forest, Cary; WiPAL Team

    2016-10-01

    The Wisconsin Plasma Astrophysics Lab (WiPAL) has installed a new array of nineteen plasma sources (plasma guns) on its 3 m diameter, spherical vacuum vessel. Each gun is a cylindrical, molybdenum, washer-stabilized, arc plasma source. During discharge, the guns are maintained at 1.2 kA across 100 V for 10 ms by the gun power supply establishing a high density plasma. Each plasma source is fired independently allowing for adjustable plasma parameters, with densities varying between 1018 -1019 m-3 and electron temperatures of 5-15 eV. Measurements were characterized using a 16 tip Langmuir probe. The plasma source will be used as a background plasma for the magnetized coaxial plasma gun (MCPG), the Terrestrial Reconnection Experiment (TREX), and as the plasma source for a magnetic mirror experiment. Temperature, density, and confinement results will be presented. This work is supported by the DoE and the NSF.

  16. Surface cleaning of metal wire by atmospheric pressure plasma

    International Nuclear Information System (INIS)

    Nakamura, T.; Buttapeng, C.; Furuya, S.; Harada, N.

    2009-01-01

    In this study, the possible application of atmospheric pressure dielectric barrier discharge plasma for the annealing of metallic wire is examined and presented. The main purpose of the current study is to examine the surface cleaning effect for a cylindrical object by atmospheric pressure plasma. The experimental setup consists of a gas tank, plasma reactor, and power supply with control panel. The gas assists in the generation of plasma. Copper wire was used as an experimental cylindrical object. This copper wire was irradiated with the plasma, and the cleaning effect was confirmed. The result showed that it is possible to remove the tarnish which exists on the copper wire surface. The experiment reveals that atmospheric pressure plasma is usable for the surface cleaning of metal wire. However, it is necessary to examine the method for preventing oxidization of the copper wire.

  17. Source formulation for electron-impact ionization for fluid plasma simulations

    DEFF Research Database (Denmark)

    Müller, S.H.; Holland, C.; Tynan, G.R.

    2009-01-01

    The derivation of the correct functional form of source terms in plasma fluid theory is revisited. The relation between the fluid source terms and atomic physics differential cross sections is established for particle-impact ionization. It is shown that the interface between atomic and plasma phy...... electron temperature regimes in a wide variety of basic plasma physics experiments, including the trends across different gases.......The derivation of the correct functional form of source terms in plasma fluid theory is revisited. The relation between the fluid source terms and atomic physics differential cross sections is established for particle-impact ionization. It is shown that the interface between atomic and plasma...... physics is completely described by three scalar functions of the incident particle energy. These are the total cross section and the newly introduced forward momentum and energy functions, which are properties of the differential cross sections only. For electron-impact ionization, the binary...

  18. Materials science issues of plasma source ion implantation

    International Nuclear Information System (INIS)

    Nastasi, M.; Faehl, R.J.; Elmoursi, A.A.

    1996-01-01

    Ion beam processing, including ion implantation and ion beam assisted deposition (IBAD), are established surface modification techniques which have been used successfully to synthesize materials for a wide variety of tribological applications. In spite of the flexibility and promise of the technique, ion beam processing has been considered too expensive for mass production applications. However, an emerging technology, Plasma Source Ion Implantation (PSII), has the potential of overcoming these limitations to become an economically viable tool for mass industrial applications. In PSII, targets are placed directly in a plasma and then pulsed-biased to produce a non-line-of-sight process for intricate target geometries without complicated fixturing. If the bias is a relatively high negative potential (20--100 kV) ion implantation will result. At lower voltages (50--1,200 V), deposition occurs. Potential applications for PSII are in low-value-added products such as tools used in manufacturing, orthopedic devices, and the production of wear coatings for hard disk media. This paper will focus on the technology and materials science associated with PSII

  19. Surface damage of W exposed to combined stationary D plasma and ELMs-like pulsed plasma

    Energy Technology Data Exchange (ETDEWEB)

    Jia, Y.Z., E-mail: jaja880816@aliyun.com [Science and Technology on Reactor Fuel and Materials Laboratory, Nuclear Power Institute of China, Chengdu, Sichuan 610213 (China); Laboratory of Advanced Materials, School of Materials Science and Engineering, Tsinghua University, Beijing 100084 (China); Liu, W., E-mail: liuw@mail.tsinghua.edu.cn [Laboratory of Advanced Materials, School of Materials Science and Engineering, Tsinghua University, Beijing 100084 (China); Xu, B.; Qu, S.L. [Laboratory of Advanced Materials, School of Materials Science and Engineering, Tsinghua University, Beijing 100084 (China); Morgan, T.W. [FOM Institute DIFFER-Dutch Institute for Fundamental Energy Research, 5612AJ Eindhoven (Netherlands)

    2017-04-15

    The surface damage of W under D plasma and ELMs-like transient heat loads was studied by combined stationary and pulsed D plasma. Low-flux transient heat loads will promote blister formation due to the gas expansion inside the blisters. On the contrary, high-flux transient heat loads will mitigate blistering due to the high surface temperature. Therefore, blistering on W surface first increased and then decreased with the increasing transient heat loads. The promotion effect of pulsed plasma on blistering is more obvious on [001] and [110] surfaces than on [111] surface, and the orientation dependence of blisters was mitigated by the transient heat loads. Surface modification induced by transient heat loads only formed on [001] and [110] surfaces, but did not form on [111] surface. The orientation dependence of surface modification was mainly due to the slipping system of dislocations.

  20. Study of plasma-material surface interaction using langmuir probe technique during plasma treatment

    International Nuclear Information System (INIS)

    Saloum, S.; Akel, M.

    2012-01-01

    In this study, we tried to understand the plasma-surface interactions by using Langmuir probes. Two different types of plasmas were studied, the first is the electropositive plasma in Argon and the second is the electronegative plasma in Sulfur Hexafluoride. In the first type, the effects of Argon gas pressure, the injection of Helium in the remote zone and the substrate bias on the measurements of the Electron Energy Probability Function (EEPF) and on the plasma parameters (electron density (n e ), effective electron temperature (T e ff), plasma potential (V p ) and floating potential (V f )) have been investigated. The obtained EEPFs and plasma parameters have been used to control two remote plasma processes. The first is the remote Plasma Enhanced Chemical Vapor Deposition (PE-CVD) of thin films, on silicon wafers, from Hexamethyldisiloxane (HMDSO) precursor diluted in the remote Ar-He plasma. The second is the pure Argon remote plasma treatment of polymethylmethacrylate (PMMA) polymer surface. In the second type, the plasma diagnostics were performed in the remote zone as a function of SF 6 flow rate, where relative concentrations of fluorine atoms were measured using actinometry optical emission spectroscopy; electron density, electron temperature and plasma potential were determined using single cylindrical Langmuir probe, positive ion flux and negative ion fraction were determined using an planar probe. The silicon etching process in SF 6 plasma was studied. (author)

  1. Study of plasma-material surface interaction using Langmuir probe technique during plasma treatment

    International Nuclear Information System (INIS)

    Saloum, S.; Akel, M.

    2009-06-01

    In this study, we tried to understand the plasma-surface interactions by using Langmuir probes. Two different types of plasmas were studied, the first is the electropositive plasma in Argon and the second is the electronegative plasma in Sulfur Hexafluoride. In the first type, the effects of Argon gas pressure, the injection of Helium in the remote zone and the substrate bias on the measurements of the Electron Energy Probability Function (EEPF) and on the plasma parameters (electron density (n e ), effective electron temperature (T e ff), plasma potential (V p ) and floating potential (V f )) have been investigated. The obtained EEPFs and plasma parameters have been used to control two remote plasma processes. The first is the remote Plasma Enhanced Chemical Vapor Deposition (PE-CVD) of thin films, on silicon wafers, from Hexamethyldisoloxane (HMDSO) precursor diluted in the remote Ar-He plasma. The second is the pure Argon remote plasma treatment of polymethylmethacrylate (PMMA) polymer surface. In the second type, the plasma diagnostics were performed in the remote zone as a function of SF 6 flow rate, where relative concentrations of fluorine atoms were measured using actinometry optical emission spectroscopy; electron density, electron temperature and plasma potential were determined using single cylindrical Langmuir probe, positive ion flux and negative ion fraction were determined using an planar probe. The silicon etching process in SF 6 plasma was studied. (author)

  2. Investigation of radiofrequency plasma sources for space travel

    International Nuclear Information System (INIS)

    Charles, C; Boswell, R W; Takahashi, K

    2012-01-01

    Optimization of radiofrequency (RF) plasma sources for the development of space thrusters differs from other applications such as plasma processing of materials since power efficiency, propellant usage, particle acceleration or heating become driving parameters. The development of two RF (13.56 MHz) plasma sources, the high-pressure (∼1 Torr) capacitively coupled ‘pocket rocket’ plasma micro-thruster and the low-pressure (∼1 mTorr) inductively coupled helicon double layer thruster (HDLT), is discussed within the context of mature and emerging electric propulsion devices. The density gradient in low-pressure expanding RF plasmas creates an electric field that accelerates positive ions out of the plasma. Generally, the total potential drop is similar to that of a wall sheath allowing the plasma electrons to neutralize the ion beam. A high-pressure expansion with no applied magnetic field can result in large dissociation rates and/or a collimated beam of ions of small area and a flowing heated neutral beam (‘pocket rocket’). A low-pressure expansion dominated by a magnetic field can result in the formation of electric double layers which produce a very directed neutralized beam of ions of large area (HDLT). (paper)

  3. Investigation of radiofrequency plasma sources for space travel

    Science.gov (United States)

    Charles, C.; Boswell, R. W.; Takahashi, K.

    2012-12-01

    Optimization of radiofrequency (RF) plasma sources for the development of space thrusters differs from other applications such as plasma processing of materials since power efficiency, propellant usage, particle acceleration or heating become driving parameters. The development of two RF (13.56 MHz) plasma sources, the high-pressure (˜1 Torr) capacitively coupled ‘pocket rocket’ plasma micro-thruster and the low-pressure (˜1 mTorr) inductively coupled helicon double layer thruster (HDLT), is discussed within the context of mature and emerging electric propulsion devices. The density gradient in low-pressure expanding RF plasmas creates an electric field that accelerates positive ions out of the plasma. Generally, the total potential drop is similar to that of a wall sheath allowing the plasma electrons to neutralize the ion beam. A high-pressure expansion with no applied magnetic field can result in large dissociation rates and/or a collimated beam of ions of small area and a flowing heated neutral beam (‘pocket rocket’). A low-pressure expansion dominated by a magnetic field can result in the formation of electric double layers which produce a very directed neutralized beam of ions of large area (HDLT).

  4. Water surface coverage effects on reactivity of plasma oxidized Ti films

    International Nuclear Information System (INIS)

    Pranevicius, L.; Pranevicius, L.L.; Vilkinis, P.; Baltaragis, S.; Gedvilas, K.

    2014-01-01

    Highlights: • The reactivity of Ti films immersed in water vapor plasma depends on the surface water coverage. • The adsorbed water monolayers are disintegrated into atomic constituents on the hydrophilic TiO 2 under plasma radiation. • The TiO 2 surface covered by water multilayer loses its ability to split adsorbed water molecules under plasma radiation. - Abstract: The behavior of the adsorbed water on the surface of thin sputter deposited Ti films maintained at room temperature was investigated in dependence on the thickness of the resulting adsorbed water layer, controllably injecting water vapor into plasma. The surface morphology and microstructure were used to characterize the surfaces of plasma treated titanium films. Presented experimental results showed that titanium films immersed in water vapor plasma at pressure of 10–100 Pa promoted the photocatalytic activity of overall water splitting. The surfaces of plasma oxidized titanium covered by an adsorbed hydroxyl-rich island structure water layer and activated by plasma radiation became highly chemically reactive. As water vapor pressure increased up to 300–500 Pa, the formed water multilayer diminished the water oxidation and, consequently, water splitting efficiency decreased. Analysis of the experimental results gave important insights into the role an adsorbed water layer on surface of titanium exposed to water vapor plasma on its chemical activity and plasma activated electrochemical processes, and elucidated the surface reactions that could lead to the split of water molecules

  5. The ionization length in plasmas with finite temperature ion sources

    Science.gov (United States)

    Jelić, N.; Kos, L.; Tskhakaya, D. D.; Duhovnik, J.

    2009-12-01

    The ionization length is an important quantity which up to now has been precisely determined only in plasmas which assume that the ions are born at rest, i.e., in discharges known as "cold ion-source" plasmas. Presented here are the results of our calculations of the ionization lengths in plasmas with an arbitrary ion source temperature. Harrison and Thompson (H&T) [Proc. Phys. Soc. 74, 145 (1959)] found the values of this quantity for the cases of several ion strength potential profiles in the well-known Tonks-Langmuir [Phys. Rev. 34, 876 (1929)] discharge, which is characterized by "cold" ion temperature. This scenario is also known as the "singular" ion-source discharge. The H&T analytic result covers cases of ion sources proportional to exp(βΦ) with Φ the normalized plasma potential and β =0,1,2 values, which correspond to particular physical scenarios. Many years following H&T's work, Bissell and Johnson (B&J) [Phys. Fluids 30, 779 (1987)] developed a model with the so-called "warm" ion-source temperature, i.e., "regular" ion source, under B&J's particular assumption that the ionization strength is proportional to the local electron density. However, it appears that B&J were not interested in determining the ionization length at all. The importance of this quantity to theoretical modeling was recognized by Riemann, who recently answered all the questions of the most advanced up-to-date plasma-sheath boundary theory with cold ions [K.-U. Riemann, Phys. Plasmas 13, 063508 (2006)] but still without the stiff warm ion-source case solution, which is highly resistant to solution via any available analytic method. The present article is an extension of H&T's results obtained for a single point only with ion source temperature Tn=0 to arbitrary finite ion source temperatures. The approach applied in this work is based on the method recently developed by Kos et al. [Phys. Plasmas 16, 093503 (2009)].

  6. Surface multipole guide field for plasma injection

    International Nuclear Information System (INIS)

    Breun, R.A.; Rael, B.H.; Wong, A.Y.

    1977-01-01

    Described here is a surface guide field system which is useful for injection of plasmas into confinement devices. Experimental results are given for 5--25-eV hydrogen plasmas produced by a coaxial discharge (Marshall) gun. It is found that better than 90% of the plasma produced by the gun is delivered to the end of the guide 180 cm away, while the neutral component falls by more than an order of magnitude. For these results the rod current providing the magnetic field had to be large enough to provide at least 1.5-ion gyroradii from the center of the guide to the surface of the inner rod

  7. Tritium saturation in plasma-facing materials surfaces

    International Nuclear Information System (INIS)

    Longhurst, G.R.; Anderl, R.A.; Pawelko, R.J.; Causey, R.A.; Federici, G.; Haasz, A.A.

    1998-01-01

    Plasma-facing components in the international thermonuclear experimental reactor (ITER) will experience high heat loads and intense plasma fluxes of order 10 20 -10 23 particles/m 2 s. Experiments on Be and W, two of the materials considered for use in ITER, have revealed that a tritium saturation phenomenon can take place under these conditions in which damage to the surface results that enhances the return of implanted tritium to the plasma and inhibits uptake of tritium. This phenomenon is important because it implies that tritium inventories due to implantation in these plasma-facing materials will probably be lower than was previously estimated using classical recombination-limited release at the plasma surface. Similarly, permeation through these components to the coolant streams should be reduced. In this paper we discuss evidences for the existence of this phenomenon, describe techniques for modeling it, and present results of the application of such modeling to prior experiments. (orig.)

  8. Tritium saturation in plasma-facing materials surfaces

    Energy Technology Data Exchange (ETDEWEB)

    Longhurst, G.R.; Anderl, R.A.; Pawelko, R.J. [Idaho Nat. Eng. and Environ. Lab., Idaho Falls, ID (United States); Causey, R.A. [Sandia National Labs., Livermore, CA (United States); Federici, G. [ITER Garching Joint Work Site, Garching (Germany); Haasz, A.A. [Toronto Univ., ON (Canada). Inst. for Aerospace Studies

    1998-10-01

    Plasma-facing components in the international thermonuclear experimental reactor (ITER) will experience high heat loads and intense plasma fluxes of order 10{sup 20}-10{sup 23} particles/m{sup 2}s. Experiments on Be and W, two of the materials considered for use in ITER, have revealed that a tritium saturation phenomenon can take place under these conditions in which damage to the surface results that enhances the return of implanted tritium to the plasma and inhibits uptake of tritium. This phenomenon is important because it implies that tritium inventories due to implantation in these plasma-facing materials will probably be lower than was previously estimated using classical recombination-limited release at the plasma surface. Similarly, permeation through these components to the coolant streams should be reduced. In this paper we discuss evidences for the existence of this phenomenon, describe techniques for modeling it, and present results of the application of such modeling to prior experiments. (orig.) 39 refs.

  9. Innovative ion sources for accelerators: the benefits of the plasma technology

    Czech Academy of Sciences Publication Activity Database

    Gammino, S.; Ciavola, G.; Celona, L.; Torrisi, L.; Ando, L.; Presti, M.; Láska, Leoš; Krása, Josef; Wolowski, J.

    2004-01-01

    Roč. 54, Suppl. C (2004), s. C883-C888 ISSN 0011-4626. [Symposium on Plasma Physics and Technology /21./. Praha, 14.06.2004-17.06.2004] R&D Projects: GA AV ČR IAA1010405 Institutional research plan: CEZ:AV0Z1010921 Keywords : plasma sources * ion sources * proton sources * ECR Subject RIV: BL - Plasma and Gas Discharge Physics Impact factor: 0.292, year: 2004

  10. On the possibility of the multiple inductively coupled plasma and helicon plasma sources for large-area processes

    Energy Technology Data Exchange (ETDEWEB)

    Lee, Jin-Won; Lee, Yun-Seong, E-mail: leeeeys@kaist.ac.kr; Chang, Hong-Young [Low-temperature Plasma Laboratory, Department of Physics, Korea Advanced Institute of Science and Technology, Daejeon 305-701 (Korea, Republic of); An, Sang-Hyuk [Agency of Defense Development, Yuseong-gu, Daejeon 305-151 (Korea, Republic of)

    2014-08-15

    In this study, we attempted to determine the possibility of multiple inductively coupled plasma (ICP) and helicon plasma sources for large-area processes. Experiments were performed with the one and two coils to measure plasma and electrical parameters, and a circuit simulation was performed to measure the current at each coil in the 2-coil experiment. Based on the result, we could determine the possibility of multiple ICP sources due to a direct change of impedance due to current and saturation of impedance due to the skin-depth effect. However, a helicon plasma source is difficult to adapt to the multiple sources due to the consistent change of real impedance due to mode transition and the low uniformity of the B-field confinement. As a result, it is expected that ICP can be adapted to multiple sources for large-area processes.

  11. Perspective: The physics, diagnostics, and applications of atmospheric pressure low temperature plasma sources used in plasma medicine

    Science.gov (United States)

    Laroussi, M.; Lu, X.; Keidar, M.

    2017-07-01

    Low temperature plasmas have been used in various plasma processing applications for several decades. But it is only in the last thirty years or so that sources generating such plasmas at atmospheric pressure in reliable and stable ways have become more prevalent. First, in the late 1980s, the dielectric barrier discharge was used to generate relatively large volume diffuse plasmas at atmospheric pressure. Then, in the early 2000s, plasma jets that can launch cold plasma plumes in ambient air were developed. Extensive experimental and modeling work was carried out on both methods and much of the physics governing such sources was elucidated. Starting in the mid-1990s, low temperature plasma discharges have been used as sources of chemically reactive species that can be transported to interact with biological media, cells, and tissues and induce impactful biological effects. However, many of the biochemical pathways whereby plasma affects cells remain not well understood. This situation is changing rather quickly because the field, known today as "plasma medicine," has experienced exponential growth in the last few years thanks to a global research community that engaged in fundamental and applied research involving the use of cold plasma for the inactivation of bacteria, dental applications, wound healing, and the destruction of cancer cells/tumors. In this perspective, the authors first review the physics as well as the diagnostics of the principal plasma sources used in plasma medicine. Then, brief descriptions of their biomedical applications are presented. To conclude, the authors' personal assessment of the present status and future outlook of the field is given.

  12. Advanced plasma flow simulations of cathodic-arc and ferroelectric plasma sources for neutralized drift compression experiments

    Directory of Open Access Journals (Sweden)

    Adam B. Sefkow

    2008-07-01

    Full Text Available Large-space-scale and long-time-scale plasma flow simulations are executed in order to study the spatial and temporal evolution of plasma parameters for two types of plasma sources used in the neutralized drift compression experiment (NDCX. The results help assess the charge neutralization conditions for ion beam compression experiments and can be employed in more sophisticated simulations, which previously neglected the dynamical evolution of the plasma. Three-dimensional simulations of a filtered cathodic-arc plasma source show the coupling efficiency of the plasma flow from the source to the drift region depends on geometrical factors. The nonuniform magnetic topology complicates the well-known general analytical considerations for evaluating guiding-center drifts, and particle-in-cell simulations provide a self-consistent evaluation of the physics in an otherwise challenging scenario. Plasma flow profiles of a ferroelectric plasma source demonstrate that the densities required for longitudinal compression experiments involving ion beams are provided over the drift length, and are in good agreement with measurements. Simulations involving azimuthally asymmetric plasma creation conditions show that symmetric profiles are nevertheless achieved at the time of peak on-axis plasma density. Also, the ferroelectric plasma expands upstream on the thermal expansion time scale, and therefore avoids the possibility of penetration into the acceleration gap and transport sections, where partial neutralization would increase the beam emittance. Future experiments on NDCX will investigate the transverse focusing of an axially compressing intense charge bunch to a sub-mm spot size with coincident focal planes using a strong final-focus solenoid. In order to fill a multi-tesla solenoid with the necessary high-density plasma for beam charge neutralization, the simulations predict that supersonically injected plasma from the low-field region will penetrate and

  13. Combustion flame-plasma hybrid reactor systems, and chemical reactant sources

    Science.gov (United States)

    Kong, Peter C

    2013-11-26

    Combustion flame-plasma hybrid reactor systems, chemical reactant sources, and related methods are disclosed. In one embodiment, a combustion flame-plasma hybrid reactor system comprising a reaction chamber, a combustion torch positioned to direct a flame into the reaction chamber, and one or more reactant feed assemblies configured to electrically energize at least one electrically conductive solid reactant structure to form a plasma and feed each electrically conductive solid reactant structure into the plasma to form at least one product is disclosed. In an additional embodiment, a chemical reactant source for a combustion flame-plasma hybrid reactor comprising an elongated electrically conductive reactant structure consisting essentially of at least one chemical reactant is disclosed. In further embodiments, methods of forming a chemical reactant source and methods of chemically converting at least one reactant into at least one product are disclosed.

  14. Transience of plasma surface modification as an adhesion promoter for polychlorotrifluorethylene

    International Nuclear Information System (INIS)

    Subrahmanyan, S.; Dillard, J.G.; Love, B.J.; Romand, M.; Charbonnier, M.

    2002-01-01

    Poly(chlorotrifluoroethylene) (PCTFE) and other fluoropolymers are increasingly used as inner layer dielectrics. However, these polymers have low surface energies and correspondingly poor adhesive properties. Results are presented on the use of a low-pressure ammonia plasma to enhance the surface bondability of PCTFE. The plasma modified PCTFE film surfaces were characterized by x-ray photoelectron spectroscopy and contact angle measurements. Surface modified films exhibited improved adhesion to electroless copper deposits (180 deg. peel test) compared to coated PCTFE controls and that underwent no plasma exposure. Annealing studies were conducted between 30 and 100 deg. C to examine the stability of the plasma-modified surfaces. For samples annealed below T g , contact angle measurements indicated that the plasma-introduced groups remained bound on the surface for four weeks. For specimens annealed above T g , the surface functionalities were absorbed within the bulk and surface rearrangement occurred within 10 h of annealing time. As a result of rearrangement, the benefit of adhesion enhancement by plasma is lost and the adhesion to copper is reduced

  15. Progress in the Development of a High Power Helicon Plasma Source for the Materials Plasma Exposure Experiment

    Energy Technology Data Exchange (ETDEWEB)

    Goulding, Richard Howell [ORNL; Caughman, John B. [ORNL; Rapp, Juergen [ORNL; Biewer, Theodore M. [ORNL; Bigelow, Tim S. [ORNL; Campbell, Ian H. [ORNL; Caneses Marin, Juan F. [ORNL; Donovan, David C. [ORNL; Kafle, Nischal [ORNL; Martin, Elijah H. [ORNL; Ray, Holly B. [ORNL; Shaw, Guinevere C. [ORNL; Showers, Melissa A. [ORNL

    2017-09-01

    Proto-MPEX is a linear plasma device being used to study a novel RF source concept for the planned Material Plasma Exposure eXperiment (MPEX), which will address plasma-materials interaction (PMI) for nuclear fusion reactors. Plasmas are produced using a large diameter helicon source operating at a frequency of 13.56 MHz at power levels up to 120 kW. In recent experiments the helicon source has produced deuterium plasmas with densities up to ~6 × 1019 m–3 measured at a location 2 m downstream from the antenna and 0.4 m from the target. Previous plasma production experiments on Proto-MPEX have generated lower density plasmas with hollow electron temperature profiles and target power deposition peaked far off axis. The latest experiments have produced flat Te profiles with a large portion of the power deposited on the target near the axis. This and other evidence points to the excitation of a helicon mode in this case.

  16. Plasma control for efficient extreme ultra-violet source

    International Nuclear Information System (INIS)

    Takahashi, Kensaku; Nakajima, Mitsuo; Kawamura, Tohru; Shiho, Makoto; Hotta, Eiki; Horioka, Kazuhiko

    2008-01-01

    To generate a high efficiency extreme-ultraviolet (EUV) source, effects of plasma shape for controlling radiative plasmas based on xenon capillary discharge are experimentally investigated. The radiation characteristics observed via tapered capillary discharge are compared with those of straight one. From the comparison, the long emission period and different plasma behaviors of tapered capillary discharge are confirmed. This means that control of the plasma geometry is effective for prolonging the EUV emission period. This result also indicates that the plasma shape control seems to have a potential for enhancing the conversion efficiency. (author)

  17. Improvement of silicon direct bonding using surfaces activated by hydrogen plasma treatment

    CERN Document Server

    Choi, W B; Lee Jae Sik; Sung, M Y

    2000-01-01

    The plasma surface treatment, using hydrogen gas, of silicon wafers was studied as a pretreatment for silicon direct bonding. Chemical reactions of the hydrogen plasma with the surfaces were used for both surface activation and removal of surface contaminants. Exposure of the silicon wafers to the plasma formed an active oxide layer on the surface. This layer was hydrophilic. The surface roughness and morphology were examined as functions of the plasma exposure time and power. The surface became smoother with shorter plasma exposure time and lower power. In addition, the plasma surface treatment was very efficient in removing the carbon contaminants on the silicon surface. The value of the initial surface energy, as estimated by using the crack propagation method, was 506 mJ/M sup 2 , which was up to about three times higher than the value for the conventional direct bonding method using wet chemical treatments.

  18. Surface modification by preparation of buffer zone in glow-discharge plasma

    International Nuclear Information System (INIS)

    Cho, D.L.

    1986-01-01

    Reactive species, energetic particles, and uv radiation in the plasma created by a glow discharge strongly interact with solid surfaces under the influence of the plasma. As a result of the strong interaction, various physical and chemical reactions, unique and advantageous for the surface modification of solid materials, occur on the solid surfaces. The surface modification is carried out through formation of a thin buffering layer on the solid surface. The preparation of a buffer zone on solid surfaces for surface modification is described. Two kinds of a buffer zone are prepared by plasma polymerization, or simultaneous sputter deposition of electrode material with plasma polymerization: a transitional buffer zone and a graded buffer zone. Important factors for preparation of the buffer zone (pre-conditioning of a substrate surface, thin-film deposition, post-treatment of the film, magnetron discharge, energy input, geometry of a substrate and a plasma) are discussed

  19. Transience of plasma surface modification as an adhesion promoter for polychlorotrifluorethylene

    CERN Document Server

    Subramanian, S; Love, B J; Romand, M; Charbonnier, M

    2002-01-01

    Poly(chlorotrifluoroethylene) (PCTFE) and other fluoropolymers are increasingly used as inner layer dielectrics. However, these polymers have low surface energies and correspondingly poor adhesive properties. Results are presented on the use of a low-pressure ammonia plasma to enhance the surface bondability of PCTFE. The plasma modified PCTFE film surfaces were characterized by x-ray photoelectron spectroscopy and contact angle measurements. Surface modified films exhibited improved adhesion to electroless copper deposits (180 deg. peel test) compared to coated PCTFE controls and that underwent no plasma exposure. Annealing studies were conducted between 30 and 100 deg. C to examine the stability of the plasma-modified surfaces. For samples annealed below T sub g , contact angle measurements indicated that the plasma-introduced groups remained bound on the surface for four weeks. For specimens annealed above T sub g , the surface functionalities were absorbed within the bulk and surface rearrangement occurre...

  20. Plasma technology of the surface polymer activation

    International Nuclear Information System (INIS)

    Dutra, Jorge C.N.; Mello, Sandra C.; Massi, Marcos; Otani, Choyu; Maciel, Homero S.; Bittencourt, Edison

    2005-01-01

    A number of polymers, especially rubbers, require surface treatment to achieve a satisfactory level of adhesion. The surface of EPDM rubber vulcanized is high hydrophobicity and is not suited for a number of potential applications, in particular, for adhering to the polyurethane liner of solid rocket propellants. In this case, plasma treatment can be a very attractive process because it can efficiently increase the surface energy attributed to surface oxidation with the introduction of polar groups 1, 2. In order to investigate the influence of the parameters on the modifications of the treated surface samples of EPDM rubber by plasma generated by gas oxygen and argon, the water and methylene iodide contact angles were measured at room temperature with an image analyzing using the sessile drop technique 3 - 6 . (author)

  1. Plasma based Ar+ beam assisted poly(dimethylsiloxane) surface modification

    International Nuclear Information System (INIS)

    Vladkova, T.G.; Keranov, I.L.; Dineff, P.D.; Youroukov, S.Y.; Avramova, I.A.; Krasteva, N.; Altankov, G.P.

    2005-01-01

    Plasma based Ar + beam performed in RF (13.56 MHz) low-pressure (200 mTorr) glow discharge (at 100 W, 1200 W and 2500 W) with a serial capacitance was employed for surface modification of poly(dimethylsiloxane) (PDMS) aimed at improvement of its interactions with living cells. The presence of a serial capacitance ensures arise of an ion-flow inside the plasma volume directed toward the treated sample and the vary of the discharge power ensures varied density of the ion-flow. XPS analysis was performed to study the changes in the surface chemical composition of the modified samples and the corresponding changes in the surface energy were monitored by contact angle measurements. We found that plasma based Ar + beam transforms the initially hydrophobic PDMS surface into a hydrophilic one mainly due to a raising of the polar component of the surface tension, this effect being most probably due to an enrichment of the modified surface layer with permanent dipoles of a [SiO x ]-based network and elimination of the original methyl groups. The initial adhesion of human fibroblast cells was studied on the described above plasma based Ar + beam modified and acrylic acid (AA) grafted or not fibronectin (FN) pre-coated or bare surfaces. The cell response seems to be related with the peculiar structure and wettability of the modified PDMS surface layer after plasma based Ar + beam treatment followed or not by AA grafting

  2. Theory for beam-plasma millimeter-wave radiation source experiments

    International Nuclear Information System (INIS)

    Rosenberg, M.; Krall, N.A.

    1989-01-01

    This paper reports on theoretical studies for millimeter-wave plasma source experiments. In the device, millimeter-wave radiation is generated in a plasma-filled waveguide driven by counter-streaming electron beams. The beams excite electron plasma waves which couple to produce radiation at twice the plasma frequency. Physics topics relevant to the high electron beam current regime are discussed

  3. Ion source techniques for high-speed processing of material surface by ion beams

    International Nuclear Information System (INIS)

    Ishikawa, Junzo

    1990-01-01

    The present paper discusses some key or candidate techniques for future ion source development and such ion sources developed by the author. Several types of microwave ion sources for producing low charge state ions have been developed in Japan. When a microwave plasma cathode developed by the author is adapted to a Kaufman type ion source, the electron emission currents are found to be 2.5 A for argon gas and 0.5-0.9 A for oxygen gas. An alternative ionization method for metal atoms is strongly required for high-speed processing of material surface by metal-ion beams. Detailed discussion is made of collisional ionization of vaporized atoms, and negative-ion production (secondary negative-ion emission by sputtering). An impregnated electrode type liquid-metal ion source developed by the author, which has a porous tip structure, is described. The negative-ion production efficiency is quite high. The report also presents a neutral and ionized alkaline-metal bombardment type heavy negative-ion source, which consists of a cesium plasma ion source, suppressor, target electrode, negative-ion extraction electrode, and einzel lens. (N.K.)

  4. Plasma surface interaction studies in Japan

    International Nuclear Information System (INIS)

    Hino, T.; Hirohata, Y.; Yamashina, T.

    1994-01-01

    In order to achieve a long burning time period in a fusion reactor, the interactions between the plasma facing materials and the fusion plasma have to be well controlled. Namely, the radiation loss due to impurities and deterioration of the energy confinement time due to fuel particle recyclings have to be suppressed, in addition to the requirement of heat removal based on a high heat flux component. Recently, in Japan, the plasma facing material/component has been very actively developed for ITER and Large Helical Device (LHD). In this review paper, we briefly introduce the following issues, (1) progress of plasma surface interactions in tokamaks and helical devices, (2) development of plasma facing materials, (3) divertor development, (4) boronization, (5) selective pumping of helium ash, (6) tritium retention, and (7) neutron damage of graphite plasma facing material. (author)

  5. DBD plasma source operated in single-filamentary mode for therapeutic use in dermatology

    Energy Technology Data Exchange (ETDEWEB)

    Rajasekaran, Priyadarshini; Mertmann, Philipp; Bibinov, Nikita; Awakowicz, Peter [Institute for Electrical Engineering and Plasma Technology, Ruhr-Universitaet Bochum, Universitaetsstr. 150, 44801 Bochum (Germany); Wandke, Dirk [CINOGY GmbH, Max-Naeder-Str. 15, 37114 Duderstadt (Germany); Vioel, Wolfgang, E-mail: rajasekaran@aept.rub.d, E-mail: mertmann@aept.rub.d, E-mail: Nikita.Bibinov@rub.d, E-mail: dirk.wandke@cinogy.co, E-mail: vioel@hawk-hhg.d, E-mail: awakowicz@aept.rub.d [University of Applied Sciences and Arts, Faculty of Natural Sciences and Technology, Von-Ossietzky-Str. 99, 37085 Goettingen (Germany)

    2009-11-21

    Our dielectric barrier discharge (DBD) plasma source for bio-medical application comprises a copper electrode covered with ceramic. Objects of high capacitance such as the human body can be used as the opposite electrode. In this study, the DBD source is operated in single-filamentary mode using an aluminium spike as the opposite electrode, to imitate the conditions when the discharge is ignited on a raised point, such as hair, during therapeutic use on the human body. The single-filamentary discharge thus obtained is characterized using optical emission spectroscopy, numerical simulation, voltage-current measurements and microphotography. For characterization of the discharge, averaged plasma parameters such as electron distribution function and electron density are determined. Fluxes of nitric oxide (NO), ozone (O{sub 3}) and photons reaching the treated surface are simulated. The calculated fluxes are finally compared with corresponding fluxes used in different bio-medical applications.

  6. Characteristics of an elongated plasma column produced by magnetically coupled hollow cathode plasma source

    Science.gov (United States)

    Bhuva, M. P.; Karkari, S. K.; Kumar, Sunil

    2018-03-01

    An elongated plasma column in the presence of an axial magnetic field has been formed using a cylindrical hollow cathode (HC) and a constricted anode (CA). The plasma characteristics of the central line have been found to vary with the magnetic field strength and the axial distance from the source. It is believed that the primary electrons constituting the discharge current are steered by the axial magnetic field to undertake ionizing collisions along the plasma column. The current carrying electrons from the HC reach the anode by cross-field diffusion towards the central line. The above observation has been substantiated using a phenomenological model which links the observed characteristics of the source with the plasma column. The experimental results are found to be in qualitative agreement with the model.

  7. Study of plasma-surface interaction at the GOL-3 facility

    Energy Technology Data Exchange (ETDEWEB)

    Shoshin, A.A., E-mail: shoshin@mail.ru [Budker Institute of Nuclear Physics SB RAS, Novosibirsk 630090 (Russian Federation); Novosibirsk State University, Novosibirsk 630090 (Russian Federation); Arakcheev, A.S., E-mail: asarakcheev@gmail.com [Budker Institute of Nuclear Physics SB RAS, Novosibirsk 630090 (Russian Federation); Novosibirsk State University, Novosibirsk 630090 (Russian Federation); Arzhannikov, A.V., E-mail: A.V.Arzhannikov@inp.nsk.su [Budker Institute of Nuclear Physics SB RAS, Novosibirsk 630090 (Russian Federation); Novosibirsk State University, Novosibirsk 630090 (Russian Federation); Burdakov, A.V., E-mail: a.v.burdakov@mail.ru [Budker Institute of Nuclear Physics SB RAS, Novosibirsk 630090 (Russian Federation); Novosibirsk State Technical University, Novosibirsk 630092 (Russian Federation); Ivanov, I.A., E-mail: I.A.Ivanov@inp.nsk.su [Budker Institute of Nuclear Physics SB RAS, Novosibirsk 630090 (Russian Federation); Novosibirsk State University, Novosibirsk 630090 (Russian Federation); Kasatov, A.A., E-mail: a.a.kasatov@gmail.com [Budker Institute of Nuclear Physics SB RAS, Novosibirsk 630090 (Russian Federation); Kuklin, K.N., E-mail: K.N.Kuklin@inp.nsk.su [Budker Institute of Nuclear Physics SB RAS, Novosibirsk 630090 (Russian Federation); Polosatkin, S.V., E-mail: S.V.Polosatkin@inp.nsk.su [Budker Institute of Nuclear Physics SB RAS, Novosibirsk 630090 (Russian Federation); Novosibirsk State University, Novosibirsk 630090 (Russian Federation); Postupaev, V.V., E-mail: V.V.Postupaev@inp.nsk.su [Budker Institute of Nuclear Physics SB RAS, Novosibirsk 630090 (Russian Federation); Novosibirsk State University, Novosibirsk 630090 (Russian Federation); Sinitsky, S.L., E-mail: S.L.Sinitsky@inp.nsk.su [Budker Institute of Nuclear Physics SB RAS, Novosibirsk 630090 (Russian Federation); Novosibirsk State University, Novosibirsk 630090 (Russian Federation); and others

    2017-01-15

    The review presents experimental studies of plasma-surface interaction and materials behavior under plasma loads done in the multiple-mirror trap of the GOL-3 facility. In the experiments for the PSI, the energy density in the extracted plasma stream varies from 0.5 to 30 MJ/m{sup 2}. Parameters of near-surface plasma measured by a set of diagnostics are reviewed. Surface patterns of targets exposed to the plasma are analyzed. The erosion depth depends on the energy loads—it rises from 0 to 600 μm at 0.5 and 30 MJ/m{sup 2}, correspondingly. Cracking and evolution of graphite and tungsten surface morphology are discussed. The enthalpy of brittle destruction of graphite (10 kJ/g), which determines the threshold of bulk damage of targets irradiated with a charged-particle flux with large penetration depth, was determined. Comparison of different facilities for PSI studies are presented. Heat flux play a key role to the target surface erosion.

  8. Inductive plasma source for the ion treatment of AISI-304 SS

    International Nuclear Information System (INIS)

    Piedad-Beneitez, A de la; Lopez-Callejas, R; Granda-Gutierrez, E E; Rodriguez-Mendez, B G; Perez-Martinez, J A; Flores-Fuentes, A A; Valencia-Alvarado, R; Barocio, S R; Mercado-Cabrera, A; Pena-Eguiluz, R; Munoz-Castro, A E

    2008-01-01

    The design and construction of a simple inductive plasma source is described as constituted by an evacuated Pyrex glass cylinder reactor with 190 mm inner diameter and 500 mm length. This discharge vessel is coaxially surrounded by a cylindrically wound antenna, 240 mm in diameter, made of 3.2 mm wide copper wire. The antenna is supplied by a 13.56 MHz RF generator whose resulting electric field is able to create the plasma. When nitrogen is admitted to the vessel, the plasma generation takes place within the 0.1-50 Pa work pressure and 300-600 W RF power. The plasma density has been established by double Langmuir probes between 3.2 x 10 15 and 2.4 x 10 18 m -3 . This inductive plasma set up is meant to modify the surface of AISI-304 stainless steel by means of ion deposition, thanks to the sample bias provided by an external - 400 V dc supply, in order to improve the steel hardness without compromising its corrosion resistance. Once accelerated by the negative bias, the plasma ions impinge on the sample nitriding it by diffusion. The treated samples were characterized by x-ray diffraction (XRD) indicating the formation of the expanded gamma phase, by scanning electron microscopy (SEM) providing the atomic percentages of nitrogen, and by microhardness (HV) measurement.

  9. The third generation multi-purpose plasma immersion ion implanter for surface modification of materials

    CERN Document Server

    Tang Bao Yin; Wang Xiao Feng; Gan Kong Yin; Wang Song Yan; Chu, P K; Huang Nian Ning; Sun Hong

    2002-01-01

    The third generation multi-purpose plasma immersion ion implantation (PIII) equipment has been successfully used for research and development of surface modification of biomedical materials, metals and their alloys in the Southwest Jiaotong University. The implanter equipped with intense current, pulsed cathodic arc metal plasma sources which have both strong coating function and gas and metal ion implantation function. Its pulse high voltage power supply can provide big output current. It can acquire very good implantation dose uniformity. The equipment can both perform ion implantation and combine ion implantation with sputtering deposition and coating to form many kinds of synthetic surface modification techniques. The main design principles, features of important components and achievement of research works in recent time have been described

  10. Surface modification of polylactic acid films by atmospheric pressure plasma treatment

    Science.gov (United States)

    Kudryavtseva, V. L.; Zhuravlev, M. V.; Tverdokhlebov, S. I.

    2017-09-01

    A new approach for the modification of polylactic acid (PLA) materials using atmospheric pressure plasma (APP) is described. PLA films plasma exposure time was 20, 60, 120 s. The surface morphology and wettability of the obtained PLA films were investigated by atomic force microscopy (AFM) and the sitting drop method. The atmospheric pressure plasma increased the roughness and surface energy of PLA film. The wettability of PLA has been improved with the application of an atmospheric plasma surface treatment. It was shown that it is possible to obtain PLA films with various surface relief and tunable wettability. Additionally, we demonstrated that the use of cold atmospheric pressure plasma for surface activation allows for the immobilization of bioactive compounds like hyaluronic acid (HA) on the surface of obtained films. It was shown that composite PLA-HA films have an increased long-term hydrophilicity of the films surface.

  11. Surface modification of polyethylene by plasma

    International Nuclear Information System (INIS)

    Colin O, E.

    2003-01-01

    The products made of polyethylene (PE) go from construction materials, electric insulating until packing material. The films for bags and pack occupy 83.6% of the distribution of the market of PE approximately. The enormous quantity of PE that is generated by its indiscriminate use brings as consequence a deterioration to the atmosphere, due to the long life that they present as waste. This work is a study on the modification of low density polyethylene films. In this type of thin materials, the changes in the surface meet with largely on the conformation of the rest of the material. To induce changes that modify the surface of PE, plasmas were used with reactive atmospheres of air, oxygen and nitrogen. The experimentation that was carries out went to introduce the PE to a cylindrical reactor where it was generated the plasma of air, oxygen and nitrogen to different times of exposure. After having carried out the exposure to the plasma, it was found that in the polyethylene it modifies their morphology, crystallinity, hydrophobicity, composition and electric conductivity. The analytical techniques that were used to characterize later to the polyethylene of being in contact with the plasma were: X-ray diffraction, Scanning Electron Microscopy, Infrared spectroscopy, Electric conductivity, Angle of contact and finally Thermal Gravimetric Analysis. The content of this work it is presented in five chapters: In the chapter 1 there are presented some general concepts of plasma and of the one polymer in study PE. In the chapter 2 it is made a general revision on modification of surfaces, as well as the properties that were modified in polymeric materials that were exposed to plasma in previous works. In the chapter 3 the experimental part and the conditions used are described in the modification of the PE. Also in this chapter a brief description it is made of the used characterization techniques. The results and discussion are presented in the chapter 4. These results

  12. Stochastic clustering of material surface under high-heat plasma load

    Science.gov (United States)

    Budaev, Viacheslav P.

    2017-11-01

    The results of a study of a surface formed by high-temperature plasma loads on various materials such as tungsten, carbon and stainless steel are presented. High-temperature plasma irradiation leads to an inhomogeneous stochastic clustering of the surface with self-similar granularity - fractality on the scale from nanoscale to macroscales. Cauliflower-like structure of tungsten and carbon materials are formed under high heat plasma load in fusion devices. The statistical characteristics of hierarchical granularity and scale invariance are estimated. They differ qualitatively from the roughness of the ordinary Brownian surface, which is possibly due to the universal mechanisms of stochastic clustering of material surface under the influence of high-temperature plasma.

  13. Apparatus for plasma surface treating and preparation of membrane layers

    NARCIS (Netherlands)

    1990-01-01

    An apparatus suitable for plasma surface treating (e.g., forming a membrane layer on a substrate surface) comprises a plasma generation section which is operable at least at substantially atmospheric pressure and is in communication via at least one plasma inlet (e.g., a nozzle) with an enclosed

  14. Surface chemistry and fundamental limitations on the plasma cleaning of metals

    Energy Technology Data Exchange (ETDEWEB)

    Dong, Bin, E-mail: bindong@my.unt.edu [Department of Chemistry, University of North Texas, 1155 Union Circle 305070, Denton, TX, 76203 (United States); Driver, M. Sky, E-mail: Marcus.Driver@unt.edu [Department of Chemistry, University of North Texas, 1155 Union Circle 305070, Denton, TX, 76203 (United States); Emesh, Ismail, E-mail: Ismail_Emesh@amat.com [Applied Materials Inc., 3050 Bowers Ave, Santa Clara, CA, 95054 (United States); Shaviv, Roey, E-mail: Roey_Shaviv@amat.com [Applied Materials Inc., 3050 Bowers Ave, Santa Clara, CA, 95054 (United States); Kelber, Jeffry A., E-mail: Jeffry.Kelber@unt.edu [Department of Chemistry, University of North Texas, 1155 Union Circle 305070, Denton, TX, 76203 (United States)

    2016-10-30

    Highlights: • O{sub 2}-free plasma treatment of air-exposed Co or Cu surfaces yields remnant C layers inert to further plasma cleaning. • The formation of the remnant C layer is graphitic (Cu) or carbidic (Co). • The formation of a remnant C layer is linked to plasma cleaning of a metal surface. - Abstract: In-situ X-ray photoelectron spectroscopy (XPS) studies reveal that plasma cleaning of air-exposed Co or Cu transition metal surfaces results in the formation of a remnant C film 1–3 monolayers thick, which is not reduced upon extensive further plasma exposure. This effect is observed for H{sub 2} or NH{sub 3} plasma cleaning of Co, and He or NH{sub 3} plasma cleaning of Cu, and is observed with both inductively coupled (ICP) and capacitively-coupled plasma (CCP). Changes in C 1 s XPS spectra indicate that this remnant film formation is accompanied by the formation of carbidic C on Co and of graphitic C on Cu. This is in contrast to published work showing no such remnant carbidic/carbon layer after similar treatments of Si oxynitride surfaces. The observation of the remnant carbidic C film on Co and graphitic film on Cu, but not on silicon oxynitride (SiO{sub x}N{sub y}), regardless of plasma chemistry or type, indicates that this effect is due to plasma induced secondary electron emission from the metal surface, resulting in transformation of sp{sup 3} adventitious C to either a metal carbide or graphite. These results suggest fundamental limitations to plasma-based surface cleaning procedures on metal surfaces.

  15. LLNL large-area inductively coupled plasma (ICP) source: Experiments

    International Nuclear Information System (INIS)

    Richardson, R.A.; Egan, P.O.; Benjamin, R.D.

    1995-05-01

    We describe initial experiments with a large (76-cm diameter) plasma source chamber to explore the problems associated with large-area inductively coupled plasma (ICP) sources to produce high density plasmas useful for processing 400-mm semiconductor wafers. Our experiments typically use a 640-nun diameter planar ICP coil driven at 13.56 MHz. Plasma and system data are taken in Ar and N 2 over the pressure range 3-50 mtorr. RF inductive power was run up to 2000W, but typically data were taken over the range 100-1000W. Diagnostics include optical emission spectroscopy, Langmuir probes, and B probes as well as electrical circuit measurements. The B and E-M measurements are compared with models based on commercial E-M codes. Initial indications are that uniform plasmas suitable for 400-mm processing are attainable

  16. Plasma assisted surface coating/modification processes: An emerging technology

    Science.gov (United States)

    Spalvins, T.

    1986-01-01

    A broad understanding of the numerous ion or plasma assisted surface coating/modification processes is sought. An awareness of the principles of these processes is needed before discussing in detail the ion nitriding technology. On the basis of surface modifications arising from ion or plasma energizing and interactions, it can be broadly classified as deposition of distinct overlay coatings (sputtering-dc, radio frequency, magnetron, reactive; ion plating-diode, triode) and surface property modification without forming a discrete coating (ion implantation, ion beam mixing, laser beam irradiation, ion nitriding, ion carburizing, plasma oxidation). These techniques offer a great flexibility and are capable in tailoring desirable chemical and structural surface properties independent of the bulk properties.

  17. Plasma assisted surface coating/modification processes - An emerging technology

    Science.gov (United States)

    Spalvins, T.

    1987-01-01

    A broad understanding of the numerous ion or plasma assisted surface coating/modification processes is sought. An awareness of the principles of these processes is needed before discussing in detail the ion nitriding technology. On the basis of surface modifications arising from ion or plasma energizing and interactions, it can be broadly classified as deposition of distinct overlay coatings (sputtering-dc, radio frequency, magnetron, reactive; ion plating-diode, triode) and surface property modification without forming a discrete coating (ion implantation, ion beam mixing, laser beam irradiation, ion nitriding, ion carburizing, plasma oxidation. These techniques offer a great flexibility and are capable in tailoring desirable chemical and structural surface properties independent of the bulk properties.

  18. Low-temperature plasma techniques in surface modification of biomaterials

    International Nuclear Information System (INIS)

    Feng Xiangfen; Xie Hankun; Zhang Jing

    2002-01-01

    Since synthetic polymers usually can not meet the biocompatibility and bio-functional demands of the human body, surface treatment is a prerequisite for them to be used as biomaterials. A very effective surface modification method, plasma treatment, is introduced. By immobilizing the bio-active molecules with low temperature plasma, polymer surfaces can be modified to fully satisfy the requirements of biomaterials

  19. Atmospheric pressure plasmas for surface modification of flexible and printed electronic devices: A review

    Energy Technology Data Exchange (ETDEWEB)

    Kim, Kyong Nam; Lee, Seung Min; Mishra, Anurag [Department of Materials Science and Engineering, Sungkyunkwan University, Suwon, Gyeonggi-do 440-746 (Korea, Republic of); Yeom, Geun Young, E-mail: gyyeom@skku.edu [Department of Materials Science and Engineering, Sungkyunkwan University, Suwon, Gyeonggi-do 440-746 (Korea, Republic of); SKKU Advanced Institute of Nano Technology (SAINT), Sungkyunkwan University, Suwon, Gyeonggi-do 440-746 (Korea, Republic of)

    2016-01-01

    Recently, non-equilibrium atmospheric pressure plasma, especially those operated at low gas temperatures, have become a topic of great interest for the processing of flexible and printed electronic devices due to several benefits such as the reduction of process and reactor costs, the employment of easy-to-handle apparatuses and the easier integration into continuous production lines. In this review, several types of typical atmospheric pressure plasma sources have been addressed, and the processes including surface treatment, texturing and sintering for application to flexible and printed electronic devices have been discussed.

  20. Surface characterization of polyethylene terephthalate films treated by ammonia low-temperature plasma

    International Nuclear Information System (INIS)

    Zheng Zhiwen; Ren Li; Feng Wenjiang; Zhai Zhichen; Wang Yingjun

    2012-01-01

    In order to study the surface characterization and protein adhesion behavior of polyethylene terephthalate film, low temperature ammonia plasma was used to modify the film. Effects of plasma conditions of the surface structures and properties were investigated. Results indicated that surface hydrophilicity of polyethylene terephthalate was significantly improved by ammonia plasma treatment. Ammonia plasma played the role more important than air treatment in the process of modification. Furthermore, by Fourier Transform Infrared spectra some new bonds such as -N=O and N-H which could result in the improvement of the surface hydrophilicity were successfully grafted on the film surface. Atom force microscope experiments indicated that more protein adsorbed on hydrophobic surfaces than hydrophilic ones, and the blobs arranged in a straight line at etching surface by plasma. Modified membrane after ammonia plasma treatment had a good cell affinity and could be effective in promoting the adhesion and growth of cells on the material surface. Timeliness experiments showed that the plasma treatment gave the material a certain performance only in a short period of time and the hydrophobicity recovered after 12 days.

  1. Impurity radiation from a beam-plasma neutron source

    International Nuclear Information System (INIS)

    Molvik, A.W.

    1995-01-01

    Impurity radiation, in a worst case evaluation for a beam-plasma neutron source (BPNS), does not limit performance. Impurities originate from four sources: (a) sputtering from walls by charge exchange or alpha particle bombardment, (b) sputtering from limiters, (c) plasma desorption of gas from walls and (d) injection with neutral beams. Sources (c) and (d) are negligible; adsorbed gas on the walls of the confinement chamber and the neutral beam sources is removed by the steady state discharge. Source (b) is negligible for impinging ion energies below the sputtering threshold (T i ≤ 0.025 keV on tungsten) and for power densities to the limiter within the capabilities of water cooling (30-40 MW/m 2 ); both conditions can be satisfied in the BPNS. Source (a) radiates 0.025 MW/m 2 to the neutron irradiation samples, compared with 5 to 10 MW/m 2 of neutrons; and radiates a total of 0.08 MW from the plasma column, compared with 60 MW of injected power. The particle bombardment that yields source (a) deposits an average of 2.7 MW/m 2 on the samples, within the capabilities of helium gas cooling (10 MW/m 2 ). An additional worst case for source (d) is evaluated for present day 2 to 5 s pulsed neutral beams with 0.1% impurity density and is benchmarked against 2XIIB. The total radiation would increase a factor of 1.5 to ≤ 0.12 MW, supporting the conclusion that impurities will not have a significant impact on a BPN. (author). 61 refs, 7 figs, 2 tabs

  2. Plasma Surface interaction in Controlled fusion devices

    International Nuclear Information System (INIS)

    1990-05-01

    The subjects presented in the 9th conference on plasma surface interaction in controlled fusion devices were: the modifications of power scrape-off-length and power deposition during various configurations in Tore Supra plasmas; the effects observed in ergodic divertor experiments in Tore-Supra; the diffuse connexion induced by the ergodic divertor and the topology of the heat load patterns on the plasma facing components in Tore-Supra; the study of the influence of air exposure on graphite implanted by low energy high density deuterium plasma

  3. New developments in metal ion implantation by vacuum arc ion sources and metal plasma immersion

    International Nuclear Information System (INIS)

    Brown, I.G.; Anders, A.; Anders, S.

    1996-01-01

    Ion implantation by intense beams of metal ions can be accomplished using the dense metal plasma formed in a vacuum arc discharge embodied either in a vacuum arc ion source or in a metal plasma immersion configuration. In the former case high energy metal ion beams are formed and implantation is done in a more-or-less conventional way, and in the latter case the substrate is immersed in the plasma and repetitively pulse-biased so as to accelerate the ions at the high voltage plasma sheath formed at the substrate. A number of advances have been made in the last few years, both in plasma technology and in the surface modification procedures, that enhance the effectiveness and versatility of the methods, including for example: controlled increase of the in charge states produced; operation in a dual metal-gaseous ion species mode; very large area beam formation; macroparticle filtering; and the development of processing regimes for optimizing adhesion, morphology and structure. These complementary ion processing techniques provide the plasma tools for doing ion surface modification over a very wide parameter regime, from pure ion implantation at energies approaching the MeV level, through ion mixing at energies in the ∼1 to ∼100 keV range, to IBAD-like processing at energies from a few tens of eV to a few keV. Here the authors review the methods, describe a number of recent developments, and outline some of the surface modification applications to which the methods have been put. 54 refs., 9 figs

  4. Simulating Sources of Superstorm Plasmas

    Science.gov (United States)

    Fok, Mei-Ching

    2008-01-01

    We evaluated the contributions to magnetospheric pressure (ring current) of the solar wind, polar wind, auroral wind, and plasmaspheric wind, with the surprising result that the main phase pressure is dominated by plasmaspheric protons. We used global simulation fields from the LFM single fluid ideal MHD model. We embedded the Comprehensive Ring Current Model within it, driven by the LFM transpolar potential, and supplied with plasmas at its boundary including solar wind protons, polar wind protons, auroral wind O+, and plasmaspheric protons. We included auroral outflows and acceleration driven by the LFM ionospheric boundary condition, including parallel ion acceleration driven by upward currents. Our plasmasphere model runs within the CRCM and is driven by it. Ionospheric sources were treated using our Global Ion Kinetics code based on full equations of motion. This treatment neglects inertial loading and pressure exerted by the ionospheric plasmas, and will be superceded by multifluid simulations that include those effects. However, these simulations provide new insights into the respective role of ionospheric sources in storm-time magnetospheric dynamics.

  5. Method for atmospheric pressure reactive atom plasma processing for surface modification

    Science.gov (United States)

    Carr, Jeffrey W [Livermore, CA

    2009-09-22

    Reactive atom plasma processing can be used to shape, polish, planarize and clean the surfaces of difficult materials with minimal subsurface damage. The apparatus and methods use a plasma torch, such as a conventional ICP torch. The workpiece and plasma torch are moved with respect to each other, whether by translating and/or rotating the workpiece, the plasma, or both. The plasma discharge from the torch can be used to shape, planarize, polish, and/or clean the surface of the workpiece, as well as to thin the workpiece. The processing may cause minimal or no damage to the workpiece underneath the surface, and may involve removing material from the surface of the workpiece.

  6. Atmospheric pressure plasma jets : properties of plasma bullets and the dynamics of the interaction with dielectric surfaces

    NARCIS (Netherlands)

    Sobota, A.; Slikboer, E.; Guaitella, O.Y.N.

    2015-01-01

    Cold atmospheric pressure plasma jets, although mostly researched for applications in surface treatment, are rarely investigated in the presence of a surface. This paper presents the properties of plasma bullets formed in the capillary as well as the dynamics of the propagation of the plasma on

  7. Electron Beam Diagnosis and Dynamics using DIADYN Plasma Source

    International Nuclear Information System (INIS)

    Toader, D.; Craciun, G.; Manaila, E.; Oproiu, C.; Marghitu, S.

    2009-01-01

    This paper is presenting results obtained with the DIADYN installation after replacing its vacuum electron source (VES L V) with a plasma electron source (PES L V). DIADYN is a low energy laboratory equipment operating with 10 to 50 keV electron beams and designed to help realize non-destructive diagnosis and dynamics for low energy electron beams but also to be used in future material irradiations. The results presented here regard the beam diagnosis and dynamics made with beams obtained from the newly replaced plasma source. We discuss both results obtained in experimental dynamics and dynamics calculation results for electron beams extracted from the SEP L V source.

  8. Bactericidal effects of plasma-modified surface chemistry of silicon nanograss

    International Nuclear Information System (INIS)

    Ostrikov, Kola; Macgregor-Ramiasa, Melanie; Cavallaro, Alex; Ostrikov, Kostya; Vasilev, Krasimir

    2016-01-01

    The surface chemistry and topography of biomaterials regulate the adhesion and growth of microorganisms in ways that are still poorly understood. Silicon nanograss structures prepared via inductively coupled plasma etching were coated with plasma deposited nanometer-thin polymeric films to produce substrates with controlled topography and defined surface chemistry. The influence of surface properties on Staphylococcus aureus proliferation is demonstrated and explained in terms of nanograss substrate wetting behaviour. With the combination of the nanograss topography; hydrophilic plasma polymer coatings enhanced antimicrobial activity while hydrophobic coatings reduced it. This study advances the understanding of the effects of surface wettability on the bactericidal properties of reactive nano-engineered surfaces. (paper)

  9. Experimental study of high current negative ion sources D- / H-. Analysis based on the simulation of the negative ion transport in the plasma source

    International Nuclear Information System (INIS)

    Riz, D.

    1996-01-01

    In the frame of the development of a neutral beam injection system able to work the ITER tokamak (International Thermonuclear Experimental Reactor), two negative ion sources, Dragon and Kamaboko, have been installed on the MANTIS test bed in Cadarache, and studies in order to extract 20 mA/cm 2 of D - . The two production modes of negative ions have been investigated: volume production; surface production after cesium injection in the discharge. Experiments have shown that cesium seeding is necessary in order to reach the requested performances for ITER. 20 mA/cm 2 have been extracted from the Kamaboko source for an arc power density of 2.5 kW/liter. Simultaneously, a code called NIETZSCHE has been developed to simulate the negative ions transport in the source plasma, from their birth place to the extraction holes. The ion trajectory is calculated by numerically solving the 3D motion equation, while the atomic processes of destruction, of elastic collisions H - /H + and of charge exchange H - /H 0 are handled at each time step by a Monte Carlo procedure. The code allows to obtain the extraction probability of a negative ion produced at a given location. The calculations performed with NIETZSCHE have allowed to explain several phenomena observed on negative ion sources, such as the isotopic effect H - /D - and the influence of the polarisation of the plasma grid and of the magnetic filter on the negative ions current. The code has also shown that, in the type of sources contemplated for ITER, working with large arc power densities (> 1 kW/liter), only negative ions produced in volume at a distance lower that 2 cm from the plasma grid and those produced at the grid surface have a chance of being extracted. (author)

  10. Surfaces electrons at dielectric plasma walls

    International Nuclear Information System (INIS)

    Heinisch, Rafael Leslie

    2013-01-01

    The concept of the electron surface layer introduced in this thesis provides a framework for the description of the microphysics of the surplus electrons immediately at the wall and thereby complements the modelling of the plasma sheath. In this work we have considered from a surface physics perspective the distribution and build-up of an electron adsorbate on the wall as well as the effect of the negative charge on the scattering of light by a spherical particle immersed in a plasma. In our electron surface layer model we treat the wall-bound electrons as a wall-thermalised electron distribution minimising the grand canonical potential and satisfying Poisson's equation. The boundary between the electron surface layer and the plasma sheath is determined by a force balance between the attractive image potential and the repulsive sheath potential and lies in front of the crystallographic interface. Depending on the electron affinity χ, that is the offset of the conduction band minimum to the potential in front of the surface, two scenarios for the wall-bound electrons are realised. For χ 0 electrons penetrate into the conduction band where they form an extended space charge. These different scenarios are also reflected in the electron kinetics at the wall which control the sticking coefficient and the desorption time. If χ -3 . For χ>0 electron physisorption takes place in the conduction band. For this case sticking coefficients and desorption times have not been calculated yet but in view of the more efficient scattering with bulk phonons, responsible for electron energy relaxation in this case, we expect them to be larger than for the case of χ 0 the electrons in the bulk of the particle modify the refractive index through their bulk electrical conductivity. In both cases the conductivity is limited by scattering with surface or bulk phonons. Surplus electrons lead to an increase of absorption at low frequencies and, most notably, to a blue-shift of an

  11. Plasma Surface Modification of Polyaramid Fibers for Protective Clothing

    Science.gov (United States)

    Widodo, Mohamad

    2011-12-01

    The purpose of this research was to develop a novel process that would achieve biocidal properties on Kevlar fabric via atmospheric pressure plasma jet (APPJ) induced-graft polymerization of monomers. In the course of the study, experiments were carried out to understand plasma-monomer-substrate interactions, particularly, how each of the main parameters in the plasma processing affects the formation of surface radicals and eventually the degree of graft polymerization of monomers. The study also served to explore the possibility of developing plasma-initiated and plasma-controlled graft polymerization for continuous operation. In this regards, three methods of processing were studied, which included two-step plasma graft-polymerization with immersion, two-step and one-step plasma graft-polymerization with pad-dry. In general, plasma treatment did not cause visible damage to the surface of Kevlar fibers, except for the appearance of tiny globules distributed almost uniformly indicating a minor effect of plasma treatment to the surface morphology of the polymer. From the examination of SEM images, however, it was found that a very localized surface etching seemed to have taken place, especially at high RF power (800 W) and long time of exposure (60 s), even in plasma downstream mode of operation. It was suggested that a small amount of charged particles might have escaped and reached the substrate surface. High density of surface radicals, which is the prerequisite for high graft density and high antimicrobial activity, was achieved by the combination of high RF power and short exposure time or low RF power and long time of exposure. This was a clear indication that the formation of surface radicals is a function of amount of the dissipated energy, which also explained the two-factor interaction between the two process parameters. XPS results showed that hydrolysis of the anilide bond of PPTA chains took place to some extent on the surface of Kevlar, leading to the

  12. Microwave plasma induced surface modification of diamond-like carbon films

    Science.gov (United States)

    Rao Polaki, Shyamala; Kumar, Niranjan; Gopala Krishna, Nanda; Madapu, Kishore; Kamruddin, Mohamed; Dash, Sitaram; Tyagi, Ashok Kumar

    2017-12-01

    Tailoring the surface of diamond-like carbon (DLC) film is technically relevant for altering the physical and chemical properties, desirable for useful applications. A physically smooth and sp3 dominated DLC film with tetrahedral coordination was prepared by plasma-enhanced chemical vapor deposition technique. The surface of the DLC film was exposed to hydrogen, oxygen and nitrogen plasma for physical and chemical modifications. The surface modification was based on the concept of adsorption-desorption of plasma species and surface entities of films. Energetic chemical species of microwave plasma are adsorbed, leading to desorbtion of the surface carbon atoms due to energy and momentum exchange. The interaction of such reactive species with DLC films enhanced the roughness, surface defects and dangling bonds of carbon atoms. Adsorbed hydrogen, oxygen and nitrogen formed a covalent network while saturating the dangling carbon bonds around the tetrahedral sp3 valency. The modified surface chemical affinity depends upon the charge carriers and electron covalency of the adsorbed atoms. The contact angle of chemically reconstructed surface increases when a water droplet interacts either through hydrogen or van dear Waals bonding. These weak interactions influenced the wetting property of the DLC surface to a great extent.

  13. The effect of the novel internal-type linear inductive antenna for large area magnetized inductive plasma source

    Science.gov (United States)

    Lee, S. H.; Shulika, Olga.; Kim, K. N.; Yeom, G. Y.; Lee, J. K.

    2004-09-01

    As the technology of plasma processing progresses, there is a continuing demand for higher plasma density, uniformity over large areas and greater control over plasma parameters to optimize the processes of etching, deposition and surface treatment. Traditionally, the external planar ICP sources with low pressure high density plasma have limited scale-up capabilities due to its high impedance accompanied by the large antenna size. Also due to the cost and thickness of their dielectric material in order to generate uniform plasma. In this study the novel internal-type linear inductive antenna system (1,020mm¡¿830mm¡¿437mm) with permanent magnet arrays are investigated to improve both the plasma density and the uniformity of LAPS (Large Area Plasma Source) for FPD processing. Generally plasma discharges are enhanced because the inductance of the novel antenna (termed as the double comb antenna) is lower than that of the serpentine-type antenna and also the magnetic confinement of electron increases the power absorption efficiency. The uniformity is improved by reducing the standing wave effect. The total length of antenna is comparable to the driving rf wavelength to cause the plasma nonuniformity. To describe the discharge phenomenon we have developed a magnetized two-dimensional fluid simulation. This work was supported by National Research Laboratory (NRL) Program of the Korea Ministry of Science and Technology. [References] 1. J.K.Lee, Lin Meng, Y.K.Shin, H,J,Lee and T.H.Chung, ¡°Modeling and Simulation of a Large-Area Plasma Source¡±, Jpn. J. Appl. Phys. Vol.36(1997) pp. 5714-5723 2. S.E.Park, B.U.Cho, Y.J.Lee*, and G.Y.Yeom*, and J.K.Lee, ¡°The Characteristics of Large Area Processing Plasmas¡±, IEEE Trans. Plasma Sci., Vol.31 ,No.4(2003) pp. 628-637

  14. Development of long lifetime-high current plasma cathode ion source

    International Nuclear Information System (INIS)

    Yabe, Eiji; Takayama, Kazuo; Fukui, Ryota.

    1987-01-01

    A long lifetime ion source with plasma cathode has been developed for use in ion implantation. In this ion source, a plasma of a nonreactive working gas serves as a cathode in place of a thermionic tungsten filament used in the Freeman ion source. In an applied magnetic field, the plasma cathode is convergent, i.e. filament-like; in zero magnetic field, it turns divergent and spray-like. In the latter case, the plasma exhibits a remarkable ability when the working gas has an ionization potential larger than the feed gas. By any combination of a working gas of either argon or neon and a feed gas of AsF 5 or PF 5 , the lifetime of this ion source was found to be more than 90 hours with an extraction voltage of 40 kV and the corresponding ion current density 20 mA/cm 2 . Mass spectrometry results show that this ion source has an ability of generating a considerable amount of As + and P + ions from AsF 5 and PF 5 , and hence will be useful for realizing a fully cryopumped ion implanter system. This ion source is eminently suitable for use in oxygen ion production. (author)

  15. Plasma potentials and performance of the advanced electron cyclotron resonance ion source

    International Nuclear Information System (INIS)

    Xie, Z.Q.; Lyneis, C.M.

    1994-01-01

    The mean plasma potential was measured on the LBL advanced electron cyclotron resonance (AECR) ion source for a variety of conditions. The mean potentials for plasmas of oxygen, argon, and argon mixed with oxygen in the AECR were determined. These plasma potentials are positive with respect to the plasma chamber wall and are on the order of tens of volts. Electrons injected into the plasma by an electron gun or from an aluminum oxide wall coating with a very high secondary electron emission reduce the plasma potential as does gas mixing. A lower plasma potential in the AECR source coincides with enhanced production of high charged state ions indicating longer ion confinement times. The effect of the extra electrons from external injection or wall coatings is to lower the average plasma potential and to increase the n e τ i of the ECR plasma. With sufficient extra electrons, the need for gas mixing can be eliminated or reduced to a lower level, so the source can operate at lower neutral pressures. A reduction of the neutral pressure decreases charge exchange between ions and neutrals and enhances the production of high charge state ions. An aluminum oxide coating results in the lowest plasma potential among the three methods discussed and the best source performance

  16. Dependence of the source performance on plasma parameters at the BATMAN test facility

    Science.gov (United States)

    Wimmer, C.; Fantz, U.

    2015-04-01

    The investigation of the dependence of the source performance (high jH-, low je) for optimum Cs conditions on the plasma parameters at the BATMAN (Bavarian Test MAchine for Negative hydrogen ions) test facility is desirable in order to find key parameters for the operation of the source as well as to deepen the physical understanding. The most relevant source physics takes place in the extended boundary layer, which is the plasma layer with a thickness of several cm in front of the plasma grid: the production of H-, its transport through the plasma and its extraction, inevitably accompanied by the co-extraction of electrons. Hence, a link of the source performance with the plasma parameters in the extended boundary layer is expected. In order to characterize electron and negative hydrogen ion fluxes in the extended boundary layer, Cavity Ring-Down Spectroscopy and Langmuir probes have been applied for the measurement of the H- density and the determination of the plasma density, the plasma potential and the electron temperature, respectively. The plasma potential is of particular importance as it determines the sheath potential profile at the plasma grid: depending on the plasma grid bias relative to the plasma potential, a transition in the plasma sheath from an electron repelling to an electron attracting sheath takes place, influencing strongly the electron fraction of the bias current and thus the amount of co-extracted electrons. Dependencies of the source performance on the determined plasma parameters are presented for the comparison of two source pressures (0.6 Pa, 0.45 Pa) in hydrogen operation. The higher source pressure of 0.6 Pa is a standard point of operation at BATMAN with external magnets, whereas the lower pressure of 0.45 Pa is closer to the ITER requirements (p ≤ 0.3 Pa).

  17. Dependence of the source performance on plasma parameters at the BATMAN test facility

    International Nuclear Information System (INIS)

    Wimmer, C.; Fantz, U.

    2015-01-01

    The investigation of the dependence of the source performance (high j H − , low j e ) for optimum Cs conditions on the plasma parameters at the BATMAN (Bavarian Test MAchine for Negative hydrogen ions) test facility is desirable in order to find key parameters for the operation of the source as well as to deepen the physical understanding. The most relevant source physics takes place in the extended boundary layer, which is the plasma layer with a thickness of several cm in front of the plasma grid: the production of H − , its transport through the plasma and its extraction, inevitably accompanied by the co-extraction of electrons. Hence, a link of the source performance with the plasma parameters in the extended boundary layer is expected. In order to characterize electron and negative hydrogen ion fluxes in the extended boundary layer, Cavity Ring-Down Spectroscopy and Langmuir probes have been applied for the measurement of the H − density and the determination of the plasma density, the plasma potential and the electron temperature, respectively. The plasma potential is of particular importance as it determines the sheath potential profile at the plasma grid: depending on the plasma grid bias relative to the plasma potential, a transition in the plasma sheath from an electron repelling to an electron attracting sheath takes place, influencing strongly the electron fraction of the bias current and thus the amount of co-extracted electrons. Dependencies of the source performance on the determined plasma parameters are presented for the comparison of two source pressures (0.6 Pa, 0.45 Pa) in hydrogen operation. The higher source pressure of 0.6 Pa is a standard point of operation at BATMAN with external magnets, whereas the lower pressure of 0.45 Pa is closer to the ITER requirements (p ≤ 0.3 Pa)

  18. RF-Plasma Source Commissioning in Indian Negative Ion Facility

    International Nuclear Information System (INIS)

    Singh, M. J.; Bandyopadhyay, M.; Yadava, Ratnakar; Chakraborty, A. K.; Bansal, G.; Gahlaut, A.; Soni, J.; Kumar, Sunil; Pandya, K.; Parmar, K. G.; Sonara, J.; Kraus, W.; Heinemann, B.; Riedl, R.; Obermayer, S.; Martens, C.; Franzen, P.; Fantz, U.

    2011-01-01

    The Indian program of the RF based negative ion source has started off with the commissioning of ROBIN, the inductively coupled RF based negative ion source facility under establishment at Institute for Plasma research (IPR), India. The facility is being developed under a technology transfer agreement with IPP Garching. It consists of a single RF driver based beam source (BATMAN replica) coupled to a 100 kW, 1 MHz RF generator with a self excited oscillator, through a matching network, for plasma production and ion extraction and acceleration. The delivery of the RF generator and the RF plasma source without the accelerator, has enabled initiation of plasma production experiments. The recent experimental campaign has established the matching circuit parameters that result in plasma production with density in the range of 0.5-1x10 18 /m 3 , at operational gas pressures ranging between 0.4-1 Pa. Various configurations of the matching network have been experimented upon to obtain a stable operation of the set up for RF powers ranging between 25-85 kW and pulse lengths ranging between 4-20 s. It has been observed that the range of the parameters of the matching circuit, over which the frequency of the power supply is stable, is narrow and further experiments with increased number of turns in the coil are in the pipeline to see if the range can be widened. In this paper, the description of the experimental system and the commissioning data related to the optimisation of the various parameters of the matching network, to obtain stable plasma of required density, are presented and discussed.

  19. RF-Plasma Source Commissioning in Indian Negative Ion Facility

    Science.gov (United States)

    Singh, M. J.; Bandyopadhyay, M.; Bansal, G.; Gahlaut, A.; Soni, J.; Kumar, Sunil; Pandya, K.; Parmar, K. G.; Sonara, J.; Yadava, Ratnakar; Chakraborty, A. K.; Kraus, W.; Heinemann, B.; Riedl, R.; Obermayer, S.; Martens, C.; Franzen, P.; Fantz, U.

    2011-09-01

    The Indian program of the RF based negative ion source has started off with the commissioning of ROBIN, the inductively coupled RF based negative ion source facility under establishment at Institute for Plasma research (IPR), India. The facility is being developed under a technology transfer agreement with IPP Garching. It consists of a single RF driver based beam source (BATMAN replica) coupled to a 100 kW, 1 MHz RF generator with a self excited oscillator, through a matching network, for plasma production and ion extraction and acceleration. The delivery of the RF generator and the RF plasma source without the accelerator, has enabled initiation of plasma production experiments. The recent experimental campaign has established the matching circuit parameters that result in plasma production with density in the range of 0.5-1×1018/m3, at operational gas pressures ranging between 0.4-1 Pa. Various configurations of the matching network have been experimented upon to obtain a stable operation of the set up for RF powers ranging between 25-85 kW and pulse lengths ranging between 4-20 s. It has been observed that the range of the parameters of the matching circuit, over which the frequency of the power supply is stable, is narrow and further experiments with increased number of turns in the coil are in the pipeline to see if the range can be widened. In this paper, the description of the experimental system and the commissioning data related to the optimisation of the various parameters of the matching network, to obtain stable plasma of required density, are presented and discussed.

  20. Modeling of low pressure plasma sources for microelectronics fabrication

    International Nuclear Information System (INIS)

    Agarwal, Ankur; Bera, Kallol; Kenney, Jason; Rauf, Shahid; Likhanskii, Alexandre

    2017-01-01

    Chemically reactive plasmas operating in the 1 mTorr–10 Torr pressure range are widely used for thin film processing in the semiconductor industry. Plasma modeling has come to play an important role in the design of these plasma processing systems. A number of 3-dimensional (3D) fluid and hybrid plasma modeling examples are used to illustrate the role of computational investigations in design of plasma processing hardware for applications such as ion implantation, deposition, and etching. A model for a rectangular inductively coupled plasma (ICP) source is described, which is employed as an ion source for ion implantation. It is shown that gas pressure strongly influences ion flux uniformity, which is determined by the balance between the location of plasma production and diffusion. The effect of chamber dimensions on plasma uniformity in a rectangular capacitively coupled plasma (CCP) is examined using an electromagnetic plasma model. Due to high pressure and small gap in this system, plasma uniformity is found to be primarily determined by the electric field profile in the sheath/pre-sheath region. A 3D model is utilized to investigate the confinement properties of a mesh in a cylindrical CCP. Results highlight the role of hole topology and size on the formation of localized hot-spots. A 3D electromagnetic plasma model for a cylindrical ICP is used to study inductive versus capacitive power coupling and how placement of ground return wires influences it. Finally, a 3D hybrid plasma model for an electron beam generated magnetized plasma is used to understand the role of reactor geometry on plasma uniformity in the presence of E  ×  B drift. (paper)

  1. Modeling of low pressure plasma sources for microelectronics fabrication

    Science.gov (United States)

    Agarwal, Ankur; Bera, Kallol; Kenney, Jason; Likhanskii, Alexandre; Rauf, Shahid

    2017-10-01

    Chemically reactive plasmas operating in the 1 mTorr-10 Torr pressure range are widely used for thin film processing in the semiconductor industry. Plasma modeling has come to play an important role in the design of these plasma processing systems. A number of 3-dimensional (3D) fluid and hybrid plasma modeling examples are used to illustrate the role of computational investigations in design of plasma processing hardware for applications such as ion implantation, deposition, and etching. A model for a rectangular inductively coupled plasma (ICP) source is described, which is employed as an ion source for ion implantation. It is shown that gas pressure strongly influences ion flux uniformity, which is determined by the balance between the location of plasma production and diffusion. The effect of chamber dimensions on plasma uniformity in a rectangular capacitively coupled plasma (CCP) is examined using an electromagnetic plasma model. Due to high pressure and small gap in this system, plasma uniformity is found to be primarily determined by the electric field profile in the sheath/pre-sheath region. A 3D model is utilized to investigate the confinement properties of a mesh in a cylindrical CCP. Results highlight the role of hole topology and size on the formation of localized hot-spots. A 3D electromagnetic plasma model for a cylindrical ICP is used to study inductive versus capacitive power coupling and how placement of ground return wires influences it. Finally, a 3D hybrid plasma model for an electron beam generated magnetized plasma is used to understand the role of reactor geometry on plasma uniformity in the presence of E  ×  B drift.

  2. Material Surface Characteristics and Plasma Performance in the Lithium Tokamak Experiment

    Science.gov (United States)

    Lucia, Matthew James

    The performance of a tokamak plasma and the characteristics of the surrounding plasma facing component (PFC) material surfaces strongly influence each other. Despite this relationship, tokamak plasma physics has historically been studied more thoroughly than PFC surface physics. The disparity is particularly evident in lithium PFC research: decades of experiments have examined the effect of lithium PFCs on plasma performance, but the understanding of the lithium surface itself is much less complete. This latter information is critical to identifying the mechanisms by which lithium PFCs affect plasma performance. This research focused on such plasma-surface interactions in the Lithium Tokamak Experiment (LTX), a spherical torus designed to accommodate solid or liquid lithium as the primary PFC. Surface analysis was accomplished via the novel Materials Analysis and Particle Probe (MAPP) diagnostic system. In a series of experiments on LTX, the MAPP x-ray photoelectron spectroscopy (XPS) and thermal desorption spectroscopy (TDS) capabilities were used for in vacuo interrogation of PFC samples. This represented the first application of XPS and TDS for in situ surface analysis of tokamak PFCs. Surface analysis indicated that the thin (dLi ˜ 100nm) evaporative lithium PFC coatings in LTX were converted to Li2O due to oxidizing agents in both the residual vacuum and the PFC substrate. Conversion was rapid and nearly independent of PFC temperature, forming a majority Li2O surface within minutes and an entirely Li2O surface within hours. However, Li2O PFCs were still capable of retaining hydrogen and sequestering impurities until the Li2 O was further oxidized to LiOH, a process that took weeks. For hydrogen retention, Li2O PFCs retained H+ from LTX plasma discharges, but no LiH formation was observed. Instead, results implied that H+ was only weakly-bound, such that it almost completely outgassed as H 2 within minutes. For impurity sequestration, LTX plasma performance

  3. Plasma surface functionalization and dyeing kinetics of Pan-Pmma copolymers

    Science.gov (United States)

    Labay, C.; Canal, C.; Rodríguez, C.; Caballero, G.; Canal, J. M.

    2013-10-01

    Fiber surface modification with air corona plasma has been studied through dyeing kinetics under isothermal conditions at 30 °C on an acrylic-fiber fabric with a cationic dye (CI Basic Blue 3) analyzing the absorption, desorption and fixing on the surface of molecules having defined cationic character. The initial dyeing rate in the first 60 s indicates an increase of 58.3% in the dyeing rate due to the effect of corona plasma on the acrylic fiber surface. At the end of the dyeing process, the plasma-treated fabrics absorb 24.7% more dye, and the K/S value of the acrylic fabric increases by 8.8%. With selected dyestuff molecules, new techniques can be designed to amplify the knowledge about plasma-treated surface modifications of macromolecules.

  4. Metastable states of plasma particles close to a charged surface

    Energy Technology Data Exchange (ETDEWEB)

    Shavlov, A. V., E-mail: shavlov@ikz.ru [The Institute of the Earth Cryosphere, RAS Siberian branch, 625000, P.O. 1230, Tyumen (Russian Federation); Tyumen State Oil and Gas University, 38, Volodarskogo St., 625000, Tyumen (Russian Federation); Dzhumandzhi, V. A. [The Institute of the Earth Cryosphere, RAS Siberian branch, 625000, P.O. 1230, Tyumen (Russian Federation)

    2015-09-15

    The free energy of the plasma particles and the charged surface that form an electroneutral system is calculated on the basis of the Poisson-Boltzmann equation. It is shown that, owing to correlation of light plasma particles near the charged surface and close to heavy particles of high charge, there can be metastable states in plasma. The corresponding phase charts of metastable states of the separate components of plasma, and plasma as a whole, are constructed. These charts depend on temperature, the charge magnitude, the size of the particles, and the share of the charge of the light carriers out of the total charge of the plasma particles.

  5. Spectroscopy for identification of plasma sources for lithography and water window imaging

    International Nuclear Information System (INIS)

    O'Sullivan, Gerry; Dunne, Padraig; Liu, Luning; Lokasani, Ragava; Long, Elaine; O'Reilly, Fergal; Sheridan, Paul; Sokell, Emma; Wu, Tao; Higashiguchi, Takeshi; Li, Bowen; Ohashi, Hayato; Suzuki, Chihiro

    2015-01-01

    The identification of sources for applications that include nanolithography, surface patterning and high resolution imaging is the focus of a considerable activity in the extreme ultraviolet (EUV) or soft x-ray (SXR) spectral regions. We report on the result of a study of the spectra from laser produced plasmas of a number of medium and high Z metals undertaken in order to identify potential sources for use with available multilayer mirrors. The main focus was the study of unresolved transition arrays emitted from ions with 3d, 4d and 4f valence subshells that emit strongly in the water window (2.34-4.38 nm).and that could be used for biological imaging or cell tomography. (paper)

  6. Plasma surface interactions in Q-enhanced mirror systems

    International Nuclear Information System (INIS)

    Post, R.F.

    1978-01-01

    Two approaches to enhancement of the Q (energy gain) factor of mirror systems are under study at Livermore. These include the Tandem Mirror and the Field Reversed Mirror. Both of these new ideas preserve features of conventional mirror systems as far as plasma-wall interactions are concerned. Specifically in both approaches field lines exit from the ends of the system and impinge on walls located at a distance from the confinement chamber. It is possible to predict some aspects of the plasma/surface interactions of TM and FRM systems from experience obtained in the Livermore 2XIIB experiment. In particular, as observed in 2XIIB, effective isolation of the plasma from thermal contact with the ends owing to the development of sheath-like regions is to be expected. Studies presently underway directed toward still further enhancing the decoupling of the plasma from the effects of plasma surface interactions at the walls will be discussed, with particular reference to the problem of minimizing the effects of refluxing secondary electrons produced by plasma impact on the end walls

  7. Experimental facility for determining plasma characteristics in ion sources

    International Nuclear Information System (INIS)

    Abroyan, M.A.; Kagan, Yu.M.; Kolokolov, N.B.; Lavrov, B.P.

    A facility for optical and electrical measurements of the plasma parameters in the arc plasma ion sources is described. The potentialities of the system are demonstrated on the basis of the electron concentration, the electron energy distribution function, and the radial population distribution of the excited states of hydrogen atoms in the arc plasma of the duoplasmatron. (U.S.)

  8. Calibrate the aerial surveying instrument by the limited surface source and the single point source that replace the unlimited surface source

    CERN Document Server

    Lu Cun Heng

    1999-01-01

    It is described that the calculating formula and surveying result is found on the basis of the stacking principle of gamma ray and the feature of hexagonal surface source when the limited surface source replaces the unlimited surface source to calibrate the aerial survey instrument on the ground, and that it is found in the light of the exchanged principle of the gamma ray when the single point source replaces the unlimited surface source to calibrate aerial surveying instrument in the air. Meanwhile through the theoretical analysis, the receiving rate of the crystal bottom and side surfaces is calculated when aerial surveying instrument receives gamma ray. The mathematical expression of the gamma ray decaying following height according to the Jinge function regularity is got. According to this regularity, the absorbing coefficient that air absorbs the gamma ray and the detective efficiency coefficient of the crystal is calculated based on the ground and air measuring value of the bottom surface receiving cou...

  9. Development of very large helicon plasma source

    International Nuclear Information System (INIS)

    Shinohara, Shunjiro; Tanikawa, Takao

    2004-01-01

    We have developed a very large volume, high-density helicon plasma source, 75 cm in diameter and 486 cm in axial length; full width at half maximum of the plasma density is up to ∼42 cm with good plasma uniformity along the z axis. By the use of a spiral antenna located just outside the end of the vacuum chamber through a quartz-glass window, plasma can be initiated with a very low value of radio frequency (rf) power ( 12 cm -3 is successfully produced with less than several hundred Watt; achieving excellent discharge efficiency. It is possible to control the radial density profile in this device by changing the magnetic field configurations near the antenna and/or the antenna radiation-field patterns

  10. Radiation from a pulsed dipole source in a moving magnetized plasma

    International Nuclear Information System (INIS)

    Gavrilenko, V. G.; Petrov, E. Yu.; Pikulin, V. D.; Sutyagina, D. A.

    2006-01-01

    The problem of radiation from a pulsed dipole source in a moving magnetized plasma described by a diagonal permittivity tensor is considered. An exact solution describing the spatiotemporal behavior of the excited electromagnetic field is obtained. The shape of an electromagnetic pulse that is generated by the source and propagates at different angles to both the direction of the external magnetic field and the direction of plasma motion is investigated. It is found that even nonrelativistic motion of the plasma medium can substantially influence the parameters of radiation from prescribed unsteady sources

  11. Experimental study of high current negative ion sources D{sup -} / H{sup -}. Analysis based on the simulation of the negative ion transport in the plasma source; Etude experimentale de sources a fort courant d`ions negatifs D{sup -} / H{sup -}. Analyse fondee sur la simulation du transport des ions dans le plasma de la source

    Energy Technology Data Exchange (ETDEWEB)

    Riz, D.

    1996-10-30

    In the frame of the development of a neutral beam injection system able to work the ITER tokamak (International Thermonuclear Experimental Reactor), two negative ion sources, Dragon and Kamaboko, have been installed on the MANTIS test bed in Cadarache, and studies in order to extract 20 mA/cm{sup 2} of D{sup -}. The two production modes of negative ions have been investigated: volume production; surface production after cesium injection in the discharge. Experiments have shown that cesium seeding is necessary in order to reach the requested performances for ITER. 20 mA/cm{sup 2} have been extracted from the Kamaboko source for an arc power density of 2.5 kW/liter. Simultaneously, a code called NIETZSCHE has been developed to simulate the negative ions transport in the source plasma, from their birth place to the extraction holes. The ion trajectory is calculated by numerically solving the 3D motion equation, while the atomic processes of destruction, of elastic collisions H{sup -}/H{sup +} and of charge exchange H{sup -}/H{sup 0} are handled at each time step by a Monte Carlo procedure. The code allows to obtain the extraction probability of a negative ion produced at a given location. The calculations performed with NIETZSCHE have allowed to explain several phenomena observed on negative ion sources, such as the isotopic effect H{sup -}/D{sup -} and the influence of the polarisation of the plasma grid and of the magnetic filter on the negative ions current. The code has also shown that, in the type of sources contemplated for ITER, working with large arc power densities (> 1 kW/liter), only negative ions produced in volume at a distance lower that 2 cm from the plasma grid and those produced at the grid surface have a chance of being extracted. (author). 122 refs.

  12. Electron Beam Diagnosis and Dynamics using DIADYN Plasma Source

    Energy Technology Data Exchange (ETDEWEB)

    Toader, D; Craciun, G; Manaila, E; Oproiu, C [National Institute of Research for Laser, Plasma and Radiation Physics Bucuresti (Romania); Marghitu, S [ICPE Electrostatica S.A - Bucuresti (Romania)

    2009-11-15

    This paper is presenting results obtained with the DIADYN installation after replacing its vacuum electron source (VES{sub L}V) with a plasma electron source (PES{sub L}V). DIADYN is a low energy laboratory equipment operating with 10 to 50 keV electron beams and designed to help realize non-destructive diagnosis and dynamics for low energy electron beams but also to be used in future material irradiations. The results presented here regard the beam diagnosis and dynamics made with beams obtained from the newly replaced plasma source. We discuss both results obtained in experimental dynamics and dynamics calculation results for electron beams extracted from the SEP{sub L}V source.

  13. Surface generation of negative hydrogen ion beams

    International Nuclear Information System (INIS)

    Bommel, P.J.M. van.

    1984-01-01

    This thesis describes investigations on negative hydrogen ion sources at the ampere level. Formation of H - ions occurs when positive hydrogen ions capture two electrons at metal surfaces. The negative ionization probability of hydrogen at metal surfaces increases strongly with decreasing work function of the surface. The converters used in this study are covered with cesium. Usually there are 'surface plasma sources' in which the hydrogen source plasma interacts with a converter. In this thesis the author concentrates upon investigating a new concept that has converters outside the plasma. In this approach a positive hydrogen ion beam is extracted from the plasma and is subsequently reflected from a low work function converter surface. (Auth.)

  14. Surface resistivity measurement of plasma treated polymers

    International Nuclear Information System (INIS)

    Simon, D.; Pigram, P.J.; Liesegang, J.

    2000-01-01

    Full text: Resistivity of insulators is an important property of materials used within the integrated circuit and packaging industries. The measurement of electrical resistivity of insulator materials in the surface region in this work is interpreted through observations of surface charge decay. A self-field driven and diffusion charge transport theory is used to model the process and resistivity values obtained computationally. Data for the charge decay of surface charged samples are collected by suspending them inside a coaxial cylinder connected to an electrometer. Samples used have been low density polyethylene LDPE sheet, both pristine and surface treated. Some samples have been treated by air plasma at low vacuum pressures for different periods of time; others have been washed in ethyl acetate and then plasma treated before the resistivity measurement. The sets of resistivity measurements form the various treatments are compared below. X-ray photoelectron spectroscopy (XPS) has also been used to investigate and account for the observed variations in surface resistivity

  15. Parametric plasma surface instabilities with p-polarized radiation

    International Nuclear Information System (INIS)

    Rappaport, H.L.

    1994-01-01

    The authors argue that parametric plasma surface mode excitation is a viable broadband instability mechanism in the microwave regime since the wavelength of incident radiation can be large compared to plasma ion density gradient scale lengths. The authors restrict their attention to plasmas which are uniform in the planes perpendicular to the density gradients. The boundary region is characterized by three parameters: (1) the ion density gradient length; (2) the electron Debye length; and (3) the excursion of boundary electrons as they move in response to monochromatic p-polarized radiation. A thin vacuum plasma transition layer, in which the ion density gradient scale length is large compared with the Debye length and the electron excursion, is included in the analysis of plasma stability. The recently proposed Lagrangian Frame Two-Plasmon Decay mode (LFTPD) is investigated in the regime in which the instability is not resonantly coupled to surface waves propagating along the boundary region. In this case they have found both spatially dependent growth rate profiles and spatially dependent transit layer magnetic fields due to nonlinear surface currents. LFTPD growth rate profiles are displayed as a function of pump amplitude. The results of a time domain simulation of this mode is also shown

  16. Changing the surface properties on naval steel as result of non-thermal plasma treatment

    Science.gov (United States)

    Hnatiuc, B.; Sabău, A.; Dumitrache, C. L.; Hnatiuc, M.; Crețu, M.; Astanei, D.

    2016-08-01

    The problem of corrosion, related to Biofouling formation, is an issue with very high importance in the maritime domain. According to new rules, the paints and all the technologies for the conditioning of naval materials must fulfil more restrictive environmental conditions. In order to solve this issue, different new clean technologies have been proposed. Among them, the use of non-thermal plasmas produced at atmospheric pressure plays a very important role. This study concerns the opportunity of plasma treatment for preparation or conditioning of naval steel OL36 type. The plasma reactors chosen for the experiments can operate at atmospheric pressure and are easy to use in industrial conditions. They are based on electrical discharges GlidArc and Spark, which already proved their efficiency for the surface activation or even for coatings of the surface. The non-thermal character of the plasma is ensured by a gas flow blown through the electrical discharges. One power supply has been used for reactors that provide a 5 kV voltage and a maximum current of 100 mA. The modifications of the surface properties and composition have been studied by XPS technique (X-ray Photoelectron Spectroscopy). There were taken into consideration 5 samples: 4 of them undergoing a Mini-torch plasma, a Gliding Spark, a GlidArc with dry air and a GlidArc with CO2, respectively the fifth sample which is the untreated witness. Before the plasma treatment, samples of naval steel were processed in order to obtain mechanical gloss. The time of treatment was chosen to 12 minutes. In the spectroscopic analysis, done on a ULVAC-PHI, Inc. PHI 5000 Versa Probe scanning XPS microprobe, a monocromated Al Kα X-ray source with a spot size of 100 μm2 was used to scan each sample while the photoelectrons were collected at a 45-degree take-off angle. Differences were found between atomic concentrations in each individual case, which proves that the active species produced by each type of plasma affects

  17. Nuclear Fusion Research Understanding Plasma-Surface Interactions

    CERN Document Server

    Clark, Robert E.H

    2005-01-01

    It became clear in the early days of fusion research that the effects of the containment vessel (erosion of "impurities") degrade the overall fusion plasma performance. Progress in controlled nuclear fusion research over the last decade has led to magnetically confined plasmas that, in turn, are sufficiently powerful to damage the vessel structures over its lifetime. This book reviews current understanding and concepts to deal with this remaining critical design issue for fusion reactors. It reviews both progress and open questions, largely in terms of available and sought-after plasma-surface interaction data and atomic/molecular data related to these "plasma edge" issues.

  18. Atmospheric-Pressure Plasma Jet Surface Treatment for Use in Improving Adhesion

    Energy Technology Data Exchange (ETDEWEB)

    Kuettner, Lindsey Ann [Los Alamos National Lab. (LANL), Los Alamos, NM (United States)

    2017-09-06

    Atmospheric-pressure plasma jets (APPJs) are a method of plasma treatment that plays an important role in material processing and modifying surface properties of materials, especially polymers. Gas plasmas react with polymer surfaces in numerous ways such as oxidation, radical formation, degradation, and promotion of cross-linking. Because of this, gas and plasma conditions can be explored for chosen processes to maximize desired properties. The purpose of this study is to investigate plasma parameters in order to modify surface properties for improved adhesion between aluminum and epoxy substrates using two types of adhesives. The background, results to date, and future work will be discussed.

  19. Magnetic insulation of secondary electrons in plasma source ion implantation

    International Nuclear Information System (INIS)

    Rej, D.J.; Wood, B.P.; Faehl, R.J.; Fleischmann, H.H.

    1993-01-01

    The uncontrolled loss of accelerated secondary electrons in plasma source ion implantation (PSII) can significantly reduce system efficiency and poses a potential x-ray hazard. This loss might be reduced by a magnetic field applied near the workpiece. The concept of magnetically-insulated PSII is proposed, in which secondary electrons are trapped to form a virtual cathode layer near the workpiece surface where the local electric field is essentially eliminated. Subsequent electrons that are emitted can then be reabsorbed by the workpiece. Estimates of anomalous electron transport from microinstabilities are made. Insight into the process is gained with multi-dimensional particle-in-cell simulations

  20. Harmonic surface wave propagation in plasma

    International Nuclear Information System (INIS)

    Shivarova, A.; Stoychev, T.

    1980-01-01

    Second order harmonic surface waves generated by one fundamental high-frequency surface wave are investigated experimentally in gas discharge plasma. Two types of harmonic waves of equal frequency, associated with the linear dispersion relation and the synchronism conditions relatively propagate. The experimental conditions and the different space damping rates of the waves ensure the existence of different spatial regions (consecutively arranged along the plasma column) of a dominant propagation of each one of these two waves. Experimental data are obtained both for the wavenumbers and the space damping rates by relatively precise methods for wave investigations such as the methods of time-space diagrams and of phase shift measurements. The results are explained by the theoretical model for nonlinear mixing of dispersive waves. (author)

  1. Resonant power absorption in helicon plasma sources

    International Nuclear Information System (INIS)

    Chen Guangye; Arefiev, Alexey V.; Bengtson, Roger D.; Breizman, Boris N.; Lee, Charles A.; Raja, Laxminarayan L.

    2006-01-01

    Helicon discharges produce plasmas with a density gradient across the confining magnetic field. Such plasmas can create a radial potential well for nonaxisymmetric whistlers, allowing radially localized helicon (RLH) waves. This work presents new evidence that RLH waves play a significant role in helicon plasma sources. An experimentally measured plasma density profile in an argon helicon discharge is used to calculate the rf field structure. The calculations are performed using a two-dimensional field solver under the assumption that the density profile is axisymmetric. It is found that RLH waves with an azimuthal wave number m=1 form a standing wave structure in the axial direction and that the frequency of the RLH eigenmode is close to the driving frequency of the rf antenna. The calculated resonant power absorption, associated with the RLH eigenmode, accounts for most of the rf power deposited into the plasma in the experiment

  2. A High-Intensity, RF Plasma-Sputter Negative Ion Source

    International Nuclear Information System (INIS)

    Alton, G.D.; Bao, Y.; Cui, B.; Lohwasser, R.; Reed, C.A.; Zhang, T.

    1999-01-01

    A high-intensity, plasma-sputter negative-ion source based on the use of RF power for plasma generation has been developed that can be operated in either pulsed or dc modes. The source utilizes a high-Q, self-igniting, inductively coupled antenna system, operating at 80 MHz that has been optimized to generate Cs-seeded plasmas at low pressures (typically, - (610 microA); F - (100 microA); Si - (500 microA); S - (500 microA); P - (125 microA); Cl - (200 microA); Ni - (150 microA); Cu - (230 microA); Ge - (125 microA); As - (100 microA); Se - (200 microA); Ag - (70 microA); Pt - (125 microA); Au - (250 microA). The normalized emittance var e psilon n of the source at the 80% contour is: var e psilon n = 7.5 mm.mrad.(MeV) 1/2 . The design principles of the source, operational parameters, ion optics, emittance and intensities for a number of negative-ion species will be presented in this report

  3. Surface Modification of Metals using Plasma Torch

    International Nuclear Information System (INIS)

    Hassan, A.

    2009-01-01

    Low temperature plasma nitriding of 304L stainless steel is performed using a home made low power direct-current plasma torch. Plasma nitriding is carried out in temperature range of 300-550 degree C for 1 to 4 hours, in various N 2 H 2 gas mixture ratios at about 5 Torr pressure and torch power 300 Watts. The effect of treatment time, temperature and working gas composition on the microstructure and mechanical properties of plasma nitrided surface layers is investigated. The microstructure, phase composition and micro hardness profile of the nitrided surface layers are characterized by optical microscopy, scanning electron microscope (SEM), X-ray diffraction (XRD) and Vickers micro hardness tester. The results show that plasma treatment for 14 h over a temperature range of 300 - 550 degree C yields nitride case depth of 20 - 50 μm and the hardness of the nitrided layer is in the range of 700-1250 HV. Plasma nitriding of stainless steel samples at about 475 degree C in 70 % of nitrogen admixed with hydrogen at 5 torr shows the maximum increase of hardness 1220 HV which is about four times that of untreated layers. The XRD pattern confirmed the formation of an expanded austenite .N phase, due to the nitrogen incorporation into original lattice and forms supersaturated face center cubic phase. In addition preliminary results for aluminum nitriding is also shown

  4. Shunting arc plasma source for pure carbon ion beam

    Energy Technology Data Exchange (ETDEWEB)

    Koguchi, H.; Sakakita, H.; Kiyama, S.; Shimada, T.; Sato, Y.; Hirano, Y. [Energy Technology Research Institute, National Institute of Advanced Industrial Science and Technology (AIST), 1-1-1 Umezono, Tsukuba, Ibaraki 305-8568 (Japan)

    2012-02-15

    A plasma source is developed using a coaxial shunting arc plasma gun to extract a pure carbon ion beam. The pure carbon ion beam is a new type of deposition system for diamond and other carbon materials. Our plasma device generates pure carbon plasma from solid-state carbon material without using a hydrocarbon gas such as methane gas, and the plasma does not contain any hydrogen. The ion saturation current of the discharge measured by a double probe is about 0.2 mA/mm{sup 2} at the peak of the pulse.

  5. Shunting arc plasma source for pure carbon ion beam.

    Science.gov (United States)

    Koguchi, H; Sakakita, H; Kiyama, S; Shimada, T; Sato, Y; Hirano, Y

    2012-02-01

    A plasma source is developed using a coaxial shunting arc plasma gun to extract a pure carbon ion beam. The pure carbon ion beam is a new type of deposition system for diamond and other carbon materials. Our plasma device generates pure carbon plasma from solid-state carbon material without using a hydrocarbon gas such as methane gas, and the plasma does not contain any hydrogen. The ion saturation current of the discharge measured by a double probe is about 0.2 mA∕mm(2) at the peak of the pulse.

  6. Plasma facing surface composition during NSTX Li experiments

    Energy Technology Data Exchange (ETDEWEB)

    Skinner, C.H., E-mail: cskinner@pppl.gov [Princeton Plasma Physics Laboratory, POB 451, Princeton, NJ 08543 (United States); Sullenberger, R. [Department of Mechanical and Aerospace Engineering, Princeton University, NJ 08540 (United States); Koel, B.E. [Department of Chemical and Biological Engineering, Princeton University, NJ 08540 (United States); Jaworski, M.A.; Kugel, H.W. [Princeton Plasma Physics Laboratory, POB 451, Princeton, NJ 08543 (United States)

    2013-07-15

    Lithium conditioned plasma facing surfaces have lowered recycling and enhanced plasma performance on many fusion devices. However, the nature of the plasma–lithium surface interaction has been obscured by the difficulty of in-tokamak surface analysis. We report laboratory studies of the chemical composition of lithium surfaces exposed to typical residual gases found in tokamaks. Solid lithium and a molybdenum alloy (TZM) coated with lithium have been examined using X-ray photoelectron spectroscopy, temperature programmed desorption, and Auger electron spectroscopy both in ultrahigh vacuum conditions and after exposure to trace gases. Lithium surfaces near room temperature were oxidized after exposure to 1–2 Langmuirs of oxygen or water vapor. The oxidation rate by carbon monoxide was four times less. Lithiated PFC surfaces in tokamaks will be oxidized in about 100 s depending on the tokamak vacuum conditions.

  7. On solitary surface waves in cold plasmas

    International Nuclear Information System (INIS)

    Vladimirov, S.V.; Yu, M.Y.; Stenflo, L.

    1993-01-01

    A new type of nonlinear electromagnetic solitary surface waves propagating along the boundary of a cold plasma is discussed. These waves are described by a novel nonlinear evolution equation, obtained when the nonlinear surface currents at the boundary are taken into consideration. (Author)

  8. Correlation between the plasma characteristics and the surface chemistry of plasma-treated polymers through partial least-squares analysis.

    Science.gov (United States)

    Mavadat, Maryam; Ghasemzadeh-Barvarz, Massoud; Turgeon, Stéphane; Duchesne, Carl; Laroche, Gaétan

    2013-12-23

    We investigated the effect of various plasma parameters (relative density of atomic N and H, plasma temperature, and vibrational temperature) and process conditions (pressure and H2/(N2 + H2) ratio) on the chemical composition of modified poly(tetrafluoroethylene) (PTFE). The plasma parameters were measured by means of near-infrared (NIR) and UV-visible emission spectroscopy with and without actinometry. The process conditions of the N2-H2 microwave discharges were set at various pressures ranging from 100 to 2000 mTorr and H2/(N2+H2) gas mixture ratios between 0 and 0.4. The surface chemical composition of the modified polymers was determined by X-ray photoelectron spectroscopy (XPS). A mathematical model was constructed using the partial least-squares regression algorithm to correlate the plasma information (process condition and plasma parameters as determined by emission spectroscopy) with the modified surface characteristics. To construct the model, a set of data input variables containing process conditions and plasma parameters were generated, as well as a response matrix containing the surface composition of the polymer. This model was used to predict the composition of PTFE surfaces subjected to N2-H2 plasma treatment. Contrary to what is generally accepted in the literature, the present data demonstrate that hydrogen is not directly involved in the defluorination of the surface but rather produces atomic nitrogen and/or NH radicals that are shown to be at the origin of fluorine atom removal from the polymer surface. The results show that process conditions alone do not suffice in predicting the surface chemical composition and that the plasma characteristics, which cannot be easily correlated with these conditions, should be considered. Process optimization and control would benefit from plasma diagnostics, particularly infrared emission spectroscopy.

  9. Numerical investigation of three-dimensional single-species plasma equilibria on magnetic surfaces

    International Nuclear Information System (INIS)

    Lefrancois, Remi G.; Pedersen, Thomas Sunn; Boozer, Allen H.; Kremer, Jason P.

    2005-01-01

    Presented for the first time are numerical solutions to the three-dimensional nonlinear equilibrium equation for single-species plasmas confined on magnetic surfaces and surrounded by an equipotential boundary. The major-radial shift of such plasmas is found to be outward, qualitatively similar to the Shafranov shift of quasineutral plasmas confined on magnetic surfaces. However, this is the opposite of what occurs in the pure toroidal field equilibria of non-neutral plasmas (i.e., in the absence of magnetic surfaces). The effect of varying the number of Debye lengths in the plasma for the three-dimensional (3D) model is in agreement with previous 2D calculations: the potential varies significantly on magnetic surfaces for plasmas with few Debye lengths (a d ), and tends to be constant on surfaces when many Debye lengths are present (a > or approx. 10λ d ). For the case of a conducting boundary that does not conform to the outer magnetic surface, the plasma is shifted towards the conductor and the potential varies significantly on magnetic surfaces near the plasma edge. Debye shielding effects are clearly demonstrated when a nonuniform bias is applied to the boundary. Computed equilibrium profiles are presented for the Columbia Non-Neutral Torus [T. S. Pedersen, A. H. Boozer, J. P. Kermer, R. Lefrancois, F. Dahlgren, N. Pomphrey, W. Reiersen, and W. Dorland, Fusion Sci. Technol. 46, 200 (2004)], a stellarator designed to confine non-neutral plasmas

  10. Kinetic theory of surface waves in plasma jets

    International Nuclear Information System (INIS)

    Shokri, B.

    2002-01-01

    The kinetic theory analysis of surface waves propagating along a semi-bounded plasma jet is presented. The frequency spectra and their damping rate are obtained in both the high and low frequency regions. Finally, the penetration of the static field in the plasma jet under the condition that the plasma jet velocity is smaller than the sound velocity is studied

  11. Nanomechanical and nanotribological properties of plasma nanotextured superhydrophilic and superhydrophobic polymeric surfaces

    International Nuclear Information System (INIS)

    Skarmoutsou, A; Charitidis, C A; Gnanappa, A K; Tserepi, A; Gogolides, E

    2012-01-01

    Oxygen plasma-induced surface modification of polymethylmethacrylate (PMMA), under plasma conditions favouring (maximizing) roughness formation, has been shown to create textured surfaces of roughness size and morphology dependent on the plasma-treatment time and subsequent morphology stabilization procedure. Superhydrophobic or superhydrophilic surfaces can thus be obtained, with potential applications in antireflective self-cleaning surfaces, microfluidics, wetting–dewetting control, anti-icing etc, necessitating determination of their mechanical properties. In this study, nanoindentation is used to determine the reduced modulus and hardness of the surface, while nanoscratch tests are performed to measure the coefficient of friction. The data are combined to assess the wear behaviour of such surfaces as a first guide for their practical applications. Short-time plasma treatment slightly changes mechanical, tribological and wear properties compared to untreated PMMA. However, a significant decrease in the reduced modulus and hardness and an increase in the coefficient of friction are observed after long plasma-treatment times. The C 4 F 8 plasma deposited thin hydrophobic layer on the polymeric surfaces (untreated and treated) reveals good adhesion, while its mechanical properties are greatly influenced by the substrate; it is also found that it effectively protects the polymeric surfaces, reducing plastic deformation. (paper)

  12. Quasi-steady carbon plasma source for neutral beam injector

    International Nuclear Information System (INIS)

    Koguchi, H.; Sakakita, H.; Kiyama, S.; Shimada, T.; Sato, Y.; Hirano, Y.

    2014-01-01

    Carbon plasma is successfully sustained during 1000 s without any carrier gas in the bucket type ionization chamber with cusp magnetic field. Every several seconds, seed plasmas having ∼3 ms duration time are injected into the ionization chamber by a shunting arch plasma gun. The weakly ionized carbon plasma ejected from the shunting arch is also ionized by 2.45 GHz microwave at the electron cyclotron resonance surface and the plasma can be sustained even in the interval of gun discharges. Control of the gun discharge interval allows to keep high pressure and to sustain the plasma for long duration

  13. Quasi-steady carbon plasma source for neutral beam injector.

    Science.gov (United States)

    Koguchi, H; Sakakita, H; Kiyama, S; Shimada, T; Sato, Y; Hirano, Y

    2014-02-01

    Carbon plasma is successfully sustained during 1000 s without any carrier gas in the bucket type ionization chamber with cusp magnetic field. Every several seconds, seed plasmas having ∼3 ms duration time are injected into the ionization chamber by a shunting arch plasma gun. The weakly ionized carbon plasma ejected from the shunting arch is also ionized by 2.45 GHz microwave at the electron cyclotron resonance surface and the plasma can be sustained even in the interval of gun discharges. Control of the gun discharge interval allows to keep high pressure and to sustain the plasma for long duration.

  14. ECR plasma source for heavy ion beam charge neutralization

    Science.gov (United States)

    Efthimion, Philip C.; Gilson, Erik; Grisham, Larry; Kolchin, Pavel; Davidson, Ronald C.; Yu, Simon; Logan, B. Grant

    2003-01-01

    Highly ionized plasmas are being considered as a medium for charge neutralizing heavy ion beams in order to focus beyond the space-charge limit. Calculations suggest that plasma at a density of 1 100 times the ion beam density and at a length [similar]0.1 2 m would be suitable for achieving a high level of charge neutralization. An Electron Cyclotron Resonance (ECR) source has been built at the Princeton Plasma Physics Laboratory (PPPL) to support a joint Neutralized Transport Experiment (NTX) at the Lawrence Berkeley National Laboratory (LBNL) to study ion beam neutralization with plasma. The ECR source operates at 13.6 MHz and with solenoid magnetic fields of 1 10 gauss. The goal is to operate the source at pressures [similar]10[minus sign]6 Torr at full ionization. The initial operation of the source has been at pressures of 10[minus sign]4 10[minus sign]1 Torr. Electron densities in the range of 108 to 1011 cm[minus sign]3 have been achieved. Low-pressure operation is important to reduce ion beam ionization. A cusp magnetic field has been installed to improve radial confinement and reduce the field strength on the beam axis. In addition, axial confinement is believed to be important to achieve lower-pressure operation. To further improve breakdown at low pressure, a weak electron source will be placed near the end of the ECR source. This article also describes the wave damping mechanisms. At moderate pressures (> 1 mTorr), the wave damping is collisional, and at low pressures (< 1 mTorr) there is a distinct electron cyclotron resonance.

  15. Calibrate the aerial surveying instrument by the limited surface source and the single point source that replace the unlimited surface source

    International Nuclear Information System (INIS)

    Lu Cunheng

    1999-01-01

    It is described that the calculating formula and surveying result is found on the basis of the stacking principle of gamma ray and the feature of hexagonal surface source when the limited surface source replaces the unlimited surface source to calibrate the aerial survey instrument on the ground, and that it is found in the light of the exchanged principle of the gamma ray when the single point source replaces the unlimited surface source to calibrate aerial surveying instrument in the air. Meanwhile through the theoretical analysis, the receiving rate of the crystal bottom and side surfaces is calculated when aerial surveying instrument receives gamma ray. The mathematical expression of the gamma ray decaying following height according to the Jinge function regularity is got. According to this regularity, the absorbing coefficient that air absorbs the gamma ray and the detective efficiency coefficient of the crystal is calculated based on the ground and air measuring value of the bottom surface receiving count rate (derived from total receiving count rate of the bottom and side surface). Finally, according to the measuring value, it is proved that imitating the change of total receiving gamma ray exposure rate of the bottom and side surfaces with this regularity in a certain high area is feasible

  16. A novel cupping-assisted plasma treatment for skin disinfection

    Science.gov (United States)

    Xiong, Zilan; Graves, David B.

    2017-02-01

    A novel plasma treatment method/plasma source called cupping-assisted plasma treatment/source for skin disinfection is introduced. The idea combines ancient Chinese ‘cupping’ technology with plasma sources to generate active plasma inside an isolated, pressure-controlled chamber attached to the skin. Advantages of lower pressure include reducing the threshold voltage for plasma ignition and improving the spatial uniformity of the plasma treatment. In addition, with reduced pressure inside the cup, skin pore permeability might be increased and it improves attachment of the plasma device to the skin. Moreover, at a given pressure, plasma-generated active species are restricted inside the cup, raising local reactive species concentration and enhancing the measured surface disinfection rate. A surface micro-discharge (SMD) device is used as an example of a working plasma source. We report discharge characteristics and disinfection efficiency as a function of pressure and applied voltage.

  17. Stability studies of plasma modification effects of polylactide and polycaprolactone surface layers

    Energy Technology Data Exchange (ETDEWEB)

    Moraczewski, Krzysztof, E-mail: kmm@ukw.edu.pl [Kazimierz Wielki University, Chodkiewicza 30, 85-064 Bydgoszcz (Poland); Stepczyńska, Magdalena [Kazimierz Wielki University, Chodkiewicza 30, 85-064 Bydgoszcz (Poland); Malinowski, Rafał [Institute for Engineering of Polymer Materials and Dyes, Marii Skłodowskiej-Curie 55, 87‐100 Toruń (Poland); Rytlewski, Piotr; Jagodziński, Bartłomiej; Żenkiewicz, Marian [Kazimierz Wielki University, Chodkiewicza 30, 85-064 Bydgoszcz (Poland)

    2016-07-30

    Highlights: • Plasma modification affects surface roughness, wettability and surface energy. • Polylactide and polycaprolactone aging causes decay of the modification effects. • Changes in the surface characteristic and wettability deterioration were observed. • The decay occurs due to migration of low molecular weight molecules to the surface. • Plasma modification effect lasts longer in the case of polycaprolactone. - Abstract: The article presents results of research on the stability of oxygen plasma modification effects of polylactide and polycaprolactone surface layers. The modified samples were aged for three, six or nine weeks. The studies were carried out using scanning electron microscopy, goniometry and Fourier transform infrared spectroscopy. Studies have shown that the plasma modification has significant impact on the geometric structure and chemical composition of the surface, wettability and surface energy of tested polymers. The modification effects are not permanent. It has been observed that over time the effects of plasma modification fade. Studies have shown that modifying effect lasts longer in the case of polycaprolactone.

  18. Operation of the ORNL High Particle Flux Helicon Plasma Source

    International Nuclear Information System (INIS)

    Goulding, R. H.; Biewer, T. M.; Caughman, J. B. O.; Chen, G. C.; Owen, L. W.; Sparks, D. O.

    2011-01-01

    A high power, high particle flux rf-based helicon plasma source has been constructed at ORNL and operated at power levels up to 30 kW. High-density hydrogen and helium plasmas have been produced. The source has been designed as the basis for a linear plasma materials interaction (PMI) test facility that will generate particle fluxes Γ p 10 23 m -3 s -1 , and utilize additional ion and electron cyclotron heating to produce high parallel (to the magnetic field) heat fluxes of ∼10 MW/m 2 . An rf-based source for PMI research is of interest because high plasma densities are generated with no internal electrodes, allowing true steady state operation with minimal impurity generation. The ORNL helicon source has a diameter of 15 cm and to-date has operated at a frequency f = 13.56 MHz, with magnetic field strength |B| in the antenna region up to ∼0.15 T. Maximum densities of 3x10 19 m -3 in He and 2.5x10 19 m -3 in H have been achieved. Radial density profiles have been seen to be dependent on the axial |B| profile.

  19. Surface properties of activated carbon treated by cold plasma heating

    Energy Technology Data Exchange (ETDEWEB)

    Norikazu, Kurano [Shigematsu works Co. Ltd., 267 Yashita, Iwatsuki 3390046 (Japan); Yamada, Hiroshi [Shigematsu works Co. Ltd., 267 Yashita, Iwatsuki 3390046 (Japan); Yajima, Tatsuhiko [Faculty of Engineering, Saitama Institute of Technology, 1690 Fusoiji, Okabe 3690293 (Japan); Sugiyama, Kazuo [Faculty of Engineering, Saitama University, 255 Shimo-okubo, Sakura-Ku, Saitama 3388570 (Japan)]. E-mail: sugi@apc.saitama-u.ac.jp

    2007-03-12

    To modify the surface properties of activated carbon powders, we have applied the cold plasma treatment method. The cold plasma was used to be generated in the evacuated reactor vessel by 2.45 GHz microwave irradiation. In this paper, changes of surface properties such as distribution of acidic functional groups and roughness morphology were examined. By the cold plasma treatment, activated carbons with large specific surface area of ca. 2000 m{sup 2}/g or more could be prepared in a minute. The amount of every gaseous organic compound adsorbed on the unit gram of treated activated carbons was more increased that on the unit gram of untreated carbons. Especially, the adsorbed amount of carbon disulfide was remarkably increased even if it was compared by the amount per unit surface area. These results suggest that the surface property of the sample was modified by the plasma treatment. It became apparent by observing SEM photographs that dust and impure particles in macropores of activated carbons were far more reduced by the plasma treatment than by the conventional heating in an electric furnace under vacuum. In addition, a bubble-like surface morphology of the sample was observed by AEM measurement. The amount of acidic functional groups at the surface was determined by using the Boehm's titration method. Consequently, the increase of lactone groups and the decrease of carboxyl groups were also observed.

  20. Plasma phenomenology in astrophysical systems: Radio-sources and jets

    International Nuclear Information System (INIS)

    Montani, Giovanni; Petitta, Jacopo

    2014-01-01

    We review the plasma phenomenology in the astrophysical sources which show appreciable radio emissions, namely Radio-Jets from Pulsars, Microquasars, Quasars, and Radio-Active Galaxies. A description of their basic features is presented, then we discuss in some details the links between their morphology and the mechanisms that lead to the different radio-emissions, investigating especially the role played by the plasma configurations surrounding compact objects (Neutron Stars, Black Holes). For the sake of completeness, we briefly mention observational techniques and detectors, whose structure set them apart from other astrophysical instruments. The fundamental ideas concerning angular momentum transport across plasma accretion disks—together with the disk-source-jet coupling problem—are discussed, by stressing their successes and their shortcomings. An alternative scenario is then inferred, based on a parallelism between astrophysical and laboratory plasma configurations, where small-scale structures can be found. We will focus our attention on the morphology of the radio-jets, on their coupling with the accretion disks and on the possible triggering phenomena, viewed as profiles of plasma instabilities

  1. The gridless plasma ion source (GIS) for plasma ion assisted optical coating

    International Nuclear Information System (INIS)

    You Dawei; Li Xiaoqian; Wang Yu; Lin Yongchang

    2004-01-01

    High-quality optical coating is a key technology for modern optics. Ion-assisted deposition technology was used to improve the vaporized coating in 1980's. The GIS (gridless ion source), which is an advanced plasma source for producing a high-quality optical coating in large area, can produce a large area uniformity>1000 mm (diameter), a high ion current density ∼0.5 mA/cm 2 , 20 eV-200 eV energetic plasma ions and can activate reactive gas and film atoms. Now we have developed a GIS system. The GIS and the plasma ion-assisted deposition technology are investigated to achieve a high-quality optical coating. The GIS is a high power and high current source with a power of 1 kW-7.5 kW, a current of 10 A- 70 A and an ion density of 200 μA/cm 2 -500 μA/cm 2 . Because of the special magnetic structure, the plasma-ion extraction efficiency has been improved to obtain a maximum ion density of 500 μA/cm 2 in the medium power (∼4 kW) level. The GIS applied is of a special cathode structure, so that the GIS operation can be maintained under a rather low power and the lifetime of cathode will be extended. The GIS has been installed in the LPSX-1200 type box coating system. The coated TiO 2 , SiO 2 films such as antireflective films with the system have the same performance reported by Leybold Co, 1992, along with a controllable refractive index and film structure. (authors)

  2. Parametric plasma surface instabilities with s-polarized radiation

    International Nuclear Information System (INIS)

    Rappaport, H.L.

    1994-01-01

    The authors argue that parametric plasma surface mode excitation is a viable broadband instability mechanism in the microwave regime since the wavelength of incident radiation ca be large compared to plasma ion density gradient scale lengths. They restrict their attention to plasmas which are uniform in the planes perpendicular to the density gradients. The boundary is characterized by three parameters: (1) the ion density gradient scale length, (2) the electron Debye length, and (3) the excursion of boundary electrons as they move in response to monochromatic radiation. For s-polarized radiation, equilibrium fluid motion is parallel to the boundary when the ratio of the pump quiver velocity to the speed of light is small. In this case, an abruptly bounded plasma may be modeled with no transition width. If in this case the cold fluid approximation is used as well, the specular and diffuse boundary approximations become the same. A new formation is presented in which pump induced perturbations are expressed as an explicit superposition of linear and non-linear plasma half-space modes. A four-wave interaction is found to produce instability as well as surface wave frequency-shift. This mode is compared against other modes known to exist in this geometry. The theory of surface wave linear mode conversion is reviewed with special attention paid to power flow and energy conservation in this system

  3. Decontamination of radioactive metal surfaces by plasma arc gouging

    International Nuclear Information System (INIS)

    Osamu, K.; Makoto, K.; Takao, K.

    1983-01-01

    Experiments have been carried out to develop a new decontamination method that applies plasma arc gouging for removal of a thin surface layer from radioactively contaminated metallic wastes. Plasma arc gouging has been carried out on stainless steel and carbon steel pipes. The torch nozzle and gouging angle have been optimized to increase the decontamination rate. A water film is formed on the pipe surface to reduce both dust concentration in the off-gas and prevent slag particles, which are splashed up by the plasma gas, from adhering to the gouged surface. Using chromium-electroplated carbon steel pipes as samples, a decontamination factor of >10 3 is obtained after gouging to a depth of about0.5 mm in combination with ultrasonic cleaning

  4. Cracks and nanodroplets produced on tungsten surface samples by dense plasma jets

    Science.gov (United States)

    Ticoş, C. M.; Galaţanu, M.; Galaţanu, A.; Luculescu, C.; Scurtu, A.; Udrea, N.; Ticoş, D.; Dumitru, M.

    2018-03-01

    Small samples of 12.5 mm in diameter made from pure tungsten were exposed to a dense plasma jet produced by a coaxial plasma gun operated at 2 kJ. The surface of the samples was analyzed using a scanning electron microscope (SEM) before and after applying consecutive plasma shots. Cracks and craters were produced in the surface due to surface tensions during plasma heating. Nanodroplets and micron size droplets could be observed on the samples surface. An energy-dispersive spectroscopy (EDS) analysis revealed that the composition of these droplets coincided with that of the gun electrode material. Four types of samples were prepared by spark plasma sintering from powders with the average particle size ranging from 70 nanometers up to 80 μm. The plasma power load to the sample surface was estimated to be ≈4.7 MJ m-2 s-1/2 per shot. The electron temperature and density in the plasma jet had peak values 17 eV and 1.6 × 1022 m-3, respectively.

  5. Impurities, temperature, and density in a miniature electrostatic plasma and current source

    International Nuclear Information System (INIS)

    Den Hartog, D.J.; Craig, D.J.; Fiksel, G.; Sarff, J.S.

    1996-10-01

    We have spectroscopically investigated the Sterling Scientific miniature electrostatic plasma source-a plasma gun. This gun is a clean source of high density (10 19 - 10 20 m -3 ), low temperature (5 - 15 eV) plasma. A key result of our investigation is that molybdenum from the gun electrodes is largely trapped in the internal gun discharge; only a small amount escapes in the plasma flowing out of the gun. In addition, the gun plasma parameters actually improve (even lower impurity contamination and higher ion temperature) when up to 1 kA of electron current is extracted from the gun via the application of an external bias. This improvement occurs because the internal gun anode no longer acts as the current return for the internal gun discharge. The gun plasma is a virtual plasma electrode capable of sourcing an electron emission current density of 1 kA/cm 2 . The high emission current, small size (3 - 4 cm diameter), and low impurity generation make this gun attractive for a variety of fusion and plasma technology applications

  6. Technological plasma source equipped with combined system of vacuum-arc discharge initiation

    International Nuclear Information System (INIS)

    Sysoev, Yu.O.

    2013-01-01

    The construction and the operation principle of erosion plasma source with a three-stage system of vacuum-arc discharge excitation is described. As first two step was used the modified contactless start system with plasma injector, which was widely used in standard plasma sources of the ''Bulat'' systems. The operation principle of the third stage was based on the transition of glow discharge to arc discharge. Coordinated operation of three stages during various stages of coating deposition provided significant increasing of service life and reliability of the system of vacuum-arc discharge initiation and extended the functionality of the plasma source

  7. Surface impedance of travelling--Wave antenna in magnetized plasma

    International Nuclear Information System (INIS)

    Denisenko, I.B.; Ostrikov, K.N.

    1993-01-01

    Wave properties of metal antennas immersed in a magnetoactive plasma are intensively studied nowadays with the objects of radio communications in ionosphere, plasma heating, gas discharge technique. Many papers are devoted to studies of sheath waves (SW) in magnetoplasma, which are surface by nature and propagate along the metal-low-density sheath-plasma waveguide structure. The results of these papers suggest that the existence of these waves makes significant contribution in antenna impedance. Note that the impedance measurement is one of possible ways of experimental surface waves characterization. In the present report the surface impedance of travelling SW antenna immersed in magnetoactive plasma is calculated and its dependence on the waveguide structure parameters such as plasma density, external magnetic field H 0 and electrons collisional frequency values, sheath region width, conductivity of metal surface is studied. The calculations have been carried out in a quasiplane approximation, when antenna radius greatly exceeds the SW skin depth. Note that the finite conductivity of metal is necessary to be taken into account to provide a finite surface impedance value. The surface impedance is calculated in two cases, namely when SW propagate along (Ζ parallel ) and across (Ζ perpendicular ) the external magnetic field. The relation between the values Ζ parallel and Ζ perpendicular is obtained. This relation shows that the values Ζ parallel and Ζ parallel may satisfy both inequalities Ζ parallel much-gt Ζ perpendicular and Ζ perpendicular approx-gt Ζ perpendicular dependent on the parameters of the structure. The comparison of dispersion properties of the SW propagating along Η 0 with the experimental results is carried out. The results are shown to satisfactorily correspond to the experimental results

  8. Surface flute waves in plasmas theory and applications

    CERN Document Server

    Girka, Volodymyr; Thumm, Manfred

    2014-01-01

    The book presents results of a comprehensive study of various features of eigen electromagnetic waves propagating across the axis of plasma filled metal waveguides with cylindrical geometry. The authors collected in one book material on various features of surface flute waves, i. e. impact of waveguide design on wave dispersion, wave damping influenced by various reasons, impact of plasma density and external magnetic field inhomogeneity on the wave, and impact of waveguide corrugation and electric current on the wave. A variety of present surface waves applications and possible future applications is also included. Using the method of successive approximations it is shown how one can solve problems, which concern real experimental devices, starting from simple models. The book applies to both professionals dealing with problems of confined plasmas and to graduate and post-graduate students specializing in the field of plasma physics and related applications.

  9. Stem cell responses to plasma surface modified electrospun polyurethane scaffolds.

    Science.gov (United States)

    Zandén, Carl; Hellström Erkenstam, Nina; Padel, Thomas; Wittgenstein, Julia; Liu, Johan; Kuhn, H Georg

    2014-07-01

    The topographical effects from functional materials on stem cell behavior are currently of interest in tissue engineering and regenerative medicine. Here we investigate the influence of argon, oxygen, and hydrogen plasma surface modification of electrospun polyurethane fibers on human embryonic stem cell (hESC) and rat postnatal neural stem cell (NSC) responses. The plasma gases were found to induce three combinations of fiber surface functionalities and roughness textures. On randomly oriented fibers, plasma treatments lead to substantially increased hESC attachment and proliferation as compared to native fibers. Argon plasma was found to induce the most optimal combination of surface functionality and roughness for cell expansion. Contact guided migration of cells and alignment of cell processes were observed on aligned fibers. Neuronal differentiation around 5% was found for all samples and was not significantly affected by the induced variations of surface functional group distribution or individual fiber topography. In this study the influence of argon, oxygen, and hydrogen plasma surface modification of electrospun polyurethane fibers on human embryonic stem cell and rat postnatal neural stem cell (NSC) responses is studied with the goal of clarifying the potential effects of functional materials on stem cell behavior, a topic of substantial interest in tissue engineering and regenerative medicine. Copyright © 2014 Elsevier Inc. All rights reserved.

  10. Surface modification of polyethylene by plasma; Modificacion superficial de polietileno por plasma

    Energy Technology Data Exchange (ETDEWEB)

    Colin O, E

    2003-07-01

    The products made of polyethylene (PE) go from construction materials, electric insulating until packing material. The films for bags and pack occupy 83.6% of the distribution of the market of PE approximately. The enormous quantity of PE that is generated by its indiscriminate use brings as consequence a deterioration to the atmosphere, due to the long life that they present as waste. This work is a study on the modification of low density polyethylene films. In this type of thin materials, the changes in the surface meet with largely on the conformation of the rest of the material. To induce changes that modify the surface of PE, plasmas were used with reactive atmospheres of air, oxygen and nitrogen. The experimentation that was carries out went to introduce the PE to a cylindrical reactor where it was generated the plasma of air, oxygen and nitrogen to different times of exposure. After having carried out the exposure to the plasma, it was found that in the polyethylene it modifies their morphology, crystallinity, hydrophobicity, composition and electric conductivity. The analytical techniques that were used to characterize later to the polyethylene of being in contact with the plasma were: X-ray diffraction, Scanning Electron Microscopy, Infrared spectroscopy, Electric conductivity, Angle of contact and finally Thermal Gravimetric Analysis. The content of this work it is presented in five chapters: In the chapter 1 there are presented some general concepts of plasma and of the one polymer in study PE. In the chapter 2 it is made a general revision on modification of surfaces, as well as the properties that were modified in polymeric materials that were exposed to plasma in previous works. In the chapter 3 the experimental part and the conditions used are described in the modification of the PE. Also in this chapter a brief description it is made of the used characterization techniques. The results and discussion are presented in the chapter 4. These results

  11. Surface modification of argon/oxygen plasma treated vulcanized ethylene propylene diene polymethylene surfaces for improved adhesion with natural rubber

    International Nuclear Information System (INIS)

    Basak, Ganesh C.; Bandyopadhyay, Abhijit; Neogi, Sudarsan; Bhowmick, Anil K.

    2011-01-01

    Vulcanized ethylene propylene diene polymethylene (EPDM) rubber surface was treated in a radio frequency capacitatively coupled low pressure argon/oxygen plasma to improve adhesion with compounded natural rubber (NR) during co-vulcanization. The plasma modified surfaces were analyzed by means of contact angle measurement, surface energy, attenuated total reflection-infrared spectroscopy, X-ray photoelectron spectroscopy, scanning electron microscopy, energy dispersive X-ray sulfur mapping and atomic force microscopy. Several experimental variables such as plasma power, length of exposure time and composition of the argon-oxygen gas mixture were considered. It was delineated that plasma treatment changed both surface composition and roughness, and consequently increased peel strength. The change in surface composition was mainly ascribed to the formation of C-O and -C=O functional groups on the vulcanized surfaces. A maximum of 98% improvement in peel strength was observed after plasma treatment.

  12. Surface modification of argon/oxygen plasma treated vulcanized ethylene propylene diene polymethylene surfaces for improved adhesion with natural rubber

    Energy Technology Data Exchange (ETDEWEB)

    Basak, Ganesh C. [Rubber Technology Centre, Indian Institute of Technology, Kharagpur 721302 (India); Bandyopadhyay, Abhijit [Department of Polymer Science and Technology, University of Calcutta, Calcutta 700 009 (India); Neogi, Sudarsan [Department of Chemical Engineering, Indian Institute of Technology, Kharagpur 721302 (India); Bhowmick, Anil K., E-mail: anilkb@rtc.iitkgp.ernet.in [Rubber Technology Centre, Indian Institute of Technology, Kharagpur 721302 (India)

    2011-01-15

    Vulcanized ethylene propylene diene polymethylene (EPDM) rubber surface was treated in a radio frequency capacitatively coupled low pressure argon/oxygen plasma to improve adhesion with compounded natural rubber (NR) during co-vulcanization. The plasma modified surfaces were analyzed by means of contact angle measurement, surface energy, attenuated total reflection-infrared spectroscopy, X-ray photoelectron spectroscopy, scanning electron microscopy, energy dispersive X-ray sulfur mapping and atomic force microscopy. Several experimental variables such as plasma power, length of exposure time and composition of the argon-oxygen gas mixture were considered. It was delineated that plasma treatment changed both surface composition and roughness, and consequently increased peel strength. The change in surface composition was mainly ascribed to the formation of C-O and -C=O functional groups on the vulcanized surfaces. A maximum of 98% improvement in peel strength was observed after plasma treatment.

  13. Electron energy distributions and electron impact source functions in Ar/N{sub 2} inductively coupled plasmas using pulsed power

    Energy Technology Data Exchange (ETDEWEB)

    Logue, Michael D., E-mail: mdlogue@umich.edu; Kushner, Mark J., E-mail: mjkush@umich.edu [Department of Electrical Engineering and Computer Science, University of Michigan, 1301 Beal Ave., Ann Arbor, Michigan 48109-2122 (United States)

    2015-01-28

    In plasma materials processing, such as plasma etching, control of the time-averaged electron energy distributions (EEDs) in the plasma allows for control of the time-averaged electron impact source functions of reactive species in the plasma and their fluxes to surfaces. One potential method for refining the control of EEDs is through the use of pulsed power. Inductively coupled plasmas (ICPs) are attractive for using pulsed power in this manner because the EEDs are dominantly controlled by the ICP power as opposed to the bias power applied to the substrate. In this paper, we discuss results from a computational investigation of EEDs and electron impact source functions in low pressure (5–50 mTorr) ICPs sustained in Ar/N{sub 2} for various duty cycles. We find there is an ability to control EEDs, and thus source functions, by pulsing the ICP power, with the greatest variability of the EEDs located within the skin depth of the electromagnetic field. The transit time of hot electrons produced in the skin depth at the onset of pulse power produces a delay in the response of the EEDs as a function of distance from the coils. The choice of ICP pressure has a large impact on the dynamics of the EEDs, whereas duty cycle has a small influence on time-averaged EEDs and source functions.

  14. A trial fabrication of activity standard surface sources and positional standard surface sources for an imaging plate system

    International Nuclear Information System (INIS)

    Sato, Yasushi; Hino, Yoshio; Yamada, Takahiro; Matsumoto, Mikio

    2003-01-01

    An imaging plate system can detect low level activity, but quantitative analysis is difficult because there are no adequate standard surface sources. A new fabrication method was developed for standard surface sources by printing on a sheet of paper using an ink-jet printer with inks in which a radioactive material was mixed. The fabricated standard surface sources had high uniformity, high positional resolution arbitrary shapes and a broad intensity range. The standard sources were used for measurement of surface activity as an application. (H. Yokoo)

  15. Improved adhesion of Ag NPs to the polyethylene terephthalate surface via atmospheric plasma treatment and surface functionalization

    Science.gov (United States)

    Shen, Tao; Liu, Yong; Zhu, Yan; Yang, De-Quan; Sacher, Edward

    2017-07-01

    Ag nanoparticles (NPs) have been widely applied, as important antibacterial materials, on textile and polymer surfaces. However, their adhesion to nonreactive polymer surfaces is generally too weak for many applications. Here, we propose a two-step process, atmospheric plasma treatment followed by a surface chemical modification process, which enhances their adhesion to polyethylene terephthalate (PET) surfaces. We found that, compared to either plasma treatments or surface chemical functionalizations, alone, this combination greatly enhanced their adhesion. The plasma treatment resulted in an increase of active sites (sbnd OH, sbnd CHdbnd O and COOH) at the PET surface, permitting increased bonding to 3-aminopropyltriethoxysilane (APTES), whose sbnd NH2 groups were then able to form a bonding complex with the Ag NPs.

  16. Plasma source ion implantation process for corrosion protection of 6061 aluminum

    International Nuclear Information System (INIS)

    Zhang, L.; Booske, J.H.; Shohet, J.L.; Jacobs, J.R.; Bernardini, A.J.

    1995-01-01

    This paper describes results of an investigation of the feasibility of using nitrogen plasma source ion implantation (PSII) treatment to improve corrosion resistance of 6061 aluminum to salt water. Flat Al samples were implanted with various doses of nitrogen. The surface microstructures and profiles of Al and N in the flat samples were examined using transmission electron microscopy (TEM), scanning Auger microprobe, x-ray diffraction. Corrosion properties of the samples and the components were evaluated using both a 500 hour salt spray field test and a laboratory electrochemical corrosion system. The tested samples were then analyzed by scanning electron microscopy. Corrosion measurements have demonstrated that PSII can significantly improve the pitting resistance of 6061 aluminum. By correlating the analytical results with the corrosion test results, it has been verified that the improved corrosion resistance in PSII-treated coupons is due to the formation of a continuous AlN layer. It was also identified that the formation of a continuous AlN layer. It was also identified that the formation of a continuous AlN layer is mainly determined by the bias voltage and the total integrated implantation dose, and relatively insensitive to factors such as the plasma source, pulse length, or frequency

  17. Dust generation at interaction of plasma jet with surfaces

    Science.gov (United States)

    Ticos, Catalin; Toader, Dorina; Banu, Nicoleta; Scurtu, Adrian; Oane, Mihai

    2013-10-01

    Coatings of W and C with widths of a few microns will be exposed to plasma jet for studying the erosion of the surface and detachment of micron size dust particles. A coaxial plasma gun has been built inside a vacuum chamber for producing supersonic plasma jets. Its design is based on a 50 kJ coaxial plasma gun which has been successfully used for accelerating hypervelocity dust. Initial shots were carried out for a capacitor bank with C = 12 μF and charged up to 2 kV. Currents of tens of amps were measured with a Rogowsky coil and plasma flow speeds of 4 km/s were inferred from high-speed images of jet propagation. An upgrade consisting in adding capacitors in parallel will be performed in order to increase the energy up to 2 kJ. A coil will be installed at the gun muzzle to compress the plasma flow and increase the energy density of the jet on the sample surface. A CCD camera with a maximum recording speed of 100 k fps and a maximum resolution of 1024 × 1024 pixels was set for image acquisition of the plasma and dust. A laser system used to illuminate the ejected dust from the surface includes a laser diode emitting at 650 nm with a beam power of 25 mW. The authors acknowledge support from EURATOM WP13-IPH-A03-P2-02-BS22.

  18. Influence of atmospheric pressure plasma treatment on surface properties of PBO fiber

    International Nuclear Information System (INIS)

    Zhang Ruiyun; Pan Xianlin; Jiang Muwen; Peng Shujing; Qiu Yiping

    2012-01-01

    Highlights: ► PBO fibers were treated with atmospheric pressure plasmas. ► When 1% of oxygen was added to the plasma, IFSS increased 130%. ► Increased moisture regain could enhance plasma treatment effect on improving IFSS with long treatment time. - Abstract: In order to improve the interfacial adhesion property between PBO fiber and epoxy, the surface modification effects of PBO fiber treated by atmospheric pressure plasma jet (APPJ) in different time, atmosphere and moisture regain (MR) were investigated. The fiber surface morphology, functional groups, surface wettability for control and plasma treated samples were analyzed by scanning electron microscope (SEM), X-ray photoelectron spectroscopy (XPS) and water contact angle measurements, respectively. Meanwhile, the fiber interfacial shear strength (IFSS), representing adhesion property in epoxy, was tested using micro-bond pull-out test, and single fiber tensile strength was also tested to evaluate the mechanical performance loss of fibers caused by plasma treatment. The results indicated that the fiber surface was etched during the plasma treatments, the fiber surface wettability and the IFSS between fiber and epoxy had much improvement due to the increasing of surface energy after plasma treatment, the contact angle decreased with the treatment time increasing, and the IFSS was improved by about 130%. The processing atmosphere could influence IFSS significantly, and moisture regains (MR) of fibers also played a positive role on improving IFSS but not so markedly. XPS analysis showed that the oxygen content on fiber surface increased after treatment, and C=O, O-C=O groups were introduced on fiber surface. On the other hand, the observed loss of fiber tensile strength caused by plasma treatment was not so remarkable to affect the overall performance of composite materials.

  19. Surface modification of polyester synthetic leather with tetramethylsilane by atmospheric pressure plasma

    Energy Technology Data Exchange (ETDEWEB)

    Kan, C.W., E-mail: tccwk@polyu.edu.hk [Institute of Textiles and Clothing, The Hong Kong Polytechnic University, Hung Hom, Kowloon (Hong Kong); Kwong, C.H. [Institute of Textiles and Clothing, The Hong Kong Polytechnic University, Hung Hom, Kowloon (Hong Kong); Ng, S.P. [Hong Kong Community College, The Hong Kong Polytechnic University (Hong Kong)

    2015-08-15

    Highlights: • Atmospheric pressure plasma treatment improved surface performance of polyester synthetic leather with tetramethylsilane. • XPS and FTIR confirmed the deposition of organosilanes on the sample's surface. • Contact angle increases to 138° after plasma treatment. - Abstract: Much works have been done on synthetic materials but scarcely on synthetic leather owing to its surface structures in terms of porosity and roughness. This paper examines the use of atmospheric pressure plasma (APP) treatment for improving the surface performance of polyester synthetic leather by use of a precursor, tetramethylsilane (TMS). Plasma deposition is regarded as an effective, simple and single-step method with low pollution. Scanning electron microscopy (SEM), X-ray photoelectron spectroscopy (XPS) and Fourier transform infrared spectroscopy (FTIR) confirm the deposition of organosilanes on the sample's surface. The results showed that under a particular combination of treatment parameters, a hydrophobic surface was achieved on the APP treated sample with sessile drop static contact angle of 138°. The hydrophobic surface is stable without hydrophilic recovery 30 days after plasma treatment.

  20. Surface modification of polyester synthetic leather with tetramethylsilane by atmospheric pressure plasma

    International Nuclear Information System (INIS)

    Kan, C.W.; Kwong, C.H.; Ng, S.P.

    2015-01-01

    Highlights: • Atmospheric pressure plasma treatment improved surface performance of polyester synthetic leather with tetramethylsilane. • XPS and FTIR confirmed the deposition of organosilanes on the sample's surface. • Contact angle increases to 138° after plasma treatment. - Abstract: Much works have been done on synthetic materials but scarcely on synthetic leather owing to its surface structures in terms of porosity and roughness. This paper examines the use of atmospheric pressure plasma (APP) treatment for improving the surface performance of polyester synthetic leather by use of a precursor, tetramethylsilane (TMS). Plasma deposition is regarded as an effective, simple and single-step method with low pollution. Scanning electron microscopy (SEM), X-ray photoelectron spectroscopy (XPS) and Fourier transform infrared spectroscopy (FTIR) confirm the deposition of organosilanes on the sample's surface. The results showed that under a particular combination of treatment parameters, a hydrophobic surface was achieved on the APP treated sample with sessile drop static contact angle of 138°. The hydrophobic surface is stable without hydrophilic recovery 30 days after plasma treatment

  1. Double-layer ion acceleration triggered by ion magnetization in expanding radiofrequency plasma sources

    International Nuclear Information System (INIS)

    Takahashi, Kazunori; Charles, Christine; Boswell, Rod W.; Fujiwara, Tamiya

    2010-01-01

    Ion energy distribution functions downstream of the source exit in magnetically expanding low-pressure plasmas are experimentally investigated for four source tube diameters ranging from about 5 to 15 cm. The magnetic-field threshold corresponding to a transition from a simple expanding plasma to a double layer-containing plasma is observed to increase with a decrease in the source tube diameter. The results demonstrate that for the four geometries, the double layer and the accelerated ion beam form when the ion Larmour radius in the source becomes smaller than the source tube radius, i.e., when the ions become magnetized in the source tube.

  2. Blood compatibility of gas plasma-treated diamond-like carbon surface-Effect of physicochemical properties of DLC surface on blood compatibility

    International Nuclear Information System (INIS)

    Mochizuki, Akira; Ogawa, Tatsuhisa; Okamoto, Keishi; Nakatani, Tatsuyuki; Nitta, Yuki

    2011-01-01

    From the knowledge that zwitterion-type polymers show good blood compatibility, the introduction of both cationic and anionic functional groups onto diamond-like carbon (DLC) surface is expected to improve blood compatibility. Thus, DLC films were treated with oxygen and ammonia gas plasmas. The surfaces were characterized in terms of chemical composition by XPS, contact angle, and zeta potential. XPS analysis showed the introductions of a carboxyl group by oxygen plasma treatment and nitrogen atoms by ammonia plasma treatment. The evaluation of blood compatibility for the DLC surfaces was carried out in terms of platelets and the coagulation system. Excellent improvement of platelet compatibility was observed by the treatment with the gas plasmas, regardless of the plasma species. As for the compatibility with the coagulation system, DLC surfaces with a high concentration of carboxyl groups (COOH) markedly activated the system via the intrinsic pathway. However, the surfaces treated with ammonia plasma did not activate the system even though they had high COOH concentration. Measurement of the zeta potential revealed that the ammonia plasma treatment raised the potential from a negative value to a positive one. Though the introduction of amino groups to the surface was not detected directly, the treatment of ammonia plasma changed the electrical state of the DLC surface having COOH group, causing a difference in blood compatibility among the DLCs obtained by various plasma conditions.

  3. Polymerization by plasma: surface treatment and plasma simulation

    International Nuclear Information System (INIS)

    Morales C, J.

    2001-01-01

    One of the general objectives that are developed by the group of polymers semiconductors in the laboratory of polymers of the UAM-Iztapalapa is to study the surface treatment for plasma of different materials. Framed in this general objective, in this work three lines of investigation have been developed, independent one of other that converge in the general objective. The first one tries about the modeling one and evaluation of the microscopic parameters of operation of the polymerization reactor. The second are continuation of the study of conductive polymers synthesized by plasma and the third are an application of the treatment for plasma on natural fibers. In the first one it lines it is carried out the characterization and simulation of the parameters of operation of the polymerization reactor for plasma. They are determined the microscopic parameters of operation of the reactor experimentally like they are the electronic temperature, the potential of the plasma and the density average of electrons using for it an electrostatic Langmuir probe. In the simulation, starting from the Boltzmann transport equation it thinks about the flowing pattern and the electronic temperature, the ions density is obtained and of electrons. The data are compared obtained experimentally with the results of the simulation. In second line a study is presented about the influence of the temperature on the electric conductivity of thin films doped with iodine, of poly aniline (P An/I) and poly pyrrole (P Py/I). The films underwent heating-cooling cycles. The conductivity of P An/I and P Py/I in function of the temperature it is discussed based on the Arrhenius model, showing that it dominates the model of homogeneous conductivity. It is also synthesized a polymer bi-layer of these two elements and a copolymer random poly aniline-poly pyrrole, of the first one it the behavior of its conductivity discusses with the temperature and of the second, the conductivity is discussed in

  4. Surface modification of fluorosilicone acrylate RGP contact lens via low-temperature argon plasma

    International Nuclear Information System (INIS)

    Yin Shiheng; Wang Yingjun; Ren Li; Zhao Lianna; Kuang Tongchun; Chen Hao; Qu Jia

    2008-01-01

    A fluorosilicone acrylate rigid gas permeable (RGP) contact lens was modified via argon plasma to improve surface hydrophilicity and resistance to protein deposition. The influence of plasma treatment on surface chemical structure, hydrophilicity and morphology of RGP lens was investigated by X-ray photoelectron spectrometer (XPS), contact angle measurements and scanning electron microscope (SEM), respectively. The contact angle results showed that the hydrophilicity of the contact lens was improved after plasma treatment. XPS results indicated that the incorporation of oxygen-containing groups on surface and the transformation of silicone into hydrophilic silicate after plasma treatment are the main reasons for the surface hydrophilicity improvement. SEM results showed that argon plasma with higher power could lead to surface etching

  5. Surface hardening induced by high flux plasma in tungsten revealed by nano-indentation

    Energy Technology Data Exchange (ETDEWEB)

    Terentyev, D., E-mail: dterenty@sckcen.be [SCK-CEN, Nuclear Materials Science Institute, Boeretang 200, 2400 Mol (Belgium); Bakaeva, A. [SCK-CEN, Nuclear Materials Science Institute, Boeretang 200, 2400 Mol (Belgium); Department of Applied Physics, Ghent University, St. Pietersnieuwstraat 41, 9000 Ghent (Belgium); Pardoen, T.; Favache, A. [Institute of Mechanics, Materials and Civil Engineering, Université catholique de Louvain, Place Sainte Barbe 2 L5.02.02, 1348 Louvain-la-Neuve (Belgium); Zhurkin, E.E. [Department of Experimental Nuclear Physics K-89, Faculty of Physics and Mechanics, St. Petersburg State Polytechnical University, 29 Polytekhnicheskaya str., 195251 St. Petersburg (Russian Federation)

    2016-08-01

    Surface hardness of tungsten after high flux deuterium plasma exposure has been characterized by nanoindentation. The effect of plasma exposure was rationalized on the basis of available theoretical models. Resistance to plastic penetration is enhanced within the 100 nm sub-surface region, attributed to the pinning of geometrically necessary dislocations on nanometric deuterium cavities – signature of plasma-induced defects and deuterium retention. Sub-surface extension of thereby registered plasma-induced damage is in excellent agreement with the results of alternative measurements. The study demonstrates suitability of nano-indentation to probe the impact of deposition of plasma-induced defects in tungsten on near surface plasticity under ITER-relevant plasma exposure conditions.

  6. EDITORIAL: Plasma Surface Interactions for Fusion

    Science.gov (United States)

    2006-05-01

    Because plasma-boundary physics encompasses some of the most important unresolved issues for both the International Thermonuclear Experimental Reactor (ITER) project and future fusion power reactors, there is a strong interest in the fusion community for better understanding and characterization of plasma wall interactions. Chemical and physical sputtering cause the erosion of the limiters/divertor plates and vacuum vessel walls (made of C, Be and W, for example) and degrade fusion performance by diluting the fusion fuel and excessively cooling the core, while carbon redeposition could produce long-term in-vessel tritium retention, degrading the superior thermo-mechanical properties of the carbon materials. Mixed plasma-facing materials are proposed, requiring optimization for different power and particle flux characteristics. Knowledge of material properties as well as characteristics of the plasma material interaction are prerequisites for such optimizations. Computational power will soon reach hundreds of teraflops, so that theoretical and plasma science expertise can be matched with new experimental capabilities in order to mount a strong response to these challenges. To begin to address such questions, a Workshop on New Directions for Advanced Computer Simulations and Experiments in Fusion-Related Plasma Surface Interactions for Fusion (PSIF) was held at the Oak Ridge National Laboratory from 21 to 23 March, 2005. The purpose of the workshop was to bring together researchers in fusion related plasma wall interactions in order to address these topics and to identify the most needed and promising directions for study, to exchange opinions on the present depth of knowledge of surface properties for the main fusion-related materials, e.g., C, Be and W, especially for sputtering, reflection, and deuterium (tritium) retention properties. The goal was to suggest the most important next steps needed for such basic computational and experimental work to be facilitated

  7. Plasma carburizing with surface micro-melting

    Science.gov (United States)

    Balanovsky, A. E.; Grechneva, M. V.; Van Huy, Vu; Ponomarev, B. B.

    2018-03-01

    This paper presents carburizing the surface of 20 low carbon steel using electric arc and graphite prior. A carbon black solution was prepared with graphite powder and sodium silicate in water. A detailed analysis of the phase structure and the distribution profile of the sample hardness after plasma treatment were given. The hardened layer consists of three different zones: 1 – the cemented layer (thin white zone) on the surface, 2 – heat-affected zone (darkly etching structure), 3 – the base metal. The experimental result shows that the various microstructures and micro-hardness profiles were produced depending on the type of graphite coating (percentage of liquid glass) and processing parameters. The experiment proved that the optimum content of liquid glass in graphite coating is 50–87.5%. If the amount of liquid glass is less than 50%, adhesion to metal is insufficient. If liquid glass content is more than 87.5%, carburization of a metal surface does not occur. A mixture of the eutectic lamellar structure, martensite and austenite was obtained by using graphite prior with 67% sodium silicate and the levels of the hardness layer increased to around 1000 HV. The thickness of the cemented layer formed on the surface was around 200 μm. It is hoped that this plasma surface carburizing treatment could improve the tribological resistance properties.

  8. Fibroblastic response and surface characterization of O2-plasma-treated thermoplastic polyetherurethane

    International Nuclear Information System (INIS)

    Schlicht, Henning; Wintermantel, Erich; Haugen, Haavard J; Sabetrasekh, Roya

    2010-01-01

    Injection-molded samples of thermoplastic polyetherurethane (TPU) were treated with low-temperature oxygen plasma for different processing times in order to enhance cellular attachment for a gastric implant. Its effects were investigated by contact angle measurement, surface topography, cytotoxicity and cell colonization tests. No significant changes were found in the surface roughness of plasma treatment with plasma treatment time of less than 5 min. Longer treatment showed significantly higher surface roughness. It seems that there was a link between the changes in contact angle and enhanced cell growth on the treated surface, although only for the range up to plasma treatment times of 3 min. Prolonged treatment times did not cause any major changes in the water contact angle, but strongly improved the number of growing cells on the surface. Plasma treatment for 3-7 min led to a twofold increase in the number of cells compared to untreated samples and did not significantly alter the WST-1 nor worsened the lactate dehydrogenase activity compared to the control. Thus, it appears that O 2 plasma treatment is a suitable surface modification method for a gastric implant made of TPU in order to improve surface cell attachment where 3-7 min is the recommended treatment time.

  9. Operation of the ORNL High Particle Flux Helicon Plasma Source

    International Nuclear Information System (INIS)

    Goulding, Richard Howell; Biewer, Theodore M.; Caughman, John B.; Chen, Guangye; Owen, Larry W.; Sparks, Dennis O.

    2011-01-01

    A high power, high particle flux rf-based helicon plasma source has been constructed at ORNL and operated at power levels up to 30 kW. High-density hydrogen and helium plasmas have been produced. The source has been designed as the basis for a linear plasma materials interaction (PMI) test facility that will generate particle fluxes Gamma(p) > 10(23) M-3 s(-1), and utilize additional ion and electron cyclotron heating to produce high parallel (to the magnetic field) heat fluxes of similar to 10 MW/m(2). An rf-based source for PMI research is of interest because high plasma densities are generated with no internal electrodes, allowing true steady state operation with minimal impurity generation. The ORNL helicon source has a diameter of 15 cm and to-date has operated at a frequency f = 13.56 MHz, with magnetic field strength vertical bar B vertical bar in the antenna region up to similar to 0.15 T. Maximum densities of 3 x 10(19) M-3 in He and 2.5 x 10(19) m(-3) in H have been achieved. Radial density profiles have been seen to be dependent on the axial vertical bar B vertical bar profile.

  10. Plasma-oxidation of Ge(100)-surfaces characterized by MIES, UPS and XPS

    Energy Technology Data Exchange (ETDEWEB)

    Wegewitz, Lienhard; Dahle, Sebastian; Maus-Friedrichs, Wolfgang [Institut fuer Energieforschung und Physikalische Technologien, Technische Universitaet Clausthal, Leibnizstr. 4, 38678 Clausthal-Zellerfeld (Germany); Hoefft, Oliver; Endres, Frank [Institut fuer Mechanische Verfahrenstechnik, Technische Universitaet Clausthal, Arnold-Sommerfeld-Str. 6, 38678 Clausthal-Zellerfeld (Germany); Vioel, Wolfgang [HAWK Goettingen, Fakultaet Naturwissenschaften und Technik, Von-Ossietzky-Str. 99, 37085 Goettingen (Germany)

    2011-07-01

    Cleaning and passivation of Germanium surfaces is of tremendous technological interest. Germanium has various applications, for example in complementary metal-oxide-semiconductor elements. It turned out to be difficult to prepare contamination free Germanium surfaces by methods of wet chemistry. Several attempts have been made preparing such surfaces by different plasma treatments. We report cleaning and passivation of Ge(100)-surfaces by dielectric barrier discharge plasma at ambient temperature in oxygen and in air studied by Metastable Induced Electron Spectroscopy (MIES) and Photoelectron Spectroscopy (UPS(He I) and XPS). The plasma treatment is carried out in a special high-vacuum chamber which operates up to ambient pressure and is directly connected to the ultra-high vacuum chamber including the analysis equipment. In summary the air plasma treatment as well as the oxygen plasma treatment result in contamination free GeO{sub 2} covered surfaces.

  11. Metal surface nitriding by laser induced plasma

    Science.gov (United States)

    Thomann, A. L.; Boulmer-Leborgne, C.; Andreazza-Vignolle, C.; Andreazza, P.; Hermann, J.; Blondiaux, G.

    1996-10-01

    We study a nitriding technique of metals by means of laser induced plasma. The synthesized layers are composed of a nitrogen concentration gradient over several μm depth, and are expected to be useful for tribological applications with no adhesion problem. The nitriding method is tested on the synthesis of titanium nitride which is a well-known compound, obtained at present by many deposition and diffusion techniques. In the method of interest, a laser beam is focused on a titanium target in a nitrogen atmosphere, leading to the creation of a plasma over the metal surface. In order to understand the layer formation, it is necessary to characterize the plasma as well as the surface that it has been in contact with. Progressive nitrogen incorporation in the titanium lattice and TiN synthesis are studied by characterizing samples prepared with increasing laser shot number (100-4000). The role of the laser wavelength is also inspected by comparing layers obtained with two kinds of pulsed lasers: a transversal-excited-atmospheric-pressure-CO2 laser (λ=10.6 μm) and a XeCl excimer laser (λ=308 nm). Simulations of the target temperature rise under laser irradiation are performed, which evidence differences in the initial laser/material interaction (material heated thickness, heating time duration, etc.) depending on the laser features (wavelength and pulse time duration). Results from plasma characterization also point out that the plasma composition and propagation mode depend on the laser wavelength. Correlation of these results with those obtained from layer analyses shows at first the important role played by the plasma in the nitrogen incorporation. Its presence is necessary and allows N2 dissociation and a better energy coupling with the target. Second, it appears that the nitrogen diffusion governs the nitriding process. The study of the metal nitriding efficiency, depending on the laser used, allows us to explain the differences observed in the layer features

  12. Deposition of diamond-like carbon films by plasma source ion implantation with superposed pulse

    International Nuclear Information System (INIS)

    Baba, K.; Hatada, R.

    2003-01-01

    Diamond-like carbon (DLC) films were prepared on silicon wafer substrate by plasma source ion implantation with superposed negative pulse. Methane and acetylene gases were used as working gases for plasma. A negative DC voltage and a negative pulse voltage were superposed and applied to the substrate holder. The DC voltage was changed in the range from 0 to -4 kV and the pulse voltage was changed from 0 to -18 kV. The surface of DLC films was very smooth. The deposition rate of DLC films increased with increasing in superposed DC bias voltage. Carbon ion implantation was confirmed for the DLC film deposited from methane plasma with high pulse voltage. I D /I G ratios of Raman spectroscopy were around 1.5 independent on pulse voltage. The maximum hardness of 20.3 GPa was observed for the film prepared with high DC and high pulse voltage

  13. X-ray radiation source based on a plasma filled diode

    Energy Technology Data Exchange (ETDEWEB)

    Popkov, N F; Kargin, V I; Ryaslov, E A; Pikar, A S [All-Russian Research Inst. of Experimental Physics, Sarov (Russian Federation). Russian Federal Nuclear Center

    1997-12-31

    The results are given of studies on a plasma X-ray source providing 2.5 krad of radiation dose per pulse over an area of 100 cm{sup 2} in the quantum energy range between 20 and 500 keV. The pulse duration was 100 ns. The spectral radiation distribution was obtained under various operating conditions of plasma and diode. A Marx generator served as the starting power source of 120 kJ with a discharge time of T/4=10{sup -6} s. A short electromagnetic pulse (10{sup -7} s) was shaped using plasma erosion opening switches. (author). 5 figs., 4 refs.

  14. Method for plasma surface treating and preparation of membrane layers

    NARCIS (Netherlands)

    1992-01-01

    The invention relates to an apparatus suitable for plasma surface treating (e.g. forming a membrane layer on a substrate) which comprises a plasma generation section (2) which is in communication via at least one plasma inlet means (4) (e.g. a nozzle) with an enclosed plasma treating section (3)

  15. Improved adhesion of Ag NPs to the polyethylene terephthalate surface via atmospheric plasma treatment and surface functionalization

    Energy Technology Data Exchange (ETDEWEB)

    Shen, Tao [Faculty of Materials Science and Engineering, Kunming University of Science and Technology, 253 Xuefu Rd, Kunming, Yunnan, 650093 (China); Liu, Yong [Faculty of Materials Science and Engineering, Kunming University of Science and Technology, 253 Xuefu Rd, Kunming, Yunnan, 650093 (China); Solmont Technology Wuxi Co., Ltd. 228 Linghu Blvd. Tianan Tech Park, A1-602, Xinwu District, Wuxi, Jiangsu 214135 (China); Zhu, Yan, E-mail: zhuyan@kmust.edu.cn [Faculty of Materials Science and Engineering, Kunming University of Science and Technology, 253 Xuefu Rd, Kunming, Yunnan, 650093 (China); Yang, De-Quan, E-mail: dequan.yang@gmail.com [Faculty of Materials Science and Engineering, Kunming University of Science and Technology, 253 Xuefu Rd, Kunming, Yunnan, 650093 (China); Solmont Technology Wuxi Co., Ltd. 228 Linghu Blvd. Tianan Tech Park, A1-602, Xinwu District, Wuxi, Jiangsu 214135 (China); Sacher, Edward [Regroupement Québécois de Matériaux de Pointe, Department of Engineering Physics, École Polytechnique de Montréal, Case Postale 6079, succursale Centre-Ville, Montréal, Québec H3C 3A7 (Canada)

    2017-07-31

    Highlights: • A two-step process has been developed to enhance the adhesion of immobilized Ag NPs to the PET surface. • The method is simple, easy to use and low-cost for mass production. • The increased density of active sites (−OH, −CH=O and COOH) at the PET surface, after plasma treatment, permits increased reaction with 3-aminopropyltriethoxysilane (APTES). • The presence of APTES with high surface density permits −NH{sub 2}-Ag complex formation, increasing the adhesion of the Ag NPs. - Abstract: Ag nanoparticles (NPs) have been widely applied, as important antibacterial materials, on textile and polymer surfaces. However, their adhesion to nonreactive polymer surfaces is generally too weak for many applications. Here, we propose a two-step process, atmospheric plasma treatment followed by a surface chemical modification process, which enhances their adhesion to polyethylene terephthalate (PET) surfaces. We found that, compared to either plasma treatments or surface chemical functionalizations, alone, this combination greatly enhanced their adhesion. The plasma treatment resulted in an increase of active sites (−OH, −CH=O and COOH) at the PET surface, permitting increased bonding to 3-aminopropyltriethoxysilane (APTES), whose −NH{sub 2} groups were then able to form a bonding complex with the Ag NPs.

  16. Surface currents on the plasma-vacuum interface in MHD equilibria

    Science.gov (United States)

    Hanson, James

    2017-10-01

    The VMEC non-axisymmetric MHD equilibrium code can compute free-boundary equilibria. Since VMEC assumes that magnetic fields within the plasma form closed and nested flux surfaces, the plasma-vacuum interface is a flux surface, and the total magnetic field there has no normal component. VMEC imposes this condition of zero normal field using the potential formulation of Merkel, and solves a Neumann problem for the magnetic potential in the exterior region. This boundary condition necessarily admits the possibility of a surface current on the interface. While this surface current may be small in MHD equilibrium, it is readily computed in terms of the magnetic potentials in both the interior and exterior regions, evaluated on the surface. If only the external magnetic potential is known (as in VMEC), then the surface current can be computed from the discontinuity of the tangential field across the interface. Examples of the surface current for VMEC equilibria will be shown for a zero-pressure stellarator equilibrium. Field-line following of the vacuum magnetic field shows magnetic islands within the plasma region.

  17. Plasma surface reflectance spectroscopy for non-invasive and continuous monitoring of extracellular component of blood

    Science.gov (United States)

    Sakota, Daisuke; Takatani, Setsuo

    2012-04-01

    To achieve the quantitative optical non-invasive diagnosis of blood during extracorporeal circulation therapies, the instrumental technique to extract extracellular spectra from whole blood was developed. In the circuit, the continuous blood flow was generated by a centrifugal blood pump. The oxygen saturation was maintained 100% by an oxygenator. The developed glass optical flow cell was attached to the outlet tubing of the oxygenator. The halogen lamp including the light from 400 to 900 nm wavelength was used for the light source. The light was guided into an optical fiber. The light emitted by the fiber was collimated and emitted to the flow cell flat surface at the incident angle of 45 degrees. The light just reflected on the boundary between inner surface of the flow cell and plasma at 45 degrees was detected by the detection fiber. The detected light was analyzed by a spectral photometer. The obtained spectrum from 400 to 600nm wavelength was not changed with respect to the hematocrit. In contrast, the signal in the spectral range was changed when the plasma free hemoglobin increased. By using two spectral range, 505+/-5 nm and 542.5+/-2.5 nm, the differential spectrum was correlated with the free hemoglobin at R2=0.99. On the other hand, as for the hematocrit, the differential spectrum was not correlated at R2=0.01. Finally, the plasma free hemoglobin was quantified with the accuracy of 22+/-19mg/dL. The result shows that the developed plasma surface reflectance spectroscopy (PSRS) can extract the plasma spectrum from flowing whole blood.

  18. Field distribution of a source and energy absorption in an inhomogeneous magneto-active plasma

    International Nuclear Information System (INIS)

    Galushko, N.P.; Erokhin, N.S.; Moiseev, S.S.

    1975-01-01

    In the present paper the distribution of source fields in in a magnetoactive plasma is studied from the standpoint of the possibility of an effective SHF heating of an inhomogeneous plasma in both high (ωapproximatelyωsub(pe) and low (ωapproximatelyωsub(pi) frequency ranges, where ωsub(pe) and ωsub(pi) are the electron and ion plasma frequencies. The localization of the HF energy absorption regions in cold and hot plasma and the effect of plasma inhomogeneity and source dimensions on the absorption efficiency are investigated. The linear wave transformation in an inhomogeneous hot plasma is taken into consideration. Attention is paid to the difference between the region localization for collisional and non-collisional absorption. It has been shown that the HF energy dissipation in plasma particle collisions is localized in the region of thin jets going from the source; the radiation field has a sharp peak in this region. At the same time, non-collisional HF energy dissipation is spread over the plasma volume as a result of Cherenkov and cyclotron wave attenuation. The essential contribution to the source field from resonances due to standing wave excitation in an inhomogeneous plasma shell near the source is pointed out

  19. Broadband frequency ECR ion source concepts with large resonant plasma volumes

    International Nuclear Information System (INIS)

    Alton, G.D.

    1995-01-01

    New techniques are proposed for enhancing the performances of ECR ion sources. The techniques are based on the use of high-power, variable-frequency, multiple-discrete-frequency, or broadband microwave radiation, derived from standard TWT technology, to effect large resonant ''volume'' ECR sources. The creation of a large ECR plasma ''volume'' permits coupling of more power into the plasma, resulting in the heating of a much larger electron population to higher energies, the effect of which is to produce higher charge state distributions and much higher intensities within a particular charge state than possible in present forms of the ECR ion source. If successful, these developments could significantly impact future accelerator designs and accelerator-based, heavy-ion-research programs by providing multiply-charged ion beams with the energies and intensities required for nuclear physics research from existing ECR ion sources. The methods described in this article can be used to retrofit any ECR ion source predicated on B-minimum plasma confinement techniques

  20. Surface roughness effects on plasma near a divertor plate and local impact angle

    Directory of Open Access Journals (Sweden)

    Wanpeng Hu

    2017-08-01

    Full Text Available The impact of rough surface topography on the electric potential and electric field is generally neglected due to the small scale of surface roughness compared to the width of the plasma sheath. However, the distributions of the electric potential and field on rough surfaces are expected to influence the characteristics of edge plasma and the local impact angle. The distributions of plasma sheath and local impact angle on rough surfaces are investigated by a two dimension-in-space and three dimension-in-velocity (2d3v Particle-In-Cell (PIC code. The influences of the plasma temperature andsurface morphology on the plasma sheath, local impact angle and resulting physical sputtering yield on rough surfaces are investigated.

  1. Plasma surface modification of polypropylene track-etched membrane to improve its performance properties

    Science.gov (United States)

    Kravets, L. I.; Elinson, V. M.; Ibragimov, R. G.; Mitu, B.; Dinescu, G.

    2018-02-01

    The surface and electrochemical properties of polypropylene track-etched membrane treated by plasma of nitrogen, air and oxygen are studied. The effect of the plasma-forming gas composition on the surface morphology is considered. It has been found that the micro-relief of the membrane surface formed under the gas-discharge etching, changes. Moreover, the effect of the non-polymerizing gas plasma leads to formation of oxygen-containing functional groups, mostly carbonyl and carboxyl. It is shown that due to the formation of polar groups on the surface and its higher roughness, the wettability of the plasma-modified membranes improves. In addition, the presence of polar groups on the membrane surface layer modifies its electrochemical properties so that conductivity of plasma-treated membranes increase.

  2. Characteristics of cold atmospheric plasma source based on low-current pulsed discharge with coaxial electrodes

    Science.gov (United States)

    Bureyev, O. A.; Surkov, Yu S.; Spirina, A. V.

    2017-05-01

    This work investigates the characteristics of the gas discharge system used to create an atmospheric pressure plasma flow. The plasma jet design with a cylindrical graphite cathode and an anode rod located on the axis of the system allows to realize regularly reproducible spark breakdowns mode with a frequency ∼ 5 kHz and a duration ∼ 40 μs. The device generates a cold atmospheric plasma flame with 1 cm in diameter in the flow of various plasma forming gases including nitrogen and air at about 100 mA average discharge current. In the described construction the cathode spots of individual spark channels randomly move along the inner surface of the graphite electrode creating the secondary plasma stream time-average distributed throughout the whole exit aperture area after the decay of numerous filamentary discharge channels. The results of the spectral diagnostics of plasma in the discharge gap and in the stream coming out of the source are presented. Despite the low temperature of atoms and molecules in plasma stream the cathode spots operation with temperature of ∼ 4000 °C at a graphite electrode inside a discharge system enables to saturate the plasma by CN-radicals and atomic carbon in the case of using nitrogen as the working gas.

  3. Towards Enhanced Performance Thin-film Composite Membranes via Surface Plasma Modification

    Science.gov (United States)

    Reis, Rackel; Dumée, Ludovic F.; Tardy, Blaise L.; Dagastine, Raymond; Orbell, John D.; Schutz, Jürg A.; Duke, Mikel C.

    2016-01-01

    Advancing the design of thin-film composite membrane surfaces is one of the most promising pathways to deal with treating varying water qualities and increase their long-term stability and permeability. Although plasma technologies have been explored for surface modification of bulk micro and ultrafiltration membrane materials, the modification of thin film composite membranes is yet to be systematically investigated. Here, the performance of commercial thin-film composite desalination membranes has been significantly enhanced by rapid and facile, low pressure, argon plasma activation. Pressure driven water desalination tests showed that at low power density, flux was improved by 22% without compromising salt rejection. Various plasma durations and excitation powers have been systematically evaluated to assess the impact of plasma glow reactions on the physico-chemical properties of these materials associated with permeability. With increasing power density, plasma treatment enhanced the hydrophilicity of the surfaces, where water contact angles decreasing by 70% were strongly correlated with increased negative charge and smooth uniform surface morphology. These results highlight a versatile chemical modification technique for post-treatment of commercial membrane products that provides uniform morphology and chemically altered surface properties. PMID:27363670

  4. Atomic force microscopy of surface topography of nitrogen plasma treated steel

    CERN Document Server

    Mahboubi, F

    2002-01-01

    Nitriding of steels, using plasma environments has been practiced for many years. A lot of efforts have been put on developing new methods, such as plasma immersion ion implantation (Pl sup 3) and radio frequency (RF) plasma nitriding, for mass transfer of nitrogen into the surface of the work piece. This article presents the results obtained from an in depth investigation of the surface morphology of the treated samples, carried out using an atomic force microscope. Samples from a microalloyed steel, were treated by both methods for 5 hours at different temperatures ranging from 350 to 550 sup d eg sup C in 75% N sub 2 -25% H sub 2 atmosphere. It has been found that the surface of the samples treated by PI sup 3 technique, although having more favorable properties, were rougher than the surfaces treated by RF plasma nitriding.

  5. Use of Atmospheric-Pressure Plasma Jet for Polymer Surface Modification: An Overview

    Energy Technology Data Exchange (ETDEWEB)

    Kuettner, Lindsey A. [Los Alamos National Lab. (LANL), Los Alamos, NM (United States)

    2017-03-16

    Atmospheric-pressure plasma jets (APPJs) are playing an increasingly important role in materials processing procedures. Plasma treatment is a useful tool to modify surface properties of materials, especially polymers. Plasma reacts with polymer surfaces in numerous ways thus the type of process gas and plasma conditions must be explored for chosen substrates and materials to maximize desired properties. This report discusses plasma treatments and looks further into atmospheric-pressure plasma jets and the effects of gases and plasma conditions. Following the short literature review, a general overview of the future work and research at Los Alamos National Laboratory (LANL) is discussed.

  6. Surface Wettability of Oxygen Plasma Treated Porous Silicon

    Directory of Open Access Journals (Sweden)

    Lei Jiang

    2014-01-01

    Full Text Available Oxygen plasma treatment on porous silicon (p-Si surfaces was studied as a practical and effective means to modify wetting properties of as-fabricated p-Si surfaces, that is, contact angles of the p-Si materials. P-Si samples spanning a wide range of surface nanostructures have been fabricated which were subjected to a series of oxygen plasma treatments. Reduction of the p-Si surface contact angles has been systematically observed, and the surface activation rate constant as a function of different pore geometries has been analyzed to achieve an empirical equation. The underlying diffusion mechanisms have been discussed by taking into account of different pore diameters of p-Si samples. It is envisaged that such an approach as well as the corresponding empirical equation may be used to provide relevant process guidance in order to achieve precise control of p-Si contact angles, which is essential for many p-Si applications especially in biosensor areas.

  7. Laboratory Plasma Source as an MHD Model for Astrophysical Jets

    Science.gov (United States)

    Mayo, Robert M.

    1997-01-01

    The significance of the work described herein lies in the demonstration of Magnetized Coaxial Plasma Gun (MCG) devices like CPS-1 to produce energetic laboratory magneto-flows with embedded magnetic fields that can be used as a simulation tool to study flow interaction dynamic of jet flows, to demonstrate the magnetic acceleration and collimation of flows with primarily toroidal fields, and study cross field transport in turbulent accreting flows. Since plasma produced in MCG devices have magnetic topology and MHD flow regime similarity to stellar and extragalactic jets, we expect that careful investigation of these flows in the laboratory will reveal fundamental physical mechanisms influencing astrophysical flows. Discussion in the next section (sec.2) focuses on recent results describing collimation, leading flow surface interaction layers, and turbulent accretion. The primary objectives for a new three year effort would involve the development and deployment of novel electrostatic, magnetic, and visible plasma diagnostic techniques to measure plasma and flow parameters of the CPS-1 device in the flow chamber downstream of the plasma source to study, (1) mass ejection, morphology, and collimation and stability of energetic outflows, (2) the effects of external magnetization on collimation and stability, (3) the interaction of such flows with background neutral gas, the generation of visible emission in such interaction, and effect of neutral clouds on jet flow dynamics, and (4) the cross magnetic field transport of turbulent accreting flows. The applicability of existing laboratory plasma facilities to the study of stellar and extragalactic plasma should be exploited to elucidate underlying physical mechanisms that cannot be ascertained though astrophysical observation, and provide baseline to a wide variety of proposed models, MHD and otherwise. The work proposed herin represents a continued effort on a novel approach in relating laboratory experiments to

  8. Tuning Surface Chemistry of Polyetheretherketone by Gold Coating and Plasma Treatment

    Science.gov (United States)

    Novotná, Zdeňka; Rimpelová, Silvie; Juřík, Petr; Veselý, Martin; Kolská, Zdeňka; Hubáček, Tomáš; Borovec, Jakub; Švorčík, Václav

    2017-06-01

    Polyetheretherketone (PEEK) has good chemical and biomechanical properties that are excellent for biomedical applications. However, PEEK exhibits hydrophobic and other surface characteristics which cause limited cell adhesion. We have investigated the potential of Ar plasma treatment for the formation of a nanostructured PEEK surface in order to enhance cell adhesion. The specific aim of this study was to reveal the effect of the interface of plasma-treated and gold-coated PEEK matrices on adhesion and spreading of mouse embryonic fibroblasts. The surface characteristics (polarity, surface chemistry, and structure) before and after treatment were evaluated by various experimental techniques (gravimetry, goniometry, X-ray photoelectron spectroscopy (XPS), and electrokinetic analysis). Further, atomic force microscopy (AFM) was employed to examine PEEK surface morphology and roughness. The biological response of cells towards nanostructured PEEK was evaluated in terms of cell adhesion, spreading, and proliferation. Detailed cell morphology was evaluated by scanning electron microscopy (SEM). Compared to plasma treatment, gold coating improved PEEK wettability. The XPS method showed a decrease in the carbon concentration with increasing time of plasma treatment. Cell adhesion determined on the interface between plasma-treated and gold-coated PEEK matrices was directly proportional to the thickness of a gold layer on a sample. Our results suggest that plasma treatment in a combination with gold coating could be used in biomedical applications requiring enhanced cell adhesion.

  9. High speed cine film studies of plasma behaviour and plasma surface interactions in tokamaks

    International Nuclear Information System (INIS)

    Goodall, D.H.J.

    1982-01-01

    High speed cine photography is a useful diagnostic aid for studying plasma behaviour and plasma surface interactions. Several workers have filmed discharges in tokamaks including ASDEX, DITE, DIVA, ISX, JFT2, TFR and PLT. These films are discussed and examples given of the observed phenomena which include plasma limiter interactions, diverted discharges, disruptions, magnetic islands and moving glowing objects often known as 'UFOs'. Examples of plasma structures in ASDEX and DITE not previously published are also given. The paper also reports experiments in DITE to determine the origin of UFOs. (orig.)

  10. Surface damage characteristics of CFC and tungsten with repetitive ELM-like pulsed plasma irradiation

    Energy Technology Data Exchange (ETDEWEB)

    Kikuchi, Y., E-mail: ykikuchi@eng.u-hyogo.ac.jp [Graduate School of Engineering, University of Hyogo, 2167 Shosha, Himeji, 671-2280 Hyogo (Japan); Nishijima, D. [Center for Energy Research, University of California at San Diego, 9500 Gilman Drive, La Jolla, CA 92093-0417 (United States); Nakatsuka, M.; Ando, K.; Higashi, T.; Ueno, Y.; Ishihara, M.; Shoda, K.; Nagata, M. [Graduate School of Engineering, University of Hyogo, 2167 Shosha, Himeji, 671-2280 Hyogo (Japan); Kawai, T.; Ueda, Y. [Graduate School of Engineering, Osaka University, 2-1 Yamadaoka, Suita, Osaka 565-0871 (Japan); Fukumoto, N. [Graduate School of Engineering, University of Hyogo, 2167 Shosha, Himeji, 671-2280 Hyogo (Japan); Doerner, R.P. [Center for Energy Research, University of California at San Diego, 9500 Gilman Drive, La Jolla, CA 92093-0417 (United States)

    2011-08-01

    Surface damage of carbon fiber composite (CFC) and tungsten (W) due to repetitive ELM-like pulsed plasma irradiation has been investigated by using a magnetized coaxial plasma gun. CX2002U CFC and stress-relieved W samples were exposed to repetitive pulsed deuterium plasmas with duration of {approx}0.5 ms, incident ion energy of {approx}30 eV, and surface absorbed energy density of {approx}0.3-0.7 MJ/m{sup 2}. Bright spots on a CFC surface during pulsed plasma exposures were clearly observed with a high-speed camera, indicating a local surface heating. No melting of a W surface was observed under a single plasma pulse exposure at energy density of {approx}0.7 MJ/m{sup 2}, although cracks were formed. Cracking of the W surface grew with repetitive pulsed plasma exposures. Subsequently, the surface melted due to localized heat absorption.

  11. Energy Accommodation from Surface Catalyzed Reactions in Air Plasmas

    Data.gov (United States)

    National Aeronautics and Space Administration — Understanding energy transport at the gas-surface interface between catalytic/reacting surfaces exposed to highly dissociated plasmas remains a significant research...

  12. Atmospheric-Pressure Plasma Cleaning of Contaminated Surfaces

    International Nuclear Information System (INIS)

    Hicks, Robert F.; Herrmann, Hans W.

    2003-01-01

    The objective of this work is to demonstrate a practical, atmospheric pressure plasma tool for the surface decontamination of radioactive waste. Decontamination of radioactive materials that have accumulated on the surfaces of equipment and structures is a challenging and costly undertaking for the US Department of Energy. Our technology shows great potential for accelerating this clean up effort

  13. Modulating Protein Adsorption on Oxygen Plasma Modified Polysiloxane Surfaces

    International Nuclear Information System (INIS)

    Marletta, G.

    2006-01-01

    In the present paper we report the study on the adsorption behaviour of three model globular proteins, Human Serum Albumin, Lactoferrin and Egg Chicken Lysozyme onto both unmodified surfaces of a silicon-based polymer and the corresponding plasma treated surfaces. In particular, thin films of hydrophobic polysiloxane (about 90 degree of static water contact angle, WCA) were converted by oxygen plasma treatment at reduced pressure into very hydrophilic phases of SiOx (WCA less than 5 degree). The kinetics of protein adsorption processes were investigated by QCM-D technique, while the chemical structure and topography of the protein adlayer have been studied by Angular resolved-XPS and AFM respectively. It turned out that Albumin and Lysozyme exhibited the opposite preferential adsorption respectively onto the hydrophobic and hydrophilic surfaces, while Lactoferrin did not exhibit significant differences. The observed protein behaviour are discussed both in terms of surface-dependent parameters, including surface free energy and chemical structure, and in terms of protein-dependent parameters, including charge as well as the average molecular orientation in the adlayers. Finally, some examples of differential adsorption behaviour of the investigated proteins are reported onto nanopatterned polysiloxane surfaces consisting of hydrophobic nanopores surrounded by hydrophilic (plasma-treated) matrix and the reverse

  14. L-shell spectroscopic diagnostics of radiation from krypton HED plasma sources

    Energy Technology Data Exchange (ETDEWEB)

    Petkov, E. E., E-mail: emilp@unr.edu; Safronova, A. S.; Kantsyrev, V. L.; Shlyaptseva, V. V. [University of Nevada, Reno, Nevada 89557 (United States); Rawat, R. S.; Tan, K. S. [National Institute of Education, Nanyang Technological University, Singapore 637616 (Singapore); Beiersdorfer, P.; Brown, G. V. [Lawrence Livermore National Laboratory, Livermore, California 94550 (United States); Hell, N. [Lawrence Livermore National Laboratory, Livermore, California 94550 (United States); Dr. Remeis-Sternwarte and ECAP, Universität Erlangen-Nürnberg, 96049 Bamberg (Germany)

    2016-11-15

    X-ray spectroscopy is a useful tool for diagnosing plasma sources due to its non-invasive nature. One such source is the dense plasma focus (DPF). Recent interest has developed to demonstrate its potential application as a soft x-ray source. We present the first spectroscopic studies of krypton high energy density plasmas produced on a 3 kJ DPF device in Singapore. In order to diagnose spectral features, and to obtain a more comprehensive understanding of plasma parameters, a new non-local thermodynamic equilibrium L-shell kinetic model for krypton was developed. It has the capability of incorporating hot electrons, with different electron distribution functions, in order to examine the effects that they have on emission spectra. To further substantiate the validity of this model, it is also benchmarked with data gathered from experiments on the electron beam ion trap (EBIT) at Lawrence Livermore National Laboratory, where data were collected using the high resolution EBIT calorimeter spectrometer.

  15. L-shell spectroscopic diagnostics of radiation from krypton HED plasma sources.

    Science.gov (United States)

    Petkov, E E; Safronova, A S; Kantsyrev, V L; Shlyaptseva, V V; Rawat, R S; Tan, K S; Beiersdorfer, P; Hell, N; Brown, G V

    2016-11-01

    X-ray spectroscopy is a useful tool for diagnosing plasma sources due to its non-invasive nature. One such source is the dense plasma focus (DPF). Recent interest has developed to demonstrate its potential application as a soft x-ray source. We present the first spectroscopic studies of krypton high energy density plasmas produced on a 3 kJ DPF device in Singapore. In order to diagnose spectral features, and to obtain a more comprehensive understanding of plasma parameters, a new non-local thermodynamic equilibrium L-shell kinetic model for krypton was developed. It has the capability of incorporating hot electrons, with different electron distribution functions, in order to examine the effects that they have on emission spectra. To further substantiate the validity of this model, it is also benchmarked with data gathered from experiments on the electron beam ion trap (EBIT) at Lawrence Livermore National Laboratory, where data were collected using the high resolution EBIT calorimeter spectrometer.

  16. Optical surfacing via linear ion source

    International Nuclear Information System (INIS)

    Wu, Lixiang; Wei, Chaoyang; Shao, Jianda

    2017-01-01

    We present a concept of surface decomposition extended from double Fourier series to nonnegative sinusoidal wave surfaces, on the basis of which linear ion sources apply to the ultra-precision fabrication of complex surfaces and diffractive optics. The modified Fourier series, or sinusoidal wave surfaces, build a relationship between the fabrication process of optical surfaces and the surface characterization based on power spectral density (PSD) analysis. Also, we demonstrate that the one-dimensional scanning of linear ion source is applicable to the removal of mid-spatial frequency (MSF) errors caused by small-tool polishing in raster scan mode as well as the fabrication of beam sampling grating of high diffractive uniformity without a post-processing procedure. The simulation results show that optical fabrication with linear ion source is feasible and even of higher output efficiency compared with the conventional approach.

  17. Optical surfacing via linear ion source

    Energy Technology Data Exchange (ETDEWEB)

    Wu, Lixiang, E-mail: wulx@hdu.edu.cn [Key Lab of RF Circuits and Systems of Ministry of Education, Zhejiang Provincial Key Lab of LSI Design, Microelectronics CAD Center, College of Electronics and Information, Hangzhou Dianzi University, Hangzhou (China); Wei, Chaoyang, E-mail: siomwei@siom.ac.cn [Key Laboratory of Materials for High Power Laser, Shanghai Institute of Optics and Fine Mechanics, Chinese Academy of Sciences, Shanghai 201800 (China); Shao, Jianda, E-mail: jdshao@siom.ac.cn [Key Laboratory of Materials for High Power Laser, Shanghai Institute of Optics and Fine Mechanics, Chinese Academy of Sciences, Shanghai 201800 (China)

    2017-04-15

    We present a concept of surface decomposition extended from double Fourier series to nonnegative sinusoidal wave surfaces, on the basis of which linear ion sources apply to the ultra-precision fabrication of complex surfaces and diffractive optics. The modified Fourier series, or sinusoidal wave surfaces, build a relationship between the fabrication process of optical surfaces and the surface characterization based on power spectral density (PSD) analysis. Also, we demonstrate that the one-dimensional scanning of linear ion source is applicable to the removal of mid-spatial frequency (MSF) errors caused by small-tool polishing in raster scan mode as well as the fabrication of beam sampling grating of high diffractive uniformity without a post-processing procedure. The simulation results show that optical fabrication with linear ion source is feasible and even of higher output efficiency compared with the conventional approach.

  18. Adhesion enhancement of Al coatings on carbon/epoxy composite surfaces by atmospheric plasma

    International Nuclear Information System (INIS)

    Coulon, J.F.; Tournerie, N.; Maillard, H.

    2013-01-01

    Adhesion strengths between aluminium thin film coatings and manufactured carbon/epoxy composite surfaces were measured by assessing fracture tensile strengths using pull-off tests. The effect of the substrate roughness (nm to μm) of these composite surfaces on adhesion was studied by examining the surface free energies and adhesion strengths. The adhesion strengths of the coatings varied significantly. To improve the coating adhesion, each composite surface was treated with atmospheric plasma prior to deposition, which resulted in an increase in the surface free energy from approximately 40 mJ/m 2 to 70 mJ/m 2 because the plasma pretreatment led to the formation of hydrophilic C-O and C=O bonds on the composite surfaces, as demonstrated by X-ray photoelectron spectroscopy analyses. The adhesion strengths of the coatings were enhanced for all surface roughnesses studied. In our study, the effect of mechanical adhesion due to roughness was separated from the effect of modifying the chemical bonds with plasma activation. The adhesion ability of the pure resin was relatively weak. Increasing the surface roughness largely improved the adhesion of the resin surface. Plasma treatment of the pure resin also increased the surface adhesion. Our study shows that plasma activation effectively enhances the adhesion of manufactured composites, even when the surface roughness is on the order of microns. The ageing of the surface activation was also investigated, and the results demonstrate that atmospheric plasma has potential for use in the pretreatment of composite materials.

  19. Development of intense pulsed heavy ion beam diode using gas puff plasma gun as ion source

    International Nuclear Information System (INIS)

    Ito, H.; Higashiyama, M.; Takata, S.; Kitamura, I.; Masugata, K.

    2006-01-01

    A magnetically insulated ion diode with an active ion source of a gas puff plasma gun has been developed in order to generate a high-intensity pulsed heavy ion beam for the implantation process of semiconductors and the surface modification of materials. The nitrogen plasma produced by the plasma gun is injected into the acceleration gap of the diode with the external magnetic field system. The ion diode is operated at diode voltage approx. =200 kV, diode current approx. =2 kA and pulse duration approx. =150 ns. A new acceleration gap configuration for focusing ion beam has been designed in order to enhance the ion current density. The experimental results show that the ion current density is enhanced by a factor of 2 and the ion beam has the ion current density of 27 A/cm 2 . In addition, the coaxial type Marx generator with voltage 200 kV and current 15 kA has been developed and installed in the focus type ion diode. The ion beam of ion current density approx. =54 A/cm 2 is obtained. To produce metallic ion beams, an ion source by aluminum wire discharge has been developed and the aluminum plasma of ion current density ∼70 A/cm 2 is measured. (author)

  20. RF-plasma vapor deposition of siloxane on paper. Part 2: Chemical evolution of paper surface

    International Nuclear Information System (INIS)

    Sahin, Halil Turgut

    2013-01-01

    Highlights: ► Investigate the detailed RF-cold plasma surface modified paper by XPS and ATR-FTIR. ► Some chemical analysis of RF-cold plasma surface modified paper after RF plasma treatment. ► Identify the connection between RF plasma treatment and the surface chemistry of paper surface. - Abstract: Survey and high-resolution (HR) XPS studies indicate that OMCTSO plasma treatment created a new silicon containing functional groups and changed the hydroxyl content on the surface of paper. Four intense survey XPS spectrum peaks were observed for the OMCTSO plasma treated paper. They were the Si 2p at 100 eV, Si 2s at 160 eV, C 1s at 285 eV, and O 1s at 525 eV for the plasma modified surface. It was realized that the macromolecular chain-breaking mechanisms and plasma-induced etching processes control the number and the availability of OH-functionalities during OMCTSO plasma exposure on paper. The reaction, initiated by these species, depends mainly on the nature of chemicals in the plasma as well as on the energy level of the plasma and the nature of the surface effects in the modification of the paper. The ATR-FTIR spectrum of paper treated with OMCTSO plasma has characteristic absorption bands attributed to the Si-O and Si-O-Si formations on the surface.

  1. Investigation the effects of metallic substrate surfaces due to ion-plasma treatment

    International Nuclear Information System (INIS)

    Shulaev, V.M.; Taran, V.S.; Timoshenko, A.I.; Gasilin, V.V.

    2011-01-01

    It has been found correlation between modification effects and duration of ion-plasma cleaning the substrate surface with titanium ions. Experiments were carried out using serial vacuum-arc equipment ''Bulat-6'' at the stationary mode in non-filtered titanium plasma, which contained considerable quantity of evaporated material droplets. The polished cylinder substrates (diameter and height 9,14,20 mm) have been treated. The substrates were manufactured of stainless steel 12X18H10T and non-oxygen copper M00b. The substrates surface roughness after ion-plasma treatment has been investigated with electron microscope JEOL JSM-840 and optic interference non-contact profilograph- profilometer ''Micron-alpha''. According obtained results the surface of copper and stainless steel substrates has been treated to intensive modification, i.e. substrate surface after treatment significantly differs from initial one. During final ion-plasma treatment a number of effects occur: purification from surface oxides is accompanied with metallic surface ''contamination'' by the cathode material macrodroplets, surface micromelting accompanied by roughness increase, the surface layer annealing with noticeable decrease of hardness.

  2. Industrial application of electron sources with plasma emitters

    CERN Document Server

    Belyuk, S I; Rempe, N G

    2001-01-01

    Paper contains a description, operation, design and parameters of electron sources with plasma emitters. One presents examples of application of these sources as part of automated electron-beam welding lines. Paper describes application of such sources for electron-beam deposition of composite powders. Electron-beam deposition is used to rebuild worn out part and to increase strength of new parts of machines and tools. Paper presents some examples of rebuilding part and the advantages gained in this case

  3. Efficient 'water window' soft x-ray high-Z plasma source

    International Nuclear Information System (INIS)

    Higashiguchi, T; Otsuka, T; Jiang, W; Endo, A; Li, B; Dunne, P; O'Sullivan, G

    2013-01-01

    Unresolved transition array (UTA) is scalable to shorter wavelengths, and we demonstrate a table-top broadband emission 'water window' soft x-ray source based on laser-produced plasmas. Resonance emission from multiply charged ions merges to produce intense UTAs in the 2 to 4 nm region, extending below the carbon K edge (4.37 nm). An outline of a microscope design for single-shot live cell imaging is proposed based on a bismuth (Bi) plasma UTA source, coupled to multilayer mirror optics

  4. Collaborative Research: Atmospheric Pressure Plasma-Biomaterial Surface Interactions - Bridging Understanding of APP Sources to Rational Modification of Biomolecules

    Energy Technology Data Exchange (ETDEWEB)

    Graves, David Barry [Univ. of California, Berkeley, CA (United States)

    2017-11-24

    The overriding objective of this work is to bridge the gap between understanding of atmospheric pressure plasma (APP) sources and predictive chemical modifications of biomolecules. A key aspect of this problem is to understand what oxidizing species are created in water adjacent to APP jets that would ultimately affect aqueous biomolecules. We report the production of highly oxidative species in solutions exposed to a self-pulsed corona discharge in air. We examine how the properties of the target solution (pH, conductivity) and the discharge power affect the discharge stability and the production of H2O2. Indigo carmine, a common organic dye, is used as an indicator of oxidative strength and in particular, hydroxyl radical (OH•) production. The observed rate of indigo oxidation in contact with the discharge far exceeds that predicted from reactions based on concentrations of species measured in the bulk solution. The generation of H2O2 and the oxidation of indigo carmine indicate a high concentration of highly oxidizing species such as OH• at the plasma-liquid interface. These results indicate that reactions at the air plasma-liquid interface play a dominant role in species oxidation during direct non-equilibrium atmospheric pressure plasma (NE-APP) treatment.

  5. Treatment of polymer surfaces in plasma Part I. Kinetic model

    International Nuclear Information System (INIS)

    Tabaliov, N A; Svirachev, D M

    2006-01-01

    The surface tension of the polymer materials depends on functional groups over its surface. As a result from the plasma treatment the kind and concentration of the functional groups can be changed. In the present work, the possible kinetic reactions are defined. They describe the interaction between the plasma and the polymer surface of polyethylene terephthalate (PET). Basing on these reactions, the systems of differential kinetic equations are suggested. The solutions are obtained analytically for the system kinetic equations at defined circumstances

  6. Influence of surface finish on the plasma formation at the skin explosion

    International Nuclear Information System (INIS)

    Datsko, I M; Chaikovsky, S A; Labetskaya, N A; Rybka, D V; Oreshkin, V I; Khishchenko, K V

    2016-01-01

    The paper reports on experiments to investigate how the quality of surface finish, i.e., surface roughness, influences the plasma formation in a skin explosion of conductors. The experiments were performed on a MIG terawatt generator with a current amplitude of up to 2.5 MA and current rise time of 100 ns. The plasma formation at the conductor surface and the evolution of the plasma boundary was recorded using a four-frame optical camera with an exposure time of 3 ns per frame. It is shown that the quality of surface finish little affects the onset of plasma formation in a skin explosion of stainless steel and St3 steel conductors at a magnetic field of up to 400 T. (paper)

  7. Investigation of Plasma Etching for Superconducting RF Cavities Surface Preparation. Final Report

    International Nuclear Information System (INIS)

    Vuskovic, Leposava

    2009-01-01

    Our results show that plasma-treated samples are comparable or superior to a BCP sample, both in the size of features and sharpness of the boundaries between individual features at the surface. Plasma treatment of bulk Nb cavities is a promising technique for microwave cavities preparation used in particle acceleration application. Etching rates are sufficiently high to enable efficient removal of mechanically damaged surface layer with high reproducibility. No impurities are deposited on the bulk Nb surface during plasma treatment. Surface topology characteristic are promising for complex cavity geometry, since discharge conforms the profile of the reaction chamber. In view of these experimental results, we propose plasma treatment for producing microwave cavities with high Q factor instead of using bulk Nb treated with wet etching process.

  8. Plasma cleaning and the removal of carbon from metal surfaces

    International Nuclear Information System (INIS)

    Baker, M.A.

    1980-01-01

    In an investigation of the plasma cleaning of metals and the plasma etching of carbon, a mass spectrometer was used as a sensitive process monitor. CO 2 produced by the plasma oxidation of carbon films or of organic contamination and occluded carbon at the surfaces of metals proved to be the most suitable gas to monitor. A good correlation was obtained between the measured etch rate of carbon and the resulting CO 2 partial pressure monitored continuously with the mass spectrometer. The rate of etching of carbon in an oxygen-argon plasma at 0.1 Torr was high when the carbon was at cathode potential and low when it was electrically isolated in the plasma, thus confirming the findings of previous workers and indicating the importance of ion bombardment in the etching process. Superficial organic contamination on the surfaces of the metals aluminium and copper and of the alloy Inconel 625 was quickly removed by the oxygen-argon plasma when the metal was electrically isolated and also when it was at cathode potential. Occluded carbon (or carbides) at or near the surfaces of the metals was removed slowly and only when the metal was at cathode potential, thus illustrating again the importance of ion bombardment. (Auth.)

  9. Surface modification of titanium by plasma nitriding

    Directory of Open Access Journals (Sweden)

    Kapczinski Myriam Pereira

    2003-01-01

    Full Text Available A systematic investigation was undertaken on commercially pure titanium submitted to plasma nitriding. Thirteen different sets of operational parameters (nitriding time, sample temperature and plasma atmosphere were used. Surface analyses were performed using X-ray diffraction, nuclear reaction and scanning electron microscopy. Wear tests were done with stainless steel Gracey scaler, sonic apparatus and pin-on-disc machine. The obtained results indicate that the tribological performance can be improved for samples treated with the following conditions: nitriding time of 3 h; plasma atmosphere consisting of 80%N2+20%H2 or 20%N2+80%H2; sample temperature during nitriding of 600 or 800 degreesC.

  10. Low pressure arc discharges with hollow cathodes and their using in plasma generators and charged particle sources

    CERN Document Server

    Vintizenko, L G; Koval, N N; Tolkachev, V S; Lopatin, I V; Shchanin, P M

    2001-01-01

    Paper presents the results of investigation into arc discharges with a hollow cathode generating 10 sup 1 sup 0 -10 sup 1 sup 2 concentration gas-discharge plasma in essential (approx 1 m sup 3) volumes at low (10 sup - sup 2 -1 Pa) pressures and up to 200 A discharge currents. One studied design of discharge systems with heated and cold cathodes their peculiar features, presented the parameters of plasma generators and of charged particle sources based on arc discharges and discussed, as well, the problems of more rational application of those systems in the processes for surface modification of solids

  11. Microwave plasma source having improved switching operation from plasma ignition phase to normal ion extraction phase

    International Nuclear Information System (INIS)

    Sakudo, N.; Abe, K.; Koike, H.; Okada, O.; Tokiguchi, K.

    1985-01-01

    In a microwave plasma source, a discharge space supplied with a microwave electric field is supplied with a DC magnetic field. A material to be ionized is introduced into the discharge space to produce plasma, whereby ions are extracted through an ion extracting system. A switch is provided for effecting through switching operation the change-over of the magnetic field applied to the discharge space from the intensity for the ignition of plasma to the intensity for ion extraction in succession to completion of the plasma ignition

  12. Plasma functionalized surface of commodity polymers for dopamine detection

    Energy Technology Data Exchange (ETDEWEB)

    Fabregat, Georgina [Departament d’Enginyeria Química, E.T.S. d’Enginyeria Industrial de Barcelona, Universitat Politècnica de Catalunya, Diagonal 647, 08028, Barcelona (Spain); Center for Research in Nano-Engineering, Universitat Politècnica de Catalunya, Campus Sud, Edifici C’, C/Pasqual i Vila s/n, Barcelona, E-08028 (Spain); Osorio, Joaquin [Departament d’Enginyeria Química, E.T.S. d’Enginyeria Industrial de Barcelona, Universitat Politècnica de Catalunya, Diagonal 647, 08028, Barcelona (Spain); Castedo, Alejandra [Center for Research in Nano-Engineering, Universitat Politècnica de Catalunya, Campus Sud, Edifici C’, C/Pasqual i Vila s/n, Barcelona, E-08028 (Spain); Institut de Tècniques Energètiques, E.T.S. d’Enginyeria Industrial de Barcelona, Universitat Politècnica de Catalunya, Diagonal 647, 08028, Barcelona (Spain); Armelin, Elaine [Departament d’Enginyeria Química, E.T.S. d’Enginyeria Industrial de Barcelona, Universitat Politècnica de Catalunya, Diagonal 647, 08028, Barcelona (Spain); Center for Research in Nano-Engineering, Universitat Politècnica de Catalunya, Campus Sud, Edifici C’, C/Pasqual i Vila s/n, Barcelona, E-08028 (Spain); and others

    2017-03-31

    Highlights: • Electrochemically inert polymers become electroactive after plasma functionalization. • Selective dopamine detection has been achieved functionalizing polymers with plasma. • Plasma-functionalized polymers are sensitive dopamine detectors. • XPS analyses reflect the transformation of inert polymers into electrosensors. - Abstract: We have fabricated potentially generalizable sensors based on polymeric-modified electrodes for the electrochemical detection of dopamine. Sensitive and selective sensors have been successfully obtained by applying a cold-plasma treatment during 1–2 min not only to conducting polymers but also to electrochemically inert polymers, such as polyethylene, polypropylene, polyvinylpyrrolidone, polycaprolactone and polystyrene. The effects of the plasma in the electrode surface activation, which is an essential requirement for the dopamine detection when inert polymers are used, have been investigated using X-ray photoelectron spectroscopy. Results indicate that exposure of polymer-modified electrodes to cold-plasma produces the formation of a large variety of reactive species adsorbed on the electrode surface, which catalyse the dopamine oxidation. With this technology, which is based on the application of a very simple physical functionalization, we have defined a paradox-based paradigm for the fabrication of electrochemical sensors by using inert and cheap plastics.

  13. Non-Equilibrium Plasma Processing for the Preparation of Antibacterial Surfaces

    Directory of Open Access Journals (Sweden)

    Eloisa Sardella

    2016-06-01

    Full Text Available Non-equilibrium plasmas offer several strategies for developing antibacterial surfaces that are able to repel and/or to kill bacteria. Due to the variety of devices, implants, and materials in general, as well as of bacteria and applications, plasma assisted antibacterial strategies need to be tailored to each specific surface. Nano-composite coatings containing inorganic (metals and metal oxides or organic (drugs and biomolecules compounds can be deposited in one step, and used as drug delivery systems. On the other hand, functional coatings can be plasma-deposited and used to bind antibacterial molecules, for synthesizing surfaces with long lasting antibacterial activity. In addition, non-fouling coatings can be produced to inhibit the adhesion of bacteria and reduce the formation of biofilm. This paper reviews plasma-based strategies aimed to reduce bacterial attachment and proliferation on biomedical materials and devices, but also onto materials used in other fields. Most of the activities described have been developed in the lab of the authors.

  14. Influence of irradiation conditions on plasma evolution in laser-surface interaction

    Science.gov (United States)

    Hermann, J.; Boulmer-Leborgne, C.; Dubreuil, B.; Mihailescu, I. N.

    1993-09-01

    The plasma plume induced by pulsed CO2 laser irradiation of a Ti target at power densities up to 4×108 W cm-2 was studied by emission spectroscopy. Time- and space-resolved measurements were performed by varying laser intensity, laser temporal pulse shape, ambient gas pressure, and the nature of the ambient gas. Experimental results are discussed by comparison with usual models. We show that shock wave and plasma propagation depend critically on the ratio Ivap/Ii, Ivap being the intensity threshold for surface vaporization and Ii the plasma ignition threshold of the ambient gas. Spectroscopic diagnostics of the helium breakdown plasma show maximum values of electron temperature and electron density in the order of kTe˜10 eV and ne=1018 cm-3, respectively. The plasma cannot be described by local thermodynamic equilibrium modeling. Nevertheless, excited metal atoms appear to be in equilibrium with electrons, hence, they can be used like a probe to measure the electron temperature. In order to get information on the role of the plasma in the laser-surface interaction, Ti surfaces were investigated by microscopy after irradiation. Thus an enhanced momentum transfer from the plasma to the target due to the recoil pressure of the breakdown plasma could be evidenced.

  15. Particle flux at the outlet of an Ecr plasma source

    International Nuclear Information System (INIS)

    Gutierrez T, C.; Gonzalez D, J.

    1999-01-01

    The necessity of processing big material areas this has resulted in the development of plasma sources with the important property to be uniform in these areas. Also the continuous diminution in the size of substrates to be processed have stimulated the study of models which allow to predict the control of energy and the density of the ions and neutral particles toward the substrate. On the other hand, there are other applications of the plasma sources where it is very necessary to understand the effects generated by the energetic fluxes of ions and neutrals. These fluxes as well as another beneficial effects can improve the activation energy for the formation and improvement of the diffusion processes in the different materials. In this work, using the drift kinetic approximation is described a model to calculate the azimuthal and radial fluxes in the zone of materials processing of an Ecr plasma source type. The results obtained are compared with experimental results. (Author)

  16. Air spark-like plasma source for antimicrobial NOx generation

    International Nuclear Information System (INIS)

    Pavlovich, M J; Galleher, C; Curtis, B; Clark, D S; Graves, D B; Ono, T; Machala, Z

    2014-01-01

    We demonstrate and analyse the generation of nitrogen oxides and their antimicrobial efficacy using atmospheric air spark-like plasmas. Spark-like discharges in air in a 1 L confined volume are shown to generate NO x at an initial rate of about 1.5  ×  10 16 NO x molecules/J dissipated in the plasma. Such a discharge operating in this confined volume generates on the order of 6000 ppm NO x in 10 min. Around 90% of the NO x is in the form of NO 2 after several minutes of operation in the confined volume, suggesting that NO 2 is the dominant antimicrobial component. The strong antimicrobial action of the NO x mixture after several minutes of plasma operation is demonstrated by measuring rates of E. coli disinfection on surfaces and in water exposed to the NO x mixture. Some possible applications of plasma generation of NO x (perhaps followed by dissolution in water) include disinfection of surfaces, skin or wound antisepsis, and sterilization of medical instruments at or near room temperature. (paper)

  17. Negative hydrogen ion sources for accelerators

    Energy Technology Data Exchange (ETDEWEB)

    Moehs, D.P.; /Fermilab; Peters, J.; /DESY; Sherman, J.; /Los Alamos

    2005-08-01

    A variety of H{sup -} ion sources are in use at accelerator laboratories around the world. A list of these ion sources includes surface plasma sources with magnetron, Penning and surface converter geometries as well as magnetic-multipole volume sources with and without cesium. Just as varied is the means of igniting and maintaining magnetically confined plasmas. Hot and cold cathodes, radio frequency, and microwave power are all in use, as well as electron tandem source ignition. The extraction systems of accelerator H{sup -} ion sources are highly specialized utilizing magnetic and electric fields in their low energy beam transport systems to produce direct current, as well as pulsed and/or chopped beams with a variety of time structures. Within this paper, specific ion sources utilized at accelerator laboratories shall be reviewed along with the physics of surface and volume H{sup -} production in regard to source emittance. Current research trends including aperture modeling, thermal modeling, surface conditioning, and laser diagnostics will also be discussed.

  18. Surface modification and stability of detonation nanodiamonds in microwave gas discharge plasma

    International Nuclear Information System (INIS)

    Stanishevsky, Andrei V.; Walock, Michael J.; Catledge, Shane A.

    2015-01-01

    Graphical abstract: - Highlights: • Single and binary gas plasma modification of nanodiamond powders studied. • Temperature-dependent effect of N 2 and N 2 /H 2 plasma reported for the first time. • Role of H 2 in H 2 /N 2 and H 2 /O 2 plasma modification of nanodiamond discussed. - Abstract: Detonation nanodiamonds (DND), with low hydrogen content, were exposed to microwave plasma generated in pure H 2 , N 2 , and O 2 gases and their mixtures, and investigated using X-ray diffraction (XRD), Fourier Transform Infrared (FTIR), Raman, and X-ray photoelectron spectroscopies. Considerable alteration of the DND surface was observed under the plasma conditions for all used gases, but the diamond structure of the DND particle core was preserved in most cases. The stabilizing effect of H 2 in H 2 /N 2 and H 2 /O 2 binary gas plasmas on the DND structure and the temperature-dependent formation of various CNH x surface groups in N 2 and H 2 /N 2 plasmas were observed and discussed for the first time. DND surface oxidation and etching were the main effects of O 2 plasma, whereas the N 2 plasma led to DND surfaces rich in amide groups below 1073 K and nitrile groups at higher temperatures. Noticeable graphitization of the DND core structure was detected only in N 2 plasma when the substrate temperature was above 1103 K.

  19. Beam Simulation Studies of Plasma-Surface Interactions in Fluorocarbon Etching of Silicon and Silicon Dioxide

    Science.gov (United States)

    Gray, David C.

    1992-01-01

    A molecular beam apparatus has been constructed which allows the synthesis of dominant species fluxes to a wafer surface during fluorocarbon plasma etching. These species include atomic F as the primary etchant, CF _2 as a potential polymer forming precursor, and Ar^{+} or CF _{rm x}^{+} type ions. Ionic and neutral fluxes employed are within an order of magnitude of those typical of fluorocarbon plasmas and are well characterized through the use of in -situ probes. Etching yields and product distributions have been measured through the use of in-situ laser interferometry and line-of-sight mass spectrometry. XPS studies of etched surfaces were performed to assess surface chemical bonding states and average surface stoichiometry. A useful design guide was developed which allows optimal design of straight -tube molecular beam dosers in the collisionally-opaque regime. Ion-enhanced surface reaction kinetics have been studied as a function of the independently variable fluxes of free radicals and ions, as well as ion energy and substrate temperature. We have investigated the role of Ar ^{+} ions in enhancing the chemistries of F and CF_2 separately, and in combination on undoped silicon and silicon dioxide surfaces. We have employed both reactive and inert ions in the energy range most relevant to plasma etching processes, 20-500 eV, through the use of Kaufman and ECR type ion sources. The effect of increasing ion energy on the etching of fluorine saturated silicon and silicon dioxide surfaces was quantified through extensions of available low energy physical sputtering theory. Simple "site"-occupation models were developed for the quantification of the ion-enhanced fluorine etching kinetics in these systems. These models are suitable for use in topography evolution simulators (e.g. SAMPLE) for the predictive modeling of profile evolution in non-depositing fluorine-based plasmas such as NF_3 and SF_6. (Copies available exclusively from MIT Libraries, Rm. 14

  20. The Fracture of Plasma-Treated Polyurethane Surface under Fatigue Loading

    Directory of Open Access Journals (Sweden)

    Ilya A. Morozov

    2018-02-01

    Full Text Available Plasma treatment of soft polymers is a promising technique to improve biomedical properties of the materials. The response to the deformation of such materials is not yet clear. Soft elastic polyurethane treated with plasma immersion ion implantation is subjected to fatigue uniaxial loading. The influence of the strain amplitude and the plasma treatment regime on damage character is discussed. Surface defects are studied in unloaded and stretched states of the material. As a result of fatigue loading, transverse cracks (with closed overlapping edges as well as with open edges deeply propagating into the polymer and longitudinal folds which are break and bend inward, appear on the surface. Hard edges of cracks cut the soft polymer which is squeezed from the bulk to the surface. The observed damages are related to the high stiffness of the modified surface and its transition to the polymer substrate.

  1. Time-Domain Modeling of RF Antennas and Plasma-Surface Interactions

    Directory of Open Access Journals (Sweden)

    Jenkins Thomas G.

    2017-01-01

    Full Text Available Recent advances in finite-difference time-domain (FDTD modeling techniques allow plasma-surface interactions such as sheath formation and sputtering to be modeled concurrently with the physics of antenna near- and far-field behavior and ICRF power flow. Although typical sheath length scales (micrometers are much smaller than the wavelengths of fast (tens of cm and slow (millimeter waves excited by the antenna, sheath behavior near plasma-facing antenna components can be represented by a sub-grid kinetic sheath boundary condition, from which RF-rectified sheath potential variation over the surface is computed as a function of current flow and local plasma parameters near the wall. These local time-varying sheath potentials can then be used, in tandem with particle-in-cell (PIC models of the edge plasma, to study sputtering effects. Particle strike energies at the wall can be computed more accurately, consistent with their passage through the known potential of the sheath, such that correspondingly increased accuracy of sputtering yields and heat/particle fluxes to antenna surfaces is obtained. The new simulation capabilities enable time-domain modeling of plasma-surface interactions and ICRF physics in realistic experimental configurations at unprecedented spatial resolution. We will present results/animations from high-performance (10k-100k core FDTD/PIC simulations of Alcator C-Mod antenna operation.

  2. The requirements for low-temperature plasma ionization support miniaturization of the ion source.

    Science.gov (United States)

    Kiontke, Andreas; Holzer, Frank; Belder, Detlev; Birkemeyer, Claudia

    2018-06-01

    Ambient ionization mass spectrometry (AI-MS), the ionization of samples under ambient conditions, enables fast and simple analysis of samples without or with little sample preparation. Due to their simple construction and low resource consumption, plasma-based ionization methods in particular are considered ideal for use in mobile analytical devices. However, systematic investigations that have attempted to identify the optimal configuration of a plasma source to achieve the sensitive detection of target molecules are still rare. We therefore used a low-temperature plasma ionization (LTPI) source based on dielectric barrier discharge with helium employed as the process gas to identify the factors that most strongly influence the signal intensity in the mass spectrometry of species formed by plasma ionization. In this study, we investigated several construction-related parameters of the plasma source and found that a low wall thickness of the dielectric, a small outlet spacing, and a short distance between the plasma source and the MS inlet are needed to achieve optimal signal intensity with a process-gas flow rate of as little as 10 mL/min. In conclusion, this type of ion source is especially well suited for downscaling, which is usually required in mobile devices. Our results provide valuable insights into the LTPI mechanism; they reveal the potential to further improve its implementation and standardization for mobile mass spectrometry as well as our understanding of the requirements and selectivity of this technique. Graphical abstract Optimized parameters of a dielectric barrier discharge plasma for ionization in mass spectrometry. The electrode size, shape, and arrangement, the thickness of the dielectric, and distances between the plasma source, sample, and MS inlet are marked in red. The process gas (helium) flow is shown in black.

  3. Non-thermal atmospheric pressure HF plasma source: generation of nitric oxide and ozone for bio-medical applications

    Science.gov (United States)

    Kühn, S.; Bibinov, N.; Gesche, R.; Awakowicz, P.

    2010-01-01

    A new miniature high-frequency (HF) plasma source intended for bio-medical applications is studied using nitrogen/oxygen mixture at atmospheric pressure. This plasma source can be used as an element of a plasma source array for applications in dermatology and surgery. Nitric oxide and ozone which are produced in this plasma source are well-known agents for proliferation of the cells, inhalation therapy for newborn infants, disinfection of wounds and blood ozonation. Using optical emission spectroscopy, microphotography and numerical simulation, the gas temperature in the active plasma region and plasma parameters (electron density and electron distribution function) are determined for varied nitrogen/oxygen flows. The influence of the gas flows on the plasma conditions is studied. Ozone and nitric oxide concentrations in the effluent of the plasma source are measured using absorption spectroscopy and electro-chemical NO-detector at variable gas flows. Correlations between plasma parameters and concentrations of the particles in the effluent of the plasma source are discussed. By varying the gas flows, the HF plasma source can be optimized for nitric oxide or ozone production. Maximum concentrations of 2750 ppm and 400 ppm of NO and O3, correspondingly, are generated.

  4. Diagnostics of plasma-biological surface interactions in low pressure and atmospheric pressure plasmas

    International Nuclear Information System (INIS)

    Ishikawa, Kenji; Hori, Masaru

    2014-01-01

    Mechanisms of plasma-surface interaction are required to understand in order to control the reactions precisely. Recent progress in atmospheric pressure plasma provides to apply as a tool of sterilization of contaminated foodstuffs. To use the plasma with safety and optimization, the real time in situ detection of free radicals - in particular dangling bonds by using the electron-spin-resonance (ESR) technique has been developed because the free radical plays important roles for dominantly biological reactions. First, the kinetic analysis of free radicals on biological specimens such as fungal spores of Penicillium digitatum interacted with atomic oxygen generated plasma electric discharge. We have obtained information that the in situ real time ESR signal from the spores was observed and assignable to semiquinone radical with a g-value of around 2.004 and a line width of approximately 5G. The decay of the signal was correlated with a link to the inactivation of the fungal spore. Second, we have studied to detect chemical modification of edible meat after the irradiation. Using matrix-assisted laser desorption/ionization time-of-flight mass spectroscopy (MALDI-TOF-MS) and ESR, signals give qualification results for chemical changes on edible liver meat. The in situ real-time measurements have proven to be a useful method to elucidate plasma-induced surface reactions on biological specimens. (author)

  5. Bright X-ray source from a laser-driven micro-plasma-waveguide

    CERN Document Server

    Yi, Longqing

    2016-01-01

    Bright tunable x-ray sources have a number of applications in basic science, medicine and industry. The most powerful sources are synchrotrons, where relativistic electrons are circling in giant storage rings. In parallel, compact laser-plasma x-ray sources are being developed. Owing to the rapid progress in laser technology, very high-contrast femtosecond laser pulses of relativistic intensities become available. These pulses allow for interaction with micro-structured solid-density plasma without destroying the structure by parasitic pre-pulses. The high-contrast laser pulses as well as the manufacturing of materials at micro- and nano-scales open a new realm of possibilities for laser interaction with photonic materials at the relativistic intensities. Here we demonstrate, via numerical simulations, that when coupling with a readily available 1.8 Joule laser, a micro-plasma-waveguide (MPW) may serve as a novel compact x-ray source. Electrons are extracted from the walls by the laser field and form a dense ...

  6. Investigation of surface boundary conditions for continuum modeling of RF plasmas

    Science.gov (United States)

    Wilson, A.; Shotorban, B.

    2018-05-01

    This work was motivated by a lacking general consensus in the exact form of the boundary conditions (BCs) required on the solid surfaces for the continuum modeling of Radiofrequency (RF) plasmas. Various kinds of number and energy density BCs on solid surfaces were surveyed, and how they interacted with the electric potential BC to affect the plasma was examined in two fundamental RF plasma reactor configurations. A second-order local mean energy approximation with equations governing the electron and ion number densities and the electron energy density was used to model the plasmas. Zero densities and various combinations of drift, diffusion, and thermal fluxes were considered to set up BCs. It was shown that the choice of BC can have a significant impact on the sheath and bulk plasma. The thermal and diffusion fluxes to the surface were found to be important. A pure drift BC for dielectric walls failed to produce a sheath.

  7. Plasma interaction with emmissive surface with Debye-scale grooves

    Science.gov (United States)

    Schweigert, Irina; Burton, Thomas S.; Thompson, Gregory B.; Langendorf, Samuel; Walker, Mitchell L. R.; Keidar, Michael

    2018-04-01

    The sheath development over emissive grooved surface in dc discharge plasma controlled by an electron beam is studied in the experiment and in 2D kinetic simulations. Grooved hexagonal boron nitride surfaces with different aspect ratios, designed to mimic the erosion channels, were exposed to an argon plasma. The characteristic size of the grooves (1 mm and 5 mm) is about of the Debye length. The secondary electrons emission from the grooved surfaces is provided by the bombardment with energetic electrons originated from the heated powered cathode. The transition between a developed and a collapsed sheaths near emissive surface takes place with an increase of the beam electron energy. For grooved emissive surfaces, the sheath transition happens at essentially higher voltage compared to the planar one. This phenomenon is analyzed in the terms of the electron energy distribution function.

  8. Plasma surface functionalization and dyeing kinetics of Pan-Pmma copolymers

    OpenAIRE

    Labay, C.; Canal, C.; Rodríguez, C.; Caballero, G.; Canal, J.M.

    2013-01-01

    Fiber surface modification with air corona plasma has been studied through dyeing kinetics under isothermal conditions at 30 °C on an acrylic-fiber fabric with a cationic dye (CI Basic Blue 3) analyzing the absorption, desorption and fixing on the surface of molecules having defined cationic character. The initial dyeing rate in the first 60 s indicates an increase of 58.3% in the dyeing rate due to the effect of corona plasma on the acrylic fiber surface. At the end of the dyeing process...

  9. Plasma surface modification of rigid contact lenses decreases bacterial adhesion.

    Science.gov (United States)

    Wang, Yingming; Qian, Xuefeng; Zhang, Xiaofeng; Xia, Wei; Zhong, Lei; Sun, Zhengtai; Xia, Jing

    2013-11-01

    Contact lens safety is an important topic in clinical studies. Corneal infections usually occur because of the use of bacteria-carrying contact lenses. The current study investigated the impact of plasma surface modification on bacterial adherence to rigid contact lenses made of fluorosilicone acrylate materials. Boston XO and XO2 contact lenses were modified using plasma technology (XO-P and XO2-P groups). Untreated lenses were used as controls. Plasma-treated and control lenses were incubated in solutions containing Staphylococcus aureus or Pseudomonas aeruginosa. MTT colorimetry, colony-forming unit counting method, and scanning electron microscopy were used to measure bacterial adhesion. MTT colorimetry measurements showed that the optical density (OD) values of XO-P and XO2-P were significantly lower than those of XO and XO2, respectively, after incubation with S. aureus (P lenses and to the XO2-P versus XO2 lenses incubated with S. aureus (P lenses incubated with P. aeruginosa (P lenses. Plasma surface modification can significantly decrease bacterial adhesion to fluorosilicone acrylate contact lenses. This study provides important evidence of a unique benefit of plasma technology in contact lens surface modification.

  10. Surface characterization of plasma treated polymers for applications as biocompatible carriers

    Directory of Open Access Journals (Sweden)

    L. Bacakova

    2013-06-01

    Full Text Available The objective of this work was to determine surface properties of polymer surfaces after plasma treatment with the aim of further cytocompatibility tests. Examined polymers were poly(ethyleneterephthalate (PET, high-density polyethylene (HDPE, poly(tetrafluoro-ethylene (PTFE and poly(L-lactic acid (PLLA. Goniometry has shown that the plasma treatment was immediately followed by a sharp decrease of contact angle of the surface. In the course of ageing the contact angle increased due to the reorientation of polar groups into the surface layer of polymer. Ablation of polymer surfaces was observed during the degradation. Decrease of weight of polymer samples was measured by gravimetry. Surface morphology and roughness was studied by atomic force microscopy (AFM. The PLLA samples exhibited saturation of wettability (aged surface after approximately 100 hours, while the PET and PTFE achieved constant values of contact angle after 336 hours. Irradiation by plasma leads to polymer ablation, the highest mass loss being observed for PLLA. The changes in the surface roughness and morphology were observed, a lamellar structure being induced on PTFE. Selected polymer samples were seeded with VSMC (vascular smooth muscle cells and the adhesion and proliferation of cells was studied. It was proved that certain combination of input treatment parameters led to improvement of polymer cytocompatibility. The plasma exposure was confirmed to significantly improve the PTFE biocompatibility.

  11. Negative Ion Sources: Magnetron and Penning

    CERN Document Server

    Faircloth, D.C.

    2013-12-16

    The history of the magnetron and Penning electrode geometry is briefly outlined. Plasma generation by electrical discharge-driven electron impact ionization is described and the basic physics of plasma and electrodes relevant to magnetron and Penning discharges are explained. Negative ions and their applications are introduced, along with their production mechanisms. Caesium and surface production of negative ions are detailed. Technical details of how to build magnetron and Penning surface plasma sources are given, along with examples of specific sources from around the world. Failure modes are listed and lifetimes compared.

  12. Negative Ion Sources: Magnetron and Penning

    International Nuclear Information System (INIS)

    Faircloth, D C

    2013-01-01

    The history of the magnetron and Penning electrode geometry is briefly outlined. Plasma generation by electrical discharge-driven electron impact ionization is described and the basic physics of plasma and electrodes relevant to magnetron and Penning discharges are explained. Negative ions and their applications are introduced, along with their production mechanisms. Caesium and surface production of negative ions are detailed. Technical details of how to build magnetron and Penning surface plasma sources are given, along with examples of specific sources from around the world. Failure modes are listed and lifetimes compared. (author)

  13. Study on hydrophilicity of polymer surfaces improved by plasma treatment

    International Nuclear Information System (INIS)

    Lai Jiangnan; Sunderland, Bob; Xue Jianming; Yan, Sha; Zhao Weijiang; Folkard, Melvyn; Michael, Barry D.; Wang Yugang

    2006-01-01

    Surface properties of polycarbonate (PC), polypropylene (PP), polyethylene terephthalate (PET) samples treated by microwave-induced argon plasma have been studied with contact angle measurement, X-ray photoelectron spectroscopy (XPS) and scanned electron microscopy (SEM). It is found that plasma treatment modified the surfaces both in composition and roughness. Modification of composition makes polymer surfaces tend to be highly hydrophilic, which mainly depended on the increase of ratio of oxygen-containing group as same as other papers reported. And this experiment further revealed that C=O bond is Key factor to the improvement of the hydrophilicity of polymer surfaces. Our SEM observation on PET shown that the roughness of the surface has also been improved in micron scale and it has influence on the surface hydrophilicity

  14. Plasma-polymerized perfluoro(methylcyclohexane) coating on ethylene propylene diene elastomer surface: Effect of plasma processing condition on the deposition kinetics, morphology and surface energy of the film

    International Nuclear Information System (INIS)

    Tran, N.D.; Dutta, N.K.; Choudhury, N. Roy

    2005-01-01

    Plasma polymerization of perfluoro (methylcyclohexane) was carried out under cold plasma process operated at 13.56 MHz to deposit pore-free, uniform, ultra-thin film on an ethylene propylene diene terpolymer (EPDM) substrate in a view to modify the surface characteristics. The plasma fluoropolymeric films were formed at different plasma treatment times (from 20 s to 16 min), applied powers (20 to 100 W) and precursor flow rates to produce high quality films in a controllable yet tunable fashion. Scanning electron microscopy was employed successfully to characterize the evolution of the morphological feature in the film and also to determine the thickness of the coating. The surface energy of the film was determined by sessile drop method using different solvents as probe liquids. It is observed that a pore-free homogeneous plasma polymer thin film is formed within 20 s of treatment time, however, the morphology of the film depends on the plasma processing conditions, such as plasma power, precursor flow rate and deposition time. With increased time and power at a constant flow rate, the morphology of the film progressively changes from flat smooth to globular and rough. The kinetics and activation energy of the plasma polymer film deposition process were also estimated. The surface energy of the EPDM substrate decreased dramatically with plasma coating, however, it appears to be independent of the treatment time

  15. High intensity surface plasma waves, theory and PIC simulations

    Science.gov (United States)

    Raynaud, M.; Héron, A.; Adam, J.-C.

    2018-01-01

    With the development of intense (>1019 W cm-2) short pulses (≤25 fs) laser with very high contrast, surface plasma wave (SPW) can be explored in the relativistic regime. As the SPW propagates with a phase velocity close to the speed of light it may results in a strong acceleration of electron bunches along the surface permitting them to reach relativistic energies. This may be important e.g. for applications in the field of plasma-based accelerators. We investigate in this work the excitation of SPWs on grating preformed over-dense plasmas for laser intensities ranging from 1019 up to 1021 W cm-2. We discuss the nature of the interaction with respect to the solid case in which surface plasmon can be resonantly excited with weak laser intensity. In particular, we show the importance of the pulse duration and focalization of the laser beam on the amplitude of the SPW.

  16. Apparent Surface Free Energy of Polymer/Paper Composite Material Treated by Air Plasma

    Directory of Open Access Journals (Sweden)

    Konrad Terpiłowski

    2017-01-01

    Full Text Available Surface plasma treatment consists in changes of surface properties without changing internal properties. In this paper composite polymer/paper material is used for production of packaging in cosmetic industry. There are problems with bonding this material at the time of packaging production due to its properties. Composite surface was treated by air plasma for 1, 10, 20, and 30 s. The advancing and receding contact angles of water, formamide, and diiodomethane were measured using both treated and untreated samples. Apparent surface free energy was estimated using the hysteresis (CAH and Van Oss, Good, Chaudhury approaches (LWAB. Surface roughness was investigated using optical profilometry and identification of after plasma treatment emerging chemical groups was made by means of the XPS (X-ray photoelectron spectroscopy technique. After plasma treatment the values of contact angles decreased which is particularly evident for polar liquids. Apparent surface free energy increased compared to that of untreated samples. Changes of energy value are due to the electron-donor parameter of energy. This parameter increases as a result of adding polar groups at the time of surface plasma activation. Changes of surface properties are combination of increase of polar chemical functional groups, increase on the surface, and surface roughness increase.

  17. Materials surface modification by plasma bombardment under simultaneous erosion and redeposition conditions

    International Nuclear Information System (INIS)

    Hirooka, Y.; Goebel, D.M.; Conn, R.W.

    1986-07-01

    The first in-depth investigation of surface modification of materials by continuous, high-flux argon plasma bombardment under simultaneous erosion and redeposition conditions have been carried out for copper and 304 stainless steel using the PISCES facility. The plasma bombardment conditions are: incident ion flux range from 10 17 to 10 19 ions sec -1 cm -2 , total ion fluence is controlled between 10 19 and 10 22 ions cm -2 , electron temperature range from 5 to 15 eV, and plasma density range from 10 11 to 10 13 cm -3 . The incident ion energy is 100 eV. The sample temperature is between 300 and 700K. Under redeposition dominated conditions, the material erosion rate due to the plasma bombardment is significantly smaller (by a factor up to 10) than that can be expected from the classical ion beam sputtering yield data. It is found that surface morphologies of redeposited materials strongly depend on the plasma bombardment condition. The effect of impurities on surface morphology is elucidated in detail. First-order modelings are implemented to interpret the reduced erosion rate and the surface evolution. Also, fusion related surface properties of redeposited materials such as hydrogen reemission and plasma driven permeation have been characterized

  18. Dust-Lower-Hybrid Surface Waves in Classical and Degenerate Plasmas

    International Nuclear Information System (INIS)

    Ayub, M.; Shah, H.A.; Qureshi, M.N.S.; Salimullah, M.

    2013-01-01

    The dispersion relation for general dust low frequency electrostatic surface waves propagating on an interface between a magnetized dusty plasma region and a vacuum is derived by using specular reflection boundary conditions both in classical and quantum regimes. The frequency limit ω ≪ ω ci ≪ ω ce is considered and the dispersion relation for the Dust-Lower-Hybrid Surface Waves (DLHSW's) is derived for both classical and quantum plasma half-space and analyzed numerically. It is shown that the wave behavior changes as the quantum nature of the problem is considered. (physics of gases, plasmas, and electric discharges)

  19. A Study of Atmospheric Plasma Treatment on Surface Energetics of Carbon Fibers

    International Nuclear Information System (INIS)

    Park, Soo Jin; Chang, Yong Hwan; Moon, Cheol Whan; Suh, Dong Hack; Im, Seung Soon; Kim, Yeong Cheol

    2010-01-01

    In this study, the atmospheric plasma treatment with He/O 2 was conducted to modify the surface chemistry of carbon fibers. The effects of plasma treatment parameters on the surface energetics of carbon fibers were experimentally investigated with respect to gas flow ratio, power intensity, and treatment time. Surface characteristics of the carbon fibers were determined by X-ray photoelectron spectroscopy (XPS), scanning electron microscope (SEM), Fourier transform infrared (FT-IR), Zeta-potential, and contact angle measurements. The results indicated that oxygen plasma treatment led to a large amount of reactive functional groups onto the fiber surface, and these groups can form together as physical intermolecular bonding to improve the surface wettability with a hydrophilic polymer matrix

  20. Burning plasmas in ITER for energy source

    International Nuclear Information System (INIS)

    Inoue, Nobuyuki

    2002-01-01

    Fusion research and development has two aspects. One is an academic research on science and technology, i.e., discovery and understanding of unexpected phenomena and, development of innovative technology, respectively. The other is energy source development to realize fusion as a viable energy future. Fusion research has been made remarkable progress in the past several decades, and ITER will soon realize burning plasma that is essential for both academic research and energy development. With ITER, scientific research on unknown phenomena such as self-organization of the plasma in burning state will become possible and it contributes to create a variety of academic outcome. Fusion researchers will have a responsibility to generate actual energy, and electricity generation immediately after the success of burning plasma control experiment in ITER is the next important step that has to be discussed seriously. (author)

  1. Burning plasmas in ITER for energy source

    Energy Technology Data Exchange (ETDEWEB)

    Inoue, Nobuyuki [Atomic Energy Commission, Tokyo (Japan)

    2002-10-01

    Fusion research and development has two aspects. One is an academic research on science and technology, i.e., discovery and understanding of unexpected phenomena and, development of innovative technology, respectively. The other is energy source development to realize fusion as a viable energy future. Fusion research has been made remarkable progress in the past several decades, and ITER will soon realize burning plasma that is essential for both academic research and energy development. With ITER, scientific research on unknown phenomena such as self-organization of the plasma in burning state will become possible and it contributes to create a variety of academic outcome. Fusion researchers will have a responsibility to generate actual energy, and electricity generation immediately after the success of burning plasma control experiment in ITER is the next important step that has to be discussed seriously. (author)

  2. Helium atmospheric pressure plasma jets touching dielectric and metal surfaces

    Science.gov (United States)

    Norberg, Seth A.; Johnsen, Eric; Kushner, Mark J.

    2015-07-01

    Atmospheric pressure plasma jets (APPJs) are being investigated in the context plasma medicine and biotechnology applications, and surface functionalization. The composition of the surface being treated ranges from plastics, liquids, and biological tissue, to metals. The dielectric constant of these materials ranges from as low as 1.5 for plastics to near 80 for liquids, and essentially infinite for metals. The electrical properties of the surface are not independent variables as the permittivity of the material being treated has an effect on the dynamics of the incident APPJ. In this paper, results are discussed from a computational investigation of the interaction of an APPJ incident onto materials of varying permittivity, and their impact on the discharge dynamics of the plasma jet. The computer model used in this investigation solves Poisson's equation, transport equations for charged and neutral species, the electron energy equation, and the Navier-Stokes equations for the neutral gas flow. The APPJ is sustained in He/O2 = 99.8/0.2 flowing into humid air, and is directed onto dielectric surfaces in contact with ground with dielectric constants ranging from 2 to 80, and a grounded metal surface. Low values of relative permittivity encourage propagation of the electric field into the treated material and formation and propagation of a surface ionization wave. High values of relative permittivity promote the restrike of the ionization wave and the formation of a conduction channel between the plasma discharge and the treated surface. The distribution of space charge surrounding the APPJ is discussed.

  3. Experimental Study of Plasma-Surface Interaction and Material Damage Relevant to ITER Type I Elms

    International Nuclear Information System (INIS)

    Makhlai, V.A.; Bandura, A.N.; Byrka, O.V. and others; Landman, I.; Neklyudov, I.M.

    2006-01-01

    The paper presents experimental investigations of main features of plasma surface interaction and energy transfer to the material surface in dependence on plasma heat loads. The experiments were performed with QSPA repetitive plasma pulses of the duration of 0.25 ms and the energy density up to 2.5 MJ/m 2 . Surface morphology of the targets exposed to QSPA plasma screams is analyzed. Relative contribution of the Lorentz force and plasma pressure gradient to the resulting surface profile is discussed. development of cracking on the tungsten surface and swelling of the surface are found to be in strong dependence on initial temperature of the target

  4. Plasma nitriding - an eco friendly surface hardening process

    International Nuclear Information System (INIS)

    Mukherjee, S.

    2015-01-01

    Surface hardening is a process of heating the metal such that the surface gets only hardened. This process is adopted for many components like gears, cams, and crankshafts, which desire high hardness on the outer surface with a softer core to withstand the shocks. So, to attain such properties processes like carburising, nitriding, flame hardening and induction hardening are employed. Amongst these processes nitriding is the most commonly used process by many industries. In nitriding process the steel material is heated to a temperature of around 550 C and then exposed to atomic nitrogen. This atomic nitrogen reacts with iron and other alloying elements and forms nitrides, which are very hard in nature. By this process both wear resistance and hardness of the product can be increased. The atomic nitrogen required for this process can be obtained using ammonia gas (gas nitriding), cyanide based salt bath (liquid nitriding) and plasma medium (plasma nitriding). However, plasma nitriding has recently received considerable industrial interest owing to its characteristic of faster nitrogen penetration, short treatment time, low process temperature, minimal distortion, low energy use and easier control of layer formation compared with conventional techniques such as gas and liquid nitriding. This process can be used for all ferrous materials including stainless steels. Plasma nitriding is carried out using a gas mixture of nitrogen and hydrogen gas at sub atmospheric pressures hence, making it eco-friendly in nature. Plasma nitriding allows modification of the surface layers and hardness profiles by changing the gas mixture and temperature. The wide applicable temperature range enables a multitude of applications, beyond the possibilities of gas or salt bath processes. This has led to numerous applications of this process in industries such as the manufacture of machine parts for plastics and food processing, packaging and tooling as well as pumps and hydraulic, machine

  5. Surface characterization of alloy Ti-6Al-7Nb treated plasma

    International Nuclear Information System (INIS)

    Moura, J.K.L.; Macedo, H.R.A.; Brito, E.M.; Brandim, A.S.

    2014-01-01

    Plasma surface modifications are subject of numerous studies to improve the quality of a given material. Titanium and its alloys are widely used in biomedical applications and plasma treatment technique is increasingly used to improve the surface properties thereof. The research have a objective in the comparative analysis of the change in microstructure of Ti-6Al-7Nb alloys after treatment of plasma nitriding. The technical are: nitriding with cathode cage (NGC) and planar discharge. The characterization was obtained by MEV (Scanning Electronic Microscope) and hardness. The results was compared about the better surface modification that meets future prospects of the biocompatibility of the alloy.(author)

  6. Plasma jet source parameter optimisation and experiments on injection into Globus-M spherical tokamak

    International Nuclear Information System (INIS)

    Gusev, V.K.; Petrov, Yu.V.; Sakharov, N.V.; Semenov, A.A.; Voronin, A.V.

    2005-01-01

    Results of theoretical and experimental research on the plasma sources and injection of plasma and gas jet produced by the modified source into tokamak Globus-M are presented. An experimental test stand was developed for investigation of intense plasma jet generation. Optimisation of pulsed coaxial accelerator parameters by means of analytical calculations is performed with the aim of achieving the highest flow velocity at limited coaxial electrode length and discharge current. The optimal parameters of power supply to generate a plasma jet with minimal impurity contamination and maximum flow velocity were determined. A comparison of experimental and calculation results is made. Plasma jet parameters are measured, such as: impurity species content, pressure distribution across the jet, flow velocity, plasma density, etc. Experiments on the interaction of a higher kinetic energy plasma jet with the magnetic field and plasma of the Globus-M tokamak were performed. Experimental results on plasma and gas jet injection into different Globus-M discharge phases are presented and discussed. Results are presented on the investigation of plasma jet injection as the source for discharge breakdown, plasma current startup and initial density rise. (author)

  7. Impulse Plasma In Surface Engineering - a review

    Science.gov (United States)

    Zdunek, K.; Nowakowska-Langier, K.; Chodun, R.; Okrasa, S.; Rabinski, M.; Dora, J.; Domanowski, P.; Halarowicz, J.

    2014-11-01

    The article describes the view of the plasma surface engineering, assuming the role of non-thermal energy effects in the synthesis of materials and coatings deposition. In the following study it was underlined that the vapor excitation through the application of an electric field during coatings deposition gives new possibilities for coatings formation. As an example the IPD method was chosen. During the IPD (Impulse Plasma Deposition) the impulse plasma is generated in the coaxial accelerator by strong periodic electrical pulses. The impulse plasma is distributed in the form of energetic plasma pockets. Due to the almost completely ionization of gas, the nucleation of new phases takes place on ions directly in the plasma itself. As a result the coatings of metastable materials with nano-amorphous structure and excellent adhesion to the non-heated intentionally substrates could be deposited. Recently the novel way of impulse plasma generation during the coatings deposition was proposed and developed by our group. An efficient tool for plasma process control, the plasma forming gas injection to the interelectrode space was used. Periodic changing the gas pressure results in increasing both the degree of dispersion and the dynamics of the plasma pulses. The advantage of the new technique in deposition of coatings with exceptionally good properties has been demonstrated in the industrial scale not only in the case of the IPD method but also in the case of very well known magnetron sputtering method.

  8. RF H-minus ion source development in China spallation neutron source

    Science.gov (United States)

    Chen, W.; Ouyang, H.; Xiao, Y.; Liu, S.; Lü, Y.; Cao, X.; Huang, T.; Xue, K.

    2017-08-01

    China Spallation Neutron Source (CSNS) phase-I project currently uses a Penning surface plasma H- ion source, which has a life time of several weeks with occasional sparks between high voltage electrodes. To extend the life time of the ion source and prepare for the CSNS phase-II, we are trying to develop a RF negative hydrogen ion source with external antenna. The configuration of the source is similar to the DESY external antenna ion source and SNS ion source. However several changes are made to improve the stability and the life time. Firstly, Si3N4 ceramic with high thermal shock resistance, and high thermal conductivity is used for plasma chamber, which can endure an average power of 2000W. Secondly, the water-cooled antenna is brazed on the chamber to improve the energy efficiency. Thirdly, cesium is injected directly to the plasma chamber if necessary, to simplify the design of the converter and the extraction. Area of stainless steel exposed to plasma is minimized to reduce the sputtering and degassing. Instead Mo, Ta, and Pt coated materials are used to face the plasma, which makes the self-cleaning of the source possible.

  9. The difference between the metal ion extracted from the R.F. ion source by applying plasma chemistry reaction and by non-plasma range chemistry reaction

    International Nuclear Information System (INIS)

    Bai Gui Bin

    1987-01-01

    The paper introduced the difference between using plasma chemistry reaction draw metal ion and non-plasma range chemistry reaction in the R.F. ion source. By using of the plasma chemistry reaction draw metal ion higher percentage than non-plasma range chemistry reaction in the R.F. ion source. The authors plasma chemistry reaction to R.F. ion source and implanter successfully. The effect is very well, it has its own characteristic

  10. Positron Source from Betatron X-rays Emitted in a Plasma Wiggler

    Energy Technology Data Exchange (ETDEWEB)

    Johnson, D.K.; Clayton, C.E.; Huang, C.; Joshi, C.; Lu, W.; Marsh, K.A.; Mori, W.B.; Zhou, M.; /UCLA; Barnes, C.D.; Decker, F.J.; Hogan, M.J.; Iverson, R.H.; Krejcik, P.; O' Connell, C.L.; Siemann, R.; Walz, D.R.; /SLAC; Deng, S.; Katsouleas, T.C.; Muggli, P.; Oz, E.; /Southern California U.

    2006-04-21

    In the E-167 plasma wakefield accelerator (PWFA) experiments in the Final Focus Test Beam (FFTB) at the Stanford Linear Accelerator Center (SLAC), an ultra-short, 28.5 GeV electron beam field ionizes a neutral column of Lithium vapor. In the underdense regime, all plasma electrons are expelled creating an ion column. The beam electrons undergo multiple betatron oscillations leading to a large flux of broadband synchrotron radiation. With a plasma density of 3 x 10{sup 17}cm{sup -3}, the effective focusing gradient is near 9 MT/m with critical photon energies exceeding 50 MeV for on-axis radiation. A positron source is the initial application being explored for these X-rays, as photo-production of positrons eliminates many of the thermal stress and shock wave issues associated with traditional Bremsstrahlung sources. Photo-production of positrons has been well-studied; however, the brightness of plasma X-ray sources provides certain advantages. In this paper, we present results of the simulated radiation spectra for the E-167 experiments, and compute the expected positron yield.

  11. Response of fuzzy tungsten surfaces to pulsed plasma bombardment

    International Nuclear Information System (INIS)

    Nishijima, D.; Doerner, R.P.; Iwamoto, D.; Kikuchi, Y.; Miyamoto, M.; Nagata, M.; Sakuma, I.; Shoda, K.; Ueda, Y.

    2013-01-01

    Damage of fuzzy tungsten surfaces due to a transient plasma load is characterized in terms of mass loss, surface morphology, and optical properties. A single D pulsed (∼0.1–0.2 ms) plasma shot with surface absorbed energy density of ∼1.1 MJ m −2 leads to a mass loss of ∼80 μg, which cannot be explained by physical sputtering. Thus, macroscopic erosion processes such as droplets and dust release as well as arcing are thought to be responsible for the mass loss. In fact, scanning electron microscopy observations reveal the melting of the tips of fuzz and arc tracks. The optical reflectivity of the damaged (melted) surface is measured to be higher than that of an undamaged fuzzy surface (below ∼0.01%). Spectroscopic ellipsometry shows that the refractive index, n, and extinction coefficient, k, increase from n ≈ 1 and k ≈ 0 for an undamaged fuzzy surface with an increase in the degree of damage of fuzz

  12. Ultrasound enhanced plasma surface modification at atmospheric pressure

    DEFF Research Database (Denmark)

    Kusano, Yukihiro; Singh, Shailendra Vikram; Norrman, Kion

    2012-01-01

    Efficiency of atmospheric pressure plasma treatment can be highly enhanced by simultaneous high power ultrasonic irradiation onto the treating surface. It is because ultrasonic waves with a sound pressure level (SPL) above ∼140 dB can reduce the thickness of a boundary gas layer between the plasma...... arc at atmospheric pressure to study adhesion improvement. The effect of ultrasonic irradiation with the frequency diapason between 20 and 40 kHz at the SPL of ∼150 dB was investigated. After the plasma treatment without ultrasonic irradiation, the wettability was significantly improved...

  13. Effect of Wall Material on H– Production in a Plasma Sputter-Type Ion Source

    Directory of Open Access Journals (Sweden)

    Y. D. M. Ponce

    2004-12-01

    Full Text Available The effect of wall material on negative hydrogen ion (H– production was investigated in a multicusp plasma sputter-type ion source (PSTIS. Steady-state cesium-seeded hydrogen plasma was generated by a tungsten filament, while H– was produced through surface production using a molybdenum sputter target. Plasma parameters and H– yields were determined from Langmuir probe and Faraday cup measurements, respectively. At an input hydrogen pressure of 1.2 mTorr and optimum plasma discharge parameters Vd = –90 V and Id = –2.25 A, the plasma parameters ne was highest and T–e was lowest as determined from Langmuir probe measurements. At these conditions, aluminum generates the highest ion current density of 0.01697 mA/cm2, which is 64% more than the 0.01085 mA/cm2 that stainless steel produces. The yield of copper, meanwhile, falls between the two materials at 0.01164 mA/cm2. The beam is maximum at Vt = –125 V. Focusing is achieved at VL = –70 V for stainless steel, Vt = –60 V for aluminum, and Vt = –50 V for copper. The results demonstrate that proper selection of wall material can greatly enhance the H– production of the PSTIS.

  14. DC plasma ion implantation in an inductively coupled RF plasma

    International Nuclear Information System (INIS)

    Silawatshananai, C.; Matan, N.; Pakpum, C.; Pussadee, N.; Srisantitam, P.; Davynov, S.; Vilaithong, T.

    2004-01-01

    Various modes of plasma ion implantation have been investigated in a small inductively coupled 13.6 MHz RF plasma source. Plasma ion implantation with HVDC(up to -10 kV bias) has been investigated in order to incorporate with the conventional implantation of diamond like carbon. In this preliminary work, nitrogen ions are implanted into the stainless steel sample with a dose of 5.5 x 10 -2 cm for a short implanting time of 7 minutes without target cooling. Surface properties such as microhardness, wear rate and the friction coefficient have been improved. X-ray and SEM analyses show distinct structural changes on the surface. A combination of sheath assisted implantation and thermal diffusion may be responsible for improvement in surface properties. (orig.)

  15. Development of bio/blood compatible polypropylene through low pressure nitrogen plasma surface modification

    International Nuclear Information System (INIS)

    Gomathi, N.; Rajasekar, R.; Babu, R. Rajesh; Mishra, Debasish; Neogi, S.

    2012-01-01

    Surface modification of polypropylene by nitrogen containing plasma was performed in this work in order to improve the wettability which resulted in enhanced biocompatibility and blood compatibility. Various nitrogen containing functional groups as well as oxygen containing functional groups were found to be incorporated to the polymer surface during plasma treatment and post plasma reaction respectively. Wettability of the polymers was evaluated by static contact angle measurement to show the improvement in hydrophilicity of plasma treated polypropylene. Cross linking and surface modification were reported to be dominating in the case of nitrogen plasma treatment compared to degradation. The effect of various process variables namely power, pressure, flow rate and treatment time on surface energy and weight loss was studied at various levels according to the central composite design of response surface methodology (RSM). Except pressure the other variables resulted in increased weight loss due to etching whereas with increasing pressure weight loss was found to increase and then decrease. The effect of process variables on surface morphology of polymers was evaluated by Scanning Electron Microscopy (SEM) and Atomic Force Microscopy (AFM). Well spread fibroblast cells on nitrogen plasma treated polypropylene due to the presence of CO, NH 2+ and NH + was observed. Reduced platelet adhesion and increased partial thromboplastin time evidenced the increased blood compatibility. - Highlights: ► Improved biocompatibility and blood compatibility of polypropylene. ► Nitrogen plasma surface modification. ► Maintaining a balance between polar group incorporation and weight loss due to etching. ► Optimization of process conditions by response surface methodology.

  16. Surface modification and stability of detonation nanodiamonds in microwave gas discharge plasma

    Energy Technology Data Exchange (ETDEWEB)

    Stanishevsky, Andrei V., E-mail: astan@uab.edu; Walock, Michael J.; Catledge, Shane A.

    2015-12-01

    Graphical abstract: - Highlights: • Single and binary gas plasma modification of nanodiamond powders studied. • Temperature-dependent effect of N{sub 2} and N{sub 2}/H{sub 2} plasma reported for the first time. • Role of H{sub 2} in H{sub 2}/N{sub 2} and H{sub 2}/O{sub 2} plasma modification of nanodiamond discussed. - Abstract: Detonation nanodiamonds (DND), with low hydrogen content, were exposed to microwave plasma generated in pure H{sub 2}, N{sub 2}, and O{sub 2} gases and their mixtures, and investigated using X-ray diffraction (XRD), Fourier Transform Infrared (FTIR), Raman, and X-ray photoelectron spectroscopies. Considerable alteration of the DND surface was observed under the plasma conditions for all used gases, but the diamond structure of the DND particle core was preserved in most cases. The stabilizing effect of H{sub 2} in H{sub 2}/N{sub 2} and H{sub 2}/O{sub 2} binary gas plasmas on the DND structure and the temperature-dependent formation of various CNH{sub x} surface groups in N{sub 2} and H{sub 2}/N{sub 2} plasmas were observed and discussed for the first time. DND surface oxidation and etching were the main effects of O{sub 2} plasma, whereas the N{sub 2} plasma led to DND surfaces rich in amide groups below 1073 K and nitrile groups at higher temperatures. Noticeable graphitization of the DND core structure was detected only in N{sub 2} plasma when the substrate temperature was above 1103 K.

  17. Characterization of thermomechanical damage on tungsten surfaces during long-duration plasma transients

    Energy Technology Data Exchange (ETDEWEB)

    Rivera, David, E-mail: david.rivera.ucla@gmail.com; Crosby, Tamer; Sheng, Andrew; Ghoniem, Nasr M.

    2014-12-15

    A new experimental facility constructed at UCLA for the simulation of high heat flux effects on plasma-facing materials is described. The High Energy Flux Test Facility (HEFTY) is equipped with a Praxair model SG-100 plasma gun, which is nominally rated at 80 kW of continuous operation, of which approximately 30 kW reaches the target due to thermal losses. The gun is used to impart high intermittent heat flux to metal samples mounted within a cylindrical chamber. The system is capable of delivering an instantaneous heat flux in the range of 30–300 MW/m{sup 2}, depending on sample proximity to the gun. The duration of the plasma heat flux is in the range of 1–1000 s, making it ideal for studies of mild plasma transients of relatively long duration. Tungsten and tungsten-copper alloy metal samples are tested in these transient heat flux conditions, and the surface is characterized for damage evaluation using optical, SEM, XRD, and micro-fabrication techniques. Results from a Finite Element (FE) thermo-elastoplasticity model indicate that during the heat-up phase of a plasma transient pulse, the majority of the sample surface is under compressive stresses leading to plastic deformation of the surface. Upon sample cooling, the recovered elastic strain of cooler parts of the sample exceeds that from parts that deformed plastically, resulting in a tensile surface self-stress (residual surface stress). The intensity of the residual tensile surface stress is experimentally correlated with the onset of complex surface fracture morphology on the tungsten surface, and extending below the surface region. Micro-compression mechanical tests of W micro-pillars show that the material has significant plasticity, failing by a “barreling” mode before plasma exposure, and by normal dislocation slip and localized shear after plasma exposure. Ongoing modeling of the complex thermo-fracture process, coupled with elasto-plasticity is based on a phase field approach for distributed

  18. Interpolation of magnetic surface functions for an axi-symmetric plasma

    International Nuclear Information System (INIS)

    Yamaguchi, Taiki; Maeyama, Mitsuaki

    2000-01-01

    Informations of the magnetic surface functions of magnetically confined plasma are indispensable for equilibrium, stability and transport analyses. In this paper, in order to identify a realistic surface functions and compare those with ones which are introduced from Taylor's relaxation theory, we propose a code to interpolate these surface functions for an axi-symmetric plasma from experimentally measured data. To confirm our code, we used the date which were analyzed from known functions given as a measured data. As a result, we have developed a code which can derive surface functions I and P. Effects of measurement error on those functions are also examined. (author)

  19. Doppler shift measurement of Balmer-alpha line spectrum emission from a plasma in a negative hydrogen ion source

    Energy Technology Data Exchange (ETDEWEB)

    Wada, M., E-mail: mwada@mail.doshisha.ac.jp; Doi, K. [Graduate School of Science and Engineering, Doshisha University, Kyotanabe, Kyoto 610-0321 Japan (Japan); Kisaki, M.; Nakano, H.; Tsumori, K. [National Institute for Fusion Science, Toki, Gifu (Japan); Nishiura, M. [Graduate School of Frontier Sciences, The Universtiy of Tokyo, Chiba 277-8561 (Japan)

    2015-04-08

    Balmer-α light emission from the extraction region of the LHD one-third ion source has shown a characteristic Doppler broadening in the wavelength spectrum detected by a high resolution spectrometer. The spectrum resembles Gaussian distribution near the wavelength of the intensity peak, while it has an additional component of a broader foot. The measured broadening near the wavelength of the intensity peak corresponds to 0.6 eV hydrogen atom temperature. The spectrum exhibits a larger expansion in the blue wing which becomes smaller when the line of sight is tilted toward the driver region from the original observation axis parallel to the plasma grid. A surface collision simulation model predicts the possibility of hydrogen reflection at the plasma grid surface to form a broad Balmer-α light emission spectrum.

  20. Doppler shift measurement of Balmer-alpha line spectrum emission from a plasma in a negative hydrogen ion source

    International Nuclear Information System (INIS)

    Wada, M.; Doi, K.; Kisaki, M.; Nakano, H.; Tsumori, K.; Nishiura, M.

    2015-01-01

    Balmer-α light emission from the extraction region of the LHD one-third ion source has shown a characteristic Doppler broadening in the wavelength spectrum detected by a high resolution spectrometer. The spectrum resembles Gaussian distribution near the wavelength of the intensity peak, while it has an additional component of a broader foot. The measured broadening near the wavelength of the intensity peak corresponds to 0.6 eV hydrogen atom temperature. The spectrum exhibits a larger expansion in the blue wing which becomes smaller when the line of sight is tilted toward the driver region from the original observation axis parallel to the plasma grid. A surface collision simulation model predicts the possibility of hydrogen reflection at the plasma grid surface to form a broad Balmer-α light emission spectrum

  1. Thermo-mechanical design of the Plasma Driver Plate for the MITICA ion source

    Energy Technology Data Exchange (ETDEWEB)

    Pavei, Mauro, E-mail: mauro.pavei@igi.cnr.it [Consorzio RFX, EURATOM-ENEA Association, Corso Stati Uniti 4, I-35127 Padova (Italy); Palma, Mauro Dalla; Marcuzzi, Diego [Consorzio RFX, EURATOM-ENEA Association, Corso Stati Uniti 4, I-35127 Padova (Italy)

    2010-12-15

    In the framework of the activities for the development of the Neutral Beam Injector (NBI) for ITER, the detailed design of the Radio-Frequency (RF) negative ion source has been carried out. One of the most heated components of the RF source is the rear vertical plate, named Plasma Driver Plate (PDP), where the Back-Streaming positive Ions (BSI+) generated from stripping losses in the accelerator and back scattered on the plasma source impinge on. The heat loads that result are huge and concentrated, with first estimate of the power densities up to 60 MW/m{sup 2}. The breakdowns that occur into the accelerator cause such heat loads to act cyclically, so that the PDP is thermo-mechanically fatigue loaded. Moreover, the surface of the PDP facing the plasma is functionally required to be temperature controlled and to be molybdenum or tungsten coated. The thermo-hydraulic design of the plate has been carried out considering active cooling with ultra-pure water. Different heat sink materials, hydraulic circuit layout and manufacturing processes have been considered. The heat exhaust has been optimized by changing the channels geometry, the path of the heat flux in the heat sink, the thickness of the plate and maximizing the Heat Transfer Coefficient. Such optimization has been carried out by utilizing 3D Finite Element (FE) models. Afterwards all the suitable mechanical (aging, structural monotonic and cyclic) verifications have been carried out post-processing the results of the thermo-mechanical 3D FE analyses in accordance to specific procedures for nuclear components exposed to high temperature. The effect of sputtering phenomenon due to the high energy BSI+ impinging on the plate has been considered and combined with fatigue damage for the mechanical verification of the PDP. Alternative solutions having molybdenum (or tungsten coatings) facing the plasma, aiming to reduce the sputtering rate and the consequent plasma pollution, have been evaluated and related 3D FE

  2. Atmospheric pressure plasma jet's characterization and surface wettability driven by neon transformer

    Science.gov (United States)

    Elfa, R. R.; Nafarizal, N.; Ahmad, M. K.; Sahdan, M. Z.; Soon, C. F.

    2017-03-01

    Atmospheric pressure plasma driven by Neon transformer power supply argon is presented in this paper. Atmospheric pressure plasma system has attracted researcher interest over low pressure plasma as it provides a flexibility process, cost-efficient, portable device and vacuum-free device. Besides, another golden key of this system is the wide promising application in the field of work cover from industrial and engineering to medical. However, there are still numbers of fundamental investigation that are necessary such as device configuration, gas configuration and its effect. Dielectric barrier discharge which is also known as atmospheric pressure plasma discharge is created when there is gas ionization process occur which enhance the movement of atom and electron and provide energetic particles. These energetic particles can provide modification and cleaning property to the sample surface due to the bombardment of the high reactive ion and radicals to the sample surface. In order to develop atmospheric pressure plasma discharge, a high voltage and high frequency power supply is needed. In this work, we used a neon transformer power supply as the power supply. The flow of the Ar is feed into 10 mm cylinder quartz tube with different treatment time in order to investigate the effect of the plasma discharge. The analysis of each treatment time is presented by optical emission spectroscopy (OES) and water contact angle (WCA) measurement. The increase of gas treatment time shows increases intensity of reactive Ar and reduces the angle of water droplets in water contact angle. Treatment time of 20 s microslide glass surface shows that the plasma needle discharges have modified the sample surface from hydrophilic surface to superhydrophilic surface. Thus, this leads to another interesting application in reducing sample surface adhesion to optimize productivity in the industry of paintings, semiconductor and more.

  3. Study on surface adhesion of Plasma modified Polytetrafluoroethylene hollow fiber membrane

    Science.gov (United States)

    Chen, Jiangrong; Zhang, Huifeng; Liu, Guochang; Guo, Chungang; Lv, Jinglie; Zhangb, Yushan

    2018-01-01

    Polytetrafluoroethylene (PTFE) is popular membrane material because of its excellent thermal stability, chemical stability and mechanical stability. However, the low surface energy and non-sticky property of PTFE present challenges for modification. In the present study, plasma treatment was performed to improve the surface adhesion of PTFE hollow fiber membrane. The effect of discharge voltage, treatment time on the adhesion of PTFE hollow fiber membrane was symmetrically evaluated. Results showed that the plasma treatment method contributed to improve the surface activity and roughness of PTFE hollow fiber membrane, and the adhesion strength depend significantly on discharge voltage, which was beneficial to seepage pressure of PTFE hollow fiber membrane module. The adhesion strength of PTFE membrane by plasma treated at 220V for 3min reached as high as 86.2 N, far surpassing the adhesion strength 12.7 N of pristine membrane. Furthermore, improvement of content of free radical and composition analysis changes of the plasma modified PTFE membrane were investigated. The seepage pressure of PTFE membrane by plasma treated at 220V for 3min was 0.375 MPa, which means that the plasma treatment is an effective technique to improve the adhesion strength of membrane.

  4. Investigation of nitrogen atom production in Ar/N2 and He/N2 surface wave plasmas

    International Nuclear Information System (INIS)

    Tabbal, M.; Kazopoulo, M.; Christidis, T.; Isber, S.

    2000-01-01

    Full text: There is presently great interest in nitrogen plasmas for surface coating processes. Such as the deposition of nitride thin films and surface treatment of materials. Indeed, nitrogen plasmas have been used to nitride the surface of ferrous and non-ferrous materials in order to improve their surface properties such as resistance to corrosion and hardness. Moreover, the design and development of nitrogen atom sources could be essential for the synthesis of gallium nitride (GaN), a wide band-gap semiconductor whose properties have revolutionized the microelectronics and optoelectronics industries. Correlations have been established between the density of active species in the process, namely atomic nitrogen (N) produced by the discharge and GaN film properties. Thus, it is of fundamental importance to investigate the N-atom production mechanisms in such discharges. N-atom production has been studied in pure N 2 surface-wave plasmas (SWP), as a function of operating parameters, namely gas pressure and electrical power. These studies indicate that the increase in the gas temperature (T g ) limits the N-atom production. One possible way of enhancing the N 2 dissociation rate ([N]/[N 2 ]) in the plasma could be the use of gas mixtures such as Ar/N 2 or He/N 2 . the aim of this paper is to characterize an Ar/N 2 and He/N 2 surface-wave discharge (SWD) by optical emission spectroscopy (OES), in order to determine the optimal plasma conditions in terms of [N]/[N 2 ]. The plasma is generated by a radio frequency (40.68 MHz) wave launcher. The effect of mixing N 2 with Ar and He on the production of N-atoms in the plasma was investigated at varying experimental conditions, such as operating pressure (4.5 and 7.5 Torr), electrical power (40 to 120 W), at a total gas flow of 250 sccm. It was found that [N]/[N 2 ] increases with the partial pressure of Ar in the mixture by a factor of about 8 at 120W. Such an enhancement is reduced at lower incident powers. On the

  5. Nano-structuring of PTFE surface by plasma treatment, etching, and sputtering with gold

    International Nuclear Information System (INIS)

    Reznickova, Alena; Kolska, Zdenka; Hnatowicz, Vladimir; Svorcik, Vaclav

    2011-01-01

    Properties of pristine, plasma modified, and etched (by water and methanol) polytetrafluoroethylene (PTFE) were studied. Gold nanolayers sputtered on this modified PTFE have been also investigated. Contact angle, measured by goniometry, was studied as a function of plasma exposure and post-exposure aging times. Degradation of polymer chains was examined by etching of plasma modified PTFE in water or methanol. The amount of ablated and etched layer was measured by gravimetry. In the next step the pristine, plasma modified, and etched PTFE was sputtered with gold. Changes in surface morphology were observed using atomic force microscopy. Chemical structure of modified polymers was characterized by X-ray photoelectron spectroscopy (XPS). Surface chemistry of the samples was investigated by electrokinetic analysis. Sheet resistance of the gold layers was measured by two-point technique. The contact angle of the plasma modified PTFE decreases with increasing exposure time. The PTFE amount, ablated by the plasma treatment, increases with the plasma exposure time. XPS measurements proved that during the plasma treatment the PTFE macromolecular chains are degraded and oxidized and new –C–O–C–, –C=O, and –O–C=O groups are created in modified surface layer. Surface of the plasma modified PTFE is weakly soluble in methanol and intensively soluble in water. Zeta potential and XPS shown dramatic changes in PTFE surface chemistry after the plasma exposure, water etching, and gold deposition. When continuous gold layer is formed a rapid decrease of the sheet resistance of the gold layer is observed.

  6. Coil Tolerance Impact on Plasma Surface Quality for NCSX

    International Nuclear Information System (INIS)

    Brooks, Art; Reiersen, Wayne

    2003-01-01

    The successful operation of the National Compact Stellarator Experiment (NCSX) machine will require producing plasma configurations with good flux surfaces, with a minimum volume of the plasma lost to magnetic islands or stochastic regions. The project goal is to achieve good flux surfaces over 90% of the plasma volume. NCSX is a three period device designed to be operated with iota ranging from ∼0.4 on axis to ∼0.7 at the edge. The field errors of most concern are those that are resonant with 3/5 and 3/6 modes (for symmetry preserving field errors) and the 1/2 and 2/3 modes (for symmetry breaking field errors). In addition to losses inherent in the physics configuration itself, there will be losses from field errors arising from coil construction and assembly errors. Some of these losses can be recovered through the use of trim coils or correction coils. The impact of coil tolerances on plasma surface quality is evaluated herein for the NCSX design. The methods used in this evaluation are discussed. The ability of the NCSX trim coils to correct for field errors is also examined. The results are used to set coils tolerances for the various coil systems

  7. Surface temperature measurement of plasma facing components in tokamaks

    International Nuclear Information System (INIS)

    Amiel, Stephane

    2014-01-01

    During this PhD, the challenges on the non-intrusive surface temperature measurements of metallic plasma facing components in tokamaks are reported. Indeed, a precise material emissivity value is needed for classical infrared methods and the environment contribution has to be known particularly for low emissivities materials. Although methods have been developed to overcome these issues, they have been implemented solely for dedicated experiments. In any case, none of these methods are suitable for surface temperature measurement in tokamaks.The active pyrometry introduced in this study allows surface temperature measurements independently of reflected flux and emissivities using pulsed and modulated photothermal effect. This method has been validated in laboratory on metallic materials with reflected fluxes for pulsed and modulated modes. This experimental validation is coupled with a surface temperature variation induced by photothermal effect and temporal signal evolvement modelling in order to optimize both the heating source characteristics and the data acquisition and treatment. The experimental results have been used to determine the application range in temperature and detection wavelengths. In this context, the design of an active pyrometry system on tokamak has been completed, based on a bicolor camera for a thermography application in metallic (or low emissivity) environment.The active pyrometry method introduced in this study is a complementary technique of classical infrared methods used for thermography in tokamak environment which allows performing local and 2D surface temperature measurements independently of reflected fluxes and emissivities. (author) [fr

  8. Surface topography and morphology characterization of PIII irradiated silicon surface

    International Nuclear Information System (INIS)

    Sharma, Satinder K.; Barthwal, Sumit

    2008-01-01

    The effect of plasma immersion ion implantation (PIII) treatment on silicon surfaces was investigated by micro-Raman and atomic force microscopy (AFM) technique. The surface damage was given by the implantation of carbon, nitrogen, oxygen and argon ions using an inductively coupled plasma (ICP) source at low pressure. AFM studies show that surface topography of the PIII treated silicon wafers depend on the physical and chemical nature of the implanted species. Micro-Raman spectra indicate that the significant reduction of intensity of Raman peak after PIII treatment. Plasma immersion ion implantation is a non-line-of-sight ion implantation method, which allows 3D treatment of materials. Therefore, PIII based surface modification and plasma immersion ion deposition (PIID) coatings are applied in a wide range of situations.

  9. Liquid Lithium Limiter Effects on Tokamak Plasmas and Plasma-Liquid Surface Interactions

    Energy Technology Data Exchange (ETDEWEB)

    R. Kaita; R. Majeski; R. Doerner; G. Antar; M. Baldwin; R. Conn; P. Efthimion; M. Finkenthal; D. Hoffman; B. Jones; S. Krashenninikov; H. Kugel; S. Luckhardt; R. Maingi; J. Menard; T. Munsat; D. Stutman; G. Taylor; J. Timberlake; V. Soukhanovskii; D. Whyte; R. Woolley; L. Zakharov

    2002-10-15

    We present results from the first experiments with a large area liquid lithium limiter in a magnetic fusion device, and its effect on improving plasma performance by reducing particle recycling. Using large area liquid metal surfaces in any major fusion device is unlikely before a test on a smaller scale. This has motivated its demonstration in the CDX-U spherical torus with a unique, fully toroidal lithium limiter. The highest current discharges were obtained with a liquid lithium limiter. There was a reduction in recycling, as indicated by a significant decrease in the deuterium-alpha emission and oxygen radiation. How these results might extrapolate to reactors is suggested in recycling/retention experiments with liquid lithium surfaces under high-flux deuterium and helium plasma bombardment in PISCES-B. Data on deuterium atoms retained in liquid lithium indicate retention of all incident ions until full volumetric conversion to lithium deuteride. The PISCES-B results also show a material loss mechanism that lowers the maximum operating temperature compared to that for the liquid surface equilibrium vapor pressure. This may restrict the lithium temperature in reactors.

  10. Liquid Lithium Limiter Effects on Tokamak Plasmas and Plasma-Liquid Surface Interactions

    International Nuclear Information System (INIS)

    Kaita, R.; Majeski, R.; Doerner, R.; Antar, G.; Baldwin, M.; Conn, R.; Efthimion, P.; Finkenthal, M.; Hoffman, D.; Jones, B.; Krashenninikov, S.; Kugel, H.; Luckhardt, S.; Maingi, R.; Menard, J.; Munsat, T.; Stutman, D.; Taylor, G.; Timberlake, J.; Soukhanovskii, V.; Whyte, D.; Woolley, R.; Zakharov, L.

    2002-01-01

    We present results from the first experiments with a large area liquid lithium limiter in a magnetic fusion device, and its effect on improving plasma performance by reducing particle recycling. Using large area liquid metal surfaces in any major fusion device is unlikely before a test on a smaller scale. This has motivated its demonstration in the CDX-U spherical torus with a unique, fully toroidal lithium limiter. The highest current discharges were obtained with a liquid lithium limiter. There was a reduction in recycling, as indicated by a significant decrease in the deuterium-alpha emission and oxygen radiation. How these results might extrapolate to reactors is suggested in recycling/retention experiments with liquid lithium surfaces under high-flux deuterium and helium plasma bombardment in PISCES-B. Data on deuterium atoms retained in liquid lithium indicate retention of all incident ions until full volumetric conversion to lithium deuteride. The PISCES-B results also show a material loss mechanism that lowers the maximum operating temperature compared to that for the liquid surface equilibrium vapor pressure. This may restrict the lithium temperature in reactors

  11. Liquid lithium limiter effects on tokamak plasmas and plasma-liquid surface interactions

    International Nuclear Information System (INIS)

    Kaita, R.; Majeski, R.; Doerner, R.

    2003-01-01

    We present results from the first experiments with a large area liquid lithium limiter in a magnetic fusion device, and its effect on improving plasma performance by reducing particle recycling. Using large area liquid metal surfaces in any major fusion device is unlikely before a test on a smaller scale. This has motivated its demonstration in the CDX-U spherical torus with a unique, fully toroidal lithium limiter. The highest current discharges were obtained with a liquid lithium limiter. There was a reduction in recycling, as indicated by a significant decrease in the deuterium-alpha emission and oxygen radiation. How these results might extrapolate to reactors is suggested in recycling/retention experiments with liquid lithium surfaces under high-flux deuterium and helium plasma bombardment in PISCES-B. Data on deuterium atoms retained in liquid lithium indicate retention of all incident ions until full volumetric conversion to lithium deuteride. The PISCES-B results also show a material loss mechanism that lowers the maximum operating temperature compared to that for the liquid surface equilibrium vapor pressure. This may restrict the lithium temperature in reactors. (author)

  12. Meniscus and beam halo formation in a tandem-type negative ion source with surface production

    International Nuclear Information System (INIS)

    Miyamoto, K.; Okuda, S.; Hatayama, A.

    2012-01-01

    A meniscus of plasma-beam boundary in H - ion sources largely affects the extracted H - ion beam optics. Although it is hypothesized that the shape of the meniscus is one of the main reasons for the beam halo observed in experiments, a physical mechanism of the beam halo formation is not yet fully understood. In this letter, it is first shown by the 2D particle in cell simulation that the H - ions extracted from the periphery of the meniscus cause a beam halo since the surface produced H - ions penetrate into the bulk plasma, and, thus, the resultant meniscus has a relatively large curvature.

  13. Meniscus and beam halo formation in a tandem-type negative ion source with surface production

    Energy Technology Data Exchange (ETDEWEB)

    Miyamoto, K. [Naruto University of Education, 748 Nakashima, Takashima, Naruto-cho, Naruto-shi, Tokushima 772-8502 (Japan); Okuda, S.; Hatayama, A. [Faculty of Science and Technology, Keio University, 3-14-1 Hiyoshi, Kohoku-ku, Yokohama 223-8522 (Japan)

    2012-06-04

    A meniscus of plasma-beam boundary in H{sup -} ion sources largely affects the extracted H{sup -} ion beam optics. Although it is hypothesized that the shape of the meniscus is one of the main reasons for the beam halo observed in experiments, a physical mechanism of the beam halo formation is not yet fully understood. In this letter, it is first shown by the 2D particle in cell simulation that the H{sup -} ions extracted from the periphery of the meniscus cause a beam halo since the surface produced H{sup -} ions penetrate into the bulk plasma, and, thus, the resultant meniscus has a relatively large curvature.

  14. The Sandia laser plasma extreme ultraviolet and soft x-ray (XUV) light source

    International Nuclear Information System (INIS)

    Tooman, T.P.

    1986-01-01

    Laser produced plasmas have been shown to be extremely bright sources of extreme ultraviolet and soft x-ray (XUV) radiation; however, certain practical difficulties have hindered the development of this source as a routinely usable laboratory device. To explore solutions to these difficulties, Sandia has constructed an XUV laser plasma source (LASPS) with the intention of developing an instrument that can be used for experiments requiring intense XUV radiation from 50-300 eV. The driving laser for this source is a KrF excimer with a wavelength of 248 nm, divergence of 200 μrad, pulse width of 23 ns at 20 Hz and typical pulse energy of 500 mJ which allows for good energy coupling to the plasma at moderate (10/sup 12/ W cm/sup 2/) power densities. This source has been pulsed approximately 2 x 10/sup 5/ times, demonstrating good tolerance to plasma debris. The source radiates from the visible to well above 1000 eV, however, to date attention has been concentrated on the 50-300 eV region. In this paper, spectral data and plasma images for both stainless steel and gold targets are presented with the gold target yielding a 200 μm plasma and reradiating 3.9% of the pump energy into 15-73 eV band, a flux of 1.22 x 10/sup 13/ photons/pulse/eV into 2π sr. Further efforts will expand these measurements to rare earth targets and to higher spectral energies. A special high throughput wide angle XUV (50-300 eV) monochromator and associated optics is being concurrently developed to collect the plasma radiation, perform energy dispersion and focus the radiation onto the experimental area

  15. Surface Phenomena During Plasma-Assisted Atomic Layer Etching of SiO2.

    Science.gov (United States)

    Gasvoda, Ryan J; van de Steeg, Alex W; Bhowmick, Ranadeep; Hudson, Eric A; Agarwal, Sumit

    2017-09-13

    Surface phenomena during atomic layer etching (ALE) of SiO 2 were studied during sequential half-cycles of plasma-assisted fluorocarbon (CF x ) film deposition and Ar plasma activation of the CF x film using in situ surface infrared spectroscopy and ellipsometry. Infrared spectra of the surface after the CF x deposition half-cycle from a C 4 F 8 /Ar plasma show that an atomically thin mixing layer is formed between the deposited CF x layer and the underlying SiO 2 film. Etching during the Ar plasma cycle is activated by Ar + bombardment of the CF x layer, which results in the simultaneous removal of surface CF x and the underlying SiO 2 film. The interfacial mixing layer in ALE is atomically thin due to the low ion energy during CF x deposition, which combined with an ultrathin CF x layer ensures an etch rate of a few monolayers per cycle. In situ ellipsometry shows that for a ∼4 Å thick CF x film, ∼3-4 Å of SiO 2 was etched per cycle. However, during the Ar plasma half-cycle, etching proceeds beyond complete removal of the surface CF x layer as F-containing radicals are slowly released into the plasma from the reactor walls. Buildup of CF x on reactor walls leads to a gradual increase in the etch per cycle.

  16. Improvement of crystalline silicon surface passivation by hydrogen plasma treatment

    International Nuclear Information System (INIS)

    Martin, I.; Vetter, M.; Orpella, A.; Voz, C.; Puigdollers, J.; Alcubilla, R.; Kharchenko, A.V.; Roca i Cabarrocas, P.

    2004-01-01

    A completely dry low-temperature process has been developed to passivate 3.3 Ω cm p-type crystalline silicon surface with excellent results. Particularly, we have investigated the use of a hydrogen plasma treatment, just before hydrogenated amorphous silicon carbide (a-SiC x :H) deposition, without breaking the vacuum. We measured effective lifetime, τ eff , through a quasi-steady-state photoconductance technique. Experimental results show that hydrogen plasma treatment improves surface passivation compared to classical HF dip. S eff values lower than 19 cm s -1 were achieved using a hydrogen plasma treatment and an a-SiC x :H film deposited at 300 deg. C

  17. Effect of plasma-induced surface charging on catalytic processes: application to CO2 activation

    Science.gov (United States)

    Bal, Kristof M.; Huygh, Stijn; Bogaerts, Annemie; Neyts, Erik C.

    2018-02-01

    Understanding the nature and effect of the multitude of plasma-surface interactions in plasma catalysis is a crucial requirement for further process development and improvement. A particularly intriguing and rather unique property of a plasma-catalytic setup is the ability of the plasma to modify the electronic structure, and hence chemical properties, of the catalyst through charging, i.e. the absorption of excess electrons. In this work, we develop a quantum chemical model based on density functional theory to study excess negative surface charges in a heterogeneous catalyst exposed to a plasma. This method is specifically applied to investigate plasma-catalytic CO2 activation on supported M/Al2O3 (M = Ti, Ni, Cu) single atom catalysts. We find that (1) the presence of a negative surface charge dramatically improves the reductive power of the catalyst, strongly promoting the splitting of CO2 to CO and oxygen, and (2) the relative activity of the investigated transition metals is also changed upon charging, suggesting that controlled surface charging is a powerful additional parameter to tune catalyst activity and selectivity. These results strongly point to plasma-induced surface charging of the catalyst as an important factor contributing to the plasma-catalyst synergistic effects frequently reported for plasma catalysis.

  18. Non-ambipolar radio-frequency plasma electron source and systems and methods for generating electron beams

    Science.gov (United States)

    Hershkowitz, Noah [Madison, WI; Longmier, Benjamin [Madison, WI; Baalrud, Scott [Madison, WI

    2009-03-03

    An electron generating device extracts electrons, through an electron sheath, from plasma produced using RF fields. The electron sheath is located near a grounded ring at one end of a negatively biased conducting surface, which is normally a cylinder. Extracted electrons pass through the grounded ring in the presence of a steady state axial magnetic field. Sufficiently large magnetic fields and/or RF power into the plasma allow for helicon plasma generation. The ion loss area is sufficiently large compared to the electron loss area to allow for total non-ambipolar extraction of all electrons leaving the plasma. Voids in the negatively-biased conducting surface allow the time-varying magnetic fields provided by the antenna to inductively couple to the plasma within the conducting surface. The conducting surface acts as a Faraday shield, which reduces any time-varying electric fields from entering the conductive surface, i.e. blocks capacitive coupling between the antenna and the plasma.

  19. Surface analysis of 316 stainless steel treated with cold atmospheric plasma

    Energy Technology Data Exchange (ETDEWEB)

    Williams, David F., E-mail: david.williams@surrey.ac.uk [Department of Mechanical Engineering Sciences, University Of Surrey, Guildford, Surrey GU2 7XH (United Kingdom); TWI Ltd Granta Park Great Abington, Cambridge CB21 6AL (United Kingdom); Kellar, Ewen J.C. [TWI Ltd Granta Park Great Abington, Cambridge CB21 6AL (United Kingdom); Jesson, David A.; Watts, John F. [Department of Mechanical Engineering Sciences, University Of Surrey, Guildford, Surrey GU2 7XH (United Kingdom)

    2017-05-01

    Highlights: • Reduction in carbon contamination from ∼80 at.% to 40 at.% after 15 s treatment. • Associated carbon thickness reduction from 4.5 nm to 0.5 nm. • Area treated by torch has a diameter of 11 mm measured using imaging XPS. - Abstract: The surface of 316 stainless steel has been modified using cold atmospheric plasma (CAP) to increase the surface free energy (by cleaning the and chemically activating the surface)IN preparation for subsequent processes such as painting, coating or adhesive bonding. The analyses carried out, on CAP treated 316 stainless steel surfaces, includes X-ray photoelectron spectroscopy (XPS), imaging XPS (iXPS), and surface free energy (SFE) analysis using contact angle measurements. The CAP treatment is shown to increase the SFE of as-received 316 stainless steel from ∼39 mJ m{sup −1} to >72 mJ m{sup −1} after a short exposure to the plasma torch. This was found to correlate to a reduction in adventitious carbon, as determined by XPS analysis of the surface. The reduction from ∼90 at% to ∼30% and ∼39 at%, after being plasma treated for 5 min and 15 s respectively, shows that the process is relatively quick at changing the surface. It is suggested that the mechanism that causes the increase in surface free energy is chain scission of the hydrocarbon contamination triggered by free electrons in the plasma plume followed by chemical functionalisation of the metal oxide surface and some of the remaining carbon contamination layer.

  20. Effects of plasma cleaning of the Cu seed layer surface on Cu electroplating

    International Nuclear Information System (INIS)

    O, Jun Hwan; Lee, Seong Wook; Kim, Jae Bum; Lee, Chong Mu

    2001-01-01

    Effects of plasma pretreatment to Cu seed/tantalum nitride (TaN)/ borophosphosilicate glass (BPSG) samples on copper (Cu) electroplating were investigated. Copper seed layers were deposited by magnetron sputtering onto tantalum nitride barrier layers before electroplating copper in the forward pulsed mode. The Cu seed layer was cleaned by plasma H 2 and N 2 prior to electroplating a copper film. Cu films electroplated on the copper seed layer with plasma pretreatment showed better electrical and physical properties such as electrical resistivities, surface morphologies, levels of impurities, adhesion and surface roughness than those without plasma pretreatment. It is shown that carbon and metal oxide contaminants at the sputtered Cu seed/TaN surface could be effectively removed by plasma H 2 cleaning. The degree of the (111) prefered orientation of the Cu film with plasma H 2 pretreatment is as high as pulse plated Cu film without plasma pretreatment. Also, plasma H 2 precleaning is more effective in enhancing the Cu electroplating properties onto the Cu seed layer than plasma N 2 precleaning

  1. Formation of Nitrogen Oxides in an Apokamp-Type Plasma Source

    Science.gov (United States)

    Sosnin, É. A.; Goltsova, P. A.; Panarin, V. A.; Skakun, V. S.; Tarasenko, V. F.; Didenko, M. V.

    2017-08-01

    Using optical and chemical processes, the composition of the products of decay of the atmospheric-pressure non-equilibrium plasma is determined in a pulsed, high-voltage discharge in the modes of apokampic and corona discharges. It is shown that the products of decay primarily contain nitrogen oxides NO x, and in the mode of the corona discharge - ozone. Potential applications of this source of plasma are discussed with respect to plasma processing of the seeds of agricultural crops.

  2. Studies on surface modification of poly(tetrafluoroethylene) film by remote and direct Ar plasma

    International Nuclear Information System (INIS)

    Wang Chen; Chen Jierong; Li Ru

    2008-01-01

    Poly(tetrafluoroethylene) (PTFE) surfaces are modified with remote and direct Ar plasma, and the effects of the modification on the hydrophilicity of PTFE are investigated. The surface microstructures and compositions of the PTFE film were characterized with the goniometer, scanning electron microscopy (SEM) and X-ray photoelectron spectroscopy (XPS). Results show that the remote and direct plasma treatments modify the PTFE surface in morphology and composition, and both modifications cause surface oxidation of PTFE films, in the forming of some polar functional groups enhancing polymer wettability. When the remote and direct Ar plasma treats PTFE film, the contact angles decrease from the untreated 108-58 o and 65.2 o , respectively. The effect of the remote Ar plasma is more noticeable. The role of all kinds of active species, e.g. electrons, ions and free radicals involved in plasma surface modification is further evaluated. This shows that remote Ar plasma can restrain the ion and electron etching reaction and enhance radical reaction

  3. Development of Langmuir probe diagnostic system for 13.56 MHz plasma sources

    International Nuclear Information System (INIS)

    Ranjini, K.; Nabhiraj, P.Y.; Mallik, C.; Bhandari, R.K.

    2006-01-01

    A work on development of high brightness ion source has been started recently. Plasma parameters are strongly linked to the brightness of the ion beams produced from the ion sources. A self compensated Langmuir probe and related automation system for the measurement of plasma parameters is developed. This paper describes design of the probe, software, hardware and the results. (author)

  4. Study of Dynamic Features of Surface Plasma in High-Power Disk Laser Welding

    International Nuclear Information System (INIS)

    Wang Teng; Gao Xiangdong; Seiji, Katayama; Jin, Xiaoli

    2012-01-01

    High-speed photography was used to obtain the dynamic changes in the surface plasma during a high-power disk laser welding process. A color space clustering algorithm to extract the edge information of the surface plasma region was developed in order to improve the accuracy of image processing. With a comparative analysis of the plasma features, i.e., area and height, and the characteristics of the welded seam, the relationship between the surface plasma and the stability of the laser welding process was characterized, which provides a basic understanding for the real-time monitoring of laser welding.

  5. THz detectors using surface Josephson plasma waves in layered superconductors

    International Nuclear Information System (INIS)

    Savel'ev, Sergey; Yampol'skii, Valery; Nori, Franco

    2006-01-01

    We describe a proposal for THz detectors based on the excitation of surface waves, in layered superconductors, at frequencies lower than the Josephson plasma frequency ω J . These waves propagate along the vacuum-superconductor interface and are attenuated in both transverse directions out of the surface (i.e., towards the superconductor and towards the vacuum). The surface Josephson plasma waves are also important for the complete suppression of the specular reflection from a sample (Wood's anomalies, used for gratings) and produce a huge enhancement of the wave absorption, which can be used for the detection of THz waves

  6. Cleaning of niobium surface by plasma of diffuse discharge at atmospheric pressure

    Science.gov (United States)

    Tarasenko, V. F.; Erofeev, M. V.; Shulepov, M. A.; Ripenko, V. S.

    2017-07-01

    Elements composition of niobium surface before and after plasma treatment by runaway electron preionized diffuse discharge was investigated in atmospheric pressure nitrogen flow by means of an Auger electron spectroscopy. Surface characterizations obtained from Auger spectra show that plasma treatment by diffuse discharge after exposure of 120000 pulses provides ultrafine surface cleaning from carbon contamination. Moreover, the surface free energy of the treated specimens increased up to 3 times, that improve its adhesion property.

  7. Enhanced confinement in electron cyclotron resonance ion source plasma.

    Science.gov (United States)

    Schachter, L; Stiebing, K E; Dobrescu, S

    2010-02-01

    Power loss by plasma-wall interactions may become a limitation for the performance of ECR and fusion plasma devices. Based on our research to optimize the performance of electron cyclotron resonance ion source (ECRIS) devices by the use of metal-dielectric (MD) structures, the development of the method presented here, allows to significantly improve the confinement of plasma electrons and hence to reduce losses. Dedicated measurements were performed at the Frankfurt 14 GHz ECRIS using argon and helium as working gas and high temperature resistive material for the MD structures. The analyzed charge state distributions and bremsstrahlung radiation spectra (corrected for background) also clearly verify the anticipated increase in the plasma-electron density and hence demonstrate the advantage by the MD-method.

  8. In vitro biocompatibility of titanium after plasma surface alloying with boron

    Energy Technology Data Exchange (ETDEWEB)

    Kaczmarek, Mariusz, E-mail: markacz@ump.edu.pl [Department of Immunology, Chair of Clinical Immunology, Poznan University of Medical Sciences, Rokietnicka 5D, 60-806 Poznan (Poland); Jurczyk, Mieczysława U. [Division Mother' s and Child' s Health, Poznan University of Medical Sciences, Polna 33, 60-535 Poznan (Poland); Miklaszewski, Andrzej [Institute of Materials Science and Engineering, Poznan University of Technology, Jana Pawla II 24, 61-138 Poznan (Poland); Paszel-Jaworska, Anna; Romaniuk, Aleksandra; Lipińska, Natalia [Department of Clinical Chemistry and Molecular Diagnostics, Poznan University of Medical Sciences, Przybyszewskiego 49, 60-355 Poznan (Poland); Żurawski, Jakub [Department of Immunobiochemistry, Chair of Biology and Environmental Sciences, Poznan University of Medical Sciences, Rokietnicka 8, 60-806 Poznan (Poland); Urbaniak, Paulina [Department of Cell Biology, Poznan University of Medical Sciences, Rokietnicka 5D, 60-806 Poznan (Poland); Jurczyk, Karolina [Department of Conservative Dentistry and Periodontology, Poznan University of Medical Sciences, Bukowska 70, 60-812 Poznan (Poland)

    2016-12-01

    Recently, the effect of different sizes of precursor powders during surface plasma alloying modification on the properties of titanium surface was studied. In this work we show in vitro test results of the titanium (α-Ti) after plasma surface alloying with boron (B). Ti-B nanopowders with 2 and 10 wt% B were deposited onto microcrystalline Ti substrate. The in vitro cytocompatibility of these biomaterials was evaluated and compared with a conventional microcrystalline Ti. During the studies, established cell line of human gingival fibroblasts and osteoblasts were cultured in the presence of tested materials, and its survival rate and proliferation activity were examined. For this purpose, MTT assay, flow cytometric and fluorescent microscopic evaluation were made. Biocompatibility tests carried out indicate that the Ti after plasma surface alloying with B could be a possible candidate for dental implants and other medicinal applications. Plasma alloying is a promising method for improving the properties of titanium, thus increasing the field of its applications. - Highlights: • this is first article carried out on the titanium after plasma surface alloying with different contents of boron; • microcrystalline titanium modified with boron changes the physicochemical features of conventional material; • Ti modified by boron is proper in terms of effects on survival and proliferative activity of cells of dental alveoli; • precursors with different content of boron in different ways influence the intensity and stability of cell growth;.

  9. Discharge regimes and density jumps in a helicon plasma source

    International Nuclear Information System (INIS)

    Shinohara, S.; Yonekura, K.

    1999-01-01

    A high density plasma source using a helicon wave is becoming very attractive in plasma processing and confinement devices. In the previous work, the characteristics of this wave and plasma performance with diameters of 5 and 45 cm have been studied, and the helicon wave was only observed after the density jump. Recently, density jumps from the low to high electron densities with a level of 10 13 cm -3 were investigated by changing the antenna wavenumber spectrum, and the obtained results were compared with the inductively coupled plasma (ICP). However, the mechanisms of density jumps and plasma production are still open questions to be answered. Here, the authors try to investigate the discharge regimes and density jumps in a helicon plasma source, by changing the antenna wavenumber spectrum. For he case of the parallel current directions in the antenna, where the low wavenumber spectrum part is large, the density jump was observed with the low RF input power of P in < 300 W regardless of the magnetic field. On the other hand, for the case of the opposite directions, where the low wavenumber spectrum part is small, the threshold power to obtain the jump became high with the increase in the magnetic field. This can be understood from the dispersion relation of the helicon wave. The wave structures and the dispersion relations in the discharge modes will be also shown

  10. The role of energetic ions from plasma in the creation of nanostructured materials and stable polymer surface treatments

    International Nuclear Information System (INIS)

    Bilek, M.M.M.; Newton-McGee, K.; McKenzie, D.R.; McCulloch, D.G.

    2006-01-01

    Plasma processes for the synthesis of new materials as thin films have enabled the production of a wide variety of new materials. These include meta-stable phases, which are not readily found in nature, and more recently, materials with structure on the nanoscale. Study of plasma synthesis processes at the fundamental level has revealed that ion energy, depositing flux and growth surface temperature are the critical parameters affecting the microstructure and the properties of the thin film materials formed. In this paper, we focus on the role of ion flux and impact energy in the creation of thin films with nanoscale structure in the form of multilayers. We describe three synthesis strategies, based on the extraction of ions from plasma sources and involving modulation of ion flux and ion energy. The microstructure, intrinsic stress and physical properties of the multilayered samples synthesized are studied and related back to the conditions at the growth surface during deposition. When energetic ions of a non-condensing species are used, it is possible to place active groups on the surfaces of materials such as polymers. These active groups can then be used as bonding sites in subsequent chemical attachment of proteins or other macromolecules. If the energy of the non-condensing ions is increased to a few keV then modified layers buried under the surface can be produced. Here we describe a method by which the aging effect, which is often observed in plasma surface modifications on polymers, can be reduced and even eliminated using high energy ion bombardment

  11. Surface modification of polyester synthetic leather with tetramethylsilane by atmospheric pressure plasma

    Science.gov (United States)

    Kan, C. W.; Kwong, C. H.; Ng, S. P.

    2015-08-01

    Much works have been done on synthetic materials but scarcely on synthetic leather owing to its surface structures in terms of porosity and roughness. This paper examines the use of atmospheric pressure plasma (APP) treatment for improving the surface performance of polyester synthetic leather by use of a precursor, tetramethylsilane (TMS). Plasma deposition is regarded as an effective, simple and single-step method with low pollution. Scanning electron microscopy (SEM), X-ray photoelectron spectroscopy (XPS) and Fourier transform infrared spectroscopy (FTIR) confirm the deposition of organosilanes on the sample's surface. The results showed that under a particular combination of treatment parameters, a hydrophobic surface was achieved on the APP treated sample with sessile drop static contact angle of 138°. The hydrophobic surface is stable without hydrophilic recovery 30 days after plasma treatment.

  12. Similarity analysis for the high-pressure inductively coupled plasma source

    International Nuclear Information System (INIS)

    Vanden-Abeele, D; Degrez, G

    2004-01-01

    It is well known that the optimal operating parameters of an inductively coupled plasma (ICP) torch strongly depend upon its dimensions. To understand this relationship better, we derive a dimensionless form of the equations governing the behaviour of high-pressure ICPs. The requirement of similarity then naturally leads to expressions for the operating parameters as a function of the plasma radius. In addition to the well-known scaling law for frequency, surprising results appear for the dependence of the mass flow rate, dissipated power and operating pressure upon the plasma radius. While the obtained laws do not appear to be in good agreement with empirical results in the literature, their correctness is supported by detailed numerical calculations of ICP sources of varying diameters. The approximations of local thermodynamic equilibrium and negligible radiative losses restrict the validity of our results and can be responsible for the disagreement with empirical data. The derived scaling laws are useful for the design of new plasma torches and may provide explanations for the unsteadiness observed in certain existing ICP sources

  13. Simulation Study of an Extended Density DC Glow Toroidal Plasma Source

    International Nuclear Information System (INIS)

    Granda-Gutierrez, E. E.; Piedad-Beneitez, A. de la; Lopez-Callejas, R.; Godoy-Cabrera, O. G.; Benitez-Read, J. S.; Pacheco-Sotelo, J. O.; Pena-Eguiluz, R.; Mercado-Cabrera, A.; Valencia A, R.; Barocio, S. R.

    2006-01-01

    Conventional wisdom assigns the DC glow discharge regime to plasma currents below ∼500 mA values, beyond which the discharge falls into the anomalous glow and the turbulent arc regimes. However, we have found evidence that, during toroidal discharges, this barrier can be ostensibly extended up to 800 mA. Thus, a computer simulation has been applied to the evolution of the main electrical characteristics of such a glow discharge plasma in a toroidal vessel in order to design and construct a respective voltage/current controlled source. This should be able to generate a DC plasma in the glow regime with which currents in the range 10-3-100 A can be experimented and 109-1010 cm-3 plasma densities can be achieved to PIII optimization purposes. The plasma is modelled as a voltage-controlled current source able to be turned on whenever the breakdown voltage is reached across the gap between the anode and the vessel wall. The simulation outcome fits well our experimental measurements showing that the plasma current obeys power laws that are dependent on the power current and other control variables such as the gas pressure

  14. Effects of air dielectric barrier discharge plasma treatment time on surface properties of PBO fiber

    International Nuclear Information System (INIS)

    Wang Qian; Chen Ping; Jia Caixia; Chen, Mingxin; Li Bin

    2011-01-01

    In this paper, the effects of air dielectric barrier discharge (DBD) plasma treatment time on surface properties of poly(p-phenylene benzobisoxazole) (PBO) fiber were investigated. The surface characteristics of PBO fiber before and after the plasma treatments were analyzed by dynamic contact angle (DCA) analysis, scanning electron microscopy (SEM), atomic force microscopy (AFM) and X-ray photoelectron spectroscopy (XPS). DCA measurements indicated that the surface wettability of PBO fiber was improved significantly by increasing the fiber surface free energy via air DBD plasma treatments. The results were confirmed by the improvement of adhesion of a kind of thermoplastic resin to PBO fiber which was observed by SEM, showing that more resin was adhering evenly to the fiber surface. AFM measurement revealed that the surface topography of PBO fiber became more complicated and the surface roughness was greatly enhanced after the plasma treatments, and XPS analysis showed that some new polar groups (e.g. -O-C=O) were introduced on plasma treated PBO fiber surface. The results of this study also showed that the surface properties of PBO fiber changed with the elongation of plasma treatment time.

  15. Plasma focus as an heavy ion source in the problem of heavy ion fusion

    International Nuclear Information System (INIS)

    Gribkov, V.A.; Dubrovskij, A.V.; Kalachev, N.V.; Krokhin, O.N.; Silin, P.V.; Nikulin, V.Ya.; Cheblukov, Yu.N.

    1984-01-01

    Results of experiments on the ion flux formation in a plasma focus (PF) to develop a multicharged ion source for thermonuclear facility driver are presented. In plasma focus accelerating section copper ions were injected. Advantages of the suggested method of ion beam formation are demonstrated. Beam emittance equalling < 0.1 cmxmrad is obtained. Plasma focus ion energy exceeds 1 MeV. Plasma focus in combination with a neodymium laser is thought to be a perspective ion source for heavy ion fusion

  16. Abnormally large energy spread of electron beams extracted from plasma sources

    Energy Technology Data Exchange (ETDEWEB)

    Winter, H [Technische Univ., Vienna (Austria). Inst. fuer Allgemeine Physik

    1976-07-01

    Intense electron beams extracted from DUOPLASMATRON-plasma cathodes show a high degree of modulation in intensity and an abnormally large energy spread; these facts cannot be explained simply by the temperature of the plasma electrons and the discharge structure. However, an analysis of the discharge stability behaviour and the interaction of source- and extracted beam-plasma leads to an explanation for the observed effects.

  17. Study on the surface of fluorosilicone acrylate RGP contact lens treated by low-temperature nitrogen plasma

    International Nuclear Information System (INIS)

    Ren Li; Yin Shiheng; Zhao Lianna; Wang Yingjun; Chen Hao; Qu Jia

    2008-01-01

    In order to improve the surface hydrophilicity of fluorosilicone acrylate rigid gas permeable (RGP) contact lens, low temperature nitrogen plasma was used to modify the lens surface. Effects of plasma conditions on the surface structures and properties were investigated. Results indicated that the surface hydrophilicity of RGP contact lens was significantly improved after treatment. X-ray photoelectron spectroscopy (XPS) results showed that the nitrogen element was successfully incorporated into the surface. Furthermore, some new bonds such as N-C=O, F - and silicate were formed on the lens surface after nitrogen plasma treatment, which could result in the improvement of the surface hydrophilicity. Scanning electronic microscope (SEM) results indicated that nitrogen plasma with moderate power could make the surface smoother in some degree, while plasma with higher power could etch the surface

  18. Study of highly functionalized metal surface treated by plasma ion implantation

    International Nuclear Information System (INIS)

    Ikeyama, Masami; Miyagawa, Soji; Miyagawa, Yoshiko; Nakao, Setsuo; Masuda, Haruho; Saito, Kazuo; Ono, Taizou; Hayashi, Eiji

    2004-01-01

    Technology for processing metal surfaces with hardness, low friction and free from foreign substances was developed with plasma ion implantation. Diamond-like carbon (DLC) coating is a most promising method for realization of hard and smooth metal surface. DLC coating was tested in a metal pipe with 10 mm diameter and 10 cm length by a newly developed plasma ion implantation instrument. The surface coated by DLC was proved to have characteristics equivalent to those prepared with other methods. A computer program simulating a formation process of DLC coating was developed. Experiments for fluorinating the DLC coating surface was performed. (Y. Kazumata)

  19. Note: A single-chamber tool for plasma activation and surface functionalization in microfabrication

    Energy Technology Data Exchange (ETDEWEB)

    Bowman, Adam J.; Scherrer, Joseph R.; Reiserer, Ronald S., E-mail: ron.reiserer@vanderbilt.edu [Vanderbilt Institute for Integrative Biosystems Research and Education and Department of Physics and Astronomy, Vanderbilt University, Nashville, Tennessee 37235 (United States)

    2015-06-15

    We present a simple apparatus for improved surface modification of polydimethylsiloxane (PDMS) microfluidic devices. A single treatment chamber for plasma activation and chemical/physical vapor deposition steps minimizes the time-dependent degradation of surface activation that is inherent in multi-chamber techniques. Contamination and deposition irregularities are also minimized by conducting plasma activation and treatment phases in the same vacuum environment. An inductively coupled plasma driver allows for interchangeable treatment chambers. Atomic force microscopy confirms that silane deposition on PDMS gives much better surface quality than standard deposition methods, which yield a higher local roughness and pronounced irregularities in the surface.

  20. Surface treatment of aramid fiber by air dielectric barrier discharge plasma at atmospheric pressure

    International Nuclear Information System (INIS)

    Jia Caixia; Chen Ping; Liu Wei; Li Bin; Wang Qian

    2011-01-01

    Aramid fiber samples are treated by air dielectric barrier discharge (DBD) plasma at atmospheric pressure; the plasma treatment time is investigated as the major parameter. The effects of this treatment on the fiber surface physical and chemical properties are studied by using surface characterization techniques. Scanning electron microscopy (SEM) is performed to determine the surface morphology changes, X-ray photoelectron spectroscopy (XPS) is analyzed to reveal the surface chemical composition variations and dynamic contact angle analysis (DCAA) is used to examine the changes of the fiber surface wettability. In addition, the wetting behavior of a kind of thermoplastic resin, poly(phthalazinone ether sulfone ketone) (PPESK), on aramid fiber surface is also observed by SEM photos. The study shows that there seems to be an optimum treatment condition for surface modification of aramid fiber by the air DBD plasma. In this paper, after the 12 s, 27.6 W/cm 3 plasma treatment the aramid fiber surface roughness is significantly improved, some new oxygen-containing groups such as C-O, C=O and O=C-O are generated on the fiber surface and the fiber surface wettability is greatly enhanced, which results in the better wetting behavior of PPESK resin on the plasma-treated aramid fiber.

  1. Plasma immersion ion implantation for the efficient surface modification of medical materials

    International Nuclear Information System (INIS)

    Slabodchikov, Vladimir A.; Borisov, Dmitry P.; Kuznetsov, Vladimir M.

    2015-01-01

    The paper reports on a new method of plasma immersion ion implantation for the surface modification of medical materials using the example of nickel-titanium (NiTi) alloys much used for manufacturing medical implants. The chemical composition and surface properties of NiTi alloys doped with silicon by conventional ion implantation and by the proposed plasma immersion method are compared. It is shown that the new plasma immersion method is more efficient than conventional ion beam treatment and provides Si implantation into NiTi surface layers through a depth of a hundred nanometers at low bias voltages (400 V) and temperatures (≤150°C) of the substrate. The research results suggest that the chemical composition and surface properties of materials required for medicine, e.g., NiTi alloys, can be successfully attained through modification by the proposed method of plasma immersion ion implantation and by other methods based on the proposed vacuum equipment without using any conventional ion beam treatment

  2. Reactive-ion etching of nylon fabric meshes using oxygen plasma for creating surface nanostructures

    International Nuclear Information System (INIS)

    Salapare, Hernando S.; Darmanin, Thierry; Guittard, Frédéric

    2015-01-01

    Graphical abstract: - Highlights: • Reactive-ion etching (RIE) is employed to nylon 6,6 fabrics to achieve surface texturing and improved wettability. • FTIR spectra of the treated samples exhibited decreased transmittance of amide and carboxylic acid groups due to etching. • Etching is enhanced for higher power plasma treatments and for samples with larger mesh sizes. • Decreased crystallinity was achieved after plasma treatment. • Higher power induced higher negative DC self-bias voltage on the samples that favored anisotropic and aggressive etching. - Abstract: A facile one-step oxygen plasma irradiation in reactive ion etching (RIE) configuration is employed to nylon 6,6 fabrics with different mesh sizes to achieve surface nanostructures and improved wettability for textile and filtration applications. To observe the effects of power and irradiation time on the samples, the experiments were performed using constant irradiation time in varying power and using constant power in varying irradiation times. Results showed improved wettability after the plasma treatment. The FTIR spectra of all the treated samples exhibited decreased transmittance of the amide and carboxylic acid groups due to surface etching. The changes in the surface chemistry are supported by the SEM data wherein etching and surface nanostructures were observed for the plasma-treated samples. The etching of the surfaces is enhanced for higher power plasma treatments. The thermal analysis showed that the plasma treatment resulted in decreased crystallinity. Surface chemistry showed that the effects of the plasma treatment on the samples have no significant difference for all the mesh sizes. However, surface morphology showed that the sizes of the surface cracks are the same for all the mesh sizes but samples with larger mesh sizes exhibited enhanced etching as compared to the samples with smaller mesh sizes. Higher power induced higher negative DC self-bias voltage on the samples that

  3. 77 FR 6463 - Revisions to Labeling Requirements for Blood and Blood Components, Including Source Plasma...

    Science.gov (United States)

    2012-02-08

    ... Blood Components, Including Source Plasma; Correction AGENCY: Food and Drug Administration, HHS. ACTION..., Including Source Plasma,'' which provided incorrect publication information regarding a 60-day notice that...

  4. Spectroscopic measurements of anode plasma with cryogenic pulsed ion sources

    International Nuclear Information System (INIS)

    Yoneda, H.; Urata, T.; Ohbayashi, K.; Kim, Y.; Horioka, K.; Kasuya, K.

    1987-01-01

    In ion beam diodes, electromagnetic wave is coupled to ion beam. Ion is extracted from anode plasma, which is produced early in the power pulse. However, exact mechanism of anode plasma production, expansion and ion extraction process is unknown. In particularly, anode plasma expansion is seemed to be one of the reasons of rapid impedance collapse of the diode, which is serious problem in high power experiments. Some experimental results showed that anode plasma expansion velocity was about 5 times larger than that inferred from simple thermal velocity. Several explanations for these results were proposed; for example, electron collisionarity in anode plasma, fast neutral gas particle, diamagnetism. To solve this question, it is necessary to measure the characteristic of anode plasma with space and time resolution. The authors made spectroscopic measurements to investigate variety of electron temperature, electron density, expansion velocity of anode plasma with various ion sources

  5. Spectral lines and characteristic of temporal variations in photoionized plasmas induced with laser-produced plasma extreme ultraviolet source

    Science.gov (United States)

    Saber, I.; Bartnik, A.; Wachulak, P.; Skrzeczanowski, W.; Jarocki, R.; Fiedorowicz, H.

    2017-11-01

    Spectral lines for Kr/Ne/H2 photoionized plasma in the ultraviolet and visible (UV/Vis) wavelength ranges have been created using a laser-produced plasma (LPP) EUV source. The source is based on a double-stream gas puff target irradiated with a commercial Nd:YAG laser. The laser pulses were focused onto a gas stream, injected into a vacuum chamber synchronously with the EUV pulses. Spectral lines from photoionization in neutral Kr/Ne/H2 and up to few charged states were observed. The intense emission lines were associated with the Kr transition lines. Experimental and theoretical investigations on intensity variations for some ionic lines are presented. A decrease in the intensity with the delay time between the laser pulse and the spectrum acquisition was revealed. Electron temperature and electron density in the photoionized plasma have been estimated from the characteristic emission lines. Temperature was obtained using Boltzmann plot method, assuming that the population density of atoms and ions are considered in a local thermodynamic equilibrium (LTE). Electron density was calculated from the Stark broadening profile. The temporal evaluation of the plasma and the way of optimizing the radiation intensity of LPP EUV sources is discussed.

  6. Plasma Rich in Growth Factors for the Treatment of Ocular Surface Diseases.

    Science.gov (United States)

    Anitua, Eduardo; Muruzabal, Francisco; de la Fuente, María; Merayo, Jesús; Durán, Juan; Orive, Gorka

    2016-07-01

    The purpose of this work is to describe and review the technology of plasma rich in growth factors (PRGF), a novel blood derivative product, in the treatment of ocular surface disorders. To demonstrate the importance of this technology in the treatment of ocular pathologies, a thorough review of the preclinical and clinical literature results obtained following use of the different therapeutic formulations of PRGF was carried out. A literature search for applications of PGRF plasma in the ophthalmology field was carried out using the PubMed database. PRGF involves the use of patient's own biologically active proteins, growth factors, and biomaterial scaffolds for therapeutic purposes. This procedural technology is gaining interest in regenerative medicine due to its potential to stimulate and accelerate the tissue healing processes. The versatility and biocompatibility of this technology opens the door to a personalized medicine on ocular tissue regeneration. This review discusses the state of the art of the new treatments and technologies developed to promote ocular surface tissue regeneration. The standardized protocol that has been developed to source eye drops from PRGF technology is also described. The preclinical research, together with the most relevant clinical applications are summarized and discussed. The preliminary results suggest that the use of PRGF to enhance ocular tissue regeneration is safe and efficient.

  7. Plasma modification of polypropylene surfaces and its alloying with styrene in situ

    Energy Technology Data Exchange (ETDEWEB)

    Ma Guiqiu, E-mail: magq@tju.edu.cn [School of Materials Science and Engineering, Tianjin Key Laboratory of Composite and Functional Materials, Tianjin University, Tianjin, 300072 (China); Liu Ben; Li Chen; Huang Dinghai; Sheng Jing [School of Materials Science and Engineering, Tianjin Key Laboratory of Composite and Functional Materials, Tianjin University, Tianjin, 300072 (China)

    2012-01-15

    The treatment of polypropylene surfaces has been studied by dielectric barrier discharges plasma of Ar. The structure and morphology of polypropylene surfaces of Ar plasma modification are characterized by X-ray photoelectron spectroscopy, Fourier transform infrared spectrometers and scanning electron microscope. The modified by plasma treatment of iPP (isotactic polypropylene) surface properties have been examined in a determination of free radicals. The modified active surfaces of polypropylene can induce grafting copolymerization of styrene onto polypropylene. The structure of grafting copolymer is characterized and the grafting percent of styrene onto polypropylene is calculated. The homopolymer of styrene can be formed under grafting copolymerization of styrene onto polypropylene, which follows that the alloying of polypropylene with styrene is achieved in situ.

  8. PIII Plasma Density Enhancement by a New DC Power Source

    International Nuclear Information System (INIS)

    Lopez-Callejas, R.; Godoy-Cabrera, O. G.; Granda-Gutierrez, E. E.; Piedad-Beneitez, A. de la; Munoz-Castro, A. E.; Valencia A, R.; Barocio, S. R.; Mercado-Cabrera, A.; Pena-Eguiluz, R.

    2006-01-01

    In practical terms, those plasmas produced by a DC voltage power supply do not attain densities above the 108 to 109 cm-3 band. Here we present a power supply, controlled in current and voltage, which has been successfully designed and constructed delivering plasma densities in the orders of 109 - 1010 cm-3. Its experimental performance test was conducted within one toroidal and one cylindrical chambers capable of 29 and 35 litres, respectively, using nitrogen gas. The DC plasma was characterized by a double electric probe. Several physical phenomena present in the PIII process have been keenly investigated including plasma sheath dynamics, interaction of plasma and surface, etc. In this paper we analyze the effect of the implantation voltage, plasma density and pulse time in the PIII average heating power and fluence density

  9. Hydrophobic and superhydrophobic surfaces fabricated using atmospheric pressure cold plasma technology: A review.

    Science.gov (United States)

    Dimitrakellis, Panagiotis; Gogolides, Evangelos

    2018-04-01

    Hydrophobic surfaces are often used to reduce wetting of surfaces by water. In particular, superhydrophobic surfaces are highly desired for several applications due to their exceptional properties such as self-cleaning, anti-icing, anti-friction and others. Such surfaces can be prepared via numerous methods including plasma technology, a dry technique with low environmental impact. Atmospheric pressure plasma (APP) has recently attracted significant attention as lower-cost alternative to low-pressure plasmas, and as a candidate for continuous rather than batch processing. Although there are many reviews on water-repellent surfaces, and a few reviews on APP technology, there are hardly any review works on APP processing for hydrophobic and superhydrohobic surface fabrication, a topic of high importance in nanotechnology and interface science. Herein, we critically review the advances on hydrophobic and superhydrophobic surface fabrication using APP technology, trying also to give some perspectives in the field. After a short introduction to superhydrophobicity of nanostructured surfaces and to APPs we focus this review on three different aspects: (1) The atmospheric plasma reactor technology used for fabrication of (super)hydrophobic surfaces. (2) The APP process for hydrophobic surface preparation. The hydrophobic surface preparation processes are categorized methodologically as: a) activation, b) grafting, c) polymerization, d) roughening and hydrophobization. Each category includes subcategories related to different precursors used. (3) One of the most important sections of this review concerns superhydrophobic surfaces fabricated using APP. These are methodologically characterized as follows: a) single step processes where micro-nano textured topography and low surface energy coating are created at the same time, or b) multiple step processes, where these steps occur sequentially in or out of the plasma. We end the review with some perspectives in the field. We

  10. Inertial electro-magnetostatic plasma neutron sources

    International Nuclear Information System (INIS)

    Barnes, D.C.; Nebel, R.A.; Schauer, M.M.; Pickrel, M.M.

    1997-01-01

    Two types of systems are being studied experimentally as D-T plasma neutron sources. In both concepts, spherical convergence of either electrons or ions or both is used to produce a dense central focus within which D-T fusion reactions produce 14 MeV neutrons. One concept uses nonneutral plasma confinement principles in a Penning type trap. In this approach, combined electrostatic and magnetic fields provide a vacuum potential well within which electrons are confined and focused. A small (6 mm radius) spherical machine has demonstrated a focus of 30 microm radius, with a central density of up to 35 times the Brillouin density limit of a static trap. The resulting electron plasma of up to several 10 13 cm -3 provides a multi-kV electrostatic well for confining thermonuclear ions as a neutron source. The second concept (Inertial Electrostatic Confinement, or IEC) uses a high-transparence grid to form a global well for acceleration and confinement of ions. Such a system has demonstrated steady neutron output of 2 x 10 10 s -1 . The present experiment will scale this to >10 11 s -1 . Advanced designs based on each concept have been developed recently. In these proposed approaches, a uniform-density electron sphere forms an electrostatic well for ions. Ions so trapped may be focused by spherical convergence to produce a dense core. An alternative approach produces large amplitude spherical oscillations of a confined ion cloud by a small, resonant modulation of the background electrons. In both the advanced Penning trap approach and the advanced IEC approach, the electrons are magnetically insulated from a large (up to 100 kV) applied electrostatic field. The physics of these devices is discussed, experimental design details are given, present observations are analyzed theoretically, and the performance of future advanced systems are predicted

  11. Surface martensitization of Carbon steel using Arc Plasma Sintering

    Science.gov (United States)

    Wahyudi, Haris; Dimyati, Arbi; Sebayang, Darwin

    2018-03-01

    In this paper new technology of surface structure modification of steel by short plasma exposure in Arc Plasma Sintering (APS) device is presented. APS is an apparatus working based on plasma generated by DC pulsed current originally used for synthesizing materials via sintering and melting. Plasma exposure in APS was applied into the specimens for 1 and 3 seconds which generate temperature approximately about 1300-1500°C. The SUP9, pearlitic carbon steel samples were used. The hardness, hardening depth and microstructure of the specimens have been investigated by Vickers micro hardness test and Scanning Electron Microscopy (SEM) supported by Energy Dispersive X-Ray Spectroscopy (EDX). The results have showed that the mechanical property was significantly improved due to the formation of single martensitic structures as identified by SEM. The hardness of treated surface evaluated by Vickers hardness test showed significant improvement nearly three time from 190 VHN before to 524 VHN after treatment. Furthermore, EDX confirmed that the formation of martensite layer occurred without altering its composition. The APS also produced uniform hardened layer up to 250 μm. The experiment has demonstrated that arc plasma process was successfully improved the mechanical properties of steel in relatively very short time.

  12. Strategies to improve the adhesion of rubbers to adhesives by means of plasma surface modification

    Science.gov (United States)

    Martín-Martínez, J. M.; Romero-Sánchez, M. D.

    2006-05-01

    The surface modifications produced by treatment of a synthetic sulfur vulcanized styrene-butadiene rubber with oxidizing (oxygen, air, carbon dioxide) and non oxidizing (nitrogen, argon) RF low pressure plasmas, and by treatment with atmospheric plasma torch have been assessed by ATR-IR and XPS spectroscopy, SEM, and contact angle measurements. The effectiveness of the low pressure plasma treatment depended on the gas atmosphere used to generate the plasma. A lack of relationship between surface polarity and wettability, and peel strength values was obtained, likely due to the cohesive failure in the rubber obtained in the adhesive joints. In general, acceptable adhesion values of plasma treated rubber were obtained for all plasmas, except for nitrogen plasma treatment during 15 minutes due to the creation of low molecular weight moieties on the outermost rubber layer. A toluene wiping of the N{2 } plasma treated rubber surface for 15 min removed those moieties and increased adhesion was obtained. On the other hand, the treatment of the rubber with atmospheric pressure by means of a plasma torch was proposed. The wettability of the rubber was improved by decreasing the rubber-plasma torch distance and by increasing the duration because a partial removal of paraffin wax from the rubber surface was produced. The rubber surface was oxidized by the plasma torch treatment, and the longer the duration of the plasma torch treatment, the higher the degree of surface oxidation (mainly creation of C O moieties). However, although the rubber surface was effectively modified by the plasma torch treatment, the adhesion was not greatly improved, due to the migration of paraffin wax to the treated rubber-polyurethane adhesive interface once the adhesive joint was produced. On the other hand, the extended treatment with plasma torch facilitated the migration of zinc stearate to the rubber-adhesive interface, also contributing to deteriorate the adhesion in greater extent. Finally

  13. Ionization by a pulsed plasma surface water

    International Nuclear Information System (INIS)

    Bloyet, E.; Leprince, P.; Marec, J.; Llamas Blasco, M.

    1981-01-01

    The ionization mechanism is studied of a pulsed surface wave generating a microwave discharge. When the plasma is dominated by collisions, it is found that the velocity of the ionization front depends on the ponderomotive force due to the field gradient in the front. (orig.)

  14. Plasma surface interactions in controlled fusion devices

    Energy Technology Data Exchange (ETDEWEB)

    Ghendrih, Ph.; Becoulet, M.; Costanzo, L. [and others

    2000-07-01

    This report brings together all the contributions of EURATOM/CEA association to the 14. international conference on plasma surface interactions in controlled fusion devices. 24 papers are presented and they deal mainly with the ergodic divertor and the first wall of Tore-supra tokamak.

  15. Plasma surface interactions in controlled fusion devices

    International Nuclear Information System (INIS)

    Ghendrih, Ph.; Becoulet, M.; Costanzo, L.

    2000-07-01

    This report brings together all the contributions of EURATOM/CEA association to the 14. international conference on plasma surface interactions in controlled fusion devices. 24 papers are presented and they deal mainly with the ergodic divertor and the first wall of Tore-supra tokamak

  16. Enhancement of H{sup -}/D{sup -} volume production in a double plasma type negative ion source

    Energy Technology Data Exchange (ETDEWEB)

    Fukumasa, Osamu; Nishimura, Hideki; Sakiyama, Satoshi [Yamaguchi Univ., Ube (Japan). Faculty of Engineering

    1997-02-01

    H{sup -}/D{sup -} production in a pure volume source has been studied. In our double plasma type negative ion source, both energy and density of fast electrons are well controlled. With the use of this source, the enhancement of H{sup -}/D{sup -} production has been observed. Namely, under the same discharge power, the extracted H{sup -}/D{sup -} current in the double plasma operation is higher than that in the single plasma operation. At the same time, measurements of plasma parameters have been made in the source and the extractor regions for these two cases. (author)

  17. Plasma measurements with surface barrier detectors

    International Nuclear Information System (INIS)

    Futch, A.H. Jr.; Bradley, A.E.

    1969-01-01

    A surface barrier detector system for measuring the loss rate of protons from a hydrogen plasma and their energy spectrum is described. A full width at half maximum (FWHM) resolution of 1.4 keV for 15-keV hydrogen atoms was obtained using a selected detector having a sensitive area of 3 mm 2 and a depletion depth of 700 microns

  18. Plasma-implantation-based surface modification of metals with single-implantation mode

    Science.gov (United States)

    Tian, X. B.; Cui, J. T.; Yang, S. Q.; Fu, Ricky K. Y.; Chu, Paul K.

    2004-12-01

    Plasma ion implantation has proven to be an effective surface modification technique. Its biggest advantage is the capability to treat the objects with irregular shapes without complex manipulation of target holder. Many metal materials such as aluminum, stainless steel, tool steel, titanium, magnesium etc, has been treated using this technique to improve their wear-resistance, corrosion-resistance, fatigue-resistance, oxidation-resistance, bio-compatiblity etc. However in order to achieve thicker modified layers, hybrid processes combining plasma ion implantation with other techniques have been frequently employed. In this paper plasma implantation based surface modification of metals using single-implantation mode is reviewed.

  19. Plasmas in compact traps: From ion sources to multidisciplinary research

    Science.gov (United States)

    Mascali, D.; Musumarra, A.; Leone, F.; Galatà, A.; Romano, F. P.; Gammino, S.

    2017-09-01

    In linear (minimum-B) magneto-static traps dense and hot plasmas are heated by electromagnetic radiation in the GHz domain via the Electron Cyclotron Resonance (ECR). The values of plasma density, temperature and confinement times ( n_eτ_i>10^{13} cm ^{-3} s; T_e>10 keV) are similar to the ones of thermonuclear plasmas. The research in this field -devoted to heating and confinement optimization- has been supported by numerical modeling and advanced diagnostics, for probing the plasma especially in a non-invasive way. ECR-based systems are nowadays able to produce extremely intense (tens or hundreds of mA) beams of light ions (p, d, He), and relevant currents of heavier elements (C, O, N) up to heavy ions like Xe, Pb, U. Such beams can be extracted from the trap by a proper electrostatic system. The above-mentioned properties make these plasmas very attractive for interdisciplinary researches also, such as i) nuclear decays rates measurements in stellar-like conditions, ii) energy conversion studies, being exceptional sources of short-wavelength electromagnetic radiation (EUV, X-rays, hard X-rays and gammas, useful in material science and archaeometry), iii) environments allowing precise spectroscopical measurements as benchmarks for magnetized astrophysical plasmas. The talk will give an overview about the state-of-the-art in the field of intense ion sources, and some new perspectives for interdisciplinary research, with a special attention to the developments based at INFN-LNS.

  20. Influence of ECR-RF plasma modification on surface and thermal properties of polyester copolymer

    Directory of Open Access Journals (Sweden)

    Fray Miroslawa El

    2015-12-01

    Full Text Available In this paper we report a study on influence of radio-frequency (RF plasma induced with electron cyclotron resonance (ECR on multiblock copolymer containing butylene terephthalate hard segments (PBT and butylene dilinoleate (BDLA soft segments. The changes in thermal properties were studied by DSC. The changes in wettability of PBT-BDLA surfaces were studied by water contact angle (WCA. We found that ECR-RF plasma surface treatment for 60 s led to decrease of WCA, while prolonged exposure of plasma led to increase of WCA after N2 and N2O2 treatment up to 70°–80°. The O2 reduced the WCA to 50°–56°. IR measurements confirmed that the N2O2 plasma led to formation of polar groups. SEM investigations showed that plasma treatment led to minor surfaces changes. Collectively, plasma treatment, especially O2, induced surface hydrophilicity what could be beneficial for increased cell adhesion in future biomedical applications of these materials.