WorldWideScience

Sample records for surface implanted ions

  1. Changes in surface properties caused by ion implantation

    International Nuclear Information System (INIS)

    Iwaki, Masaya

    1987-01-01

    This report outlines various aspects of ion implantation. Major features of ion implantation are described first, focusing on the structure of ion implantation equipment and some experimental results of ion implantation into semiconductors. Distribution of components in ion-implantated layers is then discussed. The two major features of ion implantation in relation to the distribution of implanted ions are: (1) high controllability of addition of ions to a surface layer and (2) formation of a large number of lattice defects in a short period of time. Application of ion implantation to metallic materials is expected to permit the following: (1) formation of a semi-stable alloy surface layer by metallic ion implantation, (2) formation of a semi-stable ceramic surface layer or buried layer by non-metallic ion implantation, and (3) formation of a buried layer by combined implementation of a different metallic ion and non-metallic ion. Ion implantation in carbon materials, polymers and ceramics is discussed next. The last part of the report is dedicated to macroscopic properties of an ion-implanted layer, centering on surface modification, formation of a conductive surface layer, and tribology. (Nogami, K.) 60 refs

  2. Surface microhardening by ion implantation

    International Nuclear Information System (INIS)

    Singh, Amarjit

    1986-01-01

    The paper discusses the process and the underlying mechanism of surface microhardening by implanting suitable energetic ions in materials like 4145 steel, 304 stainless steel, aluminium and its 2024-T351 alloy. It has been observed that boron and nitrogen implantation in materials like 4145 steel and 304 stainless steel can produce a significant increase in surface hardness. Moreover the increase can be further enhanced with suitable overlay coatings such as aluminium (Al), Titanium (Ti) and carbon (C). The surface hardening due to implantation is attributed to precipitation hardening or the formation of stable/metastable phase or both. The effect of lithium implantation in aluminium and its alloy on microhardness with increasing ion dose and ion beam energy is also discussed. (author)

  3. Surface engineering by ion implantation

    International Nuclear Information System (INIS)

    Nielsen, Bjarne Roger

    1995-01-01

    Awidespread commercial applica tion iof particle accelerators is for ion implantation. Accelerator beams are used for ion implantation into metals, alloying a thin surface layer with foreign atoms to concentrations impossible to achieve by thermal processes, making for dramatic improvements in hardness and in resistance to wear and corrosion. Traditional hardening processes require high temperatures causing deformation; ion implantation on the other hand is a ''cold process'', treating the finished product. The ionimplanted layer is integrated in the substrate, avoiding the risk of cracking and delamination from normal coating processes. Surface properties may be ''engineered'' independently of those of the bulk material; the process does not use environmentally hazardous materials such as chromium in the surface coating. The typical implantation dose required for the optimum surface properties of metals is around 2 x 10 17 ion/cm 2 , a hundred times the typical doses for semiconductor processing. When surface areas of more than a few square centimetres have to be treated, the implanter must therefore be able to produce high beam currents (5 to 10 mA) to obtain an acceptable treatment time. Ion species used include nitrogen, boron, carbon, titanium, chromium and tantalum, and beam energies range from 50 to 200 keV. Since most components are three dimensional, it must be possible to rotate and tilt them in the beam, and control beam position over a large area. Examples of industrial applications are: - surface treatment of prostheses (hip and knee joints) to reduce wear of the moving parts, using biocompatible materials; - ion implantation into high speed ball bearings to protect against the aqueous corrosion in jet engines (important for service helicopters on oil rigs); - hardening of metal forming and cutting tools; - reduction of corrosive wear of plastic moulding tools, which are expensive to produce

  4. Ion beam analysis of metal ion implanted surfaces

    Energy Technology Data Exchange (ETDEWEB)

    Evans, P.J.; Chu, J.W.; Johnson, E.P.; Noorman, J.T. [Australian Nuclear Science and Technology Organisation, Lucas Heights, NSW (Australia); Sood, D.K. [Royal Melbourne Inst. of Tech., VIC (Australia)

    1993-12-31

    Ion implantation is an established method for altering the surface properties of many materials. While a variety of analytical techniques are available for the characterisation of implanted surfaces, those based on particle accelerators such as Rutherford backscattering (RBS) and nuclear reaction analysis (NRA) provide some of the most useful and powerful for this purpose. Application of the latter techniques to metal ion implantation research at ANSTO will be described with particular reference to specific examples from recent studies. Where possible, the information obtained from ion beam analysis will be compared with that derived from other techniques such as Energy Dispersive X-ray (EDX) and Auger spectroscopies. 4 refs., 5 figs.

  5. Ion beam analysis of metal ion implanted surfaces

    Energy Technology Data Exchange (ETDEWEB)

    Evans, P J; Chu, J W; Johnson, E P; Noorman, J T [Australian Nuclear Science and Technology Organisation, Lucas Heights, NSW (Australia); Sood, D K [Royal Melbourne Inst. of Tech., VIC (Australia)

    1994-12-31

    Ion implantation is an established method for altering the surface properties of many materials. While a variety of analytical techniques are available for the characterisation of implanted surfaces, those based on particle accelerators such as Rutherford backscattering (RBS) and nuclear reaction analysis (NRA) provide some of the most useful and powerful for this purpose. Application of the latter techniques to metal ion implantation research at ANSTO will be described with particular reference to specific examples from recent studies. Where possible, the information obtained from ion beam analysis will be compared with that derived from other techniques such as Energy Dispersive X-ray (EDX) and Auger spectroscopies. 4 refs., 5 figs.

  6. Ion beam analysis of metal ion implanted surfaces

    International Nuclear Information System (INIS)

    Evans, P.J.; Chu, J.W.; Johnson, E.P.; Noorman, J.T.; Sood, D.K.

    1993-01-01

    Ion implantation is an established method for altering the surface properties of many materials. While a variety of analytical techniques are available for the characterisation of implanted surfaces, those based on particle accelerators such as Rutherford backscattering (RBS) and nuclear reaction analysis (NRA) provide some of the most useful and powerful for this purpose. Application of the latter techniques to metal ion implantation research at ANSTO will be described with particular reference to specific examples from recent studies. Where possible, the information obtained from ion beam analysis will be compared with that derived from other techniques such as Energy Dispersive X-ray (EDX) and Auger spectroscopies. 4 refs., 5 figs

  7. Surface modification of metals by ion implantation

    International Nuclear Information System (INIS)

    Iwaki, Masaya

    1988-01-01

    Ion implantation in metals has attracted the attention as a useful technology for the formation of new metastable alloys and compounds in metal surface layers without thermal equilibrium. Current studies of metal surface modification by ion implantation with high fluences have expanded from basic research areas and to industrial applications for the improvement of life time of tools. Many results suggest that the high fluence implantation produces the new surface layers with un-expected microscopic characteristics and macroscopic properties due to implant particles, radiation damage, sputtering, and knock-on doping. In this report, the composition, structure and chemical bonding state in surface layers of iron, iron-based alloy and aluminum sheets implanted with high fluences have been investigated by means of secondary ion mass spectroscopy (SIMS), Auger electron spectroscopy (AES), X-ray photoelectron spectroscopy (XPS) and transmission electron microscopy (TEM). Tribological properties such as hardness, friction and wear are introduced. (author)

  8. Modification of polyvinyl alcohol surface properties by ion implantation

    Energy Technology Data Exchange (ETDEWEB)

    Pukhova, I.V., E-mail: ivpuhova@mail.ru [National Research Tomsk State University, 36 Lenin Ave, Tomsk 634050 (Russian Federation); Institute of High Current Electronics, 2/3 Akademichesky Ave, Tomsk 634055 (Russian Federation); Kurzina, I.A. [National Research Tomsk State University, 36 Lenin Ave, Tomsk 634050 (Russian Federation); Savkin, K.P. [Institute of High Current Electronics, 2/3 Akademichesky Ave, Tomsk 634055 (Russian Federation); Laput, O.A. [National Research Tomsk Polytechnic University, 30 Lenin Ave, Tomsk 634050 (Russian Federation); Oks, E.M. [Institute of High Current Electronics, 2/3 Akademichesky Ave, Tomsk 634055 (Russian Federation)

    2017-05-15

    We describe our investigations of the surface physicochemical properties of polyvinyl alcohol modified by silver, argon and carbon ion implantation to doses of 1 × 10{sup 14}, 1 × 10{sup 15} and 1 × 10{sup 16} ion/cm{sup 2} and energies of 20 keV (for C and Ar) and 40 keV (for Ag). Infrared spectroscopy (IRS) indicates that destructive processes accompanied by chemical bond (−C=O) generation are induced by implantation, and X-ray photoelectron spectroscopy (XPS) analysis indicates that the implanted silver is in a metallic Ag3d state without stable chemical bond formation with polymer chains. Ion implantation is found to affect the surface energy: the polar component increases while the dispersion part decreases with increasing implantation dose. Surface roughness is greater after ion implantation and the hydrophobicity increases with increasing dose, for all ion species. We find that ion implantation of Ag, Ar and C leads to a reduction in the polymer microhardness by a factor of five, while the surface electrical resistivity declines modestly.

  9. N and Cr ion implantation of natural ruby surfaces and their characterization

    Energy Technology Data Exchange (ETDEWEB)

    Rao, K. Sudheendra; Sahoo, Rakesh K.; Dash, Tapan [CSIR-Institute of Minerals and Materials Technology, Bhubaneswar 751013 (India); Magudapathy, P.; Panigrahi, B.K. [Materials Science Group, Indira Gandhi Centre for Atomic Research, Kalpakkam 603102 (India); Nayak, B.B.; Mishra, B.K. [CSIR-Institute of Minerals and Materials Technology, Bhubaneswar 751013 (India)

    2016-04-15

    Highlights: • Cr and N ion implantation on natural rubies of low aesthetic quality. • Cr-ion implantation improves colour tone from red to deep red (pigeon eye red). • N-ion implantation at fluence of 3 × 10{sup 17} causes blue coloration on surface. • Certain extent of amorphization is observed in the case of N-ion implantation. - Abstract: Energetic ions of N and Cr were used to implant the surfaces of natural rubies (low aesthetic quality). Surface colours of the specimens were found to change after ion implantation. The samples without and with ion implantation were characterized by diffuse reflectance spectra in ultra violet and visible region (DRS-UV–Vis), field emission scanning electron microscopy (FESEM), selected area electron diffraction (SAED) and nano-indentation. While the Cr-ion implantation produced deep red surface colour (pigeon eye red) in polished raw sample (without heat treatment), the N-ion implantation produced a mixed tone of dark blue, greenish blue and violet surface colour in the heat treated sample. In the case of heat treated sample at 3 × 10{sup 17} N-ions/cm{sup 2} fluence, formation of colour centres (F{sup +}, F{sub 2}, F{sub 2}{sup +} and F{sub 2}{sup 2+}) by ion implantation process is attributed to explain the development of the modified surface colours. Certain degree of surface amorphization was observed to be associated with the above N-ion implantation.

  10. Mechanical properties of ion implanted ceramic surfaces

    International Nuclear Information System (INIS)

    Burnett, P.J.

    1985-01-01

    This thesis investigates the mechanisms by which ion implantation can affect those surface mechanical properties of ceramics relevant to their tribological behaviour, specifically hardness and indentation fracture. A range of model materials (including single crystal Si, SiC, A1 2 0 3 , Mg0 and soda-lime-silica glass) have been implanted with a variety of ion species and at a range of ion energies. Significant changes have been found in both low-load microhardness and indentation fracture behaviour. The changes in hardness have been correlated with the evolution of an increasingly damaged and eventually amorphous thin surface layer together with the operation of radiation-, solid-solution- and precipitation-hardening mechanisms. Compressive surface stresses have been shown to be responsible for the observed changes in identation fracture behaviour. In addition, the levels of surface stress present have been correlated with the structure of the surface layer and a simple quantitative model proposed to explain the observed stress-relief upon amorphisation. Finally, the effects of ion implantation upon a range of polycrystalline ceramic materials has been investigated and the observed properties modifications compared and contrasted to those found for the model single crystal materials. (author)

  11. Ion implantation

    International Nuclear Information System (INIS)

    Dearnaley, Geoffrey

    1975-01-01

    First, ion implantation in semiconductors is discussed: ion penetration, annealing of damage, gettering, ion implanted semiconductor devices, equipement requirements for ion implantation. The importance of channeling for ion implantation is studied. Then, some applications of ion implantation in metals are presented: study of the corrosion of metals and alloys; influence or ion implantation on the surface-friction and wear properties of metals; hyperfine interactions in implanted metals

  12. Ion implantation as an efficient surface treatment

    International Nuclear Information System (INIS)

    Straede, C.A.

    1992-01-01

    Ion beam processing has for several years been well established in the semiconductor industry. In recent years ion implantation of tool steels, ceramics and even plastics has gained increasing industrial awareness. The development of ion implantation to a commercially viable surface treatment of tools and spare parts working in production type environments is very dependent on technical merits, economic considerations, competing processes and highly individual barriers to acceptance for each particular application. Some examples of this will be discussed. The development of the process is very closely linked with the development of high current accelerators and their ability to efficiently manipulate the samples being treated, or to make sample manipulation superfluous by using special beam systems like the PSII. Furthermore, the ability to produce high beam currents (mA) of a wide variety of ions is crucial. Previously, it was broadly accepted that ion implantation of tools on a commercial basis generally had to be limited to nitrogen implantation. The development of implanters which can produce high beam currents of ions like B + , C + , Ti + , Cr + and others is rapidly changing this situation, and today an increasing number of commercial implantations are performed with these ions although nitrogen is still successfully used in the majority of commercial implantation. All in all, the recent development of equipment makes it possible to a higher extent than before to tailor the implantation to a specific situation. The emerging new possibilities in this direction will be discussed, and a broad selection of practical examples of ion implantation at standard low temperatures of tools and spare parts will be given. Furthermore, very interesting results have been obtained recently by implanting nitrogen at elevated temperatures, which yields a relatively deep penetration of the implanted ions. (orig./WL)

  13. Surface depression of glass and surface swelling of ceramics induced by ion implantation

    International Nuclear Information System (INIS)

    Ikeyama, Masami; Saitoh, Kazuo; Nakao, Setsuo; Niwa, Hiroaki; Tanemura, Seita; Miyagawa, Yoshiko; Miyagawa, Souji

    1994-01-01

    By the measurement of the change of the surface shapes of the glass and ceramics in which ion implantation was performed, it was clarified that glass surface was depressed, and ceramic surface swelled. These depression and swelling changed according to the kinds of ions, energy and the amount to be implanted and the temperature of samples. It became clear that the depression of glass surface was nearly proportional to the range of flight of the implanted ions, and the swelling of ceramic surface showed different state in the silicon nitride with strong covalent bond and the alumina and sapphire with strong ionic bond. For the improvement of the mechanical characteristics of solid materials such as hardness, strength, toughness, wear resistance, oxidation resistance and so on, attention has been paid to the surface reforming by high energy ion implantation at MeV level. The change of shapes of base materials due to ion implantation is not always negligible. The experiment was carried out on sintered silicon nitride and alumina, polished sapphire single crystals and quartz glass. The experimental method and the results are reported. (K.I.)

  14. Cell patterning on a glass surface by a mask-assisted ion implantation

    Energy Technology Data Exchange (ETDEWEB)

    Jung, Chan-Hee; Kim, Dong-Ki; Hwang, In-Tae; Lim, Youn-Mook; Kim, Hae-Kyoung; Nho, Young-Chang [Radiation Research Division for Industry and Environment, Advanced Radiation Technology Institute, Korea Atomic Energy Research Institute, 1266 Sinjeong-dong, Jeongeup-si, Jeollabuk-do 580-185 (Korea, Republic of); Choi, Jae-Hak [Radiation Research Division for Industry and Environment, Advanced Radiation Technology Institute, Korea Atomic Energy Research Institute, 1266 Sinjeong-dong, Jeongeup-si, Jeollabuk-do 580-185 (Korea, Republic of)], E-mail: jaehakchoi@kaeri.re.kr

    2009-04-15

    A simple patterning method of cells on a glass has been developed by using ion implantation. The glass was implanted through a pattern mask with 150 keV Ar ions in the absence or presence of oxygen. Surface properties of the ion-implanted glass were investigated by means of X-ray photoelectron spectroscopy, contact angle measurement and cell culture test. The results showed that more hydrophilic groups were formed on the glass surface implanted in the presence of oxygen. Thus, the glass surface implanted in the presence of oxygen showed lower contact angle compared with the glass surface implanted in the absence of oxygen. The cells were strongly adhered to and proliferated on the ion-implanted regions of the glass. The cell population was found to be the highest on the glass implanted at a fluence of 1 x 10{sup 16} ions/cm{sup 2} in the presence of oxygen.

  15. Study on surface modification of M2 steel induced by Cu ions and Al ions implantation

    International Nuclear Information System (INIS)

    Wang Chao; Liu Zhengmin

    2001-01-01

    Changes of surface hardness and wear resistances in M2 type steel implanted by Cu Al ions were reported. The dependence of surface strengthening on ion species and dose was studied by X-ray diffraction (XRD) and Rutherford Backscattering Spectroscopy (RBS) for microhardness and wear resistances measurement. It is shown that both hardness and wear resistance increases apparently after ion implantation. XRD analysis indicates that different phases formed after Al Cu ions implanted. It is also suggested that Cu, Al ions have different role in surface strengthening

  16. Investigation of Steel Surfaces Treated by a Hybrid Ion Implantation Technique

    International Nuclear Information System (INIS)

    Reuther, H.; Richter, E.; Prokert, F.; Ueda, M.; Beloto, A. F.; Gomes, G. F.

    2004-01-01

    Implantation of nitrogen ions into stainless steel in combination with oxidation often results in a decrease or even complete removal of the chromium in the nitrogen containing outermost surface layer. While iron nitrides can be formed easily by this method, due to the absence of chromium, the formation of chromium nitrides is impossible and the beneficial influence of chromium in the steel for corrosion resistance cannot be used. To overcome this problem we use the following hybrid technique. A thin chromium layer is deposited on steel and subsequently implanted with nitrogen ions. Chromium can be implanted by recoil into the steel surface and thus the formation of iron/chromium nitrides should be possible. Both beam line ion implantation and plasma immersion ion implantation are used. Due to the variation of the process parameters, different implantation profiles and different compounds are produced. The produced layers are characterized by Auger electron spectroscopy, conversion electron Moessbauer spectroscopy and X-ray diffraction. The obtained results show that due to the variation of the implantation parameters, the formation of iron/chromium nitrides can be achieved and that plasma immersion ion implantation is the most suitable technique for the enrichment of chromium in the outermost surface layer of the steel when compared to the beam line implantation.

  17. Surface modification of yttria stabilized zirconia by ion implantation

    International Nuclear Information System (INIS)

    Scholten, D.

    1987-01-01

    The results of investigations of surface modification by ion implantation in zirconia are described. As dopant material, iron was investigated thoroughly. The depth distribution of implanted ions depends on implantation parameters and the dopant-matrix system. The investigations of thermal stability of some implanted iron profiles by RBS and AES are described. Special interest lies in the thermal stability under working conditions of the zirconia material (400-1000 0 C). Radiation damage introduced in the implanted layer was investigated using transmission electron microscopy on polycrystalline material and channeling experiments on a single crystal implanted with iron. 179 refs.; 87 figs.; 20 tabs

  18. Industrial applications of ion implantation into metal surfaces

    International Nuclear Information System (INIS)

    Williams, J.M.

    1987-07-01

    The modern materials processing technique, ion implantation, has intriguing and attractive features that stimulate the imaginations of scientists and technologists. Success of the technique for introducing dopants into semiconductors has resulted in a stable and growing infrastructure of capital equipment and skills for use of the technique in the economy. Attention has turned to possible use of ion implantation for modification of nearly all surface related properties of materials - optical, chemical and corrosive, tribological, and several others. This presentation provides an introduction to fundamental aspects of equipment, technique, and materials science of ion implantation. Practical and economic factors pertaining to the technology are discussed. Applications and potential applications are surveyed. There are already available a number of ion-implanted products, including ball-and-roller bearings and races, punches-and-dies, injection screws for plastics molding, etc., of potential interest to the machine tool industry

  19. Plasma immersion ion implantation for the efficient surface modification of medical materials

    International Nuclear Information System (INIS)

    Slabodchikov, Vladimir A.; Borisov, Dmitry P.; Kuznetsov, Vladimir M.

    2015-01-01

    The paper reports on a new method of plasma immersion ion implantation for the surface modification of medical materials using the example of nickel-titanium (NiTi) alloys much used for manufacturing medical implants. The chemical composition and surface properties of NiTi alloys doped with silicon by conventional ion implantation and by the proposed plasma immersion method are compared. It is shown that the new plasma immersion method is more efficient than conventional ion beam treatment and provides Si implantation into NiTi surface layers through a depth of a hundred nanometers at low bias voltages (400 V) and temperatures (≤150°C) of the substrate. The research results suggest that the chemical composition and surface properties of materials required for medicine, e.g., NiTi alloys, can be successfully attained through modification by the proposed method of plasma immersion ion implantation and by other methods based on the proposed vacuum equipment without using any conventional ion beam treatment

  20. Tribological properties and surface structures of ion implanted 9Cr18Mo stainless steels

    Science.gov (United States)

    Fengbin, Liu; Guohao, Fu; Yan, Cui; Qiguo, Sun; Min, Qu; Yi, Sun

    2013-07-01

    The polished quenched-and-tempered 9Cr18Mo steels were implanted with N ions and Ti ions respectively at a fluence of 2 × 1017 ions/cm2. The mechanical properties of the samples were investigated by using nanoindenter and tribometer. The results showed that the ion implantations would improve the nanohardness and tribological property, especially N ion implantation. The surface analysis of the implanted samples was carried out by using XRD, XPS and AES. It indicated that the surface exhibits graded layers after ion implantation. For N ion implantation, the surface about 20 nm thickness is mainly composed of supersaturated interstitial N solid solution, oxynitrides, CrxCy phase and metal nitrides. In the subsurface region, the metal nitrides dominate and the other phases disappear. For Ti ion implantation, the surface of about 20 nm thickness is mainly composed of titanium oxides and carbon amorphous phase, the interstitial solid solution of Ti in Fe is abundant in the subsurface region. The surface components and structures have significant contributions to the improved mechanical properties.

  1. Tribological properties and surface structures of ion implanted 9Cr18Mo stainless steels

    International Nuclear Information System (INIS)

    Fengbin, Liu; Guohao, Fu; Yan, Cui; Qiguo, Sun; Min, Qu; Yi, Sun

    2013-01-01

    The polished quenched-and-tempered 9Cr18Mo steels were implanted with N ions and Ti ions respectively at a fluence of 2 × 10 17 ions/cm 2 . The mechanical properties of the samples were investigated by using nanoindenter and tribometer. The results showed that the ion implantations would improve the nanohardness and tribological property, especially N ion implantation. The surface analysis of the implanted samples was carried out by using XRD, XPS and AES. It indicated that the surface exhibits graded layers after ion implantation. For N ion implantation, the surface about 20 nm thickness is mainly composed of supersaturated interstitial N solid solution, oxynitrides, Cr x C y phase and metal nitrides. In the subsurface region, the metal nitrides dominate and the other phases disappear. For Ti ion implantation, the surface of about 20 nm thickness is mainly composed of titanium oxides and carbon amorphous phase, the interstitial solid solution of Ti in Fe is abundant in the subsurface region. The surface components and structures have significant contributions to the improved mechanical properties

  2. Surface potential measurement of negative-ion-implanted insulators by analysing secondary electron energy distribution

    International Nuclear Information System (INIS)

    Toyota, Yoshitaka; Tsuji, Hiroshi; Nagumo, Syoji; Gotoh, Yasuhito; Ishikawa, Junzo; Sakai, Shigeki.

    1994-01-01

    The negative ion implantation method we have proposed is a noble technique which can reduce surface charging of isolated electrodes by a large margin. In this paper, the way to specify the surface potential of negative-ion-implanted insulators by the secondary electron energy analysis is described. The secondary electron energy distribution is obtained by a retarding field type energy analyzer. The result shows that the surface potential of fused quartz by negative-ion implantation (C - with the energy of 10 keV to 40 keV) is negatively charged by only several volts. This surface potential is extremely low compared with that by positive-ion implantation. Therefore, the negative-ion implantation is a very effective method for charge-up free implantation without charge compensation. (author)

  3. Surface modification technique of structural ceramics: ion implantation-assisted multi-arc ion plating

    International Nuclear Information System (INIS)

    Peng Zhijian; Miao Hezhuo; Si Wenjie; Qi Longhao; Li Wenzhi

    2003-01-01

    Through reviewing the advantages and disadvantages of the existed surface modification techniques, a new technique, ion implantation-assisted multi-arc ion plating, was proposed. Using the proposed technique, the surfaces of silicon nitride ceramics were modified by Ti ion implantation, and then three kinds of ternary coatings, (Ti,Al)N, (Ti,Zr)N and (Ti,Cr)N, were deposited on the as-implanted ceramics. The coatings prepared by this technique are of high-hardness and well adhesive to the ceramic substrates. The maximal hardness measured by nanoindentation tests is more than 40 GPa. The maximal critical load by nanoscratch tests is more than 60 mN. The cutting tools prepared by this technique with the presented coatings are of excellent performance in industrial applications. The technique may be promising for the surface modification of structural ceramics. (orig.)

  4. Surface metal standards produced by ion implantation through a removable layer

    International Nuclear Information System (INIS)

    Schueler, B.W.; Granger, C.N.; McCaig, L.; McKinley, J.M.; Metz, J.; Mowat, I.; Reich, D.F.; Smith, S.; Stevie, F.A.; Yang, M.H.

    2003-01-01

    Surface metal concentration standards were produced by ion implantation and investigated for their suitability to calibrate surface metal measurements by secondary ion mass spectrometry (SIMS). Single isotope implants were made through a 100 nm oxide layer on silicon. The implant energies were chosen to place the peak of the implanted species at a depth of 100 nm. Subsequent removal of the oxide layer was used to expose the implant peak and to produce controlled surface metal concentrations. Surface metal concentration measurements by time-of-flight SIMS (TOF-SIMS) with an analysis depth of 1 nm agreed with the expected surface concentrations of the implant standards with a relative mean standard deviation of 20%. Since the TOF-SIMS relative sensitivity factors (RSFs) were originally derived from surface metal measurements of surface contaminated silicon wafers, the agreement implies that the implant standards can be used to measure RSF values. The homogeneity of the surface metal concentration was typically <10%. The dopant dose remaining in silicon after oxide removal was measured using the surface-SIMS protocol. The measured implant dose agreed with the expected dose with a mean relative standard deviation of 25%

  5. Surface layers in the 4A group metals with implanted silicon ions

    International Nuclear Information System (INIS)

    Kovneristyj, Yu.K.; Vavilova, V.V.; Krasnopevtsev, V.V.; Galkin, L.N.; Kudyshev, A.N.; Klechkovskaya, V.V.

    1987-01-01

    A study was made on the change of structure and phase composition of fine near the surface layers of 4A group metals (Hf, Zr, Ti) during ion Si implantation and successive thermal annealing at elevated temperatures. Implantation of Si + ions with 30 or 16 keV energy in Ti, Zr and Hf at room temperature results to amorphization of metal surface layer. The surface hafnium and titanium layer with implanted Si atoms due to interaction with residual atmosphere of oxygen turns during annealing at 870 K to amorphous solid solution of HfO 2m or TiO 2 with Si, preventing further metal oxidation; layers of amorphous alloy are characterized by thermal stability up to 1270 K. Oxidation of the surface amorphous layer in residual oxygen atmosphere and its crystallization in ZrO 2 take place in result of Zr annealing with implanted Si ions at temperature not exceeding 870 K. Similar phenomena are observed in the case of hafnium with implanted oxygen ions or small dose of silicon ions. Thermal stability of amorphous layers produced during ion implantation of Si in Ti, Zr and Hf corresponds to scale resistance of monolithic alloys in Ti-Si, Zr-Si and Hf-Si systems

  6. Improved cell viability and hydroxyapatite growth on nitrogen ion-implanted surfaces

    Science.gov (United States)

    Shafique, Muhammad Ahsan; Murtaza, G.; Saadat, Shahzad; Uddin, Muhammad K. H.; Ahmad, Riaz

    2017-08-01

    Stainless steel 306 is implanted with various doses of nitrogen ions using a 2 MV pelletron accelerator for the improvement of its surface biomedical properties. Raman spectroscopy reveals incubation of hydroxyapatite (HA) on all the samples and it is found that the growth of incubated HA is greater in higher ion dose samples. SEM profiles depict uniform growth and greater spread of HA with higher ion implantation. Human oral fibroblast response is also found consistent with Raman spectroscopy and SEM results; the cell viability is found maximum in samples treated with the highest (more than 300%) dose. XRD profiles signified greater peak intensity of HA with ion implantation; a contact angle study revealed hydrophilic behavior of all the samples but the treated samples were found to be lesser hydrophilic compared to the control samples. Nitrogen implantation yields greater bioactivity, improved surface affinity for HA incubation and improved hardness of the surface.

  7. Surface modification of polymeric substrates by plasma-based ion implantation

    Science.gov (United States)

    Okuji, S.; Sekiya, M.; Nakabayashi, M.; Endo, H.; Sakudo, N.; Nagai, K.

    2006-01-01

    Plasma-based ion implantation (PBII) as a tool for polymer modification is studied. Polymeric films have good performances for flexible use, such as food packaging or electronic devices. Compared with inorganic rigid materials, polymers generally have large permeability for gases and moisture, which causes packaged contents and devices to degrade. In order to add a barrier function, surface of polymeric films are modified by PBII. One of the advantageous features of this method over deposition is that the modified surface does not have peeling problem. Besides, micro-cracks due to mechanical stress in the modified layer can be decreased. From the standpoint of mass production, conventional ion implantation that needs low-pressure environment of less than 10-3 Pa is not suitable for continuous large-area processing, while PBII works at rather higher pressure of several Pa. In terms of issues mentioned above, PBII is one of the most expected techniques for modification on flexible substrates. However, the mechanism how the barrier function appears by ion implantation is not well explained so far. In this study, various kinds of polymeric films, including polyethyleneterephthalate (PET), are modified by PBII and their barrier characteristics that depend on the ion dose are evaluated. In order to investigate correlations of the barrier function with implanted ions, modified surface is analyzed with X-ray photoelectron spectroscopy (XPS). It is assumed that the diffusion and sorption coefficients are changed by ion implantation, resulting in higher barrier function.

  8. Surface modification of polymeric substrates by plasma-based ion implantation

    International Nuclear Information System (INIS)

    Okuji, S.; Sekiya, M.; Nakabayashi, M.; Endo, H.; Sakudo, N.; Nagai, K.

    2006-01-01

    Plasma-based ion implantation (PBII) as a tool for polymer modification is studied. Polymeric films have good performances for flexible use, such as food packaging or electronic devices. Compared with inorganic rigid materials, polymers generally have large permeability for gases and moisture, which causes packaged contents and devices to degrade. In order to add a barrier function, surface of polymeric films are modified by PBII. One of the advantageous features of this method over deposition is that the modified surface does not have peeling problem. Besides, micro-cracks due to mechanical stress in the modified layer can be decreased. From the standpoint of mass production, conventional ion implantation that needs low-pressure environment of less than 10 -3 Pa is not suitable for continuous large-area processing, while PBII works at rather higher pressure of several Pa. In terms of issues mentioned above, PBII is one of the most expected techniques for modification on flexible substrates. However, the mechanism how the barrier function appears by ion implantation is not well explained so far. In this study, various kinds of polymeric films, including polyethyleneterephthalate (PET), are modified by PBII and their barrier characteristics that depend on the ion dose are evaluated. In order to investigate correlations of the barrier function with implanted ions, modified surface is analyzed with X-ray photoelectron spectroscopy (XPS). It is assumed that the diffusion and sorption coefficients are changed by ion implantation, resulting in higher barrier function

  9. Surface potential measurement of insulators in negative-ion implantation by secondary electron energy-peak shift

    International Nuclear Information System (INIS)

    Nagumo, Shoji; Toyota, Yoshitaka; Tsuji, Hiroshi; Gotoh, Yasuhito; Ishikawa, Junzo; Sakai, Shigeki; Tanjyo, Masayasu; Matsuda, Kohji.

    1993-01-01

    Negative-ion implantation is expected to realize charge-up free implantation. In this article, about a way to specify surface potential of negative-ion implanted insulator by secondary-electron-energy distribution, its principle and preliminary experimental results are described. By a measuring system with retarding field type energy analyzer, energy distribution of secondary electron from insulator of Fused Quartz in negative-carbon-ion implantation was measured. As a result the peak-shift of its energy distribution resulted according with the surface potential of insulator. It was found that surface potential of insulator is negatively charged by only several volts. Thus, negative-ion implanted insulator reduced its surface charge-up potential (without any electron supply). Therefore negative-ion implantation is considered to be much more effective method than conventional positive-ion implantation. (author)

  10. Ion implantation

    International Nuclear Information System (INIS)

    Johnson, E.

    1986-01-01

    It is the purpose of the present paper to give a review of surface alloy processing by ion implantation. However, rather than covering this vast subject as a whole, the survey is confined to a presentation of the microstructures that can be found in metal surfaces after ion implantation. The presentation is limited to alloys processed by ion implantation proper, that is to processes in which the alloy compositions are altered significantly by direct injection of the implanted ions. The review is introduced by a presentation of the processes taking place during development of the fundamental event in ion implantation - the collision cascade, followed by a summary of the various microstructures which can be formed after ion implantation into metals. This is compared with the variability of microstructures that can be achieved by rapid solidification processing. The microstructures are subsequently discussed in the light of the processes which, as the implantations proceed, take place during and immediately after formation of the individual collision cascades. These collision cascades define the volumes inside which individual ions are slowed down in the implanted targets. They are not only centres for vigorous agitation but also the sources for formation of excess concentrations of point defects, which will influence development of particular microstructures. A final section presents a selection of specific structures which have been observed in different alloy systems. (orig./GSCH)

  11. Surface-conductivity enhancement of PMMA by keV-energy metal-ion implantation

    International Nuclear Information System (INIS)

    Bannister, M.E.; Hijazi, H.; Meyer, H.M.; Cianciolo, V.; Meyer, F.W.

    2014-01-01

    An experiment has been proposed to measure the neutron electric dipole moment (nEDM) with high precision at the Oak Ridge National Laboratory (ORNL) Spallation Neutron Source. One of the requirements of this experiment is the development of PMMA (Lucite) material with a sufficiently conductive surface to permit its use as a high-voltage electrode while immersed in liquid He. At the ORNL Multicharged Ion Research Facility, an R and D activity is under way to achieve suitable surface conductivity in poly-methyl methacrylate (PMMA) using metal ion implantation. The metal implantation is performed using an electron-cyclotron-resonance (ECR) ion source and a recently developed beam line deceleration module that is capable of providing high flux beams for implantation at energies as low as a few tens of eV. The latter is essential for reaching implantation fluences exceeding 1 × 10 16 cm −2 , where typical percolation thresholds in polymers have been reported. In this contribution, we report results on initial implantation of Lucite by Ti and W beams with keV energies to average fluences in the range 0.5–6.2 × 10 16 cm −2 . Initial measurements of surface-resistivity changes are reported as function of implantation fluence, energy, and sample temperature. We also report X-ray photoelectron spectroscopy (XPS) surface and depth profiling measurements of the ion implanted samples, to identify possible correlations between the near surface and depth resolved implanted W concentrations and the measured surface resistivities

  12. Surface sputtering in high-dose Fe ion implanted Si

    International Nuclear Information System (INIS)

    Ishimaru, Manabu

    2007-01-01

    Microstructures and elemental distributions in high-dose Fe ion implanted Si were characterized by means of transmission electron microscopy and Rutherford backscattering spectroscopy. Single crystalline Si(0 0 1) substrates were implanted at 350 deg. C with 120 keV Fe ions to fluences ranging from 0.1 x 10 17 to 4.0 x 10 17 /cm 2 . Extensive damage induced by ion implantation was observed inside the substrate below 1.0 x 10 17 /cm 2 , while a continuous iron silicide layer was formed at 4.0 x 10 17 /cm 2 . It was found that the spatial distribution of Fe projectiles drastically changes at the fluence between 1.0 x 10 17 and 4.0 x 10 17 /cm 2 due to surface sputtering during implantation

  13. Yttrium ion implantation on the surface properties of magnesium

    International Nuclear Information System (INIS)

    Wang, X.M.; Zeng, X.Q.; Wu, G.S.; Yao, S.S.

    2006-01-01

    Owing to their excellent physical and mechanical properties, magnesium and its alloys are receiving more attention. However, their application has been limited to the high reactivity and the poor corrosion resistance. The aim of the study was to investigate the beneficial effects of ion-implanted yttrium using a MEVVA ion implanter on the surface properties of pure magnesium. Isothermal oxidation tests in pure O 2 at 673 and 773 K up to 90 min indicated that the oxidation resistance of magnesium had been significantly improved. Surface morphology of the oxide scale was analyzed using scanning electron microscope (SEM). Auger electron spectroscopy (AES) and X-ray diffraction (XRD) analyses indicated that the implanted layer was mainly composed of MgO and Y 2 O 3 , and the implanted layer with a duplex structure could decrease the inward diffusion of oxygen and reduce the outward diffusion of Mg 2+ , which led to improving the oxidation resistance of magnesium. Potentiodynamic polarization curves were used to evaluate the corrosion resistance of the implanted magnesium. The results show yttrium implantation could enhance the corrosion resistance of implanted magnesium compared with that of pure magnesium

  14. Surface modification of ceramics and metals by ion implantation combined with plasma irradiation

    International Nuclear Information System (INIS)

    Miyagawa, Soji; Miyagawa, Yoshiko; Nakao, Setsuo; Ikeyama, Masami; Saitoh, Kazuo

    2000-01-01

    To develop a new surface modification technique using ion implantation combined with plasma irradiation, thin film formation by IBAD (Ion Beam Assisted Deposition) and atom relocation processes such as radiation enhanced diffusion and ion beam mixing under high dose implantation have been studied. It was confirmed that the computer simulation code, dynamic-SASAMAL (IBAD version) developed in this research, is quite useful to evaluate ballistic components in film formation by high dose implantation on ceramics and metals, by ion beam mixing of metal-ceramics bi-layer and by the IBAD method including hydrocarbon deposition. Surface modification process of SiC by simultaneous irradiation of ions with a radical beam has also been studied. A composite of SiC and β-Si 3 N 4 was found to be formed on a SiC surface by hot implantation of nitrogen. The amount of β- Si 3 N 4 crystallites increased with increasing the dosage of the hydrogen radical beam during nitrogen implantation. (author)

  15. The effect of metal ion implantation on the surface mechanical properties of Mylar (PET)

    Energy Technology Data Exchange (ETDEWEB)

    Zhou, W.; Sood, D.K. [Royal Melbourne Inst. of Tech., VIC (Australia); Yao, X.; Brown, I.G. [California Univ., Berkeley, CA (United States). Lawrence Berkeley Lab.

    1993-12-31

    Ion implantation of polymers leads to the formation of new carbonaceous materials, the revolution during implantation of various species consists of (1) ion beam induced damage: chain scission, crosslinking, molecular emission of volatile elements and compounds, stoichiometric change in the surface layer of pristine polymers; and (2) chemical effect between ion and target materials: microalloying and precipitation. Literature regarding ion implanted polymers shows that the reorganisation of the carbon network after implantation can dramatically modify several properties of pristine polymers solubility, molecular weight, and electrical, optical and mechanical properties. However, ion implantation of polymers is actually a very complex interaction which depends on not only ion species, implantation condition, but also polymer type and specific structure. In this paper the effect of Ag or Ti ions implantation on surface mechanical properties of PET (polyethylenne terephthalate) polymer is reported. There was a clear deterioration in wear resistance after implantation of both Ag and Ti ions. It is suggested that the increment of wear after implantation may result from not only ion damage but also chemical effect between ion and target material. 3 refs., 1 tab., 2 figs.

  16. The effect of metal ion implantation on the surface mechanical properties of Mylar (PET)

    Energy Technology Data Exchange (ETDEWEB)

    Zhou, W; Sood, D K [Royal Melbourne Inst. of Tech., VIC (Australia); Yao, X; Brown, I G [California Univ., Berkeley, CA (United States). Lawrence Berkeley Lab.

    1994-12-31

    Ion implantation of polymers leads to the formation of new carbonaceous materials, the revolution during implantation of various species consists of (1) ion beam induced damage: chain scission, crosslinking, molecular emission of volatile elements and compounds, stoichiometric change in the surface layer of pristine polymers; and (2) chemical effect between ion and target materials: microalloying and precipitation. Literature regarding ion implanted polymers shows that the reorganisation of the carbon network after implantation can dramatically modify several properties of pristine polymers solubility, molecular weight, and electrical, optical and mechanical properties. However, ion implantation of polymers is actually a very complex interaction which depends on not only ion species, implantation condition, but also polymer type and specific structure. In this paper the effect of Ag or Ti ions implantation on surface mechanical properties of PET (polyethylenne terephthalate) polymer is reported. There was a clear deterioration in wear resistance after implantation of both Ag and Ti ions. It is suggested that the increment of wear after implantation may result from not only ion damage but also chemical effect between ion and target material. 3 refs., 1 tab., 2 figs.

  17. Surface modification of austenitic stainless steel by titanium ion implantation

    International Nuclear Information System (INIS)

    Evans, P.J.; Hyvarinen, J.; Samandi, M.

    1995-01-01

    The wear properties of AISI 316 austenitic stainless steel implanted with Ti were investigated for ion doses in the range (2.3-5.4)x10 16 ionscm -2 and average ion energies of 60 and 90keV. The implanted layer was examined by Rutherford backscattering, from which the retained doses were determined, and glow discharge optical emission spectroscopy. Following implantation, the surface microhardness was observed to increase with the greatest change occurring at higher ion energy. Pin-on-disc wear tests and associated friction measurements were also performed under both dry and lubricated conditions using applied loads of 2N and 10N. In the absence of lubrication, breakthrough of the implanted layer occurred after a short sliding time; only for a dose of 5.1x10 16 ionscm -2 implanted at an average energy of 90keV was the onset of breakthrough appreciably delayed. In contrast, the results of tests with lubrication showed a more gradual variation, with the extent of wear decreasing with implant dose at both 2N and 10N loads. Finally, the influence of Ti implantation on possible wear mechanisms is discussed in the light of information provided by several surface characterization techniques. ((orig.))

  18. Ion implantation into diamond

    International Nuclear Information System (INIS)

    Sato, Susumu

    1994-01-01

    The graphitization and the change to amorphous state of diamond surface layer by ion implantation and its characteristics are reported. In the diamond surface, into which more than 10 16 ions/cm 2 was implanted, the diamond crystals are broken, and the structure changes to other carbon structure such as amorphous state or graphite. Accompanying this change of structure, the electric conductivity of the implanted layer shows two discontinuous values due to high resistance and low resistance. This control of structure can be done by the temperature of the base during the ion implantation into diamond. Also it is referred to that by the base temperature during implantation, the mutual change of the structure between amorphous state and graphite can be controlled. The change of the electric resistance and the optical characteristics by the ion implantation into diamond surface, the structural analysis by Raman spectroscopy, and the control of the structure of the implanted layer by the base temperature during implantation are reported. (K.I.)

  19. Ion implantation and bio-compatibility

    Energy Technology Data Exchange (ETDEWEB)

    Suzuki, Yoshiaki; Kusakabe, Masahiro [Sony Corp., Tokyo (Japan). Corporate Research Labs.; Iwaki, Masaya

    1992-07-01

    Surface modification of polymers by ion implantation has been carried out to control surface properties such as conductivity, wettability, blood and tissue compatibility. Ion implantation into silicone rubber, polystyrene and segmented polyurethane was performed at 150 keV with doses ranging from 1 x 10[sup 15] to 3 x 10[sup 17] ions/cm[sup 2] to improve bio-compatibility. The platelet accumulation on ion implanted silicone rubber decreased and non-thrombogenicity of ion implanted specimens were improved. The ion implanted polystyrene and segmented polyurethane have been found to exhibit remarkably higher adhesion and spreading of endothelial cells compared to the non-implanted case. It is concluded that ion implantation into polymers is effective in controlling their bio-compatibility. (author).

  20. Surface modification of PET film by plasma-based ion implantation

    International Nuclear Information System (INIS)

    Sakudo, N.; Mizutani, D.; Ohmura, Y.; Endo, H.; Yoneda, R.; Ikenaga, N.; Takikawa, H.

    2003-01-01

    It has been reported that thin diamond like carbon (DLC) coating is very Amsterdam, Theenhancing the barrier characteristics of polyethylene terephthalate (PET) against CO 2 and O 2 gases. However, coating technique has a problem of DLC-deposit peeling. In this research, we develop a new technique to change the PET surface into DLC by ion implantation instead of coating the surface with the DLC deposit. The surface of PET film is modified by plasma-based ion implantation using pulse voltages of 10 kV in height and 5 μs in width. Attenuated total reflection FT-IR spectroscopy shows that the specific absorption peaks for PET decrease with dose, that is, the molecules of ethylene terephthalate are destroyed by ion bombardment. Then, laser Raman spectroscopy shows that thin DLC layer is formed in the PET surface area

  1. The third generation multi-purpose plasma immersion ion implanter for surface modification of materials

    CERN Document Server

    Tang Bao Yin; Wang Xiao Feng; Gan Kong Yin; Wang Song Yan; Chu, P K; Huang Nian Ning; Sun Hong

    2002-01-01

    The third generation multi-purpose plasma immersion ion implantation (PIII) equipment has been successfully used for research and development of surface modification of biomedical materials, metals and their alloys in the Southwest Jiaotong University. The implanter equipped with intense current, pulsed cathodic arc metal plasma sources which have both strong coating function and gas and metal ion implantation function. Its pulse high voltage power supply can provide big output current. It can acquire very good implantation dose uniformity. The equipment can both perform ion implantation and combine ion implantation with sputtering deposition and coating to form many kinds of synthetic surface modification techniques. The main design principles, features of important components and achievement of research works in recent time have been described

  2. Study of highly functionalized metal surface treated by plasma ion implantation

    International Nuclear Information System (INIS)

    Ikeyama, Masami; Miyagawa, Soji; Miyagawa, Yoshiko; Nakao, Setsuo; Masuda, Haruho; Saito, Kazuo; Ono, Taizou; Hayashi, Eiji

    2004-01-01

    Technology for processing metal surfaces with hardness, low friction and free from foreign substances was developed with plasma ion implantation. Diamond-like carbon (DLC) coating is a most promising method for realization of hard and smooth metal surface. DLC coating was tested in a metal pipe with 10 mm diameter and 10 cm length by a newly developed plasma ion implantation instrument. The surface coated by DLC was proved to have characteristics equivalent to those prepared with other methods. A computer program simulating a formation process of DLC coating was developed. Experiments for fluorinating the DLC coating surface was performed. (Y. Kazumata)

  3. Surface treatment of dental implants with high- power pulsed ion beams

    International Nuclear Information System (INIS)

    Shulov, V.A.; Nochovnaya, N.A.; Remnev, G.E.; Ivanov, S.Y.; Lomakin, M.V.

    2001-01-01

    The objective of the present research is development of HPPIB technology for surface processing of compact components with a complex shape. The surface state of the dental implants from titanium alloys before and after irradiation and long time operation was investigated by Auger electron spectroscopy, scanning electron microscopy, X-ray structural analysis, optical metallography methods. It is shown that the homogeneous state in the surface layer of titanium alloys is formed due to the irradiation (carbon ions and protons, energy of ions is equal to 300 keV, density of ion energy in a pulse achieves 1-5 J/cm 2 ). This state is characterized by a low amount of the impurities and a fine dispersion structure formed as a result of high speed crystallization. Thus, HPPIB irradiation of the dental implants leads to formation of developed micro relief and the decrease of impurities content on the surface. As a result, this treatment allows one to achieve a good cohesion between the implants and a body tissue. The latter allows the conclusion that biocompatibility of the dental titanium implants produced by can be improved using HPPIB treatment

  4. Effect of Mo Ion Implantation on Stability of Nanocrystalline Copper Surface Layers

    Directory of Open Access Journals (Sweden)

    XI Yang

    2016-08-01

    Full Text Available The surface of pure copper was modified using the surface mechanical attrition treatment (SMAT method, and molybdenum ions were implanted in the nanosurface using a metal vapor vacuum arc (MEVVA. The results of the SMAT were observed by optical microscopy (OM, X-ray diffraction (XRD and scanning electron microscopy (SEM. An obvious nanocrystalline layer and a deformation region exist on the surface. The size of the nanocrystalline layer was characterized using atomic force microscopy (AFM. The results indicate remarkable suppression on grain size, the nanocrystalline layer grows to 163nm after annealing and reduces to only 72nm due to the Mo ion implantation. In addition, the hardness of the topmost surface of the material is 3.5 times that of the SMATed copper, which is about 7 times of the value of the matrix. The above improvements most likely result from the dispersion of the Mo ions and the reactions of the crystal defects due to the SMAT and ion implantation.

  5. Deformation characteristics of the near-surface layers of zirconia ceramics implanted with aluminum ions

    Science.gov (United States)

    Ghyngazov, S. A.; Vasiliev, I. P.; Frangulyan, T. S.; Chernyavski, A. V.

    2015-10-01

    The effect of ion treatment on the phase composition and mechanical properties of the near-surface layers of zirconium ceramic composition 97 ZrO2-3Y2O3 (mol%) was studied. Irradiation of the samples was carried out by accelerated ions of aluminum with using vacuum-arc source Mevva 5-Ru. Ion beam had the following parameters: the energy of the accelerated ions E = 78 keV, the pulse current density Ji = 4mA / cm2, current pulse duration equal τ = 250 mcs, pulse repetition frequency f = 5 Hz. Exposure doses (fluence) were 1016 и 1017 ion/cm2. The depth distribution implanted ions was studied by SIMS method. It is shown that the maximum projected range of the implanted ions is equal to 250 nm. Near-surface layers were investigated by X-ray diffraction (XRD) at fixed glancing incidence angle. It is shown that implantation of aluminum ions into the ceramics does not lead to a change in the phase composition of the near-surface layer. The influence of implanted ions on mechanical properties of ceramic near-surface layers was studied by the method of dynamic nanoindentation using small loads on the indenter P=300 mN. It is shown that in ion- implanted ceramic layer the processes of material recovery in the deformed region in the unloading mode proceeds with higher efficiency as compared with the initial material state. The deformation characteristics of samples before and after ion treatment have been determined from interpretation of the resulting P-h curves within the loading and unloading sections by the technique proposed by Oliver and Pharr. It was found that implantation of aluminum ions in the near-surface layer of zirconia ceramics increases nanohardness and reduces the Young's modulus.

  6. RTV silicone rubber surface modification for cell biocompatibility by negative-ion implantation

    International Nuclear Information System (INIS)

    Zheng, Chenlong; Wang, Guangfu; Chu, Yingjie; Xu, Ya; Qiu, Menglin; Xu, Mi

    2016-01-01

    Highlights: • The radiation effect has a greater influence than doping effect on the hydrophilicity of RTV SR. • The implanted ions result in a new surface atomic bonding state and morphology. • Generating hydrophilic functional groups is a reason for the improved cell biocompatibility. • The micro roughness makes the hydrophilicity should be reduced due to the lotus effect. • Cell culture demonstrates that negative-ion implantation can improve biocompatibility. - Abstract: A negative cluster ion implantation system was built on the injector of a GIC4117 tandem accelerator. Next, the system was used to study the surface modification of room temperature vulcanization silicone rubber (RTV SR) for cell biocompatibility. The water contact angle was observed to decrease from 117.6° to 99.3° as the C_1"− implantation dose was increased to 1 × 10"1"6 ions/cm"2, and the effects of C_1"−, C_2"− and O_1"− implantation result in only small differences in the water contact angle at 3 × 10"1"5 ions/cm"2. These findings indicate that the hydrophilicity of RTV SR improves as the dose is increased and that the radiation effect has a greater influence than the doping effect on the hydrophilicity. There are two factors influence hydrophilicity of RTV: (1) based on the XPS and ATR-FTIR results, it can be inferred that ion implantation breaks the hydrophobic functional groups (Si−CH_3, Si−O−Si, C−H) of RTV SR and generates hydrophilic functional groups (−COOH, −OH, Si−(O)_x (x = 3,4)). (2) SEM reveals that the implanted surface of RTV SR appears the micro roughness such as cracks and wrinkles. The hydrophilicity should be reduced due to the lotus effect (Zhou Rui et al., 2009). These two factors cancel each other out and make the C-implantation sample becomes more hydrophilic in general terms. Finally, cell culture demonstrates that negative ion-implantation is an effective method to improve the cell biocompatibility of RTV SR.

  7. Mg ion implantation on SLA-treated titanium surface and its effects on the behavior of mesenchymal stem cell

    International Nuclear Information System (INIS)

    Kim, Beom-Su; Kim, Jin Seong; Park, Young Min; Choi, Bo-Young; Lee, Jun

    2013-01-01

    Magnesium (Mg) is one of the most important ions associated with bone osseointegration. The aim of this study was to evaluate the cellular effects of Mg implantation in titanium (Ti) surfaces treated with sand blast using large grit and acid etching (SLA). Mg ions were implanted into the surface via vacuum arc source ion implantation. The surface morphology, chemical properties, and the amount of Mg ion release were evaluated by scanning electron microscopy (SEM), Auger electron spectroscopy (AES), Rutherford backscattering spectroscopy (RBS), and inductively coupled plasma-optical emission spectrometer (ICP-OES). Human mesenchymal stem cells (hMSCs) were used to evaluate cellular parameters such as proliferation, cytotoxicity, and adhesion morphology by MTS assay, live/dead assay, and SEM. Furthermore, osteoblast differentiation was determined on the basis of alkaline phosphatase (ALP) activity and the degree of calcium accumulation. In the Mg ion-implanted disk, 2.3 × 10 16 ions/cm 2 was retained. However, after Mg ion implantation, the surface morphology did not change. Implanted Mg ions were rapidly released during the first 7 days in vitro. The MTS assay, live/dead assay, and SEM demonstrated increased cell attachment and growth on the Mg ion-implanted surface. In particular, Mg ion implantation increased the initial cell adhesion, and in an osteoblast differentiation assay, ALP activity and calcium accumulation. These findings suggest that Mg ion implantation using the plasma source ion implantation (PSII) technique may be useful for SLA-treated Ti dental implants to improve their osseointegration capacity. - Highlights: ► Mg ion was coated onto surface of SLA treated titanium via vacuum arc source ion implantation method. ► The morphological characteristics did not change after Mg ion implantation. ► Mg ion implanted SLA Ti is highly cytocompatible. ► Initial cell adhesion of MSCs is improved by Mg ion implantation. ► Mg ion implantation improved

  8. Surface modification of commercial tin coatings by carbon ion implantation

    Energy Technology Data Exchange (ETDEWEB)

    Liu, L.J.; Sood, D.K.; Manory, R.R. [Royal Melbourne Inst. of Tech., VIC (Australia)

    1993-12-31

    Commercial TiN coatings of about 2 {mu}m thickness on high speed steel substrates were implanted at room temperature with 95 keV carbon ions at nominal doses between 1 x 10{sup 17} - 8x10{sup 17} ions cm{sup -2}. Carbon ion implantation induced a significant improvement in ultramicrohardness, friction coefficient and wear properties. The surface microhardness increases monotonically by up to 115% until a critical dose is reached. Beyond this dose the hardness decreases, but remains higher than that of unimplanted sample. A lower friction coefficient and a longer transition period towards a steady state condition were obtained by carbon ion implantation. The changes in tribomechanical properties are discussed in terms of radiation damage and possible formation of a second phase rich in carbon. 6 refs., 3 figs.

  9. Surface modification of commercial tin coatings by carbon ion implantation

    International Nuclear Information System (INIS)

    Liu, L.J.; Sood, D.K.; Manory, R.R.

    1993-01-01

    Commercial TiN coatings of about 2 μm thickness on high speed steel substrates were implanted at room temperature with 95 keV carbon ions at nominal doses between 1 x 10 17 - 8x10 17 ions cm -2 . Carbon ion implantation induced a significant improvement in ultramicrohardness, friction coefficient and wear properties. The surface microhardness increases monotonically by up to 115% until a critical dose is reached. Beyond this dose the hardness decreases, but remains higher than that of unimplanted sample. A lower friction coefficient and a longer transition period towards a steady state condition were obtained by carbon ion implantation. The changes in tribomechanical properties are discussed in terms of radiation damage and possible formation of a second phase rich in carbon. 6 refs., 3 figs

  10. Surface modification of commercial tin coatings by carbon ion implantation

    Energy Technology Data Exchange (ETDEWEB)

    Liu, L J; Sood, D K; Manory, R R [Royal Melbourne Inst. of Tech., VIC (Australia)

    1994-12-31

    Commercial TiN coatings of about 2 {mu}m thickness on high speed steel substrates were implanted at room temperature with 95 keV carbon ions at nominal doses between 1 x 10{sup 17} - 8x10{sup 17} ions cm{sup -2}. Carbon ion implantation induced a significant improvement in ultramicrohardness, friction coefficient and wear properties. The surface microhardness increases monotonically by up to 115% until a critical dose is reached. Beyond this dose the hardness decreases, but remains higher than that of unimplanted sample. A lower friction coefficient and a longer transition period towards a steady state condition were obtained by carbon ion implantation. The changes in tribomechanical properties are discussed in terms of radiation damage and possible formation of a second phase rich in carbon. 6 refs., 3 figs.

  11. Improvement of in vitro corrosion and cytocompatibility of biodegradable Fe surface modified by Zn ion implantation

    Energy Technology Data Exchange (ETDEWEB)

    Wang, Henan; Zheng, Yang; Li, Yan, E-mail: liyan@buaa.edu.cn; Jiang, Chengbao

    2017-05-01

    Highlights: • Fe{sub 2}O{sub 3}/ZnO oxides were formed on the surface of Zn implanted pure Fe samples. • The corrosion rate of the pure Fe in SBF was increased after Zn implantation. • Cytocompatibility of the pure Fe was improved by Zn ion implantation. - Abstract: Pure Fe was surface-modified by Zn ion implantation to improve the biodegradable behavior and cytocompatibility. Surface topography, chemical composition, corrosion resistance and cytocompatibility were investigated. Atomic force microscopy, auger electron spectroscopy and X-ray photoelectron spectroscopy results showed that Zn was implanted into the surface of pure Fe in the depth of 40–60 nm and Fe{sub 2}O{sub 3}/ZnO oxides were formed on the outmost surface. Electrochemical measurements and immersion tests revealed an improved degradable behavior for the Zn-implanted Fe samples. An approximately 12% reduction in the corrosion potential (E{sub corr}) and a 10-fold increase in the corrosion current density (i{sub corr}) were obtained after Zn ion implantation with a moderate incident ion dose, which was attributed to the enhanced pitting corrosion. The surface free energy of pure Fe was decreased by Zn ion implantation. The results of direct cell culture indicated that the short-term (4 h) cytocompatibility of MC3T3-E1 cells was promoted by the implanted Zn on the surface.

  12. Characterization of Nitride Layers Formed by Nitrogen Ion Implantation into Surface Region of Iron

    International Nuclear Information System (INIS)

    Sudjatmoko; Subki, M. Iyos R.

    2000-01-01

    Ion implantation is a convenient means of modifying the physical and chemical properties of the near-surface region of materials. The nitrogen implantation into pure iron has been performed at room temperature with ion dose of 1.310 17 to 1.310 18 ions/cm 2 and ion energy of 20 to 100 keV. The optimum dose of nitrogen ions implanted into pure iron was around 2.2310 17 ions/cm 2 in order to get the maximum wear resistant. SEM micrographs and EDX show that the nitride layers were found on the surface of substrate. The nitrogen concentration profile was measured using EDX in combination with spot technique, and it can be shown that the depth profile of nitrogen implanted into substrate was nearly Gaussian. (author)

  13. Modification of anti-bacterial surface properties of textile polymers by vacuum arc ion source implantation

    International Nuclear Information System (INIS)

    Nikolaev, A.G.; Yushkov, G.Yu.; Oks, E.M.; Oztarhan, A.; Akpek, A.; Hames-Kocabas, E.; Urkac, E.S.; Brown, I.G.

    2014-01-01

    Highlights: • Ion implantation. • Anti-bacterial properties. • Textile polymer. • Vacuum arc ion source. - Abstract: Ion implantation provides an important technology for the modification of material surface properties. The vacuum arc ion source is a unique instrument for the generation of intense beams of metal ions as well as gaseous ions, including mixed metal–gas beams with controllable metal:gas ion ratio. Here we describe our exploratory work on the application of vacuum arc ion source-generated ion beams for ion implantation into polymer textile materials for modification of their biological cell compatibility surface properties. We have investigated two specific aspects of cell compatibility: (i) enhancement of the antibacterial characteristics (we chose to use Staphylococcus aureus bacteria) of ion implanted polymer textile fabric, and (ii) the “inverse” concern of enhancement of neural cell growth rate (we chose Rat B-35 neuroblastoma cells) on ion implanted polymer textile. The results of both investigations were positive, with implantation-generated antibacterial efficiency factor up to about 90%, fully comparable to alternative conventional (non-implantation) approaches and with some potentially important advantages over the conventional approach; and with enhancement of neural cell growth rate of up to a factor of 3.5 when grown on suitably implanted polymer textile material

  14. Modification of anti-bacterial surface properties of textile polymers by vacuum arc ion source implantation

    Energy Technology Data Exchange (ETDEWEB)

    Nikolaev, A.G., E-mail: nik@opee.hcei.tsc.ru [High Current Electronics Institute, Siberian Branch of the Russian Academy of Sciences, Tomsk 634055 (Russian Federation); Yushkov, G.Yu.; Oks, E.M. [High Current Electronics Institute, Siberian Branch of the Russian Academy of Sciences, Tomsk 634055 (Russian Federation); Oztarhan, A. [Izmir University, Izmir 35140 (Turkey); Akpek, A.; Hames-Kocabas, E.; Urkac, E.S. [Bioengineering Department, Ege University, Bornova 35100, Izmir (Turkey); Brown, I.G. [Lawrence Berkeley National Laboratory, Berkeley, CA 94708 (United States)

    2014-08-15

    Highlights: • Ion implantation. • Anti-bacterial properties. • Textile polymer. • Vacuum arc ion source. - Abstract: Ion implantation provides an important technology for the modification of material surface properties. The vacuum arc ion source is a unique instrument for the generation of intense beams of metal ions as well as gaseous ions, including mixed metal–gas beams with controllable metal:gas ion ratio. Here we describe our exploratory work on the application of vacuum arc ion source-generated ion beams for ion implantation into polymer textile materials for modification of their biological cell compatibility surface properties. We have investigated two specific aspects of cell compatibility: (i) enhancement of the antibacterial characteristics (we chose to use Staphylococcus aureus bacteria) of ion implanted polymer textile fabric, and (ii) the “inverse” concern of enhancement of neural cell growth rate (we chose Rat B-35 neuroblastoma cells) on ion implanted polymer textile. The results of both investigations were positive, with implantation-generated antibacterial efficiency factor up to about 90%, fully comparable to alternative conventional (non-implantation) approaches and with some potentially important advantages over the conventional approach; and with enhancement of neural cell growth rate of up to a factor of 3.5 when grown on suitably implanted polymer textile material.

  15. High-energy ion implantation of materials

    International Nuclear Information System (INIS)

    Williams, J.M.

    1991-11-01

    High-energy ion implantation is an extremely flexible type of surface treatment technique, in that it offers the possibility of treating almost any type of target material or product with ions of almost any chemical species, or combinations of chemical species. In addition, ion implantations can be combined with variations in temperature during or after ion implantation. As a result, the possibility of approaching a wide variety of surface-related materials science problems exists with ion implantation. This paper will outline factors pertinent to application of high-energy ion implantation to surface engineering problems. This factors include fundamental advantages and limitations, economic considerations, present and future equipment, and aspects of materials science

  16. The influence of ion implantation on the surface properties of metals and alloys

    International Nuclear Information System (INIS)

    Grant, W.A.; Carter, G.

    1975-10-01

    The report falls into three sections: (1) annealing behaviour of high dose rare gas (Ne, Ar, Kr, Xe) implantations into silicon; (2) measurement of projected and lateral range parameters for low energy heavy ions (Ar, Cu, Kr, Cd, Xe, Cs, Dy, W, Au, Pb, Bi) in silicon by Rutherford backscattering; (3) surface chemistry of ion implanted solids (e.g. corrosion, catalysis, oxidation, synthesis of compounds in ion implanted layers). (U.K.)

  17. Retardation of surface corrosion of biodegradable magnesium-based materials by aluminum ion implantation

    Science.gov (United States)

    Wu, Guosong; Xu, Ruizhen; Feng, Kai; Wu, Shuilin; Wu, Zhengwei; Sun, Guangyong; Zheng, Gang; Li, Guangyao; Chu, Paul K.

    2012-07-01

    Aluminum ion implantation is employed to modify pure Mg as well as AZ31 and AZ91 magnesium alloys and their surface degradation behavior in simulated body fluids is studied. Polarization tests performed in conjunction with scanning electron microscopy (SEM) reveal that the surface corrosion resistance after Al ion implantation is improved appreciably. This enhancement can be attributed to the formation of a gradient surface structure with a gradual transition from an Al-rich oxide layer to Al-rich metal layer. Compared to the high Al-content magnesium alloy (AZ91), a larger reduction in the degradation rate is achieved from pure magnesium and AZ31. Our results reveal that the surface corrosion resistance of Mg alloys with no or low Al content can be improved by Al ion implantation.

  18. Retardation of surface corrosion of biodegradable magnesium-based materials by aluminum ion implantation

    International Nuclear Information System (INIS)

    Wu Guosong; Xu Ruizhen; Feng Kai; Wu Shuilin; Wu Zhengwei; Sun Guangyong; Zheng Gang; Li Guangyao; Chu, Paul K.

    2012-01-01

    Aluminum ion implantation is employed to modify pure Mg as well as AZ31 and AZ91 magnesium alloys and their surface degradation behavior in simulated body fluids is studied. Polarization tests performed in conjunction with scanning electron microscopy (SEM) reveal that the surface corrosion resistance after Al ion implantation is improved appreciably. This enhancement can be attributed to the formation of a gradient surface structure with a gradual transition from an Al-rich oxide layer to Al-rich metal layer. Compared to the high Al-content magnesium alloy (AZ91), a larger reduction in the degradation rate is achieved from pure magnesium and AZ31. Our results reveal that the surface corrosion resistance of Mg alloys with no or low Al content can be improved by Al ion implantation.

  19. Surface and local electronic structure modification of MgO film using Zn and Fe ion implantation

    Science.gov (United States)

    Singh, Jitendra Pal; Lim, Weon Cheol; Lee, Jihye; Song, Jonghan; Lee, Ik-Jae; Chae, Keun Hwa

    2018-02-01

    Present work is motivated to investigate the surface and local electronic structure modifications of MgO films implanted with Zn and Fe ions. MgO film was deposited using radio frequency sputtering method. Atomic force microscopy measurements exhibit morphological changes associated with implantation. Implantation of Fe and Zn ions leads to the reduction of co-ordination geometry of Mg2+ ions in host lattice. The effect is dominant at bulk of film rather than surface as the large concentration of implanted ions resides inside bulk. Moreover, the evidences of interaction among implanted ions and oxygen are not being observed using near edge fine structure measurements.

  20. RTV silicone rubber surface modification for cell biocompatibility by negative-ion implantation

    Energy Technology Data Exchange (ETDEWEB)

    Zheng, Chenlong [Key Laboratory of Beam Technology and Material Modification Ministry of Education, College of Nuclear Science and Technology, Beijing Normal University, 100875 Beijing (China); Wang, Guangfu, E-mail: 88088@bnu.edu.cn [Key Laboratory of Beam Technology and Material Modification Ministry of Education, College of Nuclear Science and Technology, Beijing Normal University, 100875 Beijing (China); Beijing Radiation Center, 100875 Beijing (China); Chu, Yingjie; Xu, Ya; Qiu, Menglin; Xu, Mi [Key Laboratory of Beam Technology and Material Modification Ministry of Education, College of Nuclear Science and Technology, Beijing Normal University, 100875 Beijing (China)

    2016-03-01

    Highlights: • The radiation effect has a greater influence than doping effect on the hydrophilicity of RTV SR. • The implanted ions result in a new surface atomic bonding state and morphology. • Generating hydrophilic functional groups is a reason for the improved cell biocompatibility. • The micro roughness makes the hydrophilicity should be reduced due to the lotus effect. • Cell culture demonstrates that negative-ion implantation can improve biocompatibility. - Abstract: A negative cluster ion implantation system was built on the injector of a GIC4117 tandem accelerator. Next, the system was used to study the surface modification of room temperature vulcanization silicone rubber (RTV SR) for cell biocompatibility. The water contact angle was observed to decrease from 117.6° to 99.3° as the C{sub 1}{sup −} implantation dose was increased to 1 × 10{sup 16} ions/cm{sup 2}, and the effects of C{sub 1}{sup −}, C{sub 2}{sup −} and O{sub 1}{sup −} implantation result in only small differences in the water contact angle at 3 × 10{sup 15} ions/cm{sup 2}. These findings indicate that the hydrophilicity of RTV SR improves as the dose is increased and that the radiation effect has a greater influence than the doping effect on the hydrophilicity. There are two factors influence hydrophilicity of RTV: (1) based on the XPS and ATR-FTIR results, it can be inferred that ion implantation breaks the hydrophobic functional groups (Si−CH{sub 3}, Si−O−Si, C−H) of RTV SR and generates hydrophilic functional groups (−COOH, −OH, Si−(O){sub x} (x = 3,4)). (2) SEM reveals that the implanted surface of RTV SR appears the micro roughness such as cracks and wrinkles. The hydrophilicity should be reduced due to the lotus effect (Zhou Rui et al., 2009). These two factors cancel each other out and make the C-implantation sample becomes more hydrophilic in general terms. Finally, cell culture demonstrates that negative ion-implantation is an effective method

  1. Structure of ion-implanted ceramics

    International Nuclear Information System (INIS)

    Naramoto, Hiroshi

    1983-01-01

    The variation of structure of LiF, MgO, Al 2 O 3 and TiO 2 accompanying annealing after ion implantation is explained. The analysis of structure is usually made by the perturbed gamma ray angular correlation, the internal electron Moessbauer method, or the ion scattering method. The results of analyses are discussed for alkali ion implantation, Fe-ion implantation, In-ion implantation, Au-ion implantation, Pt-ion implantation, Pb-ion implantation and transition metal ion implantation. The coupling of the implanted elements with lattice defects and matrix elements, and the compatibility between deposited elements and matrix crystal lattice were studied. The variation of physical properties due to ion implantation such as phase transition, volume change, the control of single crystal region, and the variation of hardness near surface were investigated, and the examples are presented. (Kato, T.)

  2. Zinc-ion implanted and deposited titanium surfaces reduce adhesion of Streptococccus mutans

    International Nuclear Information System (INIS)

    Xu Juan; Ding Gang; Li Jinlu; Yang Shenhui; Fang Bisong; Sun Hongchen; Zhou Yanmin

    2010-01-01

    While titanium (Ti) is a commonly used dental implant material with advantageous biocompatible and mechanical properties, native Ti surfaces do not have the ability to prevent bacterial colonization. The objective of this study was to evaluate the chemical composition and bacterial adhesive properties of zinc (Zn) ion implanted and deposited Ti surfaces (Zn-PIIID-Ti) as potential dental implant materials. Surfaces of pure Ti (cp-Ti) were modified with increasing concentrations of Zn using plasma immersion ion implantation and deposition (PIIID), and elemental surface compositions were characterized by X-ray photoelectron spectrometry (XPS). To evaluate bacterial responses, Streptococcus mutans were seeded onto the modifiedTi surfaces for 48 h and subsequently observed by scanning electron microscopy. Relative numbers of bacteria on each surface were assessed by collecting the adhered bacteria, reculturing and counting colony forming units after 48 h on bacterial grade plates. Ti, oxygen and carbon elements were detected on all surfaces by XPS. Increased Zn signals were detected on Zn-PIIID-Ti surfaces, correlating with an increase of Zn-deposition time. Substantial numbers of S. mutans adhered to cp-Ti samples, whereas bacterial adhesion on Zn-PIIID-Ti surfaces signficantly decreased as the Zn concentration increased (p < 0.01). In conclusion, PIIID can successfully introduce Zn onto a Ti surface, forming a modified surface layer bearing Zn ions that consequently deter adhesion of S. mutans, a common bacterium in the oral environment.

  3. Zinc-ion implanted and deposited titanium surfaces reduce adhesion of Streptococccus mutans

    Energy Technology Data Exchange (ETDEWEB)

    Xu Juan, E-mail: doctorxue@126.com [Implant Center, School of Stomatology Jilin University, Changchun, Jilin (China) and Stomatological Hospital, Urumqi, Xinjiang (China); Ding Gang [Department of Stomatology, Yidu Central Hospital, Weifang, Shandong (China); Capital Medical University School of Stomatology, Beijing (China); Li Jinlu; Yang Shenhui; Fang Bisong [Capital Medical University School of Stomatology, Beijing (China); Sun Hongchen, E-mail: hcsun@jlu.edu.cn [Implant Center, School of Stomatology Jilin University, Changchun, Jilin (China); Zhou Yanmin, E-mail: zhouym62@126.com [Implant Center, School of Stomatology Jilin University, Changchun, Jilin (China)

    2010-10-01

    While titanium (Ti) is a commonly used dental implant material with advantageous biocompatible and mechanical properties, native Ti surfaces do not have the ability to prevent bacterial colonization. The objective of this study was to evaluate the chemical composition and bacterial adhesive properties of zinc (Zn) ion implanted and deposited Ti surfaces (Zn-PIIID-Ti) as potential dental implant materials. Surfaces of pure Ti (cp-Ti) were modified with increasing concentrations of Zn using plasma immersion ion implantation and deposition (PIIID), and elemental surface compositions were characterized by X-ray photoelectron spectrometry (XPS). To evaluate bacterial responses, Streptococcus mutans were seeded onto the modifiedTi surfaces for 48 h and subsequently observed by scanning electron microscopy. Relative numbers of bacteria on each surface were assessed by collecting the adhered bacteria, reculturing and counting colony forming units after 48 h on bacterial grade plates. Ti, oxygen and carbon elements were detected on all surfaces by XPS. Increased Zn signals were detected on Zn-PIIID-Ti surfaces, correlating with an increase of Zn-deposition time. Substantial numbers of S. mutans adhered to cp-Ti samples, whereas bacterial adhesion on Zn-PIIID-Ti surfaces signficantly decreased as the Zn concentration increased (p < 0.01). In conclusion, PIIID can successfully introduce Zn onto a Ti surface, forming a modified surface layer bearing Zn ions that consequently deter adhesion of S. mutans, a common bacterium in the oral environment.

  4. Thin hydroxyapatite surface layers on titanium produced by ion implantation

    CERN Document Server

    Baumann, H; Bilger, G; Jones, D; Symietz, I

    2002-01-01

    In medicine metallic implants are widely used as hip replacement protheses or artificial teeth. The biocompatibility is in all cases the most important requirement. Hydroxyapatite (HAp) is frequently used as coating on metallic implants because of its high acceptance by the human body. In this paper a process is described by which a HAp surface layer is produced by ion implantation with a continuous transition to the bulk material. Calcium and phosphorus ions are successively implanted into titanium under different vacuum conditions by backfilling oxygen into the implantation chamber. Afterwards the implanted samples are thermally treated. The elemental composition inside the implanted region was determined by nuclear analysis methods as (alpha,alpha) backscattering and the resonant nuclear reaction sup 1 H( sup 1 sup 5 N,alpha gamma) sup 1 sup 2 C. The results of X-ray photoelectron spectroscopy indicate the formation of HAp. In addition a first biocompatibility test was performed to compare the growing of m...

  5. Improvement of in vitro corrosion and cytocompatibility of biodegradable Fe surface modified by Zn ion implantation

    Science.gov (United States)

    Wang, Henan; Zheng, Yang; Li, Yan; Jiang, Chengbao

    2017-05-01

    Pure Fe was surface-modified by Zn ion implantation to improve the biodegradable behavior and cytocompatibility. Surface topography, chemical composition, corrosion resistance and cytocompatibility were investigated. Atomic force microscopy, auger electron spectroscopy and X-ray photoelectron spectroscopy results showed that Zn was implanted into the surface of pure Fe in the depth of 40-60 nm and Fe2O3/ZnO oxides were formed on the outmost surface. Electrochemical measurements and immersion tests revealed an improved degradable behavior for the Zn-implanted Fe samples. An approximately 12% reduction in the corrosion potential (Ecorr) and a 10-fold increase in the corrosion current density (icorr) were obtained after Zn ion implantation with a moderate incident ion dose, which was attributed to the enhanced pitting corrosion. The surface free energy of pure Fe was decreased by Zn ion implantation. The results of direct cell culture indicated that the short-term (4 h) cytocompatibility of MC3T3-E1 cells was promoted by the implanted Zn on the surface.

  6. Improved surface corrosion resistance of WE43 magnesium alloy by dual titanium and oxygen ion implantation

    Energy Technology Data Exchange (ETDEWEB)

    Zhao, Ying [Department of Orthopaedics and Traumatology, The University of Hong Kong, Pokfulam, Hong Kong (China); Department of Physics and Materials Science, City University of Hong Kong, Tat Chee Avenue, Kowloon, Hong Kong (China); Wu, Guosong; Lu, Qiuyuan [Department of Physics and Materials Science, City University of Hong Kong, Tat Chee Avenue, Kowloon, Hong Kong (China); Wu, Jun [Department of Orthopaedics and Traumatology, The University of Hong Kong, Pokfulam, Hong Kong (China); Xu, Ruizhen [Department of Physics and Materials Science, City University of Hong Kong, Tat Chee Avenue, Kowloon, Hong Kong (China); Yeung, Kelvin W.K., E-mail: wkkyeung@hku.hk [Department of Orthopaedics and Traumatology, The University of Hong Kong, Pokfulam, Hong Kong (China); Chu, Paul K., E-mail: paul.chu@cityu.edu.hk [Department of Physics and Materials Science, City University of Hong Kong, Tat Chee Avenue, Kowloon, Hong Kong (China)

    2013-02-01

    Magnesium alloys are potential biodegradable materials and have attracted much attention due to their outstanding biological performance and mechanical properties. However, their rapid degradation inside the human body cannot meet clinical needs. In order to improve the corrosion resistance, dual titanium and oxygen ion implantation is performed to modify the surface of the WE43 magnesium alloy. X-ray photoelectron spectroscopy is used to characterize the microstructures in the near surface layer and electrochemical impedance spectroscopy, potentiodynamic polarization, and immersion tests are employed to investigate the corrosion resistance of the implanted alloys in simulated body fluids. The results indicate that dual titanium and oxygen ion implantation produces a TiO{sub 2}-containing surface film which significantly enhances the corrosion resistance of WE43 magnesium alloy. Our data suggest a simple and practical means to improve the corrosion resistance of degradable magnesium alloys. - Highlights: ► Surface modification of WE43 magnesium alloy using dual ion implantation ► Dual Ti and O ion implantation produces a homogeneous TiO{sub 2}-containing surface film ► Significant improvement of the alloy corrosion resistance after the dual ion implantation.

  7. Electrochemical properties of ion implanted silicon

    International Nuclear Information System (INIS)

    Pham minh Tan.

    1979-11-01

    The electrochemical behaviour of ion implanted silicon in contact with hydrofluoric acid solution was investigated. It was shown that the implanted layer on silicon changes profoundly its electrochemical properties (photopotential, interface impedance, rest potential, corrosion, current-potential behaviour, anodic dissolution of silicon, redox reaction). These changes depend strongly on the implantation parameters such as ion dose, ion energy, thermal treatment and ion mass and are weakly dependent on the chemical nature of the implantation ion. The experimental results were evaluated and interpreted in terms of the semiconductor electrochemical concepts taking into account the interaction of energetic ions with the solid surface. The observed effects are thus attributed to the implantation induced damage of silicon lattice and can be used for profiling of the implanted layer and the electrochemical treatment of the silicon surface. (author)

  8. Effect of ion-implantation on surface characteristics of nickel titanium and titanium molybdenum alloy arch wires

    Directory of Open Access Journals (Sweden)

    Manu Krishnan

    2013-01-01

    Full Text Available Aim: To evaluate the changes in surface roughness and frictional features of ′ion-implanted nickel titanium (NiTi and titanium molybdenum alloy (TMA arch wires′ from its conventional types in an in-vitro laboratory set up. Materials and Methods: ′Ion-implanted NiTi and low friction TMA arch wires′ were assessed for surface roughness with scanning electron microscopy (SEM and 3 dimensional (3D optical profilometry. Frictional forces were studied in a universal testing machine. Surface roughness of arch wires were determined as Root Mean Square (RMS values in nanometers and Frictional Forces (FF in grams. Statistical Analysis Used: Mean values of RMS and FF were compared by Student′s ′t′ test and one way analysis of variance (ANOVA. Results: SEM images showed a smooth topography for ion-implanted versions. 3D optical profilometry demonstrated reduction of RMS values by 58.43% for ion-implanted NiTi (795.95 to 330.87 nm and 48.90% for TMA groups (463.28 to 236.35 nm from controls. Nonetheless, the corresponding decrease in FF was only 29.18% for NiTi and 22.04% for TMA, suggesting partial correction of surface roughness and disproportionate reduction in frictional forces with ion-implantation. Though the reductions were highly significant at P < 0.001, relations between surface roughness and frictional forces remained non conclusive even after ion-implantation. Conclusion: The study proved that ion-implantation can significantly reduce the surface roughness of NiTi and TMA wires but could not make a similar reduction in frictional forces. This can be attributed to the inherent differences in stiffness and surface reactivity of NiTi and TMA wires when used in combination with stainless steel brackets, which needs further investigations.

  9. Synthesis of sponge-like hydrophobic NiBi_3 surface by 200 keV Ar ion implantation

    International Nuclear Information System (INIS)

    Siva, Vantari; Datta, D.P.; Chatterjee, S.; Varma, S.; Kanjilal, D.; Sahoo, Pratap K.

    2017-01-01

    Highlights: • A sponge-like hydrophobic NiBi_3 surface has been synthesized using 200 keV Ar ion implantation. • A competition between amorphization and re-crystallization was observed in the existing phases owing to comparable magnitudes of nuclear and electronic energy depositions. • The relation between hydrophobic nature and sponge-like NiBi_3 phase seems interesting, which is attributed to ion beam induced sputtering and mixing of the layers. - Abstract: Sponge-like nanostructures develop under Ar-ion implantation of a Ni–Bi bilayer with increasing ion fluence at room temperature. The surface morphology features different stages of evolution as a function of ion fluence, finally resulting in a planar surface at the highest fluence. Our investigations on the chemical composition reveal a spontaneous formation of NiBi_3 phase on the surface of the as deposited bilayer film. Interestingly, we observe a competition between crystallization and amorphization of the existing poly-crystalline phases as a function of the implanted fluence. Measurements of contact angle by sessile drop method clearly show the ion-fluence dependent hydrophobic nature of the nano-structured surfaces. The wettability has been correlated with the variation in roughness and composition of the implanted surface. In fact, our experimental results confirm dominant effect of ion-sputtering as well as ion-induced mixing at the bilayer interface in the evolution of the sponge-like surface.

  10. Plasma source ion implantation

    International Nuclear Information System (INIS)

    Conrad, J.R.; Forest, C.

    1986-01-01

    The authors' technique allows the ion implantation to be performed directly within the ion source at higher currents without ion beam extraction and transport. The potential benefits include greatly increased production rates (factors of 10-1000) and the ability to implant non-planar targets without rastering or shadowing. The technique eliminates the ion extractor grid set, beam raster equipment, drift space and target manipulator equipment. The target to be implanted is placed directly within the plasma source and is biased to a large negative potential so that plasma ions gain energy as they accelerate through the potential drop across the sheath that forms at the plasma boundary. Because the sheath surrounds the target on all sides, all surfaces of the target are implanted without the necessity to raster the beam or to rotate the target. The authors have succeeded in implanting nitrogen ions in a silicon target to the depths and concentrations required for surface treatment of materials like stainless steel and titanium alloys. They have performed ESCA measurements of the penetration depth profile of a silicon target that was biased to 30 kV in a nitrogen discharge plasma. Nitrogen ions were implanted to a depth of 700A at a peak concentration of 30% atomic. The measured profile is quite similar to a previously obtained profile in titanium targets with conventional techniques

  11. High definition surface micromachining of LiNbO 3 by ion implantation

    Science.gov (United States)

    Chiarini, M.; Bentini, G. G.; Bianconi, M.; De Nicola, P.

    2010-10-01

    High Energy Ion Implantation (HEII) of both medium and light mass ions has been successfully applied for the surface micromachining of single crystal LiNbO 3 (LN) substrates. It has been demonstrated that the ion implantation process generates high differential etch rates in the LN implanted areas, when suitable implantation parameters, such as ion species, fluence and energy, are chosen. In particular, when traditional LN etching solutions are applied to suitably ion implanted regions, etch rates values up to three orders of magnitude higher than the typical etching rates of the virgin material, are registered. Further, the enhancement in the etching rate has been observed on x, y and z-cut single crystalline material, and, due to the physical nature of the implantation process, it is expected that it can be equivalently applied also to substrates with different crystallographic orientations. This technique, associated with standard photolithographic technologies, allows to generate in a fast and accurate way very high aspect ratio relief micrometric structures on LN single crystal surface. In this work a description of the developed technology is reported together with some examples of produced micromachined structures: in particular very precisely defined self sustaining suspended structures, such as beams and membranes, generated on LN substrates, are presented. The developed technology opens the way to actual three dimensional micromachining of LN single crystals substrates and, due to the peculiar properties characterising this material, (pyroelectric, electro-optic, acousto-optic, etc.), it allows the design and the production of complex integrated elements, characterised by micrometric features and suitable for the generation of advanced Micro Electro Optical Systems (MEOS).

  12. Ion implantation into iron

    International Nuclear Information System (INIS)

    Iwaki, Masaya

    1978-01-01

    The distribution of implanted ions in iron, the friction characteristics and the corrosion of iron were studied. The distribution of Ni or Cr ions implanted into mild steel was measured. The accelerated voltage was 150 keV, and the beam current density was about 2 microampere/cm 2 . The measurement was made with an ion microanalyzer. The measured distribution was compared with that of LSS theory. Deep invasion of Ni was seen in the measured distribution. The distribution of Cr ions was different from the distribution calculated by the LSS theory. The relative friction coefficient of mild steel varied according to the dose of implanted Cu or N ions, and to the accelerating voltage. Formation of compound metals on the surfaces of metals by ion-implantation was investigated for the purpose to prevent the corrosion of metals. The resistance of mild steel in which Ni ions were implanted was larger than that of mild steel without any treatment. (Kato, T.)

  13. Enhanced Physicochemical and Biological Properties of Ion-Implanted Titanium Using Electron Cyclotron Resonance Ion Sources

    Directory of Open Access Journals (Sweden)

    Csaba Hegedűs

    2016-01-01

    Full Text Available The surface properties of metallic implants play an important role in their clinical success. Improving upon the inherent shortcomings of Ti implants, such as poor bioactivity, is imperative for achieving clinical use. In this study, we have developed a Ti implant modified with Ca or dual Ca + Si ions on the surface using an electron cyclotron resonance ion source (ECRIS. The physicochemical and biological properties of ion-implanted Ti surfaces were analyzed using various analytical techniques, such as surface analyses, potentiodynamic polarization and cell culture. Experimental results indicated that a rough morphology was observed on the Ti substrate surface modified by ECRIS plasma ions. The in vitro electrochemical measurement results also indicated that the Ca + Si ion-implanted surface had a more beneficial and desired behavior than the pristine Ti substrate. Compared to the pristine Ti substrate, all ion-implanted samples had a lower hemolysis ratio. MG63 cells cultured on the high Ca and dual Ca + Si ion-implanted surfaces revealed significantly greater cell viability in comparison to the pristine Ti substrate. In conclusion, surface modification by electron cyclotron resonance Ca and Si ion sources could be an effective method for Ti implants.

  14. Evaluation of an expence of materials during ion implantation

    International Nuclear Information System (INIS)

    Bannikov, M.G.; Zlobin, N.; Zotov, A.V.; Vasilev, V.I.; Vasilev, I.P.

    2003-01-01

    Ion implantation is used for a surface modification. The implantation dose must be sufficient to obtain the required properties of a processed surface, but should not be exceeded to prevent over-expenditure of implanted materials. The latter is especially important when noble metals are used as an implanted material. The ion implanter includes a vacuum chamber, source of metal ions (target) and a vacuum pumping-out system. Ions of a plasma-forming gas sputter the target and ions of metal are then accelerated and implanted into surface treated. Ion implantation dose can be calculated from operation parameters such as ion beam current density and duration of implanting. The presence of the plasma-forming gas in the ion flow makes it difficult to determine the expenditure of an implanted metal itself. The objective of this paper is the more accurate definition of an expense of an implanted metal. Mass- spectrometric analysis of an ion beam together with the weighing of the target was used to determine the expense of an implanted metal. It was found that, depending on the implantation parameters, on average around 50% of a total ion flow are metal ions. Results obtained allow more precise definition of an implantation dose. Thus, over- expenditure of implanted metals can be eliminated. (author)

  15. Metal ion implantation: Conventional versus immersion

    International Nuclear Information System (INIS)

    Brown, I.G.; Anders, A.; Anders, S.; Dickinson, M.R.; MacGill, R.A.

    1994-01-01

    Vacuum-arc-produced metal plasma can be used as the ion feedstock material in an ion source for doing conventional metal ion implantation, or as the immersing plasma for doing plasma immersion ion implantation. The basic plasma production method is the same in both cases; it is simple and efficient and can be used with a wide range of metals. Vacuum arc ion sources of different kinds have been developed by the authors and others and their suitability as a metal ion implantation tool has been well established. Metal plasma immersion surface processing is an emerging tool whose characteristics and applications are the subject of present research. There are a number of differences between the two techniques, both in the procedures used and in the modified surfaces created. For example, the condensibility of metal plasma results in thin film formation and subsequent energetic implantation is thus done through the deposited layer; in the usual scenario, this recoil implantation and the intermixing it produces is a feature of metal plasma immersion but not of conventional energetic ion implantation. Metal plasma immersion is more suited (but not limited) to higher doses (>10 17 cm -2 ) and lower energies (E i < tens of keV) than the usual ranges of conventional metal ion implantation. These and other differences provide these vacuum-arc-based surface modification tools with a versatility that enhances the overall technological attractiveness of both

  16. Modifications of the hydriding kinetics of a metallic surface, using ion implantation

    International Nuclear Information System (INIS)

    Crusset, D.

    1992-10-01

    Uranium reacts with hydrogen to form an hydride: this reaction leads to the total destruction of the material. To modify the reactivity of an uranium surface towards hydrogen, ion implantation was selected, among surface treatments techniques. Four elements (carbon, nitrogen, oxygen, sulfur) were implanted to different doses. The results show a modification of the hydriding mechanism and a significant increase in the reaction induction times, notably at high implantation doses. Several techniques (SIMS, X-rays phases analysis and residual stresses determination) were used to characterize the samples and understand the different mechanisms involved

  17. Operation of low-energy ion implanters for Si, N, C ion implantation into silicon and glassy carbon

    International Nuclear Information System (INIS)

    Carder, D.A.; Markwitz, A.

    2009-01-01

    This report details the operation of the low-energy ion implanters at GNS Science for C, N and Si implantations. Two implanters are presented, from a description of the components through to instructions for operation. Historically the implanters have been identified with the labels 'industrial' and 'experimental'. However, the machines only differ significantly in the species of ions available for implantation and sample temperature during implantation. Both machines have been custom designed for research purposes, with a wide range of ion species available for ion implantation and the ability to implant two ions into the same sample at the same time from two different ion sources. A fast sample transfer capability and homogenous scanning profiles are featured in both cases. Samples up to 13 mm 2 can be implanted, with the ability to implant at temperatures down to liquid nitrogen temperatures. The implanters have been used to implant 28 Si + , 14 N + and 12 C + into silicon and glassy carbon substrates. Rutherford backscattering spectroscopy has been used to analyse the implanted material. From the data a Si 30 C 61 N 9 layer was measured extending from the surface to a depth of about 77 ± 2 nm for (100) silicon implanted with 12 C + and 14 N + at multiple energies. Silicon and nitrogen ion implantation into glassy carbon produced a Si (40.5 %), C (38 %), N (19.5 %) and O (2%) layer centred around a depth of 50 ± 2 nm from the surface. (author). 8 refs., 20 figs

  18. Synthesis of sponge-like hydrophobic NiBi{sub 3} surface by 200 keV Ar ion implantation

    Energy Technology Data Exchange (ETDEWEB)

    Siva, Vantari; Datta, D.P. [School of Physical Sciences, National Institute of Science Education and Research, HBNI, Jatni 752050 (India); Chatterjee, S. [Colloids and Materials Chemistry Department, CSIR-Institute of Minerals and Materials Technology, Acharya Vihar, Bhubaneswar 751 013 (India); Varma, S. [Institute of Physics, Sachivalaya Marg, Bhubaneswar 751005 (India); Kanjilal, D. [Inter-University Accelerator Centre, Aruna Asaf Ali Marg, New Delhi 110067 (India); Sahoo, Pratap K., E-mail: pratap.sahoo@niser.ac.in [School of Physical Sciences, National Institute of Science Education and Research, HBNI, Jatni 752050 (India)

    2017-07-15

    Highlights: • A sponge-like hydrophobic NiBi{sub 3} surface has been synthesized using 200 keV Ar ion implantation. • A competition between amorphization and re-crystallization was observed in the existing phases owing to comparable magnitudes of nuclear and electronic energy depositions. • The relation between hydrophobic nature and sponge-like NiBi{sub 3} phase seems interesting, which is attributed to ion beam induced sputtering and mixing of the layers. - Abstract: Sponge-like nanostructures develop under Ar-ion implantation of a Ni–Bi bilayer with increasing ion fluence at room temperature. The surface morphology features different stages of evolution as a function of ion fluence, finally resulting in a planar surface at the highest fluence. Our investigations on the chemical composition reveal a spontaneous formation of NiBi{sub 3} phase on the surface of the as deposited bilayer film. Interestingly, we observe a competition between crystallization and amorphization of the existing poly-crystalline phases as a function of the implanted fluence. Measurements of contact angle by sessile drop method clearly show the ion-fluence dependent hydrophobic nature of the nano-structured surfaces. The wettability has been correlated with the variation in roughness and composition of the implanted surface. In fact, our experimental results confirm dominant effect of ion-sputtering as well as ion-induced mixing at the bilayer interface in the evolution of the sponge-like surface.

  19. Platelet adhesion and plasma protein adsorption control of collagen surfaces by He+ ion implantation

    International Nuclear Information System (INIS)

    Kurotobi, K.; Suzuki, Y.; Nakajima, H.; Suzuki, H.; Iwaki, M.

    2003-01-01

    He + ion implanted collagen-coated tubes with a fluence of 1 x 10 14 ions/cm 2 were exhibited antithrombogenicity. To investigate the mechanisms of antithrombogenicity of these samples, plasma protein adsorption assay and platelet adhesion experiments were performed. The adsorption of fibrinogen (Fg) and von Willebrand factor (vWf) was minimum on the He + ion implanted collagen with a fluence of 1 x 10 14 ions/cm 2 . Platelet adhesion (using platelet rich plasma) was inhibited on the He + ion implanted collagen with a fluence of 1 x 10 14 ions/cm 2 and was accelerated on the untreated collagen and ion implanted collagen with fluences of 1 x 10 13 , 1 x 10 15 and 1 x 10 16 ions/cm 2 . Platelet activation with washed platelets was observed on untreated collagen and He + ion implanted collagen with a fluence of 1 x 10 14 ions/cm 2 and was inhibited with fluences of 1 x 10 13 , 1 x 10 15 and 1 x 10 16 ions/cm 2 . Generally, platelets can react with a specific ligand inside the collagen (GFOGER sequence). The results of platelets adhesion experiments using washed platelets indicated that there were no ligands such as GFOGER on the He + ion implanted collagen over a fluence of 1 x 10 13 ions/cm 2 . On the 1 x 10 14 ions/cm 2 implanted collagen, no platelet activation was observed due to the influence of plasma proteins. >From the above, it is concluded that the decrease of adsorbed Fg and vWf caused the antithrombogenicity of He + ion implanted collagen with a fluence of 1 x 10 14 ions/cm 2 and that plasma protein adsorption took an important role repairing the graft surface

  20. Studies on the surface modification of TiN coatings using MEVVA ion implantation with selected metallic species

    International Nuclear Information System (INIS)

    Ward, L.P.; Purushotham, K.P.; Manory, R.R.

    2016-01-01

    Highlights: • Reduced surface roughness was observed after ion implantation. • W implantation increased residual stress. • Reduced friction and wear accompanied Mo implantation. • Mo implanted layer was more resistant to breakdown during wear testing. • Ion implantation effects can be complex on various implanting species properties. - Abstract: Improvement in the performance of TiN coatings can be achieved using surface modification techniques such as ion implantation. In the present study, physical vapor deposited (PVD) TiN coatings were implanted with Cr, Zr, Nb, Mo and W using the metal evaporation vacuum arc (MEVVA) technique at a constant nominal dose of 4 × 10 16 ions cm −2 for all species. The samples were characterized before and after implantation, using Rutherford backscattering (RBS), glancing incident angle X-ray diffraction (GIXRD), atomic force microscopy (AFM) and optical microscopy. Friction and wear studies were performed under dry sliding conditions using a pin-on-disc CSEM Tribometer at 1 N load and 450 m sliding distance. A reduction in the grain size and surface roughness was observed after implantation with all five species. Little variation was observed in the residual stress values for all implanted TiN coatings, except for W implanted TiN which showed a pronounced increase in compressive residual stress. Mo-implanted samples showed a lower coefficient of friction and higher resistance to breakdown during the initial stages of testing than as-received samples. Significant reduction in wear rate was observed after implanting with Zr and Mo ions compared with unimplanted TiN. The presence of the Ti 2 N phase was observed with Cr implantation.

  1. Application of nitrogen plasma immersion ion implantation to titanium nasal implants with nanonetwork surface structure

    Energy Technology Data Exchange (ETDEWEB)

    Sun, Ying-Sui; Yang, Wei-En [Department of Dentistry, National Yang-Ming University, Taipei 112, Taiwan (China); Zhang, Lan [State Key Laboratory for Mechanical Behavior of Materials, Xi' an Jiaotong University, Xi' an 710049 (China); Zhu, Hongqin [State Key Laboratory of High Performance Ceramics and Superfine Microstructure, Shanghai Institute of Ceramics, Chinese Academy of Sciences, Shanghai 200050 (China); Lan, Ming-Ying [Division of Rhinology, Department of Otolaryngology Head and Neck Surgery, Taipei Veterans General Hospital, Taipei 112, Taiwan and School of Medicine, National Yang-Ming University, Taipei 112, Taiwan (China); Lee, Sheng-Wei [Institute of Materials Science and Engineering, National Central University, Taoyuan 320, Taiwan (China); Huang, Her-Hsiung, E-mail: hhhuang@ym.edu.tw [Department of Dentistry, National Yang-Ming University, Taipei 112, Taiwan (China); Institute of Oral Biology, National Yang-Ming University, Taipei 112, Taiwan (China); Graduate Institute of Basic Medical Science, China Medical University, Taichung 404, Taiwan (China); Department of Medical Research, China Medical University Hospital, Taichung 407, Taiwan (China); Department of Bioinformatics and Medical Engineering, Asia University, Taichung 413, Taiwan (China); Department of Stomatology, Taipei Veterans General Hospital, Taipei 112, Taiwan (China)

    2016-07-15

    In nasal reconstruction, the response of cells to titanium (Ti) implants is mainly determined by surface features of the implant. In a pilot study, the authors applied electrochemical anodization to Ti surfaces in an alkaline solution to create a network of nanoscale surface structures. This nanonetwork was intended to enhance the responses of primary human nasal epithelial cell (HNEpC) to the Ti surface. In this study, the authors then treated the anodized, nanonetwork-structured Ti surface using nitrogen plasma immersion ion implantation (NPIII) in order to further improve the HNEpC response to the Ti surface. Subsequently, surface characterization was performed to elucidate morphology, roughness, wettability, and chemistry of specimens. Cytotoxicity, blood, and HNEpC responses were also evaluated. Our results demonstrate that NPIII treatment led to the formation of a noncytotoxic TiN-containing thin film (thickness <100 nm) on the electrochemically anodized Ti surface with a nanonetwork-structure. NPIII treatment was shown to improve blood clotting and the adhesion of platelets to the anodized Ti surface as well as the adhesion and proliferation of hNEpC. This research spreads our understanding of the fact that a TiN-containing thin film, produced using NPIII treatment, could be used to improve blood and HNEpC responses to anodized, nanonetwork-structured Ti surfaces in nasal implant applications.

  2. Ion Implantation and Synthesis of Materials

    CERN Document Server

    Nastasi, Michael

    2006-01-01

    Ion implantation is one of the key processing steps in silicon integrated circuit technology. Some integrated circuits require up to 17 implantation steps and circuits are seldom processed with less than 10 implantation steps. Controlled doping at controlled depths is an essential feature of implantation. Ion beam processing can also be used to improve corrosion resistance, to harden surfaces, to reduce wear and, in general, to improve materials properties. This book presents the physics and materials science of ion implantation and ion beam modification of materials. It covers ion-solid interactions used to predict ion ranges, ion straggling and lattice disorder. Also treated are shallow-junction formation and slicing silicon with hydrogen ion beams. Topics important for materials modification, such as ion-beam mixing, stresses, and sputtering, are also described.

  3. Negative-ion current density dependence of the surface potential of insulated electrode during negative-ion implantation

    International Nuclear Information System (INIS)

    Tsuji, Hiroshi; Okayama, Yoshio; Toyota, Yoshitaka; Gotoh, Yasuhito; Ishikawa, Junzo; Sakai, Shigeki; Tanjyo, Masayasu; Matsuda, Kouji.

    1994-01-01

    Positive ion implantation has been utilized as the method of impurity injection in ultra-LSI production, but the problem of substrate charging cannot be resolved by conventional charge compensation method. It was forecast that by negative ion implantation, this charging problem can be resolved. Recently the experiment on the negative ion implantation into insulated electrodes was carried out, and the effect of negative ion implantation to this problem was proved. However, the dependence of charged potential on the increase of negative ion current at the time of negative ion implantation is a serious problem in large current negative ion implantation hereafter. The charged potential of insulated conductor substrates was measured by the negative ion implantation using the current up to several mA/cm 2 . The experimental method is explained. Medium current density and high current density negative ion implantation and charged potential are reported. Accordingly in negative ion implantation, if current density is optimized, the negative ion implantation without charging can be realized. (K.I.)

  4. Development of industrial ion implantation and ion assisted coating processes: A perspective

    International Nuclear Information System (INIS)

    Legg, K.O.; Solnick-Legg, H.

    1989-01-01

    Ion beam processes have gone through a series of developmental stages, from being the mainstay of the semiconductor industry for production of integrated circuits, to new commercial processes for biomedical, aerospace and other industries. Although research is still continuing on surface modification using ion beam methods, ion implantation and ion assisted coatings for treatment of metals, ceramics, polymers and composites must now be considered viable industrial processes of benefit in a wide variety of applications. However, ion implantation methods face various barriers to acceptability, in terms not only of other surface treatment processes, but for implantation itself. This paper will discuss some of the challenges faced by a small company whose primary business is development and marketing of ion implantation and ion-assisted coating processes. (orig.)

  5. Ion implantation induced nanotopography on titanium and bone cell adhesion

    Energy Technology Data Exchange (ETDEWEB)

    Braceras, Iñigo, E-mail: inigo.braceras@tecnalia.com [Tecnalia, Mikeletegi Pasealekua 2, 20009 Donostia-San Sebastian (Spain); CIBER de Bioingeniería, Biomateriales y Nanomedicina (Ciber-BBN) (Spain); Vera, Carolina; Ayerdi-Izquierdo, Ana [Tecnalia, Mikeletegi Pasealekua 2, 20009 Donostia-San Sebastian (Spain); CIBER de Bioingeniería, Biomateriales y Nanomedicina (Ciber-BBN) (Spain); Muñoz, Roberto [Tecnalia, Mikeletegi Pasealekua 2, 20009 Donostia-San Sebastian (Spain); Lorenzo, Jaione; Alvarez, Noelia [Tecnalia, Mikeletegi Pasealekua 2, 20009 Donostia-San Sebastian (Spain); CIBER de Bioingeniería, Biomateriales y Nanomedicina (Ciber-BBN) (Spain); Maeztu, Miguel Ángel de [Private Practice, P° San Francisco, 43 A-1°, 20400 Tolosa (Spain)

    2014-08-15

    Graphical abstract: Titanium surfaces modified by inert ion implantation affect cell adhesion through modification of the nanotopography in the same dimensional range of that of human bone inorganic phases. - Highlights: • Inert ion implantation on Ti modifies surface nanotopography and bone cell adhesion. • Ion implantation can produce nanostructured surfaces on titanium in the very same range as of those of the mineral phase of the human bone. • Appropriate tool for studying the relevance of nanostructured surfaces on bone mineralization and implant osseointegration. • Ion implantation induced nanotopography have a statistically significant influence on bone cell adhesion. - Abstract: Permanent endo-osseous implants require a fast, reliable and consistent osseointegration, i.e. intimate bonding between bone and implant, so biomechanical loads can be safely transferred. Among the parameters that affect this process, it is widely admitted that implant surface topography, surface energy and composition play an important role. Most surface treatments to improve osseointegration focus on micro-scale features, as few can effectively control the effects of the treatment at nanoscale. On the other hand, ion implantation allows controlling such nanofeatures. This study has investigated the nanotopography of titanium, as induced by different ion implantation surface treatments, its similarity with human bone tissue structure and its effect on human bone cell adhesion, as a first step in the process of osseointegration. The effect of ion implantation treatment parameters such as energy (40–80 keV), fluence (1–2 e17 ion/cm{sup 2}) and ion species (Kr, Ar, Ne and Xe) on the nanotopography of medical grade titanium has been measured and assessed by AFM and contact angle. Then, in vitro tests have been performed to assess the effect of these nanotopographies on osteoblast adhesion. The results have shown that the nanostructure of bone and the studied ion implanted

  6. Ion implantation induced nanotopography on titanium and bone cell adhesion

    International Nuclear Information System (INIS)

    Braceras, Iñigo; Vera, Carolina; Ayerdi-Izquierdo, Ana; Muñoz, Roberto; Lorenzo, Jaione; Alvarez, Noelia; Maeztu, Miguel Ángel de

    2014-01-01

    Graphical abstract: Titanium surfaces modified by inert ion implantation affect cell adhesion through modification of the nanotopography in the same dimensional range of that of human bone inorganic phases. - Highlights: • Inert ion implantation on Ti modifies surface nanotopography and bone cell adhesion. • Ion implantation can produce nanostructured surfaces on titanium in the very same range as of those of the mineral phase of the human bone. • Appropriate tool for studying the relevance of nanostructured surfaces on bone mineralization and implant osseointegration. • Ion implantation induced nanotopography have a statistically significant influence on bone cell adhesion. - Abstract: Permanent endo-osseous implants require a fast, reliable and consistent osseointegration, i.e. intimate bonding between bone and implant, so biomechanical loads can be safely transferred. Among the parameters that affect this process, it is widely admitted that implant surface topography, surface energy and composition play an important role. Most surface treatments to improve osseointegration focus on micro-scale features, as few can effectively control the effects of the treatment at nanoscale. On the other hand, ion implantation allows controlling such nanofeatures. This study has investigated the nanotopography of titanium, as induced by different ion implantation surface treatments, its similarity with human bone tissue structure and its effect on human bone cell adhesion, as a first step in the process of osseointegration. The effect of ion implantation treatment parameters such as energy (40–80 keV), fluence (1–2 e17 ion/cm 2 ) and ion species (Kr, Ar, Ne and Xe) on the nanotopography of medical grade titanium has been measured and assessed by AFM and contact angle. Then, in vitro tests have been performed to assess the effect of these nanotopographies on osteoblast adhesion. The results have shown that the nanostructure of bone and the studied ion implanted

  7. Effects of He{sup +} ion implantation on surface properties of UV-cured Bis-GMA/TEGDMA bio-compatible resins

    Energy Technology Data Exchange (ETDEWEB)

    Fuentes, G.G., E-mail: gfuentes@ain.e [Center of Advanced Surface Engineering, AIN, Cordovilla-Pamplona, E-31191 (Spain); Esparza, J.; Rodriguez, R.J. [Center of Advanced Surface Engineering, AIN, Cordovilla-Pamplona, E-31191 (Spain); Manso-Silvan, M. [Departamento de Fisica Aplicada, Universidad Autonoma de Madrid, Cantoblanco, E-28049 (Spain); Palomares, J. [Instituto de Ciencia de Materiales de Madrid, ICMM-CSIC, Cantoblanco, E-28049 (Spain); Juhasz, J.; Best, S. [Cambridge Centre for Medical Materials, Department of Materials Science and Metallurgy, University of Cambridge, Pembroke Str, Cambridge CB2 3QZ (United Kingdom); Mattilla, R.; Vallittu, P. [Institute of Dentistry, Turku Clinical Biomaterials Centre, Itaeinen Pitkaekatu 4, B FI-20520, Turku (Finland); Achanta, S. [Falex Tribology Wingepark 23 B, 3110 Rotselaar (Belgium); Giazzon, M.; Weder, G. [Centre Suisse d' Electronique et de Microtechnique, CSEM, Jaquet-Drot 1, CH-2002 Neuchatel (Switzerland); Donati, I. [Department of Life Sciences, University of Trieste, Via Licio Giorgieri 1, I 34127 Trieste (Italy)

    2011-01-15

    This work reports on the surface characterisation of 2,2-bis[4-(2-hydroxy-3-methacryloxyl-oxypropoxy)phenyl]propane/triethylene glycol dimethacrylate bio-compatible resins after high energy He{sup +} ion implantation treatments. The samples have been characterised by diffuse reflectance FT-IR, X-ray photo-electron spectroscopy, ultramicro-hardness and nano-scratch wear tests. In addition, osteblast cell assays MG-63 have been used to test the bio-compatibility of the resin surfaces after the ion implantation treatments. It has been observed that the maximum surface hardening of the resin surfaces is achieved at He-ion implantation energies of around 50 keV and fluences of 1 x 10{sup 16} cm{sup -2}. At 50 keV of He-ion bombardment, the wear rate of the resin surface decreases by a factor 2 with respect to the pristine resin. Finally, in vitro tests indicate that the He-ion implantation does not affect to the cell-proliferation behaviour of the UV-cured resins. The enhancement of the surface mechanical properties of these materials can have beneficial consequences, for instance in preventing wear and surface fatigue of bone-fixation prostheses, whose surfaces are continuously held to sliding and shearing contacts of sub-millimetre scale lengths.

  8. Surface morphologies of He-implanted tungsten

    Energy Technology Data Exchange (ETDEWEB)

    Bannister, M.E., E-mail: bannisterme@ornl.gov [Physics Division, Oak Ridge National Laboratory, Oak Ridge, TN 37831-6371 (United States); Meyer, F.W.; Hijazi, H. [Physics Division, Oak Ridge National Laboratory, Oak Ridge, TN 37831-6371 (United States); Unocic, K.A.; Garrison, L.M.; Parish, C.M. [Materials Science and Technology Division, Oak Ridge National Laboratory, Oak Ridge, TN (United States)

    2016-09-01

    Surface morphologies of tungsten surfaces, both polycrystalline and single-crystal [1 1 0], were investigated using SEM and FIB/SEM techniques after implantations at elevated surfaces temperatures (1200–1300 K) using well-characterized, mono-energetic He ion beams with a wide range of ion energies (218 eV–250 keV). Nanofuzz was observed on polycrystalline tungsten (PCW) following implantation of 100-keV He ions at a flux threshold of 0.9 × 10{sup 16} cm{sup −2} s{sup −1}, but not following 200-keV implantations with similar fluxes. No nanofuzz formation was observed on single-crystal [1 1 0] tungsten (SCW), despite fluxes exceeding those demonstrated previously to produce nanofuzz on polycrystalline tungsten. Pre-damaging the single-crystal tungsten with implanted C impurity interstitials did not significantly affect the surface morphologies resulting from the high-flux He ion implantations. The main factor leading to the different observed surface structures for the pristine and C-implanted single-crystal W samples appeared to be the peak He ion flux characterizing the different exposures. It was speculated that nanofuzz formation was not observed for any SCW target exposures because of increased incubation fluences required for such targets.

  9. Ion implantation and fracture toughness of ceramics

    International Nuclear Information System (INIS)

    Clark, J.; Pollock, J.T.A.

    1985-01-01

    Ceramics generally lack toughness which is largely determined by the ceramic surface where stresses likely to cause failure are usually highest. Ion implantation has the capacity to improve the surface fracture toughness of ceramics. Significantly reduced ion size and reactivity restrictions exist compared with traditional methods of surface toughening. We are studying the effect of ion implantation on ceramic fracture toughness using indentation testing as the principal tool of analysis

  10. Biofunctionalization of surfaces by energetic ion implantation: Review of progress on applications in implantable biomedical devices and antibody microarrays

    Science.gov (United States)

    Bilek, Marcela M. M.

    2014-08-01

    Despite major research efforts in the field of biomaterials, rejection, severe immune responses, scar tissue and poor integration continue to seriously limit the performance of today's implantable biomedical devices. Implantable biomaterials that interact with their host via an interfacial layer of active biomolecules to direct a desired cellular response to the implant would represent a major and much sought after improvement. Another, perhaps equally revolutionary, development that is on the biomedical horizon is the introduction of cost-effective microarrays for fast, highly multiplexed screening for biomarkers on cell membranes and in a variety of analyte solutions. Both of these advances will rely on effective methods of functionalizing surfaces with bioactive molecules. After a brief introduction to other methods currently available, this review will describe recently developed approaches that use energetic ions extracted from plasma to facilitate simple, one-step covalent surface immobilization of bioactive molecules. A kinetic theory model of the immobilization process by reactions with long-lived, mobile, surface-embedded radicals will be presented. The roles of surface chemistry and microstructure of the ion treated layer will be discussed. Early progress on applications of this technology to create diagnostic microarrays and to engineer bioactive surfaces for implantable biomedical devices will be reviewed.

  11. Highly antibacterial UHMWPE surfaces by implantation of titanium ions

    Energy Technology Data Exchange (ETDEWEB)

    Delle Side, D., E-mail: domenico.delleside@le.infn.it [LEAS, Dipartimento di Matematica e Fisica “Ennio de Giorgi”, Università del Salento, Lecce (Italy); Istituto Nazionale di Fisica Nucleare – Sezione di Lecce, Lecce (Italy); Nassisi, V.; Giuffreda, E.; Velardi, L. [LEAS, Dipartimento di Matematica e Fisica “Ennio de Giorgi”, Università del Salento, Lecce (Italy); Istituto Nazionale di Fisica Nucleare – Sezione di Lecce, Lecce (Italy); Alifano, P.; Talà, A.; Tredici, S.M. [Dipartimento di Scienze e Tecnologie Biologiche ed Ambientali, Università del Salento, Lecce (Italy)

    2014-07-15

    The spreading of pathogens represents a serious threat for human beings. Consequently, efficient antimicrobial surfaces are needed in order to reduce risks of contracting severe diseases. In this work we present the first evidences of a new technique to obtain a highly antibacterial Ultra High Molecular Weight Polyethylene (UHMWPE) based on a non-stoichiometric titanium oxide coating, visible-light responsive, obtained through ion implantation.

  12. Highly antibacterial UHMWPE surfaces by implantation of titanium ions

    Science.gov (United States)

    Delle Side, D.; Nassisi, V.; Giuffreda, E.; Velardi, L.; Alifano, P.; Talà, A.; Tredici, S. M.

    2014-07-01

    The spreading of pathogens represents a serious threat for human beings. Consequently, efficient antimicrobial surfaces are needed in order to reduce risks of contracting severe diseases. In this work we present the first evidences of a new technique to obtain a highly antibacterial Ultra High Molecular Weight Polyethylene (UHMWPE) based on a non-stoichiometric titanium oxide coating, visible-light responsive, obtained through ion implantation.

  13. The controlled incorporation of foreign elements in metal surfaces by means of quantitative ion implantation

    International Nuclear Information System (INIS)

    Gries, W.H.

    1977-01-01

    Quantitative ion implantation is a powerful new method for the doping of metal surfaces with accurately known quantities of an element or one of its isotopes. It can be applied for the preparation of standards for various uses in instrumental methods of surface and bulk analysis. This paper provides selected information on some theoretical and practical aspects of quantitative ion implantation with the object of promoting the application of the method and stimulating further purposeful research on the subject. (Auth.)

  14. Surface modification by metal ion implantation forming metallic nanoparticles in an insulating matrix

    International Nuclear Information System (INIS)

    Salvadori, M.C.; Teixeira, F.S.; Sgubin, L.G.; Cattani, M.; Brown, I.G.

    2014-01-01

    Highlights: • Metal nanoparticles can be produced through metallic ion implantation in insulating substrate, where the implanted metal self-assembles into nanoparticles. • The nanoparticles nucleate near the maximum of the implantation depth profile, that can be estimated by computer simulation using the TRIDYN. • Nanocomposites, obtained by this way, can be produced in different insulator materials. More specifically we have studied Au/PMMA (polymethylmethacrylate), Pt/PMMA, Ti/alumina and Au/alumina systems. • The nanocomposites were characterized by measuring the resistivity of the composite layer as function of the dose implanted, reaching the percolation threshold. • Excellent agreement was found between the experimental results and the predictions of the theory. - Abstract: There is special interest in the incorporation of metallic nanoparticles in a surrounding dielectric matrix for obtaining composites with desirable characteristics such as for surface plasmon resonance, which can be used in photonics and sensing, and controlled surface electrical conductivity. We have investigated nanocomposites produced by metal ion implantation into insulating substrates, where the implanted metal self-assembles into nanoparticles. The nanoparticles nucleate near the maximum of the implantation depth profile (projected range), which can be estimated by computer simulation using the TRIDYN code. TRIDYN is a Monte Carlo simulation program based on the TRIM (Transport and Range of Ions in Matter) code that takes into account compositional changes in the substrate due to two factors: previously implanted dopant atoms, and sputtering of the substrate surface. Our study show that the nanoparticles form a bidimentional array buried a few nanometers below the substrate surface. We have studied Au/PMMA (polymethylmethacrylate), Pt/PMMA, Ti/alumina and Au/alumina systems. Transmission electron microscopy of the implanted samples show that metallic nanoparticles form in

  15. Non-Uniformity of Ion Implantation in Direct-Current Plasma Immersion Ion Implantation

    International Nuclear Information System (INIS)

    Cheng-Sen, Liu; Yu-Jia, Fan; Nan, Zhang; Li, Guan; Yuan, Yao; De-Zhen, Wang

    2010-01-01

    A particle-in-cell simulation is developed to study dc plasma immersion ion implantation. Particular attention is paid to the influence of the voltage applied to the target on the ion path, and the ion flux distribution on the target surface. It is found that the potential near the aperture within the plasma region is not the plasma potential, and is impacted by the voltage applied to the implanted target. A curved equipotential contour expands into the plasma region through the aperture and the extent of the expansion depends on the voltage. Ions accelerated by the electric field in the sheath form a beam shape and a flux distribution on the target surface, which are strongly dependent on the applied voltage. The results of the simulations demonstrate the formation mechanism of the grid-shadow effect, which is in agreement with the result observed experimentally. (physics of gases, plasmas, and electric discharges)

  16. Behavior of PET implanted by Ti, Ag, Si and C ion using MEVVA implantation

    International Nuclear Information System (INIS)

    Wu Yuguang; Zhang Tonghe; Zhang Yanwen; Zhang Huixing; Zhang Xiaoji; Zhou Gu

    2001-01-01

    Polyethylene terephthalane (PET) has been modified with Ti, Ag, Si and C ions from a metal vapor arc source (MEVVA). Ti, Ag, Si and C ions were implanted with acceleration voltage 40 kV to fluences ranging from 1x10 16 to 2x10 17 cm -2 . The surface of implanted PET darkened with increasing ion dose, when the metal ion dose was greater than 1x10 17 cm -2 the color changed to metallic bright. The surface resistance decreases by 5-6 orders of magnitude with increasing dose. The resistivity is stable after long-term storage. The depth of Ti- and Ag-implanted layer is approximately 150 and 80 nm measured by Rutherford backscattering (RBS), respectively. TEM photos revealed the presence of Ti and Ag nano-meter particles on the surface resulting from the high-dose implantation. Ti and Ag ion implantations improved conductivity and wear resistance significantly. The phase and structural changes were obtained by X-ray diffraction (XRD). It can be seen that nano-meter particles of Ti precipitation, TiO 2 and Ti-carbides have been formed in implanted layer. Nano-hardness of implanted PET has been measured by a nano-indenter. The results show that the surface hardness, modulus and wear resistance could be increased

  17. Ion implantation - an introduction

    International Nuclear Information System (INIS)

    Townsend, P.D.

    1986-01-01

    Ion implantation is a widely used technique with a literature that covers semiconductor production, surface treatments of steels, corrosion resistance, catalysis and integrated optics. This brief introduction outlines advantages of the technique, some aspects of the underlying physics and examples of current applications. Ion implantation is already an essential part of semiconductor technology while in many other areas it is still in an early stage of development. The future scope of the subject is discussed. (author)

  18. Surface modification effects of fluorine-doped tin dioxide by oxygen plasma ion implantation

    Science.gov (United States)

    Tang, Peng; Liu, Cai; Zhang, Jingquan; Wu, Lili; Li, Wei; Feng, Lianghuan; Zeng, Guanggen; Wang, Wenwu

    2018-04-01

    SnO2:F (FTO), as a kind of transparent conductive oxide (TCO), exhibits excellent transmittance and conductivity and is widely used as transparency electrodes in solar cells. It's very important to modifying the surface of FTO for it plays a critical role in CdTe solar cells. In this study, modifying effects of oxygen plasma on FTO was investigated systematically. Oxygen plasma treatment on FTO surface with ion accelerating voltage ranged from 0.4 kV to 1.6 kV has been processed. The O proportion of surface was increased after ion implantation. The Fermi level of surface measurement by XPS valance band spectra was lowered as the ion accelerating voltage increased to 1.2 kV and then raised as accelerating voltage was elevated to 1.6 kV. The work function measured by Kelvin probe force microscopy increased after ion implanting, and it was consistent with the variation of Fermi level. The change of energy band structure of FTO surface mainly originated from the surface composition variation. As FTO conduction was primarily due to oxyanion hole, the carrier was electron and its concentration was reduced while O proportion was elevated at the surface of FTO, as a result, the Fermi level lowered and the work function was enlarged. It was proved that oxygen plasma treatment is an effective method to modulate the energy band structure of the surface as well as other properties of FTO, which provides much more space for interface and surface modification and then photoelectric device performance promotion.

  19. Evolution of arsenic in high fluence plasma immersion ion implanted silicon: Behavior of the as-implanted surface

    Energy Technology Data Exchange (ETDEWEB)

    Vishwanath, V. [Applied Materials, 3225 Oakmead Village Drive, Santa Clara, CA 95052 (United States); Demenev, E. [Center for Materials and Microsystems, Fondazione Bruno Kessler, Via Sommarive 18, 38123 Povo, Trento (Italy); Department of Molecular Science and Nanosystems, Ca’Foscari University, Dorsoduro 2137, 30123 Venice (Italy); Giubertoni, D., E-mail: giuberto@fbk.eu [Center for Materials and Microsystems, Fondazione Bruno Kessler, Via Sommarive 18, 38123 Povo, Trento (Italy); Vanzetti, L. [Center for Materials and Microsystems, Fondazione Bruno Kessler, Via Sommarive 18, 38123 Povo, Trento (Italy); Koh, A.L. [Stanford Nanocharacterization Laboratory, Stanford University, 476 Lomita Mall, Stanford, CA 94305 (United States); Steinhauser, G. [Colorado State University, Environmental and Radiological Health Sciences, Fort Collins, CO 80523 (United States); Leibniz Universität Hannover, Institut für Radioökologie und Strahlenschutz, 30419 Hannover (Germany); Pepponi, G.; Bersani, M. [Center for Materials and Microsystems, Fondazione Bruno Kessler, Via Sommarive 18, 38123 Povo, Trento (Italy); Meirer, F., E-mail: f.meirer@uu.nl [Inorganic Chemistry and Catalysis, Utrecht University, Utrecht 3584 CG (Netherlands); Foad, M.A. [Applied Materials, 3225 Oakmead Village Drive, Santa Clara, CA 95052 (United States)

    2015-11-15

    Highlights: • Samples prepared by high fluence, low-energy PIII of AsH{sub 3}{sup +} on Si(1 0 0) were studied. • PIII is of high technological interest for ultra-shallow doping and activation. • We used a multi-technique approach to study the As-implanted surface. • We show that PIII presents a new set of problems that needs to be tackled. • The presented study goes toward understanding the root mechanisms involved. - Abstract: High fluence (>10{sup 15} ions/cm{sup 2}) low-energy (<2 keV) plasma immersion ion implantation (PIII) of AsH{sub 3}{sup +} on (1 0 0) silicon was investigated, with the focus on stability and retention of the dopant. At this dose, a thin (∼3 nm) amorphous layer forms at the surface, which contains about 45% arsenic (As) in a silicon and oxygen matrix. The presence of silicon indicates that the layer is not only a result of deposition, but predominantly ion mixing. High fluence PIII introduces high concentration of arsenic, modifying the stopping power for incoming ions resulting in an increased deposition. When exposed to atmosphere, the arsenic rich layer spontaneously evolves forming arsenolite As{sub 2}O{sub 3} micro-crystals at the surface. The micro-crystal formation was monitored over several months and exhibits typical crystal growth kinetics. At the same time, a continuous growth of native silicon oxide rich in arsenic was observed on the exposed surface, suggesting the presence of oxidation enhancing factors linked to the high arsenic concentration at the surface.

  20. Fatigue and wear of metalloid-ion-implanted metals

    International Nuclear Information System (INIS)

    Hohmuth, K.; Richter, E.; Rauschenbach, B.; Blochwitz, C.

    1985-01-01

    The effect of metalloid ion implantation on the fatigue behaviour and wear of nickel and two steels has been investigated. These metals were implanted with boron, carbon and nitrogen ions at energies from 30 to 60 keV and with doses from 1 X 10 16 to 1 X 10 18 ions cm -2 at room temperature. The mechanical behaviour of fatigued nickel was studied in push-pull tests at room temperature. Wear measurements were made using a pin-and-disc technique. The surface structure, dislocation arrangement and modification of the implantation profile resulting from mechanical tests on metals which had been implanted with metalloid ions were examined using high voltage electron microscopy, transmission high energy electron diffraction, scanning electron microscopy and Auger electron spectroscopy. It is reported that nitrogen and boron ion implantation improves the fatigue lifetime, changes the number and density of the slip bands and modifies the dislocation arrangements in nickel. The cyclic deformation leads to recrystallization of the boron-ion-induced amorphous structure of nickel and to diffusion of the boron and nitrogen in the direction of the surface. The wear behaviour of steels was improved by implantation of mass-separated ions and by implantation of ions without mass separation. (Auth.)

  1. Depth distribution of nitrogen in silicon from plasma ion implantation

    International Nuclear Information System (INIS)

    Vajo, J.J.; Williams, J.D.; Wei, R.; Wilson, R.G.; Matossian, J.N.

    1994-01-01

    Plasma Ion Implantation (PII) is an ion implantation technique that eliminates the line-of-sight restriction of conventional ion-beam implantation and therefore allows for cost effective surface modification of large-scale objects or large-number of small-scale objects. In PII, a part to be implanted is immersed in a low-pressure (10 -4 --10 -5 Torr), partially-ionized plasma that surrounds the part with a plasma sheath. The part is negatively pulse biased up to 100 keV using a repetitive train (100--1,000 Hz) of short-duration (10--40 μsec) voltage pulses. The applied voltage develops across the sheath and accelerates plasma ions into the surface, implanting them omnidirectionally and simultaneously over the entire surface of the part. The depth distribution of the implanted ions influences the extent and type of surface modification achieved and depends upon many factors. These include three rise and fall time of the voltage-pulse waveform, the voltage-pulse amplitude, the ion specie, the ion density, and the temperature of the target. Understanding the contributions to the depth distribution from each of these factors will enable prediction of conditions that will be useful for implantation of large complex parts. To investigate the contributions to the measured depth distributions from these factors nitrogen, predominantly as N + 2 , has been implanted into silicon using PII at 50 and 100 keV (25 and 50 keV per N atom). The implanted depth distributions have been determined using secondary ion mass spectroscopy and Auger electron spectroscopy depth profiling. The distributions differ from the typical, approximately Gaussian, profiles that result from conventional mass selected monoenergetic ion beam implantation. In comparison with ion beam implants and numerical simulations the profiles appear ''filled-in'' with an approximately constant nitrogen concentration for depths less than the expected average ion range

  2. Surface modification of materials by ion implantations for industrial and medical applications. Final report of a co-ordinated research project

    International Nuclear Information System (INIS)

    2000-07-01

    The objectives of the Co-ordinated Research Project on Modification of Materials by Ion Treatment for Industrial Applications were to develop economically acceptable surface modification techniques leading to thick treated layers, to predict ion beam mixing and impurity atom migration during and after implantation, and to evaluate the tribological post-implantation properties and performance of treated components. This TECDOC summarises the current status and prospects in surface modification by ion implantation methodology and technology, providing new information in basic and applied research

  3. Surface modification of materials by ion implantations for industrial and medical applications. Final report of a co-ordinated research project

    Energy Technology Data Exchange (ETDEWEB)

    NONE

    2000-07-01

    The objectives of the Co-ordinated Research Project on Modification of Materials by Ion Treatment for Industrial Applications were to develop economically acceptable surface modification techniques leading to thick treated layers, to predict ion beam mixing and impurity atom migration during and after implantation, and to evaluate the tribological post-implantation properties and performance of treated components. This TECDOC summarises the current status and prospects in surface modification by ion implantation methodology and technology, providing new information in basic and applied research.

  4. Ion implantation control system

    International Nuclear Information System (INIS)

    Gault, R. B.; Keutzer, L. L.

    1985-01-01

    A control system is disclosed for an ion implantation system of the type in which the wafers to be implanted are mounted around the periphery of a disk which rotates and also moves in a radial direction relative to an ion beam to expose successive sections of each wafer to the radiation. The control system senses beam current which passes through one or more apertures in the disk and is collected by a Faraday cup. This current is integrated to obtain a measure of charge which is compared with a calculated value based upon the desired ion dosage and other parameters. The resultant controls the number of incremental steps the rotating disk moves radially to expose the adjacent sections of each wafer. This process is continued usually with two or more traverses until the entire surface of each wafer has been implanted with the proper ion dosage

  5. Corrosion resistance of uranium with carbon ion implantation

    International Nuclear Information System (INIS)

    Liang Hongwei; Yan Dongxu; Bai Bin; Lang Dingmu; Xiao Hong; Wang Xiaohong

    2008-01-01

    The carbon modified layers prepared on uranium surface by carbon ion implantation, gradient implantation, recoil implantation and ion beam assisted deposition process techniques were studied. Depth profile elements of the samples based on Auger electron spectroscopy, phase composition identified by X-ray diffraction as well as corrosion resistance of the surface modified layers by electrochemistry tester and humid-thermal oxidation test were carried out. The carbon modified layers can be obtained by above techniques. The samples deposited with 45 keV ion bombardment, implanted by 50 keV ions and implanted with gradient energies are of better corrosion resistance properties. The samples deposited carbon before C + implantation and C + assisted deposition exhibit worse corrosion resistance properties. The modified layers are dominantly dot-corraded, which grows from the dots into substructure, however, the assisted deposition samples have comparatively high carbon composition and are corraded weakly. (authors)

  6. An experiment on the dynamics of ion implantation and sputtering of surfaces

    Energy Technology Data Exchange (ETDEWEB)

    Wright, G. M.; Barnard, H. A.; Kesler, L. A.; Peterson, E. E.; Stahle, P. W.; Sullivan, R. M.; Whyte, D. G.; Woller, K. B. [Plasma Science and Fusion Center, MIT, 77 Massachusetts Avenue, Cambridge, Massachusetts 02139 (United States)

    2014-02-15

    A major impediment towards a better understanding of the complex plasma-surface interaction is the limited diagnostic access to the material surface while it is undergoing plasma exposure. The Dynamics of ION Implantation and Sputtering Of Surfaces (DIONISOS) experiment overcomes this limitation by uniquely combining powerful, non-perturbing ion beam analysis techniques with a steady-state helicon plasma exposure chamber, allowing for real-time, depth-resolved in situ measurements of material compositions during plasma exposure. Design solutions are described that provide compatibility between the ion beam analysis requirements in the presence of a high-intensity helicon plasma. The three primary ion beam analysis techniques, Rutherford backscattering spectroscopy, elastic recoil detection, and nuclear reaction analysis, are successfully implemented on targets during plasma exposure in DIONISOS. These techniques measure parameters of interest for plasma-material interactions such as erosion/deposition rates of materials and the concentration of plasma fuel species in the material surface.

  7. An experiment on the dynamics of ion implantation and sputtering of surfaces

    International Nuclear Information System (INIS)

    Wright, G. M.; Barnard, H. A.; Kesler, L. A.; Peterson, E. E.; Stahle, P. W.; Sullivan, R. M.; Whyte, D. G.; Woller, K. B.

    2014-01-01

    A major impediment towards a better understanding of the complex plasma-surface interaction is the limited diagnostic access to the material surface while it is undergoing plasma exposure. The Dynamics of ION Implantation and Sputtering Of Surfaces (DIONISOS) experiment overcomes this limitation by uniquely combining powerful, non-perturbing ion beam analysis techniques with a steady-state helicon plasma exposure chamber, allowing for real-time, depth-resolved in situ measurements of material compositions during plasma exposure. Design solutions are described that provide compatibility between the ion beam analysis requirements in the presence of a high-intensity helicon plasma. The three primary ion beam analysis techniques, Rutherford backscattering spectroscopy, elastic recoil detection, and nuclear reaction analysis, are successfully implemented on targets during plasma exposure in DIONISOS. These techniques measure parameters of interest for plasma-material interactions such as erosion/deposition rates of materials and the concentration of plasma fuel species in the material surface

  8. Effects of ion-implanted C on the microstructure and surface mechanical properties of Fe alloys implanted with Ti

    International Nuclear Information System (INIS)

    Follstaedt, D.M.; Knapp, J.A.; Pope, L.E.; Yost, F.G.; Picraux, S.T.

    1984-01-01

    The microstructural and tribological effects of ion implanting C into Ti-implanted, Fe-based alloys are examined and compared to the influence of C introduced by vacuum carburization during Ti implantation alone. The amorphous surface alloy formed by Ti implantation of pure Fe increases in thickness when additional C is implanted at depths containing Ti but beyond the range of carburization. Pin-on-disc tests of 15-5 PH stainless steel show that implantation of both Ti and C reduces friction significantly under conditions where no reduction is obtained by Ti implantation alone; wear depths are also less when C is implanted. All available experimental results can be accounted for by consideration of the thickness and Ti concentration of the amorphous Fe-Ti-C alloy. The thicker amorphous layer on samples implanted with additional C extends tribological benefits to more severe wear regimes

  9. Comparison of single and mixed ion implantation effects on the changes of the surface hardness, light transmittance, and electrical conductivity of polymeric materials

    International Nuclear Information System (INIS)

    Park, J. W.; Lee, J. H.; Lee, J. S.; Kil, J. G.; Choi, B. H.; Han, Z. H.

    2001-01-01

    Single or mixed ions of N, He, C were implanted onto the transparent PET(Polyethylen Terephtalate) with the ion energies of less than 100 keV and the surface hardness, light transmittance and electrical conductivity were examined. As measured with nanoindentation, mixed ion implantations such as N + +He + or N + + C + exhibited more increase in the surface hardness than the single ion implantation. Especially, implantation of C+N ions increased the surface hardness by about three times as compared to the implantation of N ion alone, which means more than 10 times increase than the untreated PET. Surface electrical conductivity was increased along with the hardness increase. The conductivity increase was more proportional to the hardness when used the higher ion energy and ion dose, while it did not show any relationship at as low as 50 keV of ion energy. The light at the 550 nm wavelength (visual range) transmitted more than 85%, which is close to that of as-received PET, and at the wavelength below 300 nm(UV range) the rays were absorbed more than 95% as traveling through the sheet, implying that there are processing parameters which the ion implanted PET maintains the transparency and absorbs the UV rays

  10. Comparison of oxidation resistance of copper treated by beam-line ion implantation and plasma immersion ion implantation

    International Nuclear Information System (INIS)

    An Quanzhang; Li Liuhe; Hu Tao; Xin Yunchang; Fu, Ricky K.Y.; Kwok, D.T.K.; Cai Xun; Chu, Paul K.

    2009-01-01

    Copper which has many favorable properties such as low cost, high thermal and electrical conductivity, as well as easy fabrication and joining is one of the main materials in lead frames, interconnects, and foils in flexible circuits. Furthermore, copper is one of the best antibacterial materials. However, unlike aluminum oxide or chromium oxide, the surface copper oxide layer does not render sufficient protection against oxidation. In this work, in order to improve the surface oxidation resistance of Cu, Al and N were introduced into copper by plasma immersion ion implantation (PIII) and beam-line ion implantation (BII). The implantation fluences of Al and N were 2 x 10 17 ions cm -2 and 5 x 10 16 ions cm -2 , respectively. The implanted and untreated copper samples were oxidized in air at 260 deg. C for 1 h. The X-ray diffraction (XRD), scanning electron microscopy (SEM), as well as X-ray photoelectron spectroscopy (XPS) results indicate that both implantation methods can enhance the oxidation resistance of copper but to different extent. PIII is superior to BII in enhancing the oxidation resistance of copper. The effects and possible mechanisms are discussed.

  11. Surface modification of multi-point cutting tools using ion implantation

    International Nuclear Information System (INIS)

    Sarwar, M.; Ahmed, W.; Ahmed, M.

    1995-01-01

    Ion-implantation has been used to treat multi-point cutting tools using a 'systems approach' in order to improve the performance of these tools in dynamic cutting conditions. The effects of energy, species and system pressure on life and performance of circular saws have been investigated. For both nitrogen and argon ion-implantation an improvement in cutting performance has been observed as compared to untreated edges. As the energy of the nitrogen ions is increased there is a gradual improvement in the performance of the cutting edge. Ion-implanted tools were compared to those coated with TiN and these results are also presented. (author) 5 figs

  12. Surface topographical and structural analysis of Ag+-implanted polymethylmethacrylate

    International Nuclear Information System (INIS)

    Arif, Shafaq; Rafique, M. Shahid; Saleemi, Farhat; Naab, Fabian; Toader, Ovidiu; Sagheer, Riffat; Bashir, Shazia; Zia, Rehana; Siraj, Khurram; Iqbal, Saman

    2016-01-01

    Specimens of polymethylmethacrylate (PMMA) were implanted with 400-keV Ag + ions at different ion fluences ranging from 1 × 10 14 to 5 × 10 15 ions/cm 2 using a 400-kV NEC ion implanter. The surface topographical features of the implanted PMMA were investigated by a confocal microscope. Modifications in the structural properties of the implanted specimens were analyzed in comparison with pristine PMMA by X-ray diffraction (XRD) and Raman spectroscopy. UV–Visible spectroscopy was applied to determine the effects of ion implantation on optical transmittance of the implanted PMMA. The confocal microscopic images revealed the formation of hillock-like microstructures along the ion track on the implanted PMMA surface. The increase in ion fluence led to more nucleation of hillocks. The XRD pattern confirmed the amorphous nature of pristine and implanted PMMA, while the Raman studies justified the transformation of Ag + -implanted PMMA into amorphous carbon at the ion fluence of ⩾5 × 10 14 ions/cm 2 . Moreover, the decrease in optical transmittance of PMMA is associated with the formation of hillocks and ion-induced structural modifications after implantation.

  13. Plasma-implantation-based surface modification of metals with single-implantation mode

    Science.gov (United States)

    Tian, X. B.; Cui, J. T.; Yang, S. Q.; Fu, Ricky K. Y.; Chu, Paul K.

    2004-12-01

    Plasma ion implantation has proven to be an effective surface modification technique. Its biggest advantage is the capability to treat the objects with irregular shapes without complex manipulation of target holder. Many metal materials such as aluminum, stainless steel, tool steel, titanium, magnesium etc, has been treated using this technique to improve their wear-resistance, corrosion-resistance, fatigue-resistance, oxidation-resistance, bio-compatiblity etc. However in order to achieve thicker modified layers, hybrid processes combining plasma ion implantation with other techniques have been frequently employed. In this paper plasma implantation based surface modification of metals using single-implantation mode is reviewed.

  14. Ion implantation in semiconductor bodies

    International Nuclear Information System (INIS)

    Badawi, M.H.

    1984-01-01

    Ions are selectively implanted into layers of a semiconductor substrate of, for example, semi-insulating gallium arsenide via a photoresist implantation mask and a metallic layer of, for example, titanium disposed between the substrate surface and the photoresist mask. After implantation the mask and metallic layer are removed and the substrate heat treated for annealing purposes. The metallic layer acts as a buffer layer and prevents possible contamination of the substrate surface, by photoresist residues, at the annealing stage. Such contamination would adversely affect the electrical properties of the substrate surface, particularly gallium arsenide substrates. (author)

  15. Microstructure evolution in carbon-ion implanted sapphire

    International Nuclear Information System (INIS)

    Orwa, J. O.; McCallum, J. C.; Jamieson, D. N.; Prawer, S.; Peng, J. L.; Rubanov, S.

    2010-01-01

    Carbon ions of MeV energy were implanted into sapphire to fluences of 1x10 17 or 2x10 17 cm -2 and thermally annealed in forming gas (4% H in Ar) for 1 h. Secondary ion mass spectroscopy results obtained from the lower dose implant showed retention of implanted carbon and accumulation of H near the end of range in the C implanted and annealed sample. Three distinct regions were identified by transmission electron microscopy of the implanted region in the higher dose implant. First, in the near surface region, was a low damage region (L 1 ) composed of crystalline sapphire and a high density of plateletlike defects. Underneath this was a thin, highly damaged and amorphized region (L 2 ) near the end of range in which a mixture of i-carbon and nanodiamond phases are present. Finally, there was a pristine, undamaged sapphire region (L 3 ) beyond the end of range. In the annealed sample some evidence of the presence of diamond nanoclusters was found deep within the implanted layer near the projected range of the C ions. These results are compared with our previous work on carbon implanted quartz in which nanodiamond phases were formed only a few tens of nanometers from the surface, a considerable distance from the projected range of the ions, suggesting that significant out diffusion of the implanted carbon had occurred.

  16. Ion implantation and ion assisted coatings for wear resistance in metals

    International Nuclear Information System (INIS)

    Dearnaley, G.

    1986-01-01

    The implantation of electrically accelerated ions of chosen elements into the surface of material provides a method for improving surface properties such as wear resistance. High concentrations of nitrogen implanted into metals create obstacles to dislocation movement, and certain combinations of metallic and non-metallic species will also strengthen the surface. The process is best applied to situations involving mild abrasive wear and operating temperatures that are not too high. Some dramatic increases in life have been reported under such favourable conditions. A more recent development has been the combination of a thin coating with reactive ion bombardment designed to enhance adhesion by ion mixing at the interface and so provide hardness by the formation of finely dispersed nitrides, including cubic boron nitride. These coatings often possess vivid and decorative colours as an added benefit. Developments in the equipment for industrial ion implantation now offer more attractive costs per unit area and a potentially greater throughput of work. A versatile group of related hard vacuum treatments is now emerging, involving the use of intense beams of nitrogen ions for the purpose of tailoring metal surfaces to resist wear. (author)

  17. Wettability control of polystyrene by ion implantation

    International Nuclear Information System (INIS)

    Suzuki, Yoshiaki; Kusakabe, Masahiro; Iwaki, Masaya

    1994-01-01

    The permanent effects of ion implantation on the improvement of wettability of polystyrene is investigated in relation to ion species and fluences. The He + , Ne + , Na + , N 2 + , O 2 + , Ar + , K + and Kr + ion implantations were performed at energies of 50 and 150 keV at room temperature. The fluences ranged from 1x10 15 to 1x10 17 ions/cm 2 . The results showed that the contact angle of water for Na + and K + implanted polystyrene decreased from 87 to 0 , as the fluences increased to 1x10 17 ions/cm 2 at an energy of 50 keV. The contact angle for Na + and K + implanted polystyrene did not change under ambient room conditions, even when time elapsed. However, the contact an gle for He + , C + , O + , Ne + , N 2 + , O 2 + , Ar + , and Kr + ion implanted specimens decreased slightly immediately after ion implantation. Results of X-ray photoelectron spectroscopy showed that the increase in the Na content in the surface of Na + implanted specimens were observed with increasing fluence. It is concluded that permanent improvement in wettability was caused by doping effects rather than by radiation effects from Na + and K + ion implantation. ((orig.))

  18. Modification of the iron mechanical- and corrosion features by ion implantation in surface

    International Nuclear Information System (INIS)

    Baumvol, I.J.R.

    1981-01-01

    The physical mechanisms responsable by the tin ion implantation in the iron surface at moderated doses are studied. Several techniques are used such as alpha-particle Rutherford backscattering, conversion electron Moessbauer spectroscopy and scanning electron microscopy. (L.C.) [pt

  19. DC plasma ion implantation in an inductively coupled RF plasma

    International Nuclear Information System (INIS)

    Silawatshananai, C.; Matan, N.; Pakpum, C.; Pussadee, N.; Srisantitam, P.; Davynov, S.; Vilaithong, T.

    2004-01-01

    Various modes of plasma ion implantation have been investigated in a small inductively coupled 13.6 MHz RF plasma source. Plasma ion implantation with HVDC(up to -10 kV bias) has been investigated in order to incorporate with the conventional implantation of diamond like carbon. In this preliminary work, nitrogen ions are implanted into the stainless steel sample with a dose of 5.5 x 10 -2 cm for a short implanting time of 7 minutes without target cooling. Surface properties such as microhardness, wear rate and the friction coefficient have been improved. X-ray and SEM analyses show distinct structural changes on the surface. A combination of sheath assisted implantation and thermal diffusion may be responsible for improvement in surface properties. (orig.)

  20. Plasma immersion ion implantation into insulating materials

    International Nuclear Information System (INIS)

    Tian Xiubo; Yang Shiqin

    2006-01-01

    Plasma immersion ion implantation (PIII) is an effective surface modification tool. During PIII processes, the objects to be treated are immersed in plasmas and then biased to negative potential. Consequently the plasma sheath forms and ion implantation may be performed. The pre-requirement of plasma implantation is that the object is conductive. So it seems difficult to treat the insulating materials. The paper focuses on the possibilities of plasma implantation into insulting materials and presents some examples. (authors)

  1. Channeling effect for low energy ion implantation in Si

    International Nuclear Information System (INIS)

    Cho, K.; Allen, W.R.; Finstad, T.G.; Chu, W.K.; Liu, J.; Wortman, J.J.

    1985-01-01

    Ion implantation is one of the most important processes in semiconductor device fabrication. Due to the crystalline nature of Si, channeling of implanted ions occurs during this process. Modern devices become smaller and shallower and therefore require ion implantation at lower energies. The effect of channeling on ion implantation becomes a significant problem for low energy ion implantation. The critical angle for axial and planar channeling increases with decreasing energy. This corresponds to an increased probability for channeling with lowering of ion energy. The industry approach to avoid the channeling problem is to employ a tilt angle of 7 0 between the ion implantation direction and the surface normal. We approach the problem by mapping major crystalline axes and planes near the [100] surface normal. Our analysis indicates that a 7 0 tilt is not an optimum selection in channeling reduction. Tilt angles in the range 5 0 to 6 0 combined with 7 0 +- 0.5 0 rotation from the (100) plane are better selections for the reduction of the channeling effect. The range of suitable angles is a function of the implantation energy. Implantations of boron along well specified crystallographic directions have been carried out by careful alignment and the resulting boron profiles measured by SIMS. (orig.)

  2. Dielectric functions, chemical and atomic compositions of the near surface layers of implanted GaAs by In+ ions

    Science.gov (United States)

    Kulik, M.; Kołodyńska, D.; Bayramov, A.; Drozdziel, A.; Olejniczak, A.; Żuk, J.

    2018-06-01

    The surfaces of (100) GaAs were irradiated with In+ ions. The implanted samples were isobaric annealed at 800 °C and then of dielectric function, the surface atomic concentrations of atoms and also the chemical composition of the near surface layers in these implanted semiconductor samples were obtained. The following investigation methods were used: spectroscopic ellipsometry (SE), Rutherford backscattering spectrometry analyses (RBSA) and X-ray photoelectron spectroscopy (XPS) in the study of the above mentioned quantities, respectively. The change of the shape spectra of the dielectric functions at about 3.0 eV phonon energy, diffusion of In+ ions as well as chemical composition changes were observed after ion implantation and the thermal treatment. Due to displacement of Ga ions from GaAs by the In+ ions the new chemical compound InAs was formed. The relative amounts Ga2O3 and As2O3 ratio increase in the native oxide layers with the fluences increase after the thermal treatment of the samples. Additionally, it was noticed that the quantities of InO2 increase with the increasing values of the irradiated ions before thermal treatment.

  3. Improvement of tribological properties by ion implantation

    International Nuclear Information System (INIS)

    Gerve, A.

    1993-01-01

    Many different measurements confirm that ion implantation changes the friction and wear behaviour, which are the most important properties of tribological systems. Unfortunately, these properties will not always be improved. In industrial application, very often different results of the effects of ion implantation into tools or machine components can be observed, even if the same materials are used. A very important reason for this is the different stresses on the tribological systems. The energy input caused by friction, which is a function of the stress and other parameters of the tribosystem, within a short time leads to the appearance of energy islands, which are statistically distributed over the surfaces. The density of energy within these tiny energy islands is very high. Results of these high energy densities is a mutation of the material's composition and structure within a very thin layer of less than 100 nm underneath the surface and wear. Ion implantation also changes the composition and structure of the bulk material close to the surface. Thus there is urgent need to understand tribo-induced mutations of ion-implanted materials and their influence on the tribological properties. For that reason surface analyses have to be carried out to determine the composition and structure of the materials and the mutation caused by friction and wear

  4. Biofunctionalization of silicone rubber with microgroove-patterned surface and carbon-ion implantation to enhance biocompatibility and reduce capsule formation

    Directory of Open Access Journals (Sweden)

    Lei ZY

    2016-10-01

    Full Text Available Ze-yuan Lei, Ting Liu, Wei-juan Li, Xiao-hua Shi, Dong-li Fan Department of Plastic and Cosmetic Surgery, XinQiao Hospital, The Third Military Medical University, ChongQing, People’s Republic of China Purpose: Silicone rubber implants have been widely used to repair soft tissue defects and deformities. However, poor biocompatibility can elicit capsule formation, usually resulting in prosthesis contracture and displacement in long-term usage. To overcome this problem, this study investigated the properties of silicone rubber materials with or without a microgroove-patterned surface and with or without carbon (C-ion implantation. Materials and methods: Atomic force microscopy, X-ray photoelectron spectroscopy, and a water contact angle test were used to characterize surface morphology and physicochemical properties. Cytocompatibility was investigated by a cell adhesion experiment, immunofluorescence staining, a Cell Counting Kit-8 assay, and scanning electron microscopy in vitro. Histocompatibility was evaluated by studying the inflammatory response and fiber capsule formation that developed after subcutaneous implantation in rats for 7 days, 15 days, and 30 days in vivo. Results: Parallel microgrooves were found on the surfaces of patterned silicone rubber (P-SR and patterned C-ion-implanted silicone rubber (PC-SR. Irregular larger peaks and deeper valleys were present on the surface of silicone rubber implanted with C ions (C-SR. The silicone rubber surfaces with microgroove patterns had stable physical and chemical properties and exhibited moderate hydrophobicity. PC-SR exhibited moderately increased dermal fibroblast cell adhesion and growth, and its surface microstructure promoted orderly cell growth. Histocompatibility experiments on animals showed that both the anti-inflammatory and antifibrosis properties of PC-SR were slightly better than those of the other materials, and there was also a lower capsular contracture rate and less

  5. Surface damage in TEM thick α-Fe samples by implantation with 150 keV Fe ions

    International Nuclear Information System (INIS)

    Aliaga, M.J.; Caturla, M.J.; Schäublin, R.

    2015-01-01

    We have performed molecular dynamics simulations of implantation of 150 keV Fe ions in pure bcc Fe. The thickness of the simulation box is of the same order of those used in in situ TEM analysis of irradiated materials. We assess the effect of the implantation angle and the presence of front and back surfaces. The number and type of defects, ion range, cluster distribution and primary damage morphology are studied. Results indicate that, for the very thin samples used in in situ TEM irradiation experiments the presence of surfaces affect dramatically the damage produced. At this particular energy, the ion has sufficient energy to damage both the top and the back surfaces and still leave the sample through the bottom. This provides new insights on the study of radiation damage using TEM in situ

  6. Prospects of ion implantation and ion beam mixing for corrosion protection

    International Nuclear Information System (INIS)

    Wolf, G.K.; Munn, P.; Ensinger, W.

    1985-01-01

    Ion implantation is very useful new low temperature treatment for improving the mechanical surface properties of materials without any dimensional changes. In addition also the corrosion properties of metals can be modified considerably by this technique. The long term corrosion behaviour of implanted metals, however, has been studied only for a very limited number of cases. In this contribution a survey of attempts to do this will be presented. As examples of promising systems for corrosion protection by ion beams iron, steel and titanium were examined with and without pretreatment by ion implantation and ion beam mixing. The corrosion rates of the systems have been obtained by neutron activation analysis and by electrochemical methods. Experimental results are presented on: Palladium implanted in titanium - crevice corrosion in salt solution; Palladium implanted in and deposited on titanium -corrosion in sulfuric acid; Platinum implanted in stainless steel -corrosion in sulfuric acid. (author)

  7. Adhesive, abrasive and oxidative wear in ion-implanted metals

    International Nuclear Information System (INIS)

    Dearnaley, G.

    1985-01-01

    Ion implantation is increasingly being used to provide wear resistance in metals and cemented tungsten carbides. Field trials and laboratory tests indicate that the best performance is achieved in mild abrasive wear. This can be understood in terms of the classification of wear modes (adhesive, abrasive, oxidative etc.) introduced by Burwell. Surface hardening and work hardenability are the major properties to be enhanced by ion implantation. The implantation of nitrogen or dual implants of metallic and interstitial species are effective. Recently developed techniques of ion-beam-enhanced deposition of coatings can further improve wear resistance by lessening adhesion and oxidation. In order to support such hard coatings, ion implantation of nitrogen can be used as a preliminary treatment. There is thus emerging a versatile group of related hard vacuum treatments involving intense beams of nitrogen ions for the purpose of tailoring metal surfaces to resist wear. (Auth.)

  8. A novel electrode surface fabricated by directly attaching gold nanoparticles onto NH2+ ions implanted-indium tin oxide substrate

    International Nuclear Information System (INIS)

    Liu Chenyao; Jiao Jiao; Chen Qunxia; Xia Ji; Li Shuoqi; Hu Jingbo; Li Qilong

    2010-01-01

    A new type of gold nanoparticle attached to a NH 2 + ion implanted-indium tin oxide surface was fabricated without using peculiar binder molecules, such as 3-(aminopropyl)-trimethoxysilane. A NH 2 /indium tin oxide film was obtained by implantation at an energy of 80 keV with a fluence of 5 x 10 15 ions/cm 2 . The gold nanoparticle-modified film was characterized by X-ray photoelectron spectroscopy, scanning electron microscopy and electrochemical techniques and compared with a modified bare indium tin oxide surface and 3-(aminopropyl)-trimethoxysilane linked surface, which exhibited a relatively low electron transfer resistance and high electrocatalytic activity. The results demonstrate that NH 2 + ion implanted-indium tin oxide films can provide an important route to immobilize nanoparticles, which is attractive in developing new biomaterials.

  9. Mechanical properties of ion-implanted alumina

    International Nuclear Information System (INIS)

    Pope, S.G.

    1988-01-01

    Monolithic oxide ceramics are being proposed as structural materials in continuously more-demanding applications. The demands being placed on these materials have caused concern pertaining to the continued growth of oxide structural ceramics due to limited toughness. The realization that ceramic strength and toughness can be affected by surface conditions has led to many surface-modification techniques, all striving to improve the mechanical properties of ceramics. Along these lines, the effects of ion implantation as a surface modification technique for improvement of the mechanical properties of alumina were studied. Initially, sapphire samples were implanted with elemental ion species that would produce oxide precipitates within the sapphire surface when annealed in an oxygen-containing atmosphere. Optimum conditions as determined from implantation into sapphire were then used to modify a polycrystalline alumina. Specific modifications in microhardness, indentation fracture toughness and flexure strength are reported for the parameters studied. Microstructure and phase relationships related to modified surfaces properties are also reported

  10. Surface modification of titanium and titanium alloys by ion implantation.

    Science.gov (United States)

    Rautray, Tapash R; Narayanan, R; Kwon, Tae-Yub; Kim, Kyo-Han

    2010-05-01

    Titanium and titanium alloys are widely used in biomedical devices and components, especially as hard tissue replacements as well as in cardiac and cardiovascular applications, because of their desirable properties, such as relatively low modulus, good fatigue strength, formability, machinability, corrosion resistance, and biocompatibility. However, titanium and its alloys cannot meet all of the clinical requirements. Therefore, to improve the biological, chemical, and mechanical properties, surface modification is often performed. In view of this, the current review casts new light on surface modification of titanium and titanium alloys by ion beam implantation. (c) 2010 Wiley Periodicals, Inc.

  11. Cell adhesion of F{sup +} ion implantation of intraocular lens

    Energy Technology Data Exchange (ETDEWEB)

    Li, D.J. E-mail: dejunli@hotmail.com; Cui, F.Z.; Gu, H.Q

    1999-04-01

    The cell adhesion of ion implanted polymethylmethacrylate (PMMA) intraocular lens was studied using cultured cells in vitro. F{sup +} ion implantation was performed at the energies of 40, 60, 80, 100 keV with the fluences ranging from 5x10{sup 13} to 1x10{sup 15} ions/cm{sup 2} at room temperature. The cell adhesion tests gave interesting results that the number of the neutral granulocytes and the macrophages adhering on surface were reduced significantly after ion implantation. The optimal fluence was about 4x10{sup 14} ions/cm{sup 2}. The hydrophobicity imparted to the lens surface was also enhanced. The results of X-ray photoelectron spectroscopy analysis indicated that ion implantation resulted in the cleavage of some pendant groups, the oxidation of the surface, and the formation of some new chemical bonds, which was probably the main reason for the cell adhesion change.

  12. The microstructure and surface hardness of Ti6Al4V alloy implanted with nitrogen ions at an elevated temperature

    Energy Technology Data Exchange (ETDEWEB)

    Vlcak, Petr, E-mail: petr.vlcak@fs.cvut.cz [Department of Physics, Faculty of Mechanical Engineering, Czech Technical University in Prague, Technicka 4, 16607 Prague (Czech Republic); Cerny, Frantisek [Department of Physics, Faculty of Mechanical Engineering, Czech Technical University in Prague, Technicka 4, 16607 Prague (Czech Republic); Drahokoupil, Jan [Department of Metals, Institute of Physics, AS CR, v.v.i., Na Slovance 2, 182 21 Prague (Czech Republic); Sepitka, Josef [Department of Mechanics, Biomechanics and Mechatronics, Faculty of Mechanical Engineering, Czech Technical University in Prague, Technicka 4, 16607 Prague (Czech Republic); Tolde, Zdenek [Department of Materials Engineering, Faculty of Mechanical Engineering, Czech Technical University in Prague, Technicka 4, 16607 Prague (Czech Republic)

    2015-01-25

    Highlights: • The Ti6Al4V samples were implanted with 90 keV nitrogen ions. • The samples were annealed at 500 °C during the ion implantation process. • An elevated temperature increases the mobility of the atoms and the quantity of TiN. • The hardness showed a significant increase compared to room temperature implantation. - Abstract: The effect of an elevated temperature during nitrogen ion implantation on the microstructure and on the surface hardness of Ti6Al4V titanium alloy was examined. The implantation process was carried out at fluences of 1 ⋅ 10{sup 17}, 2.7 ⋅ 10{sup 17} and 6 ⋅ 10{sup 17} cm{sup −2} and at ion energy 90 keV. The implanted samples were annealed at 500 °C during the implantation process. X-ray diffraction analysis was performed to obtain a phase characterization and a phase quantification in the implanted sample surface. The surface hardness was investigated by nanoindentation testing, and the nitrogen depth distribution was measured by Rutherford Backscattering Spectroscopy. Elevated temperature led to increased formation of a TiN compound. It was found that a mixture of TiN and an α-Ti(+N) solid solution had a predominant amount of TiN for samples with fluence of 2.7 ⋅ 10{sup 17} cm{sup −2} or higher. Elevated temperature during ion implantation caused an increase in surface hardening more towards the depth of the substrate in comparison with room temperature implantation. The hardness showed a remarkably significant increase at a fluence of 1 ⋅ 10{sup 17} and 2.7 ⋅ 10{sup 17} cm{sup −2} compared to samples implanted at the same fluences and at room temperature. There is a discussion of such mechanisms that explain the observed hardening more towards the depth of the substrate, and the increase in hardness.

  13. Blistering in a porous surface layer of materials. [He ion implantation

    Energy Technology Data Exchange (ETDEWEB)

    Afrikanov, I.N.; Vladimirov, B.G.; Guseva, M.I.; Ivanov, S.M.; Martynenko, Yu.V.; Nikol' skij, Yu.V.; Ryazanov, A.I.

    1981-03-01

    The effect of porous structure on the nature and rate of radiation erosion during implantation of helium ions into nickel and the OKh15N15M3B stainless steel is studied. The investigation results showed sharp dependence of the erosion rate due to blistering on the dimension and density of pores in the by-surface layer. The rate of the surface erosion increased in one order as compared with the control specimens without pores at 1% swelling for stainless steel and 4% for nickel.

  14. Plasma immersion ion implantation of polyurethane shape memory polymer: Surface properties and protein immobilization

    Science.gov (United States)

    Cheng, Xinying; Kondyurin, Alexey; Bao, Shisan; Bilek, Marcela M. M.; Ye, Lin

    2017-09-01

    Polyurethane-type shape memory polymers (SMPU) are promising biomedical implant materials due to their ability to recover to a predetermined shape from a temporary shape induced by thermal activation close to human body temperature and their advantageous mechanical properties including large recovery strains and low recovery stresses. Plasma Immersion Ion Implantation (PIII) is a surface modification process using energetic ions that generates radicals in polymer surfaces leading to carbonisation and oxidation and the ability to covalently immobilise proteins without the need for wet chemistry. Here we show that PIII treatment of SMPU significantly enhances its bioactivity making SMPU suitable for applications in permanent implantable biomedical devices. Scanning Electron Microscopy (SEM), contact angle measurements, surface energy measurements, attenuated total reflection Fourier transform infrared (ATR-FTIR) spectroscopy and X-ray photoelectron spectroscopy (XPS) were used to characterise the PIII modified surface, including its after treatment aging kinetics and its capability to covalently immobilise protein directly from solution. The results show a substantial improvement in wettability and dramatic changes of surface chemical composition dependent on treatment duration, due to the generation of radicals and subsequent oxidation. The SMPU surface, PIII treated for 200s, achieved a saturated level of covalently immobilized protein indicating that a full monolayer coverage was achieved. We conclude that PIII is a promising and efficient surface modification method to enhance the biocompatibility of SMPU for use in medical applications that demand bioactivity for tissue integration and stability in vivo.

  15. Surface topographical and structural analysis of Ag{sup +}-implanted polymethylmethacrylate

    Energy Technology Data Exchange (ETDEWEB)

    Arif, Shafaq, E-mail: sarif2005@gmail.com [Department of Physics, Lahore College for Women University, Lahore 54000 (Pakistan); Rafique, M. Shahid [Department of Physics, University of Engineering & Technology, Lahore 54000 (Pakistan); Saleemi, Farhat [Department of Physics, Lahore College for Women University, Lahore 54000 (Pakistan); Naab, Fabian; Toader, Ovidiu [Department of Nuclear Engineering and Radiological Sciences, Michigan Ion Beam Laboratory, University of Michigan, MI 48109-2104 (United States); Sagheer, Riffat [Department of Physics, Lahore College for Women University, Lahore 54000 (Pakistan); Bashir, Shazia [Center for Advanced Studies in Physics (CASP), Government College University, Lahore 54000 (Pakistan); Zia, Rehana [Department of Physics, Lahore College for Women University, Lahore 54000 (Pakistan); Siraj, Khurram; Iqbal, Saman [Department of Physics, University of Engineering & Technology, Lahore 54000 (Pakistan)

    2016-08-15

    Specimens of polymethylmethacrylate (PMMA) were implanted with 400-keV Ag{sup +} ions at different ion fluences ranging from 1 × 10{sup 14} to 5 × 10{sup 15} ions/cm{sup 2} using a 400-kV NEC ion implanter. The surface topographical features of the implanted PMMA were investigated by a confocal microscope. Modifications in the structural properties of the implanted specimens were analyzed in comparison with pristine PMMA by X-ray diffraction (XRD) and Raman spectroscopy. UV–Visible spectroscopy was applied to determine the effects of ion implantation on optical transmittance of the implanted PMMA. The confocal microscopic images revealed the formation of hillock-like microstructures along the ion track on the implanted PMMA surface. The increase in ion fluence led to more nucleation of hillocks. The XRD pattern confirmed the amorphous nature of pristine and implanted PMMA, while the Raman studies justified the transformation of Ag{sup +}-implanted PMMA into amorphous carbon at the ion fluence of ⩾5 × 10{sup 14} ions/cm{sup 2}. Moreover, the decrease in optical transmittance of PMMA is associated with the formation of hillocks and ion-induced structural modifications after implantation.

  16. Effect of ion implantation on thermal shock resistance of magnesia and glass

    International Nuclear Information System (INIS)

    Gurarie, V.N.; Williams, J.S.; Watt, A.J.

    1995-01-01

    Monocrystals of magnesia together with glass samples have been subjected to ion implantation prior to thermal shock testing in an impulse plasma of continuously varied intensity. Measurements of the separation between fragments have been used to estimate the surface temperature. Fracture and deformation characteristics of the surface layer are measured in ion implanted and unimplanted samples using optical and scanning electron microscopy. Implantation-induced near-surface damage is analysed by ion channeling using 2 MeV He + ions. Ion implantation is shown to modify the near-surface structure of magnesia samples by introducing damage, which makes crack initiation easier under thermal stresses. The fracture threshold and maximum crack density are shifted towards the lower temperature range. Ion implanted MgO crystals show a ten fold increase in surface crack density. An increased crack density results in a decreased degree of damage characterised by the depth of crack penetration. The thermal stress resistance parameter of glass samples is increased at relatively small doses and decreased at higher doses. The results suggest that crack density and the degree of fracture damage in brittle ceramics operating under thermal shock conditions can be effectively controlled by ion implantation which provides crack initiating defects in the near-surface region. 23 refs., 7 figs

  17. Quantitative ion implantation

    International Nuclear Information System (INIS)

    Gries, W.H.

    1976-06-01

    This is a report of the study of the implantation of heavy ions at medium keV-energies into electrically conducting mono-elemental solids, at ion doses too small to cause significant loss of the implanted ions by resputtering. The study has been undertaken to investigate the possibility of accurate portioning of matter in submicrogram quantities, with some specific applications in mind. The problem is extensively investigated both on a theoretical level and in practice. A mathematical model is developed for calculating the loss of implanted ions by resputtering as a function of the implanted ion dose and the sputtering yield. Numerical data are produced therefrom which permit a good order-of-magnitude estimate of the loss for any ion/solid combination in which the ions are heavier than the solid atoms, and for any ion energy from 10 to 300 keV. The implanted ion dose is measured by integration of the ion beam current, and equipment and techniques are described which make possible the accurate integration of an ion current in an electromagnetic isotope separator. The methods are applied to two sample cases, one being a stable isotope, the other a radioisotope. In both cases independent methods are used to show that the implantation is indeed quantitative, as predicted. At the same time the sample cases are used to demonstrate two possible applications for quantitative ion implantation, viz. firstly for the manufacture of calibration standards for instrumental micromethods of elemental trace analysis in metals, and secondly for the determination of the half-lives of long-lived radioisotopes by a specific activity method. It is concluded that the present study has advanced quantitative ion implantation to the state where it can be successfully applied to the solution of problems in other fields

  18. Si+ ion implantation reduces the bacterial accumulation on the Ti6Al4V surface

    International Nuclear Information System (INIS)

    Gallardo-Moreno, A M; Pacha-Olivenza, M A; Perera-Nunez, J; Gonzalez-Carrasco, J L; Gonzalez-Martin, M L

    2010-01-01

    Ti6Al4V is one of the most commonly used biomaterials in orthopedic applications due to its interesting mechanical properties and reasonable biocompatibility. Nevertheless, after the implantation, microbial adhesion to its surface can provoke severe health problems associated to the development of biofilms and subsequent infectious processes. This work shows a modification of the Ti6Al4V surface by Si+ ion implantation which reduces the bacterial accumulation under shear forces. Results have shown that the number of bacteria remaining on the surface at the end of the adhesion experiments decreased for silicon-treated surface. In general, the new surface also behaved as less adhesive under in vitro flow conditions. Since no changes are observed in the electrical characteristics between the control and implanted samples, differences are likely related to small changes observed in hydrophobicity.

  19. Modification of metallic corrosion by ion implantation

    International Nuclear Information System (INIS)

    Clayton, C.R.

    1981-01-01

    This review will consider some of the properties of surface alloys, formed by ion implantation, which are effective in modifying corrosion behaviour. Examples will be given of the modification of the corrosion behaviour of pure metals, steels and other engineering alloys, resulting from implantation with metals and metalloids. Emphasis will be given to the modification of anodic processes produced by ion implantation since a review will be given elsewhere in the proceedings concerning the modification of cathodic processes. (orig.)

  20. Tribological effects of oxygen ion implantation into stainless steel

    International Nuclear Information System (INIS)

    Evans, P.J.; Vilaithong, T.; Yu, L.D.; Monteiro, O.R.; Yu, K.M.; Brown, I.G.

    2000-01-01

    The formation of sub-surface oxide layers by hybrid metal-gas co-implantation into steel and other metals can improve their tribological properties. In this report, we compare the wear and friction performance of previously studied Al + O hybrid implants with that produced by single species oxygen ion (O + ) implantation under similar conditions. The substrates were AISI 304L stainless steel discs polished to a final mirror finish using 1 μm diamond paste, and the ion implantation was done using a conventional swept-beam technique at ion energies of 70 or 140 keV and doses of up to 1x10 17 cm -2 . The wear and friction behaviour of the implanted and unimplanted material was measured with a pin-on-disc tribometer. Here we describe the experimental procedure and results, and discuss the improvement relative to that achieved with surface layers modified by metal-gas co-implantation

  1. TEM study of amorphous alloys produced by ion implantation

    International Nuclear Information System (INIS)

    Johnson, E.; Grant, W.A.; Wohlenberg, P.; Hansen, P.; Chadderton, L.T.

    1978-01-01

    Ion implantation is a technique for introducing foreign elements into surface layers of solids. Ions, as a suitably accelerated beam, penetrate the surface, slow down by collisions with target atoms to produce a doped layer. This non-equilibrium technique can provide a wide range of alloys without the restrictions imposed by equilibrium phase diagrams. This paper reports on the production of some amorphous transition metal-metalloid alloys by implantation. Thinned foils of Ni, Fe and stainless steel were implanted at room temperature with Dy + and P + ions at doses between 10 13 - 10 17 ions/cm 2 at energies of 20 and 40 keV respectively. Transmission electron microscopy and selected area diffraction analysis were used to investigate the implanted specimens. Radial diffracted intensity measurements confirmed the presence of an amorphous implanted layer. The peak positions of the maxima are in good agreement with data for similar alloys produced by conventional techniques. Only certain ion/target combinations produce these amorphous layers. Implantations at doses lower than those needed for amorphization often result in formation of new crystalline phases such as an h.c.p. phase in nickel and a b.c.c. phase in stainless steel. (Auth.)

  2. Electrical properties of polymer modified by metal ion implantation

    International Nuclear Information System (INIS)

    Wu Yuguang; Zhang Tonghe; Zhang Huixing; Zhang Xiaoji; Deng Zhiwei; Zhou Gu

    2000-01-01

    Polyethylene terephthalate (PET) has been modified by Ag, Cr, Cu and Si ion implantation with a dose range from 1x10 16 to 2x10 17 ions cm -2 using a metal vapor vacuum arc (MEVVA) source. The electrical properties of PET have been changed after metal ion implantation. The resistivity of implanted PET decreased obviously with an increase of ion dose. When metal ion dose of 2x10 17 cm -2 was selected, the resistivity of PET could be less than 10 Ω cm, but when Si ions are implanted, the resistivity of PET would be up to several hundred Ω cm. The results show that the conductive behavior of a metal ion implanted sample is obviously different from Si implantation one. The changes of the structure and composition have been observed with transmission electron microscope (TEM) and X-ray diffraction (XRD). The surface structure is varying after ion implantation and it is believed that the change would cause the improvement of the conductive properties. The mechanism of electrical conduction will be discussed

  3. Ion implantation of CdTe single crystals

    International Nuclear Information System (INIS)

    Wiecek, Tomasz; Popovich, Volodymir; Bester, Mariusz; Kuzma, Marian

    2017-01-01

    Ion implantation is a technique which is widely used in industry for unique modification of metal surface for medical applications. In semiconductor silicon technology ion implantation is also widely used for thin layer electronic or optoelectronic devices production. For other semiconductor materials this technique is still at an early stage. In this paper based on literature data we present the main features of the implantation of CdTe single crystals as well as some of the major problems which are likely to occur when dealing with them. The most unexpected feature is the high resistance of these crystals against the amorphization caused by ion implantation even at high doses (10"1"7 1/cm"2). The second property is the disposal of defects much deeper in the sample then it follows from the modeling calculations. The outline of principles of the ion implantation is included in the paper. The data based on RBS measurements and modeling results obtained by using SRIM software were taken into account.

  4. Pure high dose metal ion implantation using the plasma immersion technique

    International Nuclear Information System (INIS)

    Zhang, T.; Tang, B.Y.; Zeng, Z.M.; Kwok, T.K.; Chu, P.K.; Monteiro, O.R.; Brown, I.G.

    1999-01-01

    High energy implantation of metal ions can be carried out using conventional ion implantation with a mass-selected ion beam in scanned-spot mode by employing a broad-beam approach such as with a vacuum arc ion source, or by utilizing plasma immersion ion implantation with a metal plasma. For many high dose applications, the use of plasma immersion techniques offers a high-rate process, but the formation of a surface film along with the subsurface implanted layer is sometimes a severe or even fatal detriment. We describe here an operating mode of the metal plasma immersion approach by which pure implantation can be obtained. We have demonstrated the technique by carrying out Ti and Ta implantations at energies of about 80 and 120 keV for Ti and Ta, respectively, and doses on the order of 1x10 17 ions/cm 2 . Our experiments show that virtually pure implantation without simultaneous surface deposition can be accomplished. Using proper synchronization of the metal arc and sample voltage pulse, the applied dose that deposits as a film versus the part that is energetically implanted (the deposition-to-implantation ratio) can be precisely controlled.copyright 1999 American Institute of Physics

  5. Mechanical response of nitrogen ion implanted NiTi shape memory alloy

    International Nuclear Information System (INIS)

    Kucharski, S.; Levintant-Zayonts, N.; Luckner, J.

    2014-01-01

    Highlights: • The effect of ion implantation process on shape memory alloy was investigated. • In the implantation process both surface layer and bulk material are modified. • The microstructure is modified and superelastic effect is destroyed in surface layer. • The parameters of superelastic phenomena are changed in bulk material. - Abstract: In the paper a change of material (mechanical) parameters of NiTi shape memory alloy subjected to ion implantation treatment is investigated. The spherical indentation tests in micro- and nano-scale and tension test have been performed to study an evolution of local superelastic effect in different volumes of nonimplanted and nitrogen ion implanted NiTi alloy. The differential scanning calorimetry has been applied to measure the change of characteristic temperatures due to ion implantation treatment. The structure of implanted material has been investigated using electron microscopy technique. It has been found that the ion implantation process changes the properties not only in a thin surface layer but also in bulk material. In the layer the pseudoelastic effect is destroyed, and in the substrate is preserved, however its parameters are changed. The characteristic phase transformation temperatures in substrate are also modified

  6. Ion implantation apparatus

    International Nuclear Information System (INIS)

    Forneris, J.L.; Hicks, W.W.; Keller, J.H.; McKenna, C.M.; Siermarco, J.A.; Mueller, W.F.

    1981-01-01

    The invention relates to ion bombardment or implantation apparatus. It comprises an apparatus for bombarding a target with a beam of ions, including an arrangement for measuring the ion beam current and controlling the surface potential of the target. This comprises a Faraday cage formed, at least in part, by the target and by walls adjacent to, and electrically insulated from, the target and surrounding the beam. There is at least one electron source for supplying electrons to the interior of the Faraday cage and means within the cage for blocking direct rectilinear radiation from the source to the target. The target current is measured and combined with the wall currents to provide a measurement of the ion beam current. The quantity of electrons supplied to the interior of the cage can be varied to control the target current and thereby the target surface potential. (U.K.)

  7. Optical effects of ion implantation

    International Nuclear Information System (INIS)

    Townsend, P.D.

    1987-01-01

    The review concerns the effects of ion implantation that specifically relate to the optical properties of insulators. Topics which are reviewed include: ion implantation, ion range and damage distributions, colour centre production by ion implantation, high dose ion implantation, and applications for integrated optics. Numerous examples are presented of both diagnostic and industrial examples of ion implantation effects in insulators. (U.K.)

  8. Optimal pulse modulator design criteria for plasma source ion implanters

    International Nuclear Information System (INIS)

    Reass, W.

    1993-01-01

    This paper describes what are believed to be the required characteristics of a high-voltage modulator for efficient and optimal ion deposition from the ''Plasma Source Ion Implantation'' (PSII) process. The PSII process is a method to chemically or physically alter and enhance surface properties of objects by placing them in a weakly ionized plasma and pulsing the object with a high negative voltage. The attracted ions implant themselves and form chemical bonds or are interstitially mixed with the base material. Present industrial uses of implanted objects tends to be for limited-production, high-value-added items. Traditional implanting hardware uses the typical low-current (ma) semiconductor ''raster scan'' implanters. The targets must also be manipulated to maintain a surface normal to the ion beam. The PSII method can provide ''bulk'' equipment processing on a large industrial scale. For the first generation equipment, currents are scaled from milliamps to hundreds of amps, voltages to -175kV, at kilohertz rep-rates, and high plasma ion densities

  9. The use of an ion-beam source to alter the surface morphology of biological implant materials

    Science.gov (United States)

    Weigand, A. J.

    1978-01-01

    An electron-bombardment ion-thruster was used as a neutralized-ion-beam sputtering source to texture the surfaces of biological implant materials. The materials investigated included 316 stainless steel; titanium-6% aluminum, 4% vanadium; cobalt-20% chromium, 15% tungsten; cobalt-35% nickel, 20% chromium, 10% molybdenum; polytetrafluoroethylene; polyoxymethylene; silicone and polyurethane copolymer; 32%-carbon-impregnated polyolefin; segmented polyurethane; silicone rubber; and alumina. Scanning electron microscopy was used to determine surface morphology changes of all materials after ion-texturing. Electron spectroscopy for chemical analysis was used to determine the effects of ion-texturing on the surface chemical composition of some polymers. Liquid contact angle data were obtained for ion-textured and untextured polymer samples. Results of tensile and fatigue tests of ion-textured metal alloys are presented. Preliminary data of tissue response to ion-textured surfaces of some metals, polytetrafluoroethylene, alumina, and segmented polyurethane have been obtained.

  10. Irradiation influence on Mylar and Makrofol induced by argon ions in a plasma immersion ion implantation system

    Energy Technology Data Exchange (ETDEWEB)

    Hassan, A. [Accelerators & Ion Sources Department, Nuclear Research Center, Atomic Energy Authority, P.O. 13759, Cairo (Egypt); El-Saftawy, A.A., E-mail: aama1978@yahoo.com [Accelerators & Ion Sources Department, Nuclear Research Center, Atomic Energy Authority, P.O. 13759, Cairo (Egypt); Aal, S.A. Abd El [Central Lab. for Elemental & Isotopic Analysis, Nuclear Research Center, Atomic Energy Authority, P.O. 13759, Cairo (Egypt); Ghazaly, M. El [Physiology Department, College of Medicine, Taif University, P.O. 888, Taif (Saudi Arabia); Physics Department, Faculty of Science, Zagazig University, P.O. 44519, Zagazig (Egypt)

    2015-08-30

    Highlights: • A home-built plasma immersion ion implantation system was tested in modifying surfaces. • Wettability modifications within the energy range 10 keV implantation are not investigated elsewhere, up to our knowledge. • The wettability of Mylar and Makrofol surface was enhanced by the dual effect of ion implantation and plasma treatment. • The improved wettability was found to depend on both surface roughness and chemistry. • The adhesive bonding and surface energy of the polymers are improved. - Abstract: Mylar and Makrofol polycarbonate polymers were irradiated by Ar ions in a plasma immersion ion implantation (PIII) system. The surface wettability of both polymers was investigated by employing the contact angle method. The measured contact angles were found to depend on the surface layer properties. Good wetting surfaces were found to depend not only on surface roughness but also on its chemistry that analyzed by Fourier transform infrared (FTIR) spectroscopy. Surfaces topography and roughness was investigated and correlated to their surface energy which studied with the aid of acid-base model for evaluating the improvement of surface wettability after irradiation. PIII improves polymers surface properties efficiently in a controllable way.

  11. Modification of high density polyethylene by gold implantation using different ion energies

    Energy Technology Data Exchange (ETDEWEB)

    Nenadović, M.; Potočnik, J. [INS Vinca, Laboratory of Atomic Physics, University of Belgrade, Mike Alasa 12–14, 11001 Belgrade (Serbia); Mitrić, M. [INS Vinca, Condensed Matter Physics Laboratory, University of Belgrade, Mike Alasa 12–14, 11001 Belgrade (Serbia); Štrbac, S. [ICTM Institute of Electrochemistry, University of Belgrade, Njegoseva 12, 11001 Belgrade (Serbia); Rakočević, Z., E-mail: zlatkora@vinca.rs [INS Vinca, Laboratory of Atomic Physics, University of Belgrade, Mike Alasa 12–14, 11001 Belgrade (Serbia)

    2013-11-01

    High density polyethylene (HDPE) samples were modified by Au{sup +} ion implantation at a dose of 5 × 10{sup 15} ions cm{sup −2}, using energies of 50, 100, 150 and 200 keV. The existence of implanted gold in the near-surface region of HDPE samples was confirmed by X-ray diffraction analysis. Surface roughness and Power Spectral Density analyses based on Atomic Force Microscopy (AFM) images of the surface topography revealed that the mechanism of HDPE modification during gold ion implantation depended on the energy of gold ions. Histograms obtained from phase AFM images indicated a qualitative change in the chemical composition of the surface during implantation with gold ions with different energies. Depth profiles obtained experimentally from cross-sectional Force Modulation Microscopy images and ones obtained from a theoretical simulation are in agreement for gold ions energies lower than 100 keV. The deviation that was observed for higher energies of the gold ions is explained by carbon precipitation in the near surface region of the HDPE, which prevented the penetration of gold ions further into the depth of the sample. - Highlights: • HDPE was implanted by Au{sup +} ions using energies of 50, 100, 150 and 200 keV. • Surface composition was analyzed from phase AFM images. • FMM depth profiles are in agreement with theoretical ones for energies up to 100 keV. • A deviation is observed for higher gold ion energies.

  12. Modification of high density polyethylene by gold implantation using different ion energies

    International Nuclear Information System (INIS)

    Nenadović, M.; Potočnik, J.; Mitrić, M.; Štrbac, S.; Rakočević, Z.

    2013-01-01

    High density polyethylene (HDPE) samples were modified by Au + ion implantation at a dose of 5 × 10 15 ions cm −2 , using energies of 50, 100, 150 and 200 keV. The existence of implanted gold in the near-surface region of HDPE samples was confirmed by X-ray diffraction analysis. Surface roughness and Power Spectral Density analyses based on Atomic Force Microscopy (AFM) images of the surface topography revealed that the mechanism of HDPE modification during gold ion implantation depended on the energy of gold ions. Histograms obtained from phase AFM images indicated a qualitative change in the chemical composition of the surface during implantation with gold ions with different energies. Depth profiles obtained experimentally from cross-sectional Force Modulation Microscopy images and ones obtained from a theoretical simulation are in agreement for gold ions energies lower than 100 keV. The deviation that was observed for higher energies of the gold ions is explained by carbon precipitation in the near surface region of the HDPE, which prevented the penetration of gold ions further into the depth of the sample. - Highlights: • HDPE was implanted by Au + ions using energies of 50, 100, 150 and 200 keV. • Surface composition was analyzed from phase AFM images. • FMM depth profiles are in agreement with theoretical ones for energies up to 100 keV. • A deviation is observed for higher gold ion energies

  13. Thermal stress resistance of ion implanted sapphire crystals

    International Nuclear Information System (INIS)

    Gurarie, V.N.; Jamieson, D.N.; Szymanski, R.; Orlov, A.V.; Williams, J.S.; Conway, M.

    1999-01-01

    Monocrystals of sapphire have been subjected to ion implantation with 86 keV Si - and 80 keV Cr - ions to doses in the range of 5x10 14 -5x10 16 cm -2 prior to thermal stress testing in a pulsed plasma. Above a certain critical dose ion implantation is shown to modify the near-surface structure of samples by introducing damage, which makes crack nucleation easier under the applied stress. The effect of ion dose on the stress resistance is investigated and the critical doses which produce a noticeable change in the stress resistance are determined. The critical dose for Si ions is shown to be much lower than that for Cr - ions. However, for doses exceeding 2x10 16 cm -2 the stress resistance parameter decreases to approximately the same value for both implants. The size of the implantation-induced crack nucleating centers and the density of the implantation-induced defects are considered to be the major factors determining the stress resistance of sapphire crystals irradiated with Si - and Cr - ions

  14. The Effect of Ag and Ag+N Ion Implantation on Cell Attachment Properties

    International Nuclear Information System (INIS)

    Urkac, Emel Sokullu; Oztarhan, Ahmet; Gurhan, Ismet Deliloglu; Iz, Sultan Gulce; Tihminlioglu, Funda; Oks, Efim; Nikolaev, Alexey; Ila, Daryush

    2009-01-01

    Implanted biomedical prosthetic devices are intended to perform safely, reliably and effectively in the human body thus the materials used for orthopedic devices should have good biocompatibility. Ultra High Molecular Weight Poly Ethylene (UHMWPE) has been commonly used for total hip joint replacement because of its very good properties. In this work, UHMWPE samples were Ag and Ag+N ion implanted by using the Metal-Vapor Vacuum Arc (MEVVA) ion implantation technique. Samples were implanted with a fluency of 1017 ion/cm2 and extraction voltage of 30 kV. Rutherford Backscattering Spectrometry (RBS) was used for surface studies. RBS showed the presence of Ag and N on the surface. Cell attachment properties investigated with model cell lines (L929 mouse fibroblasts) to demonstrate that the effect of Ag and Ag+N ion implantation can favorably influence the surface of UHMWPE for biomedical applications. Scanning electron microscopy (SEM) was used to demonstrate the cell attachment on the surface. Study has shown that Ag+N ion implantation represents more effective cell attachment properties on the UHMWPE surfaces.

  15. Ion implantation induced blistering of rutile single crystals

    Energy Technology Data Exchange (ETDEWEB)

    Xiang, Bing-Xi [School of Physics, Shandong University, Jinan, Shandong 250100 (China); Jiao, Yang [College of Physics and Electronics, Shandong Normal University, Jinan, Shandong 250100 (China); Guan, Jing [School of Physics, Shandong University, Jinan, Shandong 250100 (China); Wang, Lei [School of Physics, Shandong University, Jinan, Shandong 250100 (China); Key Laboratory of Nanodevices and Applications, Suzhou Institute of Nano-Tech and Nano-Bionics, Chinese Academy of Sciences (China)

    2015-07-01

    The rutile single crystals were implanted by 200 keV He{sup +} ions with a series fluence and annealed at different temperatures to investigate the blistering behavior. The Rutherford backscattering spectrometry, optical microscope and X-ray diffraction were employed to characterize the implantation induced lattice damage and blistering. It was found that the blistering on rutile surface region can be realized by He{sup +} ion implantation with appropriate fluence and the following thermal annealing.

  16. Ion implanters contamination on wafer surface analyzed by ToF-SIMS and SPV analytical techniques

    International Nuclear Information System (INIS)

    Ricciari, R.; Bertini, M.; Ferlito, E.P.; Pizzo, G.; Anastasi, G.; Mello, D.; Franco, G.

    2007-01-01

    In ULSI processes, metallic contamination controls are very important issues. For the ion implantation process it is known that several sources of contaminations still need to be controlled: metals from sputtering of the apertures or wafer holders, Na + contaminations from filament impurities and messy maintenance procedure. ToF-SIMS is one of the most promising candidates to perform in-line surface analysis due to its high sensitivity. It is very common to use surface photo-voltage (SPV) techniques to control ion implanter equipments but this kind of analysis is an indirect measure for metallic contamination. The aim of this work is to study the possibility to use ToF-SIMS instead of SPV for in line equipment contamination monitoring. For this reason a comparison between SPV and ToF-SIMS data occurred. Good correlation between the data is shown; moreover ToF-SIMS spectra give detailed information about the other contaminations present on the wafer surface

  17. Novel metal ion surface modification technique

    International Nuclear Information System (INIS)

    Brown, I.G.; Godechot, X.; Yu, K.M.

    1990-10-01

    We describe a method for applying metal ions to the near-surface region of solid materials. The added species can be energetically implanted below the surface or built up as a surface film with an atomically mixed interface with the substrate; the metal ion species can be the same as the substrate species or different from it, and more than one kind of metal species can be applied, either simultaneously or sequentially. Surface structures can be fabricated, including coatings and thin films of single metals, tailored alloys, or metallic multilayers, and they can be implanted or added onto the surface and ion beam mixed. We report two simple demonstrations of the method: implantation of yttrium into a silicon substrate at a mean energy of 70 keV and a dose of 1 x 10 16 atoms/cm 2 , and the formation of a titanium-yttrium multilayer structure with ion beam mixing to the substrate. 17 refs., 3 figs

  18. Surface potential measurement of the insulator with secondary electron caused by negative ion implantation

    International Nuclear Information System (INIS)

    Tsuji, Hiroshi; Toyota, Yoshitaka; Nagumo, Syoji; Gotoh, Yasuhito; Ishikawa, Junzo; Sakai, Shigeki; Tanjyo, Masayasu; Matsuda, Kohji.

    1994-01-01

    Ion implantation has the merit of the good controllability of implantation profile and low temperature process, and has been utilized for the impurity introduction in LSI production. However, positive ion implantation is carried out for insulator or insulated conductor substrates, their charged potential rises, which is a serious problem. As the requirement for them advanced, charge compensation method is not the effective means for resolving it. The negative ion implantation in which charging is little was proposed. When the experiment on the negative ion implantation into insulated conductors was carried out, it was verified that negative ion implantation is effective as the implantation process without charging. The method of determining the charged potential of insulators at the time of negative ion implantation by paying attention to the energy distribution of the secondary electrons emitted from substrates at the time was devised. The energy analyzer for measuring the energy distribution of secondary electrons was made, and the measurement of the charged potential of insulators was carried out. The principle of the measurement, the measuring system and the experimental results are reported. (K.I.)

  19. Ion enhanced deposition by dual titanium and acetylene plasma immersion ion implantation

    Science.gov (United States)

    Zeng, Z. M.; Tian, X. B.; Chu, P. K.

    2003-01-01

    Plasma immersion ion implantation and deposition (PIII-D) offers a non-line-of-sight fabrication method for various types of thin films on steels to improve the surface properties. In this work, titanium films were first deposited on 9Cr18 (AISI440) stainless bearing steel by metal plasma immersion ion implantation and deposition (MePIII-D) using a titanium vacuum arc plasma source. Afterwards, carbon implantation and carbon film deposition were performed by acetylene (C2H2) plasma immersion ion implantation. Multiple-layered structures with superior properties were produced by conducting Ti MePIII-D + C2H2 PIII successively. The composition and structure of the films were investigated employing Auger electron spectroscopy and Raman spectroscopy. It is shown that the mixing for Ti and C atoms is much better when the target bias is higher during Ti MePIII-D. A top diamond-like carbon layer and a titanium oxycarbide layer are formed on the 9Cr18 steel surface. The wear test results indicate that this dual PIII-D method can significantly enhance the wear properties and decrease the surface friction coefficient of 9Cr18 steel.

  20. Ion enhanced deposition by dual titanium and acetylene plasma immersion ion implantation

    International Nuclear Information System (INIS)

    Zeng, Z.M.; Tian, X.B.; Chu, P.K.

    2003-01-01

    Plasma immersion ion implantation and deposition (PIII-D) offers a non-line-of-sight fabrication method for various types of thin films on steels to improve the surface properties. In this work, titanium films were first deposited on 9Cr18 (AISI440) stainless bearing steel by metal plasma immersion ion implantation and deposition (MePIII-D) using a titanium vacuum arc plasma source. Afterwards, carbon implantation and carbon film deposition were performed by acetylene (C 2 H 2 ) plasma immersion ion implantation. Multiple-layered structures with superior properties were produced by conducting Ti MePIII-D + C 2 H 2 PIII successively. The composition and structure of the films were investigated employing Auger electron spectroscopy and Raman spectroscopy. It is shown that the mixing for Ti and C atoms is much better when the target bias is higher during Ti MePIII-D. A top diamond-like carbon layer and a titanium oxycarbide layer are formed on the 9Cr18 steel surface. The wear test results indicate that this dual PIII-D method can significantly enhance the wear properties and decrease the surface friction coefficient of 9Cr18 steel

  1. Formation of Wear Resistant Steel Surfaces by Plasma Immersion Ion Implantation

    Science.gov (United States)

    Mändl, S.; Rauschenbach, B.

    2003-08-01

    Plasma immersion ion implantation (PIII) is a versatile and fast method for implanting energetic ions into large and complex shaped three-dimensional objects where the ions are accelerated by applying negative high voltage pulses to a substrate immersed in a plasma. As the line-of-sight restrictions of conventional implanters are circumvented, it results in a fast and cost-effective technology. Implantation of nitrogen at 30 - 40 keV at moderate temperatures of 200 - 400 °C into steel circumvents the diminishing thermal nitrogen activation encountered, e.g., in plasma nitriding in this temperature regime, thus enabling nitriding of additional steel grades. Nitride formation and improvement of the mechanical properties after PIII are presented for several steel grades, including AISI 316Ti (food industry), AISI D2 (used for bending tools) and AISI 1095 (with applications in the textile industry).

  2. Formation of Wear Resistant Steel Surfaces by Plasma Immersion Ion Implantation

    International Nuclear Information System (INIS)

    Maendl, S.; Rauschenbach, B.

    2003-01-01

    Plasma immersion ion implantation (PIII) is a versatile and fast method for implanting energetic ions into large and complex shaped three-dimensional objects where the ions are accelerated by applying negative high voltage pulses to a substrate immersed in a plasma. As the line-of-sight restrictions of conventional implanters are circumvented, it results in a fast and cost-effective technology. Implantation of nitrogen at 30 - 40 keV at moderate temperatures of 200 - 400 deg. C into steel circumvents the diminishing thermal nitrogen activation encountered, e.g., in plasma nitriding in this temperature regime, thus enabling nitriding of additional steel grades. Nitride formation and improvement of the mechanical properties after PIII are presented for several steel grades, including AISI 316Ti (food industry), AISI D2 (used for bending tools) and AISI 1095 (with applications in the textile industry)

  3. Investigation of corrosion and ion release from titanium dental implant

    International Nuclear Information System (INIS)

    Ektessabi, A.M.; Mouhyi, J.; Louvette, P.; Sennerby, L.

    1997-01-01

    A thin passive titanium dioxide, in its stoichiometric form, has a very high corrosion resistance, but the same conclusion can not be made on corrosion resistance of a surface which is not stoichiometrically titanium dioxide, or even a surface which is a composition of various elements and oxides. In practice, the implants available on the market have an oxide surface contaminated with other elements. The aim of this paper is to correlate clinical observations that show the deterioration of Ti made implants after certain period of insertion in the patients, and in vitro corrosion resistance of Ti implants with surface passive oxide layer. For this purpose, surface analysis of the retrieved failed implants were performed and in vivo animal experiments with relation to ion release from implants were done. Finally, on the basis of the clinical observation, in vivo animal test, and in vitro electrochemical corrosion test, a model is proposed to explain the corrosion and ion release from the Ti implant. (author)

  4. Ion implantation technology

    CERN Document Server

    Downey, DF; Jones, KS; Ryding, G

    1993-01-01

    Ion implantation technology has made a major contribution to the dramatic advances in integrated circuit technology since the early 1970's. The ever-present need for accurate models in ion implanted species will become absolutely vital in the future due to shrinking feature sizes. Successful wide application of ion implantation, as well as exploitation of newly identified opportunities, will require the development of comprehensive implant models. The 141 papers (including 24 invited papers) in this volume address the most recent developments in this field. New structures and possible approach

  5. Inner surface modification of a tube by magnetic glow-arc plasma source ion implantation

    International Nuclear Information System (INIS)

    Zhang Guling; Chinese Academy of Sciences, Beijing; Wang Jiuli; Feng Wenran; Chen Guangliang; Gu Weichao; Niu Erwu; Fan Songhua; Liu Chizi; Yang Size; Wu Xingfang

    2006-01-01

    A new method named the magnetic glow-arc plasma source ion implantation (MGA-PSII) is proposed for inner surface modification of tubes. In MGA-PSII, under the control of an axial magnetic field, which is generated by an electric coil around the tube sample, glow arc plasma moves spirally into the tube from its two ends. A negative voltage applied on the tube realized its inner surface implantation. Titanium nitride (TiN) films are prepared on the inner surface of a stainless steel tube in diameter 90 mm and length 600 mm. Hardness tests show that the hardness at the tube centre is up to 20 GPa. XRD, XPS and AES analyses demonstrate that good quality of TiN films can be achieved. (authors)

  6. Inner Surface Modification of a Tube by Magnetic Glow-Arc Plasma Source Ion Implantation

    Science.gov (United States)

    Zhang, Gu-Ling; Wang, Jiu-Li; Wu, Xing-Fang; Feng, Wen-Ran; Chen, Guang-Liang; Gu, Wei-Chao; Niu, Er-Wu; Fan, Song-Hua; Liu, Chi-Zi; Yang, Si-Ze

    2006-05-01

    A new method named the magnetic glow-arc plasma source ion implantation (MGA-PSII) is proposed for inner surface modification of tubes. In MGA-PSII, under the control of an axial magnetic field, which is generated by an electric coil around the tube sample, glow arc plasma moves spirally into the tube from its two ends. A negative voltage applied on the tube realized its inner surface implantation. Titanium nitride (TiN) films are prepared on the inner surface of a stainless steel tube in diameter 90 mm and length 600 mm. Hardness tests show that the hardness at the tube centre is up to 20 GPa. XRD, XPS and AES analyses demonstrate that good quality of TiN films can be achieved.

  7. High-intensity low energy titanium ion implantation into zirconium alloy

    Science.gov (United States)

    Ryabchikov, A. I.; Kashkarov, E. B.; Pushilina, N. S.; Syrtanov, M. S.; Shevelev, A. E.; Korneva, O. S.; Sutygina, A. N.; Lider, A. M.

    2018-05-01

    This research describes the possibility of ultra-high dose deep titanium ion implantation for surface modification of zirconium alloy Zr-1Nb. The developed method based on repetitively pulsed high intensity low energy titanium ion implantation was used to modify the surface layer. The DC vacuum arc source was used to produce metal plasma. Plasma immersion titanium ions extraction and their ballistic focusing in equipotential space of biased electrode were used to produce high intensity titanium ion beam with the amplitude of 0.5 A at the ion current density 120 and 170 mA/cm2. The solar eclipse effect was used to prevent vacuum arc titanium macroparticles from appearing in the implantation area of Zr sample. Titanium low energy (mean ion energy E = 3 keV) ions were implanted into zirconium alloy with the dose in the range of (5.4-9.56) × 1020 ion/cm2. The effect of ion current density, implantation dose on the phase composition, microstructure and distribution of elements was studied by X-ray diffraction, scanning electron microscopy and glow-discharge optical emission spectroscopy, respectively. The results show the appearance of Zr-Ti intermetallic phases of different stoichiometry after Ti implantation. The intermetallic phases are transformed from both Zr0.7Ti0.3 and Zr0.5Ti0.5 to single Zr0.6Ti0.4 phase with the increase in the implantation dose. The changes in phase composition are attributed to Ti dissolution in zirconium lattice accompanied by the lattice distortions and appearance of macrostrains in intermetallic phases. The depth of Ti penetration into the bulk of Zr increases from 6 to 13 μm with the implantation dose. The hardness and wear resistance of the Ti-implanted zirconium alloy were increased by 1.5 and 1.4 times, respectively. The higher current density (170 mA/cm2) leads to the increase in the grain size and surface roughness negatively affecting the tribological properties of the alloy.

  8. Modification of the hydriding of uranium using ion implantation

    International Nuclear Information System (INIS)

    Musket, R.G.; Robinson-Weis, G.; Patterson, R.G.

    1983-01-01

    The hydriding of depleted uranium at 76 Torr hydrogen and 130 0 C has been significantly reduced by implantation of oxygen ions. The high-dose implanted specimens had incubation times for the initiation of the reaction after exposure to hydrogen that exceeded those of the nonimplanted specimens by more than a factor of eight. Furthermore, the nonimplanted specimens consumed enough hydrogen to cause macroscopic flaking of essentially the entire surface in times much less than the incubation time for the high-dose implanted specimens. In contrast, the ion-implanted specimens reacted only at isolated spots with the major fraction of the surface area unaffected by the hydrogen exposure

  9. Optical stability under photo-irradiation of urushi films by ion implantation

    Energy Technology Data Exchange (ETDEWEB)

    Awazu, Kaoru; Funada, Yoshinori; Kasamori, Masato; Sakamoto, Makoto; Ichikawa, Tachio [Industrial Research Inst. of Ishikawa, Kanazawa (Japan)

    1995-06-01

    Nitrogen ions, argon ions and others were implanted in urushi-coated surfaces by using a simplified ion implantation apparatus, and the optical stability test was carried out by a sunshine weather meter. The rate of remaining luster on urushi-coated surfaces accompanying ultraviolet irradiation showed respective peculiar behavior according to the kinds of the implanted ions, the time of implantation, transparent and black urushi films, and the use of brightener or not. In electron beam irradiation, change hardly occurred. In urushi-coated products, the luster and the properties are maintained for long period, therefore recently, urushi coating has become to be applied to road sign panels and notice boards in addition to lacquer wares and applied fine art products, and the improvement of the optical stability of urushi films has become the subject. In this study, the experimental methods on urushi coating, ion implantation, the optical stability test and measuring method are explained. The changes of urushi film luster, transmittance, haze, lightness, and chromaticity by nitrogen ion implantation are reported. (K.I.).

  10. Optical stability under photo-irradiation of urushi films by ion implantation

    International Nuclear Information System (INIS)

    Awazu, Kaoru; Funada, Yoshinori; Kasamori, Masato; Sakamoto, Makoto; Ichikawa, Tachio

    1995-01-01

    Nitrogen ions, argon ions and others were implanted in urushi-coated surfaces by using a simplified ion implantation apparatus, and the optical stability test was carried out by a sunshine weather meter. The rate of remaining luster on urushi-coated surfaces accompanying ultraviolet irradiation showed respective peculiar behavior according to the kinds of the implanted ions, the time of implantation, transparent and black urushi films, and the use of brightener or not. In electron beam irradiation, change hardly occurred. In urushi-coated products, the luster and the properties are maintained for long period, therefore recently, urushi coating has become to be applied to road sign panels and notice boards in addition to lacquer wares and applied fine art products, and the improvement of the optical stability of urushi films has become the subject. In this study, the experimental methods on urushi coating, ion implantation, the optical stability test and measuring method are explained. The changes of urushi film luster, transmittance, haze, lightness, and chromaticity by nitrogen ion implantation are reported. (K.I.)

  11. Transverse microanalysis of high energy Ion implants

    Energy Technology Data Exchange (ETDEWEB)

    Dooley, S.P.; Jamieson, D.N.; Nugent, K.W.; Prawer, S. [Melbourne Univ., Parkville, VIC (Australia). School of Physics

    1996-12-31

    High energy ion implants in semiconductor materials have been analyzed by Channeling Contrast Microscopy (CCM) perpendicular to the implant direction, allowing imaging of the entire ion track. The damage produced by Channeled and Random 1.4 MeV H{sup +} implants into the edge of a <100> type IIa diamond wafer were analyzed by channeling into the face of the crystal. The results showed negligible damage in the surface region of the implants, and swelling induced misalignment at the end of range of the implants. Channeled 1.4 MeV H{sup +} implants in diamond had a range only 9% deeper than Random implants, which could be accounted for by dechanneling of the beam. The channeling of H{sup +}{sub 2} ions has been previously found to be identical to that of protons of half energy, however the current experiment has shown a 1% increase in {chi}{sub min} for H{sup +}{sub 2} in diamond compared to H{sup +} at 1,2 MeV per proton. This is due to repulsion between protons within the same channel. 5 refs., 2 figs.

  12. Transverse microanalysis of high energy Ion implants

    Energy Technology Data Exchange (ETDEWEB)

    Dooley, S P; Jamieson, D N; Nugent, K W; Prawer, S [Melbourne Univ., Parkville, VIC (Australia). School of Physics

    1997-12-31

    High energy ion implants in semiconductor materials have been analyzed by Channeling Contrast Microscopy (CCM) perpendicular to the implant direction, allowing imaging of the entire ion track. The damage produced by Channeled and Random 1.4 MeV H{sup +} implants into the edge of a <100> type IIa diamond wafer were analyzed by channeling into the face of the crystal. The results showed negligible damage in the surface region of the implants, and swelling induced misalignment at the end of range of the implants. Channeled 1.4 MeV H{sup +} implants in diamond had a range only 9% deeper than Random implants, which could be accounted for by dechanneling of the beam. The channeling of H{sup +}{sub 2} ions has been previously found to be identical to that of protons of half energy, however the current experiment has shown a 1% increase in {chi}{sub min} for H{sup +}{sub 2} in diamond compared to H{sup +} at 1,2 MeV per proton. This is due to repulsion between protons within the same channel. 5 refs., 2 figs.

  13. Tribological properties of ion-implanted steels

    International Nuclear Information System (INIS)

    Iwaki, Masaya

    1987-01-01

    The tribological properties such as surface hardness, friction and wear have been studied for low carbon steels and tool steels implanted with many types of ion including metallic elements. The hardness measured by Vickers or Knoop hardness testers as a function of normal load is dependent on the implanted species, fluence and substrate. The friction coefficients measured by Bowden-Leben type of friction tests or detected during wear tests also depend on the implantation conditions. The improvement in the wear resistance, which is most important for industrial use of implanted materials, has been investigated for AISI H13 prehardened and tool steels implanted with nitrogen and boron ions. The relationship between hardness, friction and wear is discussed in comparison with the microcharacteristics such as composition and chemical bonding states measured by means of secondary ion mass spectrometry and X-ray photoelectron spectroscopy. It is concluded that the increase in hardness and/or the decrease in friction coefficient play(s) an important role in improving the wear resistance, and the relationship between relative wear volume and relative hardness is correlated for boron and nitrogen implantation. (orig.)

  14. Surface characterization and biodegradation behavior of magnesium implanted poly(L-lactide/caprolactone) films

    Science.gov (United States)

    Sokullu, Emel; Ersoy, Fulya; Yalçın, Eyyup; Öztarhan, Ahmet

    2017-11-01

    Biopolymers are great source for medical applications such as drug delivery, wound patch, artificial tissue studies etc., food packaging, cosmetic applications etc. due to their biocompatibility and biodegradability. Particularly, the biodegradation ability of a biomaterial makes it even advantageous for the applications. The more tunable the biodegradation rate the more desired the biopolymers. There are many ways to tune degradation rate including surface modification. In this study ion implantation method applied to biopolymer surface to determine its effect on biodegradation rate. In this study, surface modification of poly(L-lactide/caprolactone) copolymer film is practiced via Mg-ion-implantation using a MEVVA ion source. Mg ions were implanted at a fluence of 1 × 1015 ions/cm2 and ion energy of 30 keV. Surface characterization of Mg-ion-implanted samples is examined using Atomic Force Microscopy, Raman spectroscopy, contact angle measurement and FT-IR Spectroscopy. These analyses showed that the surface become more hydrophilic and rougher after the ion implantation process which is advantageous for cell attachment on medical studies. The in vitro enzymatic degradation of Mg-implanted samples was investigated in Lipase PS containing enzyme solution. Enzymatic degradation rate was examined by mass loss calculation and it is shown that Mg-implanted samples lost more than 30% of their weight while control samples lost around 20% of their weight at the end of the 16 weeks. The evaluation of the results confirmed that Mg-ion-implantation on poly(L-lactide/caprolactone) films make the surface rougher and more hydrophilic and changes the organic structure on the surface. On the other hand, ion implantation has increased the biodegradation rate.

  15. A novel electrode surface fabricated by directly attaching gold nanoparticles onto NH{sub 2}{sup +} ions implanted-indium tin oxide substrate

    Energy Technology Data Exchange (ETDEWEB)

    Liu Chenyao; Jiao Jiao; Chen Qunxia [College of Chemistry, Beijing Normal University, Beijing 100875 (China); Xia Ji [Key Laboratory of Beam Technology and Material Modification of Ministry of Education, Beijing Normal University, Beijing 100875 (China); Li Shuoqi [College of Chemistry, Beijing Normal University, Beijing 100875 (China); Hu Jingbo, E-mail: hujingbo@bnu.edu.c [College of Chemistry, Beijing Normal University, Beijing 100875 (China); Li Qilong [College of Chemistry, Beijing Normal University, Beijing 100875 (China)

    2010-12-01

    A new type of gold nanoparticle attached to a NH{sub 2}{sup +} ion implanted-indium tin oxide surface was fabricated without using peculiar binder molecules, such as 3-(aminopropyl)-trimethoxysilane. A NH{sub 2}/indium tin oxide film was obtained by implantation at an energy of 80 keV with a fluence of 5 x 10{sup 15} ions/cm{sup 2}. The gold nanoparticle-modified film was characterized by X-ray photoelectron spectroscopy, scanning electron microscopy and electrochemical techniques and compared with a modified bare indium tin oxide surface and 3-(aminopropyl)-trimethoxysilane linked surface, which exhibited a relatively low electron transfer resistance and high electrocatalytic activity. The results demonstrate that NH{sub 2}{sup +} ion implanted-indium tin oxide films can provide an important route to immobilize nanoparticles, which is attractive in developing new biomaterials.

  16. Chemical characterization of 4140 steel implanted by nitrogen ions

    Energy Technology Data Exchange (ETDEWEB)

    Nino, Ely Dannier V.; Duran, Fernando [Grupo de Investigacion en Tecnologia del Plasma (GINTEP), Departamento de Ciencias Basicas, Universidad Pontificia Bolivariana, Bucaramanga (Colombia); Pinto, Jose L.C. [Grupo de Investigacion en Quimica Estructural (GIQUE), Universidad Industrial de Santander, Bucaramanga (Colombia); Dugar-Zhabon, V.; Garnica, Hernan [Grupo de Fisica y Tecnologia del Plasma (FITEK), Universidad Industrial de Santander, Bucaramanga (Colombia)

    2010-07-01

    AISI-SAE 4140 sample surfaces of different roughness which are implanted by nitrogen ions of 20 keV and 30 keV at a dose of 10{sup 17} ions/cm{sup 2} through a three dimensional ion implantation technique are studied. Crystal phases of nitrogen compositions of the implanted samples, obtained with help of an x-ray diffraction method, are confronted with the data reported by the International Centre for Diffraction Data (ICDD), PDF-2. It is observed that the implanted into the metal nitrogen atoms produce changes in orientation of crystal planes that is manifested as variations of the intensity of the refracted rays and of cell dimensions (a displacement of 2 theta of the maximum intensity position). An analysis for determining nitrogen atoms implanted by high-voltage pulsed discharges at low pressures in the crystal structure of the solid surface was carried out by X-Ray Diffraction due to this technique permits to assess the possibility of formation of new compounds. (author)

  17. Chemical characterization of 4140 steel implanted by nitrogen ions

    International Nuclear Information System (INIS)

    Nino, Ely Dannier V.; Duran, Fernando; Pinto, Jose L.C.; Dugar-Zhabon, V.; Garnica, Hernan

    2010-01-01

    AISI-SAE 4140 sample surfaces of different roughness which are implanted by nitrogen ions of 20 keV and 30 keV at a dose of 10"1"7 ions/cm"2 through a three dimensional ion implantation technique are studied. Crystal phases of nitrogen compositions of the implanted samples, obtained with help of an x-ray diffraction method, are confronted with the data reported by the International Centre for Diffraction Data (ICDD), PDF-2. It is observed that the implanted into the metal nitrogen atoms produce changes in orientation of crystal planes that is manifested as variations of the intensity of the refracted rays and of cell dimensions (a displacement of 2 theta of the maximum intensity position). An analysis for determining nitrogen atoms implanted by high-voltage pulsed discharges at low pressures in the crystal structure of the solid surface was carried out by X-Ray Diffraction due to this technique permits to assess the possibility of formation of new compounds. (author)

  18. Surface modification on 304 SS by plasma-immersed ion implantation to improve the adherence of a CVD diamond film

    Energy Technology Data Exchange (ETDEWEB)

    Nono, M.C.A.; Corat, E.J. (Instituto Nacional de Pesquisas Espaciais, Sao Jose dos Campos, SP (Brazil)); Ueda, M.; Stellati, C.; Barroso, J.J.; Conrad, J.R.; Shamim, M.; Fetherston, P.; Sridharan, K.

    1999-02-01

    The weak adherence of chemical vapor deposited (CVD) diamond films on steel substrates is an important factor that limits the technological applications of these materials. We are interested in enhancing the film-to-substrate adherence by using substrate surfaces with a previous modification by plasma-immersed ion implantation (PIII). In this work we present and discuss the preliminary results on phase formation, microstructure and adherence evaluations. CVD diamond films were deposited on 304 SS, the surface of which was modified by implanted carbon ions. The samples were first submitted to implantation with 30 keV carbon ions at different doses. Later, these surfaces were examined by Auger spectroscopy (SAM), scanning electron microscopy (SEM) and X-ray diffraction. We observed a metastable carbide phase formed from carbon and iron, which is considered to be a good polycrystalline material for the nucleation of CVD diamond crystals. The CVD diamond nucleation and film growth were observed by SEM and Raman spectroscopy. These results are discussed with the emphasis on the carbon diffusion barrier on the substrate surfaces. The preliminary results of diamond growth were encouraging. (orig.) 7 refs.

  19. Release of titanium ions from an implant surface and their effect on cytokine production related to alveolar bone resorption

    International Nuclear Information System (INIS)

    Wachi, Takanori; Shuto, Takahiro; Shinohara, Yoshinori; Matono, Yoshinari; Makihira, Seicho

    2015-01-01

    Although interest in peri-implant mucositis and peri-implantitis has recently been increasing, the mechanisms driving these diseases remain unknown. Here, the effects of titanium ions on the inflammation and bone resorption around an implant were investigated. First, the accumulated amount of Ti ions released into gingival and bone tissues from an implant exposed to sodium fluoride solution was measured using inductively coupled plasma mass spectrometry. Next, the cellular responses in gingival and bone tissues to Ti ions and/or Porphyromonas gingivalis-lipopolysaccharide (P. gingivalis-LPS) were assessed using a rat model. More Ti ions were detected in the gingival tissues around an implant after treatment with sodium fluoride (pH 4.2) than in its absence, which suggests that the fluoride corroded the implant surface under salivary buffering capacity. The injection of Ti ions (9 ppm) significantly increased the mRNA expression and protein accumulation of chemokine (C–C motif) ligand 2, as well as the ratio of receptor activator of nuclear factor-κB ligand to osteoprotegerin, in rat gingival tissues exposed to P. gingivalis-LPS in a synergistic manner. In addition, the enhanced localization of toll-like receptor 4, which is an LPS receptor, was observed in gingival epithelium loaded with Ti ions (9 ppm). These data suggest that Ti ions may be partly responsible for the infiltration of monocytes and osteoclast differentiation by increasing the sensitivity of gingival epithelial cells to microorganisms in the oral cavity. Therefore, Ti ions may be involved in the deteriorating effects of peri-implant mucositis, which can develop into peri-implantitis accompanied by alveolar bone resorption

  20. Corrosion behaviour of pure iron implanted with Pd ion beam

    International Nuclear Information System (INIS)

    Sang, J.M.; Lin, W.L.; Wu, Z.D.; Wang, H.S.

    1999-01-01

    The corrosion behavior of pure iron implanted with Pd ions up to doses in the range 1x10 16 -1x10 18 ions/cm 2 at an extracting voltage 45kV by using MEVVA source ion implanter has been investigated. The concentration profiles and valence states of elements at the near surface of Pd implanted iron specimens were analyzed by AES and XPS respectively. The Anodic dissolution process of Pd implanted pure iron was measured by means of potentiokinetic sweep in a 0.5 mol/1 NaAc/Hac buffer solution with pH5.0. The open circuit corrosion potential as a function of immersion time was used to evaluate the corrosion resistance of Pd implanted iron specimens. The experimental results show that Pd ion implantation decreases the critical passive current of iron and maintains a better passivity in acetate buffer solution with pH5.0. It is interesting that the active corrosion rate of Pd implanted iron is even higher than that of unimplanted one, when the oxide layer on the surface of iron has been damaged. (author)

  1. Corrosion resistance of titanium ion implanted AZ91 magnesium alloy

    International Nuclear Information System (INIS)

    Liu Chenglong; Xin Yunchang; Tian Xiubo; Zhao, J.; Chu, Paul K.

    2007-01-01

    Degradable metal alloys constitute a new class of materials for load-bearing biomedical implants. Owing to their good mechanical properties and biocompatibility, magnesium alloys are promising in degradable prosthetic implants. The objective of this study is to improve the corrosion behavior of surgical AZ91 magnesium alloy by titanium ion implantation. The surface characteristics of the ion implanted layer in the magnesium alloys are examined. The authors' results disclose that an intermixed layer is produced and the surface oxidized films are mainly composed of titanium oxide with a lesser amount of magnesium oxide. X-ray photoelectron spectroscopy reveals that the oxide has three layers. The outer layer which is 10 nm thick is mainly composed of MgO and TiO 2 with some Mg(OH) 2 . The middle layer that is 50 nm thick comprises predominantly TiO 2 and MgO with minor contributions from MgAl 2 O 4 and TiO. The third layer from the surface is rich in metallic Mg, Ti, Al, and Ti 3 Al. The effects of Ti ion implantation on the corrosion resistance and electrochemical behavior of the magnesium alloys are investigated in simulated body fluids at 37±1 deg. C using electrochemical impedance spectroscopy and open circuit potential techniques. Compared to the unimplanted AZ91 alloy, titanium ion implantation significantly shifts the open circuit potential (OCP) to a more positive potential and improves the corrosion resistance at OCP. This phenomenon can be ascribed to the more compact surface oxide film, enhanced reoxidation on the implanted surface, as well as the increased β-Mg 12 Al 17 phase

  2. Ion-implanted PLZT ceramics: a new high-sensitivity image storage medium

    International Nuclear Information System (INIS)

    Peercy, P.S.; Land, C.E.

    1980-01-01

    Results were presented of our studies of photoferroelectric (PFE) image storage in H- and He-ion implanted PLZT (lead lanthanum zirconate titanate) ceramics which demonstrate that the photosensitivity of PLZT can be significantly increased by ion implantation in the ceramic surface to be exposed to image light. More recently, implantations of Ar and Ar + Ne into the PLZT surface have produced much greater photosensitivity enhancement. For example, the photosensitivity after implantation with 1.5 x 10 14 350 keV Ar/cm 2 + 1 x 10 15 500 keV Ne/cm 2 is increased by about four orders of magnitude over that of unimplanted PLZT. Measurements indicate that the photosensitivity enhancement in ion-implanted PLZT is controlled by implantation-produced disorder which results in marked decreases in dielectric constant and dark conductivity and changes in photoconductivity of the implanted layer. The effects of Ar- and Ar + Ne-implantation are presented along with a phenomenological model which describes the enhancement in photosensitivity obtained by ion implantation. This model takes into account both light- and implantation-induced changes in conductivity and gives quantitative agreement with the measured changes in the coercive voltage V/sub c/ as a function of near-uv light intensity for both unimplanted and implanted PLZT. The model, used in conjunction with calculations of the profiles of implantation-produced disorder, has provided the information needed for co-implanting ions of different masses, e.g., Ar and Ne, to improve photosensitivity

  3. Surface damage versus defect microstructures in He and H ion co-implanted Si{sub 3}N{sub 4}/Si

    Energy Technology Data Exchange (ETDEWEB)

    Zhu, F. [School of Science, Tianjin University, Tianjin 300072 (China); Liu, C.L., E-mail: liuchanglong@tju.edu.cn [School of Science, Tianjin University, Tianjin 300072 (China); Tianjin Key Laboratory of Low Dimensional Materials Physics and Preparing Technology, Institute of Advanced Materials Physics Faculty of Science, Tianjin 300072 (China); Gao, Y.J.; Wang, Z.; Wang, J. [School of Science, Tianjin University, Tianjin 300072 (China)

    2012-09-01

    Cz n-type Si (1 0 0) wafers with a top Si{sub 3}N{sub 4} layer of about 170 nm in thickness were sequentially implanted with 40 keV He ions at a fluence of 5 Multiplication-Sign 10{sup 16}/cm{sup 2} and 35 keV H ions at fluences of 1 Multiplication-Sign 10{sup 15}, 5 Multiplication-Sign 10{sup 15} and 1 Multiplication-Sign 10{sup 16}/cm{sup 2}, respectively. Creation and evolution of surface damage as well as micro-defects have been studied. Our results clearly show that production of surface damage depends strongly on both the H implant fluence and annealing temperature. Only blistering or localized exfoliation of the top Si{sub 3}N{sub 4} layer has been observed for post H implantation at fluences of 1 Multiplication-Sign 10{sup 15} and 5 Multiplication-Sign 10{sup 15}/cm{sup 2} upon 800 Degree-Sign C annealing. However, serious surface exfoliation has been found for the 1 Multiplication-Sign 10{sup 16}/cm{sup 2} H co-implanted samples after annealing at 450 Degree-Sign C and above. The exfoliation occurs at a depth of about 360 nm from the surface, which is obviously larger than the He or H ion range. Moreover, the exfoliated craters show clear two-step structures. Cross-sectional transmission electron microscopy (XTEM) observations reveal formation of micro-cracks in Si bulk and along the original interface, which is mainly responsible for the observed surface phenomena. The formation mechanism of micro-cracks has been discussed in combination of He and H implant-induced defects, impurities as well as their interactions upon annealing.

  4. Influence of the ion implantation on the nanoscale intermetallic phases formation in Ni-Ti system

    International Nuclear Information System (INIS)

    Kalashnikov, M.P.; Kurzina, I.A.; Bozhko, I.A.; Kozlov, E.V.; Fortuna, S.V.; Sivin, D.O.; Stepanov, I.B.; Sharkeev, Yu.P.

    2005-01-01

    Full text: The ion implantation at a high intensity mode is an effective method for modification of the surface properties of metals and alloys. Improvement of mechanical and tribological properties of irradiated materials using the high intensity implantation is connected with an element composition and microstructure modification of the surface and subsurface layers. One shows a great interest in intermetallic phase's synthesis by ion implantation, because of unique physical-mechanical properties of the intermetallic compounds. The influence of the irradiation conditions on the structural state and surface properties of implanted materials is not clear enough. The study of the factors influencing on the formation of the surface ion - alloyed layers of metal targets having the high tribological and mechanical properties by high intensity ion implantation is actual. The aim of the present work is a study of the microstructure, phase composition, physical and mechanical properties of the ion-alloyed Ni surfaces formed at high intensity implantation of Ti ions. The implantation Ti ions into Ni samples at high intensity mode was realized using ion source 'Raduga - 5'. The implantation Ti ions into Ni was carried out at accelerating voltage 20 kV for 2 h. The regimes were differed in the samples temperature (580 - 700 K), the distance from the ion implanted samples to the ion source (0.43-0.93 m) and the dose of irradiated ions (0.3·10 18 -2.9·10 18 ion/cm -2 ). The element composition of the implanted samples was analyzed by the electron spectroscopy. The structural-phase state of the Ni ion-modified layers was investigated by the transmission electron microscopy and X-ray diffraction methods. Additionally, the investigation of mechanical and tribological properties of the implanted Ni samples was carried out. It was established that the maximum thickness of the ion-alloyed nickel layers at high intensity mode allows forming the nanoscale intermetallic phases (Ni

  5. Chemical characterization of 4140 steel implanted by nitrogen ions

    International Nuclear Information System (INIS)

    Niño, E D V; Dugar-Zhabon, V; Pinto, J L; Henao, J A

    2012-01-01

    AISI SAE 4140 steel samples of different surface roughness which are implanted with 20 keV and 30 keV nitrogen ions at a dose of 10 17 ions/cm 2 are studied. The crystal phases of nitrogen compositions of the implanted samples, obtained with help of an x-ray diffraction method, are confronted with the data reported by the International Centre for Diffraction Data (ICDD) PDF-2. The implantation treatment is realized in high-voltage pulsed discharges at low pressures. The crystal structure of the implanted solid surfaces is analyzed by the x-ray diffraction technique which permits to identify the possible newly formed compounds and to identify any change in the surface structure of the treated samples. A decrease in the intensity of the plane (110), a reduction of the cell unity in values of 2-theta and a diminishing of the crystallite dimensions in comparison with non-implanted samples are observed.

  6. Chemical characterization of 4140 steel implanted by nitrogen ions

    Science.gov (United States)

    Niño, E. D. V.; Pinto, J. L.; Dugar-Zhabon, V.; Henao, J. A.

    2012-06-01

    AISI SAE 4140 steel samples of different surface roughness which are implanted with 20 keV and 30 keV nitrogen ions at a dose of 1017 ions/cm2 are studied. The crystal phases of nitrogen compositions of the implanted samples, obtained with help of an x-ray diffraction method, are confronted with the data reported by the International Centre for Diffraction Data (ICDD) PDF-2. The implantation treatment is realized in high-voltage pulsed discharges at low pressures. The crystal structure of the implanted solid surfaces is analyzed by the x-ray diffraction technique which permits to identify the possible newly formed compounds and to identify any change in the surface structure of the treated samples. A decrease in the intensity of the plane (110), a reduction of the cell unity in values of 2-theta and a diminishing of the crystallite dimensions in comparison with non-implanted samples are observed.

  7. Decrease of Staphylococcal adhesion on surgical stainless steel after Si ion implantation

    International Nuclear Information System (INIS)

    Braceras, Iñigo; Pacha-Olivenza, Miguel A.; Calzado-Martín, Alicia; Multigner, Marta; Vera, Carolina; Broncano, Luis Labajos-; Gallardo-Moreno, Amparo M.; González-Carrasco, José Luis; Vilaboa, Nuria

    2014-01-01

    Highlights: • Si ion implantation of AISI 316LVM medical grade alloy might reduce bacterial adhesion and colonization. • Si ion implantation does not impair the attachment, viability and matrix maturation of human mesenchymal stem cells. • Nano-topography and surface chemistry changes account for the Si ion implantation induced effects. - Abstract: 316LVM austenitic stainless steel is often the material of choice on temporal musculoskeletal implants and surgical tools as it combines good mechanical properties and acceptable corrosion resistance to the physiologic media, being additionally relatively inexpensive. This study has aimed at improving the resistance to bacterial colonization of this surgical stainless steel, without compromising its biocompatibility and resistance. To achieve this aim, the effect of Si ion implantation on 316LVM has been studied. First, the effect of the ion implantation parameters (50 keV; fluence: 2.5–5 × 10 16 ions/cm 2 ; angle of incidence: 45–90°) has been assessed in terms of depth profiling of chemical composition by XPS and nano-topography evaluation by AFM. The in vitro biocompatibility of the alloy has been evaluated with human mesenchymal stem cells. Finally, bacterial adhesion of Staphylococcus epidermidis and Staphylococcus aureus on these surfaces has been assessed. Reduction of bacterial adhesion on Si implanted 316LVM is dependent on the implantation conditions as well as the features of the bacterial strains, offering a promising implantable biomaterial in terms of biocompatibility, mechanical properties and resistance to bacterial colonization. The effects of surface composition and nano-topography on bacterial adhesion, directly related to ion implantation conditions, are also discussed

  8. Decrease of Staphylococcal adhesion on surgical stainless steel after Si ion implantation

    Energy Technology Data Exchange (ETDEWEB)

    Braceras, Iñigo, E-mail: inigo.braceras@tecnalia.com [Tecnalia, Mikeletegi Pasealekua 2, 20009 Donostia-San Sebastian (Spain); CIBER de Bioingeniería, Biomateriales y Nanomedicina (CIBER-BBN) (Spain); Pacha-Olivenza, Miguel A. [CIBER de Bioingeniería, Biomateriales y Nanomedicina (CIBER-BBN) (Spain); Universidad de Extremadura, Departamento de Física Aplicada, Facultad de Ciencias, Av. Elvas s/n, 06006 Badajoz (Spain); Calzado-Martín, Alicia [Hospital Universitario La Paz-IdiPAZ, Paseo de la Castellana 261, 28046 Madrid (Spain); CIBER de Bioingeniería, Biomateriales y Nanomedicina (CIBER-BBN) (Spain); Multigner, Marta [Centro Nacional de Investigaciones Metalúrgicas, CENIM-CSIC, Avda Gregorio del Amo 8, 28040 Madrid (Spain); CIBER de Bioingeniería, Biomateriales y Nanomedicina (CIBER-BBN) (Spain); Vera, Carolina [Tecnalia, Mikeletegi Pasealekua 2, 20009 Donostia-San Sebastian (Spain); CIBER de Bioingeniería, Biomateriales y Nanomedicina (CIBER-BBN) (Spain); Broncano, Luis Labajos-; Gallardo-Moreno, Amparo M. [Universidad de Extremadura, Departamento de Física Aplicada, Facultad de Ciencias, Av. Elvas s/n, 06006 Badajoz (Spain); CIBER de Bioingeniería, Biomateriales y Nanomedicina (CIBER-BBN) (Spain); González-Carrasco, José Luis [Centro Nacional de Investigaciones Metalúrgicas, CENIM-CSIC, Avda Gregorio del Amo 8, 28040 Madrid (Spain); CIBER de Bioingeniería, Biomateriales y Nanomedicina (CIBER-BBN) (Spain); Vilaboa, Nuria [Hospital Universitario La Paz-IdiPAZ, Paseo de la Castellana 261, 28046 Madrid (Spain); CIBER de Bioingeniería, Biomateriales y Nanomedicina (CIBER-BBN) (Spain); and others

    2014-08-15

    Highlights: • Si ion implantation of AISI 316LVM medical grade alloy might reduce bacterial adhesion and colonization. • Si ion implantation does not impair the attachment, viability and matrix maturation of human mesenchymal stem cells. • Nano-topography and surface chemistry changes account for the Si ion implantation induced effects. - Abstract: 316LVM austenitic stainless steel is often the material of choice on temporal musculoskeletal implants and surgical tools as it combines good mechanical properties and acceptable corrosion resistance to the physiologic media, being additionally relatively inexpensive. This study has aimed at improving the resistance to bacterial colonization of this surgical stainless steel, without compromising its biocompatibility and resistance. To achieve this aim, the effect of Si ion implantation on 316LVM has been studied. First, the effect of the ion implantation parameters (50 keV; fluence: 2.5–5 × 10{sup 16} ions/cm{sup 2}; angle of incidence: 45–90°) has been assessed in terms of depth profiling of chemical composition by XPS and nano-topography evaluation by AFM. The in vitro biocompatibility of the alloy has been evaluated with human mesenchymal stem cells. Finally, bacterial adhesion of Staphylococcus epidermidis and Staphylococcus aureus on these surfaces has been assessed. Reduction of bacterial adhesion on Si implanted 316LVM is dependent on the implantation conditions as well as the features of the bacterial strains, offering a promising implantable biomaterial in terms of biocompatibility, mechanical properties and resistance to bacterial colonization. The effects of surface composition and nano-topography on bacterial adhesion, directly related to ion implantation conditions, are also discussed.

  9. RIKEN 200 kV high current implanter for metal surface modification

    International Nuclear Information System (INIS)

    Iwaki, M.; Yoshida, K.; Sakudo, N.

    1985-01-01

    A high current, metal ion implanter was constructed in order to aid the formation of a new metastable surface alloy. This implanter, called a RIKEN 200 kV high current implanter, is a modified Lintott high current machine (Series III), which has the advantages of having its own microwave ion source and an extra target chamber. The microwave discharge ion source without a hot-filament has a comparatively long lifetime because the chloride ions and radicals in a plasma during discharge of metal chlorides might prevent metal to deposit on the inner walls of the discharge chamber by bombarding and chemically cleaning them. An extra target chamber for metal modification is able to control the surface composition by utilizing the sputtering effect of the ion beam during ion implantation. The use of this ion source and the extra target chamber is suggested to be suitable for the production of metallic ions and for the implantation into metals. The case study will be introduced for TI implantation into Fe. (orig.)

  10. Growth of surface structures correlated with structural and mechanical modifications of brass by laser-induced Si plasma ions implantation

    Science.gov (United States)

    Ahmad, Shahbaz; Bashir, Shazia; Rafique, M. Shahid; Yousaf, Daniel

    2017-04-01

    Laser-produced Si plasma is employed as an ion source for implantation on the brass substrate for its surface, structural, and mechanical modifications. Thomson parabola technique is employed for the measurement of energy and flux of Si ions using CR-39. In response to stepwise increase in number of laser pulses from 3000 to 12000, four brass substrates were implanted by laser-induced Si plasma ions of energy 290 keV at different fluxes ranging from 45 × 1012 to 75 × 1015 ions/cm2. SEM analysis reveals the formation of nano/micro-sized irregular shaped cavities and pores for the various ion fluxes for varying numbers of laser pulses from 3000 to 9000. At the maximum ion flux for 12,000 pulses, distinct and organized grains with hexagonal and irregular shaped morphology are revealed. X-ray diffractometer (XRD) analysis exhibits that a new phase of CuSi (311) is identified which confirms the implantation of Si ions in brass substrate. A significant decrease in mechanical properties of implanted brass, such as Yield Stress (YS), Ultimate Tensile Strength (UTS), and hardness, with increasing laser pulses from 3000 to 6000 is observed. However, with increasing laser pulses from 9000 to a maximum value of 12,000, an increase in mechanical properties like hardness, YS, and UTS is observed. The generation as well as annihilation of defects, recrystallization, and intermixing of Si precipitates with brass matrix is considered to be responsible for variations in surface, structural, and mechanical modifications of brass.

  11. First results from the Los Alamos plasma source ion implantation experiment

    International Nuclear Information System (INIS)

    Rej, D.J.; Faehl, R.J.; Gribble, R.J.; Henins, I.; Kodali, P.; Nastasi, M.; Reass, W.A.; Tesmer, J.; Walter, K.C.; Wood, B.P.; Conrad, J.R.; Horswill, N.; Shamim, M.; Sridharan, K.

    1993-01-01

    A new facility is operational at Los Alamos to examine plasma source ion implantation on a large scale. Large workpieces can be treated in a 1.5-m-diameter, 4.6-m-long plasma vacuum chamber. Primary emphasis is directed towards improving tribological properties of metal surfaces. First experiments have been performed at 40 kV with nitrogen plasmas. Both coupons and manufactured components, with surface areas up to 4 m 2 , have been processed. Composition and surface hardness of implanted materials are evaluated. Implant conformality and dose uniformity into practical geometries are estimated with multidimensional particle-in-cell computations of plasma electron and ion dynamics, and Monte Carlo simulations of ion transport in solids

  12. Electrochemical behavior and biological response of Mesenchymal Stem Cells on cp-Ti after N-ions implantation

    Energy Technology Data Exchange (ETDEWEB)

    Rizwan, M.; Ahmad, A. [Department of Metallurgical and Materials Engineering, University of Engineering and Technology, 54890 Lahore (Pakistan); Deen, K.M. [Corrosion Control Research Cell, Department of Metallurgy and Materials Engineering, CEET, University of the Punjab, 54590 Lahore (Pakistan); Haider, W., E-mail: haiderw@utpa.edu [Mechanical Engineering Department, University of Texas Pan American, Edinburg, TX 78539 (United States)

    2014-11-30

    Highlights: • Nitrogen ions of known dosage were implanted on cp-Ti. • Increase in surface roughness with increase in ions dose was confirmed by AFM. • TiN{sub 0.3} and Ti{sub 3}N{sub 2−x} nitride phases were formed and validated by XRD. • The ions implantation reduced the corrosion rate and stabilized the passive film. • Surface roughness greatly affected the morphology and growth of Mesenchymal Stem Cells. - Abstract: Titanium and its alloys are most widely used as implant materials due to their excellent biocompatibility, mechanical properties and chemical stability. In this study Nitrogen ions of known dosage were implanted over cp-Ti by Pelletron accelerator with beam energy of 0.25 MeV.The atomic force microscopy of bare and nitrogen implanted specimens confirmed increase in surface roughness with increase in nitrogen ions concentration. X-ray diffraction patterns of ions implanted surfaces validated the formation of TiN{sub 0.3} and Ti{sub 3}N{sub 2-x}nitride phases. The tendency to form passive film and electrochemical behavior of these surfaces in ringer lactate (RL) solution was evaluated by Potentiodynamic polarization and electrochemical impedance spectroscopy respectively. It is proved that nitrogen ions implantation was beneficial to reduce corrosion rate and stabilizing passive film by increasing charge transfer resistance in RL. It was concluded that morphology and proliferation of Mesenchymal Stem Cells on nitrogen ions implanted surfaces strongly depends on surface roughness and nitride phases.

  13. Hardness of ion implanted ceramics

    International Nuclear Information System (INIS)

    Oliver, W.C.; McHargue, C.J.; Farlow, G.C.; White, C.W.

    1985-01-01

    It has been established that the wear behavior of ceramic materials can be modified through ion implantation. Studies have been done to characterize the effect of implantation on the structure and composition of ceramic surfaces. To understand how these changes affect the wear properties of the ceramic, other mechanical properties must be measured. To accomplish this, a commercially available ultra low load hardness tester has been used to characterize Al 2 O 3 with different implanted species and doses. The hardness of the base material is compared with the highly damaged crystalline state as well as the amorphous material

  14. Fabrication of micromechanical structures on substrates selectively etched using a micropatterned ion-implantation method

    International Nuclear Information System (INIS)

    Nakano, Shizuka; Nakagawa, Sachiko; Ishikawa, Haruo; Ogiso, Hisato

    2001-01-01

    An advanced micromachining technique using ion implantation to modify materials was studied. Gold ion implantation into silicon decreased the etching rate when the silicon was etched in potassium hydroxide solution after the ion implantation; the implanted region remained, thus forming the microstructure. Observation of the cross-section of the resulting etched structure by transmission electron microscopy showed that the structure was made only from the ion-implanted region, and that gold was precipitated on the surface. To clarify the mechanism involved in the decrease in the etching rate, we varied the etching conditions. Our results show that precipitation of implanted gold on the surface decreased the etching rate, because solubility of gold is lower

  15. Graphitic structure formation in ion implanted polyetheretherketone

    Energy Technology Data Exchange (ETDEWEB)

    Tavenner, E., E-mail: tazman1492@gmail.com [Creative Polymers Pty. Ltd., 41 Wilkinson Street, Toowoomba, Queensland 4350 (Australia); Chemical Committee, Surface Chemical Analysis, Standards (Australia); Wood, B. [Centre for Microscopy and Microanalysis, University of Queensland, St. Lucia, Queensland 4072 (Australia); Chemical Committee, Surface Chemical Analysis, Standards (Australia); Curry, M.; Jankovic, A.; Patel, R. [Center for Applied Science and Engineering, Missouri State University, 524 North Boonville Avenue, Springfield, MO 65806 (United States)

    2013-10-15

    Ion implantation is a technique that is used to change the electrical, optical, hardness and biocompatibility of a wide range of inorganic materials. This technique also imparts similar changes to organic or polymer based materials. With polymers, ion implantation can produce a carbon enriched volume. Knowledge as to the nature of this enrichment and its relative concentration is necessary to produce accurate models of the physical properties of the modified material. One technique that can achieve this is X-ray photoelectron spectroscopy. In this study the formation of graphite like structures in the near surface of polyetheretherketone by ion implantation has been elucidated from detailed analysis of the C 1s and valence band peak structures generated by X-ray photoelectron spectroscopy. Further evidence is given by both Rutherford backscatter spectroscopy and elastic recoil detection.

  16. Ion implantation induced martensite nucleation in SUS301 steel

    International Nuclear Information System (INIS)

    Kinoshita, Hiroshi; Takahashi, Heishichiro; Gustiono, Dwi; Sakaguchi, Norihito; Shibayama, Tamaki; Watanabe, Seiichi

    2007-01-01

    Phase transformation behaviors of the austenitic 301 stainless steel was studied under Fe + , Ti + and Ar + ions implantation at room temperature with 100, 200 and 300 keV up to fluence of 1x10 21 ions/m 2 and the microstructures were observed by means of transmission electron microscopy (TEM). The plane and cross-sectional observations of the implanted specimen showed that the induced-phases due to implantation from the γ matrix phase were identified as α' martensite phases with the orientation relationship of (11-bar0) α parallel (111-bar) γ and [111] α parallel [011] γ close to the Kurdjumov-Sachs (K-S). The ion implantation induced phases nucleated near the surface region and the depth position of the nucleation changed depending on the ion accelerating energy and ion species. It was also found that the induced marten sites phases nucleate under the influence of the stress distribution, which is introduced due to the concentration of implanted ions, especially due to the stress gradient caused by the corresponding concentration gradient. (author)

  17. Development of Mechanical Improvement of the Cladding by Ion Implantation

    Energy Technology Data Exchange (ETDEWEB)

    Han, J G; Lee, S B [Sungkyunkwan University, Seoul (Korea, Republic of); Kim, S H [Kangwon University, Chunchon (Korea, Republic of); Song, G [Suwon College, Suwon (Korea, Republic of)

    1997-07-01

    In this research we analyzed the state of art related to the surface treatment method of nuclear fuel cladding for the development of the surface treatment technique of nuclear fuel cladding by ion beam while investigating major causes of the leakage of fuel rods. Ion implantation simulation code called TRIM-95 was used to decide basic parameters ion beams and wetup an appropriate process for ion implantation. For the mechanical properties measurements, a high temperature wear resistance tester, a fretting wear tester, and a fretting fatigue resistance tester were constructed. Using these testers, some mechanical properties as micro hardness, wear resistance against AISI52100 and AI{sub 2}O{sub 3} balls, and fretting properties were measured and analyzed for the implanted materials as a function of ion dose and processing temperature. Effect of the oxygen atmosphere was measured in the nitrogen implantation. Auger electron spectroscopy(AES) was applied for the depth profile, and X-ray diffraction was used for the nitrogen and oxide measurements. 48 refs., 7 tabs., 46 figs. (author)

  18. Modification of polyethyleneterephtalate by implantation of nitrogen ions

    International Nuclear Information System (INIS)

    Svorcik, V.; Endrst, R.; Rybka, V.; Hnatowicz, V.; Cerny, F.

    1994-01-01

    The implantation of 90 keV N + ions into polyethyleneterephtalate (PET) to fluences of 1 x 10 14 --1 x 10 17 cm -2 was studied. The changes in electrical sheet conductivity and polarity of ion-exposed PET were observed and the structural changes were examined using IR spectroscopy. One degradation process is a chain fission according to the Norrish II reaction. The sheet conductivity due to conjugated double bonds was increased by ten orders of magnitude as a result of ion implantation. The surface polarity of the PET samples increases slightly with increasing ion fluence

  19. Implantation of β-emitters on biomedical implants: 32 P isotropic ion implantation using a coaxial plasma reactor

    International Nuclear Information System (INIS)

    Fortin, M.A.; Paynter, R.W.; Sarkissian, A.; Stansfield, B.L.; Terreault, B.; Dufresne, V.

    2003-01-01

    The development of endovascular brachytherapy and the treatment of certain types of cancers (liver, lung, prostate) often require the use of beta-emitters, sometimes in the form of radioisotope-implanted devices. Among the most commonly used isotopes figures 32 P, a pure beta-emitter (maximum energy: 1.7 MeV), of which the path in biological tissues is of a few cm, restricting the impact of electron bombardment to the immediate environment of the implant. Several techniques and processes have been tried to elaborate surfaces and devices showing strongly bonded, or implanted 32 P. Anodizing, vapor phase deposition, grafting of oligonucleotides, as well as ion implantation processes have been investigated by several research groups as methods to implant beta-radioisotopes into surfaces. A coaxial plasma reactor was developed at INRS to implant radioisotopes into cylindrical metallic objects, such as coronary stents commonly used in angioplasty procedures. The dispersion of 32 P atoms on the interior surfaces of the chamber can be investigated using radiographs, contributing to image the plasma ion transport mechanisms that guide the efficiency of the implantation procedure. The amount of radioactivity on the wall liner, on the internal components, and on the biomedical implants are quantified using a surface barrier detector. A comparative study establishes a relationship between the gray scale of the radiographs, and dose measurements. A program was developed to convert the digitized images into maps showing surface dose density in mCi/cm 2 . An integration process allows the quantification of the doses on the walls and components of the reactor. Finally, the resulting integral of the 32 P dose is correlated to the initial amount of radioactivity inserted inside the implanter before the dismantling procedure. This method could be introduced as a fast and reliable way to test, qualify and assess the amount of radioactivity present on the as-produced implants

  20. Ion implantation planar in targets with semi-cylindrical grooves

    International Nuclear Information System (INIS)

    Filiz, Y.; Demokan, O.

    2002-01-01

    The experimental and numerical investigations suggest that the ion-matrix phase of the sheath evolution plays a crucial role in determining the ion flux to the target surfaces . It can easily be realized that conformal mapping of the target's surface by the sheath is questionable, or even inapplicable in the case of surfaces with fine irregularities or this continuities. The theoretical analysis of such cases is evidently quite complicated. On the other hand, most actual targets fall into this category, and hence, the understanding of the corresponding sheath behavior remains vital for accomplishing uniform implantation. The ion- matrix sheaths have been treated analytically by Conrad for planar, cylindrical and spherical targets successfully. Similar y, Sheridan and Zang et al. have investigated the ion matrix sheath in cylindrical bores, without and with axial electrodes, respectively. All these works assumed targets with infinite areas or length, Zeng et al. and Kwok et al. have started studying implantation into grooves, by carrying out simulations for the inner and outer races of bearings, which are modeled as semi- cylinders of infinite length. Finally, Demokan has presented the first analytic treatment of on matrix sheaths in two- dimensions, by considering targets with rectangular grooves of infinite length, representing a broad range of industrial items. In this work, ion-matrix sheath near infinite length are theoretically analysed. Understanding the sheath formation near such targets is essential for achieving successful ion implantation on the surfaces of a broad range of industrial products, including all types of bearings. The potential profiles both inside and outside the groove are derived and the consequent ion velocity higher plasma densities may improve the uniformity of implantation on the surfaces of such grooves. Furthermore, the sheath edge deformation due to the grooves, the variation of the angle of incidence on the surface of the groove

  1. Electrochemical behavior and biological response of Mesenchymal Stem Cells on cp-Ti after N-ions implantation

    Science.gov (United States)

    Rizwan, M.; Ahmad, A.; Deen, K. M.; Haider, W.

    2014-11-01

    Titanium and its alloys are most widely used as implant materials due to their excellent biocompatibility, mechanical properties and chemical stability. In this study Nitrogen ions of known dosage were implanted over cp-Ti by Pelletron accelerator with beam energy of 0.25 MeV.The atomic force microscopy of bare and nitrogen implanted specimens confirmed increase in surface roughness with increase in nitrogen ions concentration. X-ray diffraction patterns of ions implanted surfaces validated the formation of TiN0.3 and Ti3N2-xnitride phases. The tendency to form passive film and electrochemical behavior of these surfaces in ringer lactate (RL) solution was evaluated by Potentiodynamic polarization and electrochemical impedance spectroscopy respectively. It is proved that nitrogen ions implantation was beneficial to reduce corrosion rate and stabilizing passive film by increasing charge transfer resistance in RL. It was concluded that morphology and proliferation of Mesenchymal Stem Cells on nitrogen ions implanted surfaces strongly depends on surface roughness and nitride phases.

  2. Plasma immersion ion implantation of Pebax polymer

    Energy Technology Data Exchange (ETDEWEB)

    Kondyurin, A. [Applied and Plasma Physics, School of Physics (A28), University of Sydney, Sydney, NSW 2006 (Australia)]. E-mail: kond@mailcity.com; Volodin, P. [Leibniz Institute of Polymer Research Dresden e.v., Hohe Str.6, Dresden 01069 (Germany); Weber, J. [Boston Scientific Corporation, One Scimed Place, Maple Grove, MN 55311-1566 (United States)

    2006-10-15

    Nitrogen plasma immersion ion implantation (PIII) was applied to Pebax thin films and plates using doses ranging from 5 x 10{sup 14} to 10{sup 17} ions/cm{sup 2} at applied voltages of 5, 10, 20 and 30 kV. The analysis of the Pebax structure after implantation was performed using FTIR ATR, Raman, UV-vis transmission spectra, tensile and AFM contact mode data. The carbonization and depolymerisation processes were observed in the surface layer of Pebax. It was found, that graphitic- and diamond-like structures in Pebax are formed at PIII treatment of 30 kV applied voltage. AFM measurement data showed that the hardness of the Pebax surface layer increased sharply at PIII treatment with a dose higher then 10{sup 16} ions/cm{sup 2}. The bulk mechanical properties of the Pebax film after PIII remained unchanged.

  3. Effects of ion sputtering on semiconductor surfaces

    International Nuclear Information System (INIS)

    McGuire, G.E.

    1978-01-01

    Ion beam sputtering has been combined with Auger spectroscopy to study the effects of ion beams on semiconductor surfaces. Observations on the mass dependence of ion selective sputtering of two component systems are presented. The effects of ion implantation are explained in terms of atomic dilution. Experimental data are presented that illustrate the super-position of selective sputtering and implantation effects on the surface composition. Sample reduction from electron and ion beam interaction is illustrated. Apparent sample changes which one might observe from the effects of residual gas contamination and electric fields are also discussed. (Auth.)

  4. Surface modification of indium tin oxide films by amino ion implantation for the attachment of multi-wall carbon nanotubes

    International Nuclear Information System (INIS)

    Jiao Jiao; Liu Chenyao; Chen Qunxia; Li Shuoqi; Hu Jingbo; Li Qilong

    2010-01-01

    Amino ion implantation was carried out at the energy of 80 keV with fluence of 5 x 10 15 ions cm -2 for indium tin oxide film (ITO) coated glass, and the existence of amino group on the ITO surface was verified by X-ray photoelectron spectroscopy analysis and Fourier transform infrared spectra. Scanning electron microscopy images show that multi-wall carbon nanotubes (MWCNTs) directly attached to the amino ion implanted ITO (NH 2 /ITO) surface homogeneously and stably. The resulting MWCNTs-attached NH 2 /ITO (MWCNTs/NH 2 /ITO) substrate can be used as electrode material. Cyclic voltammetry results indicate that the MWCNTs/NH 2 /ITO electrode shows excellent electrochemical properties and obvious electrocatalytic activity towards uric acid, thus this material is expected to have potential in electrochemical analysis and biosensors.

  5. Electrical conductivity enhancement of polyethersulfone (PES) by ion implantation

    International Nuclear Information System (INIS)

    Bridwell, L.B.; Giedd, R.E.; Wang Yongqiang; Mohite, S.S.; Jahnke, T.; Brown, I.M.

    1991-01-01

    Amorphous polyethersulfone (PES) films have been implanted with a variety of ions (He, B, C, N and As) at a bombarding energy of 50 keV in the dose range 10 16 -10 17 ions/cm 2 . Surface resistance as a function of dose indicates a saturation effect with a significant difference between He and the other ions used. ESR line shapes in the He implanted samples changed from a mixed Gaussian/Lorentzian to a pure Lorentzian and narrowed with increasing dose. Temperature dependent resistivity indicates an electron hopping mechanism for conduction. Infrared results indicate cross-linking or self-cyclization occurred for all implanted ions with further destruction in the case of As. (orig.)

  6. Adaptation of metal arc plasma source to plasma source ion implantation

    International Nuclear Information System (INIS)

    Shamim, M.M.; Fetherston, R.P.; Conrad, J.R.

    1995-01-01

    In Plasma Source Ion Implantation (PSII) a target is immersed in a plasma and a train of high negative voltage pulses is applied to accelerate ions into the target and to modify the properties in the near surface region. In PSII, until now the authors have been using gaseous species to generate plasmas. However metal ion plasma may be used to modify the surface properties of material for industrial applications. Conventionally the ion implantation of metal ions is performed using beam line accelerators which have complex engineering and high cost. The employment of a metal arc source to PSII has tremendous potential due to its ability to process the conformal surfaces, simple engineering and cost effectiveness. They have installed metal arc source for generation of titanium plasma. Currently, they are investigating the properties of titanium plasma and material behavior of titanium implanted aluminum and 52100 steel. The recent results of this investigation are presented

  7. XPS studies of SiO2 surface layers formed by oxygen ion implantation into silicon

    International Nuclear Information System (INIS)

    Schulze, D.; Finster, J.

    1983-01-01

    SiO 2 surface layers of 160 nm thickness formed by 16 O + ion implantation into silicon are examined by X-ray photoelectron spectroscopy measurements into the depth after a step-by-step chemical etching. The chemical nature and the thickness of the transition layer were determined. The results of the XPS measurements show that the outer surface and the bulk of the layers formed by oxygen implantation and subsequent high temperature annealing consist of SiO 2 . There is no evidence for Si or SiO/sub x/ (0 2 and Si is similar to that of thin grown oxide layers. Only its thickness is somewhat larger than in thermal oxide

  8. Sheath physics and materials science results from recent plasma source ion implantation experiments

    International Nuclear Information System (INIS)

    Conrad, J.R.; Radtke, J.L.; Dodd, R.A.; Worzala, F.J.

    1987-01-01

    Plasma Source Ion Implantation (PSII) is a surface modification technique which has been optimized for ion-beam processing of materials. PSII departs radically from conventional implantation by circumventing the line of sight restriction inherent in conventional ion implantation. The authors used PSII to implant cutting tools and dies and have demonstrated substantial improvements in lifetime. Recent results on plasma physics scaling laws, microstructural, mechanical, and tribological properties of PSII-implanted materials are presented

  9. Elimination of carbon vacancies in 4H-SiC epi-layers by near-surface ion implantation: Influence of the ion species

    Science.gov (United States)

    Ayedh, H. M.; Hallén, A.; Svensson, B. G.

    2015-11-01

    The carbon vacancy (VC) is a prevailing point defect in high-purity 4H-SiC epitaxial layers, and it plays a decisive role in controlling the charge carrier lifetime. One concept of reducing the VC-concentration is based on carbon self-ion implantation in a near surface layer followed by thermal annealing. This leads to injection of carbon interstitials (Ci's) and annihilation of VC's in the epi-layer "bulk". Here, we show that the excess of C atoms introduced by the self-ion implantation plays a negligible role in the VC annihilation. Actually, employing normalized implantation conditions with respect to displaced C atoms, other heavier ions like Al and Si are found to be more efficient in annihilating VC's. Concentrations of VC below ˜2 × 1011 cm-3 can be reached already after annealing at 1400 °C, as monitored by deep-level transient spectroscopy. This corresponds to a reduction in the VC-concentration by about a factor of 40 relative to the as-grown state of the epi-layers studied. The negligible role of the implanted species itself can be understood from simulation results showing that the concentration of displaced C atoms exceeds the concentration of implanted species by two to three orders of magnitude. The higher efficiency for Al and Si ions is attributed to the generation of collision cascades with a sufficiently high energy density to promote Ci-clustering and reduce dynamic defect annealing. These Ci-related clusters will subsequently dissolve during the post-implant annealing giving rise to enhanced Ci injection. However, at annealing temperatures above 1500 °C, thermodynamic equilibrium conditions start to apply for the VC-concentration, which limit the net effect of the Ci injection, and a competition between the two processes occurs.

  10. Ion Implantation of Polymers

    DEFF Research Database (Denmark)

    Popok, Vladimir

    2012-01-01

    The current paper presents a state-of-the-art review in the field of ion implantation of polymers. Numerous published studies of polymers modified by ion beams are analysed. General aspects of ion stopping, latent track formation and changes of structure and composition of organic materials...... are discussed. Related to that, the effects of radiothermolysis, degassing and carbonisation are considered. Specificity of depth distributions of implanted into polymers impurities is analysed and the case of high-fluence implantation is emphasised. Within rather broad topic of ion bombardment, the focus...... is put on the low-energy implantation of metal ions causing the nucleation and growth of nanoparticles in the shallow polymer layers. Electrical, optical and magnetic properties of metal/polymer composites are under the discussion and the approaches towards practical applications are overviewed....

  11. Dose measurement of ion implanted silicon by RBS technique

    International Nuclear Information System (INIS)

    Kamawanna, Teerasak; Intarasiri, Saweat; Prapunsri, Chowunchun; Thongleurm, Chome; Maleepatra, Saenee; Singkarat, Somsorn

    2003-10-01

    Surface modification can be achieved by ion implantation. This study used a 1 mm thick silicon wafer as a target which was implanted with Ar+ at 80 keV. The degree of the modification depends on both the ion energy and the implanted dose. The distribution of argon in the silicon substrate and the absolute implanted dose can be measured by using Rutherford Backscattering Spectrometry (RBS). These investigations utilized a 1.7 MV Tandetron accelerator system at Chiang Mai University. The dose determination by a direct calculation is in agreement with the simulation by the SIMNRA code

  12. Raman microprobe measurements of stress in ion implanted materials

    Energy Technology Data Exchange (ETDEWEB)

    Nugent, K.W.; Prawer, S.; Weiser, P.S.; Dooley, S.P. [Melbourne Univ., Parkville, VIC (Australia). School of Physics

    1993-12-31

    Raman microprobe measurements of ion implanted diamond and silicon have shown significant shifts in the Raman line due to stresses in the materials. The Raman line shifts to higher energy if the stress is compressive and to lower energy for tensile stress{sup 1}. The silicon sample was implanted in a 60 {mu}m square with 2.56 x 10{sup 17} ions per square centimeter of 2 MeV Helium. This led to the formation of raised squares with the top 370mm above the original surface. In Raman studies of silicon using visible light, the depth of penetration of the laser beam into the sample is much less than one micron. It was found that the Raman line is due to the silicon overlying the damage region. The diamond sample was implanted with 2 x 10{sup 15} ions per square centimeter of 2.8 MeV carbon. It was concluded that the Raman spectrum could provide information concerning both the magnitude and the direction of stress in an ion implanted sample. It was possible in some cases to determine whether the stress direction is parallel or perpendicular to the sample surface. 1 refs., 2 figs.

  13. Raman microprobe measurements of stress in ion implanted materials

    Energy Technology Data Exchange (ETDEWEB)

    Nugent, K W; Prawer, S; Weiser, P S; Dooley, S P [Melbourne Univ., Parkville, VIC (Australia). School of Physics

    1994-12-31

    Raman microprobe measurements of ion implanted diamond and silicon have shown significant shifts in the Raman line due to stresses in the materials. The Raman line shifts to higher energy if the stress is compressive and to lower energy for tensile stress{sup 1}. The silicon sample was implanted in a 60 {mu}m square with 2.56 x 10{sup 17} ions per square centimeter of 2 MeV Helium. This led to the formation of raised squares with the top 370mm above the original surface. In Raman studies of silicon using visible light, the depth of penetration of the laser beam into the sample is much less than one micron. It was found that the Raman line is due to the silicon overlying the damage region. The diamond sample was implanted with 2 x 10{sup 15} ions per square centimeter of 2.8 MeV carbon. It was concluded that the Raman spectrum could provide information concerning both the magnitude and the direction of stress in an ion implanted sample. It was possible in some cases to determine whether the stress direction is parallel or perpendicular to the sample surface. 1 refs., 2 figs.

  14. Si-nanoparticle synthesis using ion implantation and MeV ion irradiation

    Energy Technology Data Exchange (ETDEWEB)

    Chulapakorn, T.; Wolff, M.; Primetzhofer, D.; Possnert, G. [Uppsala University, Department of Physics and Astronomy, P.O. Box 516, 751 20 Uppsala (Sweden); Sychugov, I.; Suvanam, S.S.; Linnros, J. [Royal Institute of Technology, School of Information and Communication Technology, P.O. Box Electrum 229, 164 40 Kista (Sweden); Hallen, A. [Uppsala University, Department of Physics and Astronomy, P.O. Box 516, 751 20 Uppsala (Sweden); Royal Institute of Technology, School of Information and Communication Technology, P.O. Box Electrum 229, 164 40 Kista (Sweden)

    2015-12-15

    A dielectric matrix with embedded Si-nanoparticles may show strong luminescence depending on nanoparticles size, surface properties, Si-excess concentration and matrix type. Ion implantation of Si ions with energies of a few tens to hundreds of keV in a SiO{sub 2} matrix followed by thermal annealing was identified as a powerful method to form such nanoparticles. The aim of the present work is to optimize the synthesis of Si-nanoparticles produced by ion implantation in SiO{sub 2} by employing MeV ion irradiation as an additional annealing process. The luminescence properties are measured by spectrally resolved photoluminescence including PL lifetime measurement, while X-ray reflectometry, atomic force microscopy and ion beam analysis are used to characterize the nanoparticle formation process. The results show that the samples implanted at 20%-Si excess atomic concentration display the highest luminescence and that irradiation of 36 MeV {sup 127}I ions affects the luminosity in terms of wavelength and intensity. It is also demonstrated that the nanoparticle luminescence lifetime decreases as a function of irradiation fluence. (copyright 2015 WILEY-VCH Verlag GmbH and Co. KGaA, Weinheim)

  15. Ion implantation: an annotated bibliography

    International Nuclear Information System (INIS)

    Ting, R.N.; Subramanyam, K.

    1975-10-01

    Ion implantation is a technique for introducing controlled amounts of dopants into target substrates, and has been successfully used for the manufacture of silicon semiconductor devices. Ion implantation is superior to other methods of doping such as thermal diffusion and epitaxy, in view of its advantages such as high degree of control, flexibility, and amenability to automation. This annotated bibliography of 416 references consists of journal articles, books, and conference papers in English and foreign languages published during 1973-74, on all aspects of ion implantation including range distribution and concentration profile, channeling, radiation damage and annealing, compound semiconductors, structural and electrical characterization, applications, equipment and ion sources. Earlier bibliographies on ion implantation, and national and international conferences in which papers on ion implantation were presented have also been listed separately

  16. Cell adhesion control by ion implantation into extra-cellular matrix

    International Nuclear Information System (INIS)

    Suzuki, Yoshiaki; Kusakabe, Masahiro; Kaibara, Makoto; Iwaki, Masaya; Sasabe, Hiroyuki; Nishisaka, Tsuyoshi

    1994-01-01

    Cell adhesion control of polymer surfaces by ion implantation into polymers and extra-cellular matrix has been studied by means of in vitro adhesion measurements of the carcinoma of the cervix (HeLa cell). The specimens used were polystyrene (PS), oxygen plasma treated polystyrene (PS-O), extra-cellular matrix (Collagen: Type I) coated polystyrene (PS-C), and gelatin coated polystyrene (PS-G). Ne + , Na + , and Ar + implantations were performed with a fluence of 1x10 15 ions/cm 2 at energies of 50, 100 and 150 keV. The chemical and physical structures of ion implanted specimens have been investigated by Fourier transform infrared spectroscopy (FT-IR-ATR), X-ray photoelectron spectroscopy (XPS) and Raman spectroscopy. Ion implanted PS demonstrated a dramatic improvement of adhesion of HeLa cell. HeLa cell adhered only to ion implanted circular domains of a diameter about 0.1 mm on PS. By contrast, ion implanted PS-C, PS-G and PS-O domains inhibited the cell adhesion. These phenomena were observed on Ne + , Na + , and Ar + implanted specimens at energies of 50, 100, and 150 keV. Ion implantation broke the original chemical bonds to form new radicals such as =C=O, condensed rings, C-C, C-O and OH radical. Ion implanted PS had a large amount of new radicals compared with that of PS-C, PS-G and PS-O. Ion implantation broke NH and NH 3 bonds originating from amino acid in PS-C and PS-G. OH and =C=O caused by oxygen treatment in PS-O were also destroyed by ion implantation. It is concluded that cell adhesion to ion implanted PS was caused by carbon structure and new radicals induced by ion implantation. The inhibition of HeLa cell adhesion on PS-C, PS-G and PS-O was caused by the destruction of cell adhesion properties of amino acid, OH and =C=O by radiation effects. ((orig.))

  17. The ion implantation of metals and engineering materials

    International Nuclear Information System (INIS)

    Dearnaley, G.

    1978-01-01

    An entirely new method of metal finishing, by the process of ion implantation, is described. Introduced at first for semiconductor device applications, this method has now been demonstrated to produce major and long-lasting improvements in the durability of material surfaces, as regards both wear and corrosion. The process is distinct from that of ion plating, and it is not a coating technique. After a general description of ion implantation examples are given of its effects on wear behaviour (mostly in steels and cemented carbides) and on corrosion, in a variety of metals and alloys. Its potential for producing decorative finishes is mentioned briefly. The equipment necessary for carrying out ion implantation for engineering applications has now reached the prototype stage, and manufacture of plant for treating a variety of tools and components is about to commence. These developments are outlined. (author)

  18. Plasma immersion surface modification with metal ion plasma

    International Nuclear Information System (INIS)

    Brown, I.G.; Yu, K.M.; Godechot, X.

    1991-04-01

    We describe here a novel technique for surface modification in which metal plasma is employed and by which various blends of plasma deposition and ion implantation can be obtained. The new technique is a variation of the plasma immersion technique described by Conrad and co-workers. When a substrate is immersed in a metal plasma, the plasma that condenses on the substrate remains there as a film, and when the substrate is then implanted, qualitatively different processes can follow, including' conventional' high energy ion implantation, recoil implantation, ion beam mixing, ion beam assisted deposition, and metallic thin film and multilayer fabrication with or without species mixing. Multiple metal plasma guns can be used with different metal ion species, films can be bonded to the substrate through ion beam mixing at the interface, and multilayer structures can be tailored with graded or abrupt interfaces. We have fabricated several different kinds of modified surface layers in this way. 22 refs., 4 figs

  19. Shape memory effect and superelasticity of titanium nickelide alloys implanted with high ion doses

    International Nuclear Information System (INIS)

    Pogrebnjak, A D; Bratushka, S N; Beresnev, V M; Levintant-Zayonts, N

    2013-01-01

    The state of the art in ion implantation of superelastic NiTi shape memory alloys is analyzed. Various technological applications of the shape memory effect are outlined. The principles and techiques of ion implantation are described. Specific features of its application for modification of surface layers in surface engineering are considered. Key properties of shape memory alloys and problems in utilization of ion implantation to improve the surface properties of shape memory alloys, such as corrosion resistance, friction coefficient, wear resistance, etc. are discussed. The bibliography includes 162 references

  20. Ion implantation and amorphous metals

    International Nuclear Information System (INIS)

    Hohmuth, K.; Rauschenbach, B.

    1981-01-01

    This review deals with ion implantation of metals in the high concentration range for preparing amorphous layers (>= 10 at%, implantation doses > 10 16 ions/cm 2 ). Different models are described concerning formation of amorphous phases of metals by ion implantation and experimental results are given. The study of amorphous phases has been carried out by the aid of Rutherford backscattering combined with the channeling technique and using transmission electron microscopy. The structure of amorphous metals prepared by ion implantation has been discussed. It was concluded that amorphous metal-metalloid compounds can be described by a dense-random-packing structure with a great portion of metal atoms. Ion implantation has been compared with other techniques for preparing amorphous metals and the adventages have been outlined

  1. Ion implantation in semiconductors

    International Nuclear Information System (INIS)

    Gusev, V.; Gusevova, M.

    1980-01-01

    The historical development is described of the method of ion implantation, the physical research of the method, its technological solution and practical uses. The method is universally applicable, allows the implantation of arbitrary atoms to an arbitrary material, ensures high purity of the doping element. It is linked with sample processing at low temperatures. In implantation it is possible to independently change the dose and energy of the ions thereby affecting the spatial distribution of the ions. (M.S.)

  2. Ion implantation in semiconductors

    Energy Technology Data Exchange (ETDEWEB)

    Gusev, V; Gusevova, M

    1980-06-01

    The historical development of the method of ion implantation, the physical research of the method, its technological solution and practical uses is described. The method is universally applicable, allows the implantation of arbitrary atoms to an arbitrary material and ensures high purity of the doping element. It is linked with sample processing at low temperatures. In implantation it is possible to independently change the dose and energy of the ions thereby affecting the spatial distribution of the ions.

  3. Nitrogen ion implantation: Barriers to industrial acceptance and prospects for the future

    International Nuclear Information System (INIS)

    Alexander, R.B.

    1989-01-01

    Nitrogen ion implantation has been used to improve the wear and fatigue resistance of metals in industrial applications since the process was developed at the UK Harwell Laboratory in the 1970s. However, implantation service companies like Ion Surface Technology have found so far that the market for nitrogen implantation is limited. Both market and technical barriers exist to more widespread acceptance in industry. Market factors include cost, industrial conservatism, and production priorities in manufacturing. Technical factors include the size of available implanters, the line-of-sight limitation of ion implantation, sputtering, and other process limitations such as shallow penetration depth. Several recent technical developments that should greatly increase market acceptance are described: 1. large-scale nitrogen implanters, 2. the non-line-of-sight plasma source ion implantation process, and 3. ion assisted coating techniques. (orig.)

  4. Reduction of transient diffusion from 1 endash 5 keV Si+ ion implantation due to surface annihilation of interstitials

    International Nuclear Information System (INIS)

    Agarwal, A.; Gossmann, H.-.; Eaglesham, D.J.; Pelaz, L.; Jacobson, D.C.; Haynes, T.E.; Erokhin, Y.E.

    1997-01-01

    The reduction of transient enhanced diffusion (TED) with reduced implantation energy has been investigated and quantified. A fixed dose of 1x10 14 cm -2 Si + was implanted at energies ranging from 0.5 to 20 keV into boron doping superlattices and enhanced diffusion of the buried boron marker layers was measured for anneals at 810, 950, and 1050 degree C. A linearly decreasing dependence of diffusivity enhancement on decreasing Si + ion range is observed at all temperatures, extrapolating to ∼1 for 0 keV. This is consistent with our expectation that at zero implantation energy there would be no excess interstitials from the implantation and hence no TED. Monte Carlo modeling and continuum simulations are used to fit the experimental data. The results are consistent with a surface recombination length for interstitials of <10 nm. The data presented here demonstrate that in the range of annealing temperatures of interest for p-n junction formation, TED is reduced at smaller ion implantation energies and that this is due to increased interstitial annihilation at the surface. copyright 1997 American Institute of Physics

  5. Highly Stripped Ion Sources for MeV Ion Implantation

    Energy Technology Data Exchange (ETDEWEB)

    Hershcovitch, Ady

    2009-06-30

    Original technical objectives of CRADA number PVI C-03-09 between BNL and Poole Ventura, Inc. (PVI) were to develop an intense, high charge state, ion source for MeV ion implanters. Present day high-energy ion implanters utilize low charge state (usually single charge) ion sources in combination with rf accelerators. Usually, a MV LINAC is used for acceleration of a few rnA. It is desirable to have instead an intense, high charge state ion source on a relatively low energy platform (de acceleration) to generate high-energy ion beams for implantation. This de acceleration of ions will be far more efficient (in energy utilization). The resultant implanter will be smaller in size. It will generate higher quality ion beams (with lower emittance) for fabrication of superior semiconductor products. In addition to energy and cost savings, the implanter will operate at a lower level of health risks associated with ion implantation. An additional aim of the project was to producing a product that can lead to long­ term job creation in Russia and/or in the US. R&D was conducted in two Russian Centers (one in Tomsk and Seversk, the other in Moscow) under the guidance ofPVI personnel and the BNL PI. Multiple approaches were pursued, developed, and tested at various locations with the best candidate for commercialization delivered and tested at on an implanter at the PVI client Axcelis. Technical developments were exciting: record output currents of high charge state phosphorus and antimony were achieved; a Calutron-Bemas ion source with a 70% output of boron ion current (compared to 25% in present state-of-the-art). Record steady state output currents of higher charge state phosphorous and antimony and P ions: P{sup 2+} (8.6 pmA), P{sup 3+} (1.9 pmA), and P{sup 4+} (0.12 pmA) and 16.2, 7.6, 3.3, and 2.2 pmA of Sb{sup 3+} Sb {sup 4 +}, Sb{sup 5+}, and Sb{sup 6+} respectively. Ultimate commercialization goals did not succeed (even though a number of the products like high

  6. Tribological studies of ion-implanted steel constituents

    International Nuclear Information System (INIS)

    Wei, Ronghau.

    1990-01-01

    Tribological properties of ion-implanted ferrite and austenite were studied systematically using a unique oscillating pin-on-disc wear tester. Results show that nitrogen implantation at elevated temperatures to high doses dramatically improves the adhesive wear resistance of ferrite and the critical load at which the adhesive wear mechanism changes from mild to severe for austenite. The wear resistance of nitrogen-implanted ferrite is determined by the nitride formed. Extremely hard solid solutions of nitrogen develop on the implanted austenite surfaces and induce three orders of magnitude reductions in wear rates. The implantation conditions that should be used to produce deep, wear-resistant layers for both steels are discussed in detail. Oscillating pin-on-disc wear tests demonstrate that nitrogen does not diffuse during the wearing process although tests conducted using conventional fixed pin-on-disc test equipment could erroneously suggest this occurs. Taken together, the results show that high-dose-rate implantation at low energies yields very-high-quality implanted surfaces at low cost

  7. Silicon carbide layer structure recovery after ion implantation

    International Nuclear Information System (INIS)

    Violin, Eh.E.; Demakov, K.D.; Kal'nin, A.A.; Nojbert, F.; Potapov, E.N.; Tairov, Yu.M.

    1984-01-01

    The process of recovery of polytype structure of SiC surface layers in the course of thermal annealing (TA) and laser annealing (LA) upon boron and aluminium implantation is studied. The 6H polytype silicon carbide C face (0001) has been exposed to ion radiation. The ion energies ranged from 80 to 100 keV, doses varied from 5x10 14 to 5x10 16 cm -2 . TA was performed in the 800-2000 K temperature range. It is shown that the recovery of the structure of silicon carbide layers after ion implantation takes place in several stages. Considerable effect on the structure of the annealed layers is exerted by the implantation dose and the type of implanted impurity. The recovery of polytype structure is possible only under the effect of laser pulses with duration not less than the time for the ordering of the polytype in question

  8. Structural changes in the polyethylene after ion implantation

    International Nuclear Information System (INIS)

    Proskova, K.; Svorcik, V.

    1999-01-01

    This work deals with the study of the polyethylene (PE) after its modification by ion implantation. In this way the mechanical, optical, magnetic and electric characteristics can be changed. Experiments were processed on PE films with 15 μm thickness. For modification of the surface of PE for implantation the Ar + ions with the energy 63 keV and Xe + ions with the energy 156 keV and with doses from 1·10 13 to 3·10 15 cm +2 were used. The aim of this work was the study of structural changes of modified layer of the PE

  9. Mechanical and Structural Properties of Fluorine-Ion-Implanted Boron Suboxide

    OpenAIRE

    Machaka, Ronald; Mwakikunga, Bonex W.; Manikandan, Elayaperumal; Derry, Trevor E.; Sigalas, Iakovos; Herrmann, Mathias

    2012-01-01

    Results on a systematic study on the effects of ion implantation on the near-surface mechanical and structural properties of boron suboxide (B 6O) prepared by uniaxial hot pressing are reviewed. 150keV fluorine ions at fluences of up to 5.0 × 10 16ions/cm 2 were implanted into the ultrahard ceramic material at room temperature and characterized using Raman spectroscopy, atomic force microscopy, and scanning electron microscopy with energy-dispersive X-ray spectroscopy. Evidence of ion-beam-as...

  10. Development of a high current ion implanter

    International Nuclear Information System (INIS)

    Choi, Byung Ho; Kim, Wan; Jin, Jeong Tae

    1990-01-01

    A high current ion implanter of the energy of 100 Kev and the current of about 100 mA has been developed for using the high dose ion implantation, surface modification of steels and ceramics, and ion beam milling. The characteristics of the beam extraction and transportation are investigated. A duoPIGatron ion source compatible with gas ion extraction of about 100 mA, a single gap acceleration tube which is able to compensate the divergence due to the space charge effect, and a beam transport system with the concept of the space charge neutralization are developed for the high current machine. The performance of the constructed machine shows that nitrogen, argon, helium, hydrogen and oxygen ion beams are successfully extracted and transported at a beam divergence due to space charge effect is negligible in the operation pressure of 2 x 10 -5 torr. (author)

  11. Material synthesis for silicon integrated-circuit applications using ion implantation

    Science.gov (United States)

    Lu, Xiang

    As devices scale down into deep sub-microns, the investment cost and complexity to develop more sophisticated device technologies have increased substantially. There are some alternative potential technologies, such as silicon-on-insulator (SOI) and SiGe alloys, that can help sustain this staggering IC technology growth at a lower cost. Surface SiGe and SiGeC alloys with germanium peak composition up to 16 atomic percent are formed using high-dose ion implantation and subsequent solid phase epitaxial growth. RBS channeling spectra and cross-sectional TEM studies show that high quality SiGe and SiGeC crystals with 8 atomic percent germanium concentration are formed at the silicon surface. Extended defects are formed in SiGe and SiGeC with 16 atomic percent germanium concentration. X-ray diffraction experiments confirm that carbon reduces the lattice strain in SiGe alloys but without significant crystal quality improvement as detected by RBS channeling spectra and XTEM observations. Separation by plasma implantation of oxygen (SPIMOX) is an economical method for SOI wafer fabrication. This process employs plasma immersion ion implantation (PIII) for the implantation of oxygen ions. The implantation rate for Pm is considerably higher than that of conventional implantation. The feasibility of SPIMOX has been demonstrated with successful fabrication of SOI structures implementing this process. Secondary ion mass spectrometry (SIMS) analysis and cross-sectional transmission electron microscopy (XTEM) micrographs of the SPIMOX sample show continuous buried oxide under single crystal overlayer with sharp silicon/oxide interfaces. The operational phase space of implantation condition, oxygen dose and annealing requirement has been identified. Physical mechanisms of hydrogen induced silicon surface layer cleavage have been investigated using a combination of microscopy and hydrogen profiling techniques. The evolution of the silicon cleavage phenomenon is recorded by a series

  12. Surface modifications of AISI 420 stainless steel by low energy Yttrium ions

    Science.gov (United States)

    Nassisi, Vincenzo; Delle Side, Domenico; Turco, Vito; Martina, Luigi

    2018-01-01

    In this work, we study surface modifications of AISI 420 stainless steel specimens in order to improve their surface properties. Oxidation resistance and surface micro-hardness were analyzed. Using an ion beam delivered by a Laser Ion Source (LIS) coupled to an electrostatic accelerator, we performed implantation of low energy yttrium ions on the samples. The ions experienced an acceleration passing through a gap whose ends had a potential difference of 60 kV. The gap was placed immediately before the samples surface. The LIS produced high ions fluxes per laser pulse, up to 3x1011 ions/cm2, resulting in a total implanted flux of 7x1015 ions/cm2. The samples were characterized before and after ion implantation using two analytical techniques. They were also thermally treated to investigate the oxide scale. The crystal phases were identified by an X-ray diffractometer, while the micro-hardness was assayed using the scratch test and a profilometer. The first analysis was applied to blank, implanted and thermally treated sample surface, while the latter was applied only to blank and implanted sample surfaces. We found a slight increase in the hardness values and an increase to oxygen resistance. The implantation technique we used has the advantages, with respect to conventional methods, to modify the samples at low temperature avoiding stray diffusion of ions inside the substrate bulk.

  13. Surface modifications of AISI 420 stainless steel by low energy Yttrium ions

    Directory of Open Access Journals (Sweden)

    Nassisi Vincenzo

    2018-01-01

    Full Text Available In this work, we study surface modifications of AISI 420 stainless steel specimens in order to improve their surface properties. Oxidation resistance and surface micro-hardness were analyzed. Using an ion beam delivered by a Laser Ion Source (LIS coupled to an electrostatic accelerator, we performed implantation of low energy yttrium ions on the samples. The ions experienced an acceleration passing through a gap whose ends had a potential difference of 60 kV. The gap was placed immediately before the samples surface. The LIS produced high ions fluxes per laser pulse, up to 3x1011 ions/cm2, resulting in a total implanted flux of 7x1015 ions/cm2. The samples were characterized before and after ion implantation using two analytical techniques. They were also thermally treated to investigate the oxide scale. The crystal phases were identified by an X-ray diffractometer, while the micro-hardness was assayed using the scratch test and a profilometer. The first analysis was applied to blank, implanted and thermally treated sample surface, while the latter was applied only to blank and implanted sample surfaces. We found a slight increase in the hardness values and an increase to oxygen resistance. The implantation technique we used has the advantages, with respect to conventional methods, to modify the samples at low temperature avoiding stray diffusion of ions inside the substrate bulk.

  14. Effects of ion implantation on the electrochemical characteristics of carbon electrodes

    International Nuclear Information System (INIS)

    Takahashi, Katsuo; Iwaki, Masaya

    1994-01-01

    Various carbon materials are important electrode materials for electrochemical field. By ion implantation, the surface layer reforming of carbon materials (mainly galssy carbon) was carried out, and the effect that it exerts to their electrode characteristics was investigated. As the results of the ion implantation of Li, N, O, K, Ti, Zn, Cd and others performed so far, it was found that mainly by the change of the surface layer to amorphous state, there were the effects of the lowering of base current and the lowering of electrode reaction rate, and it was known that the surface layers of carbon materials doped with various kinds of ions showed high chemical stability. The use of carbon materials as electrodes in electrochemistry is roughly divided into the electrodes for electrolytic industry and fuel cells for large current and those for the measurement in electrochemical reaction for small current. The structure of carbon materials and electrode characteristics, and the reforming effect by ion implantation are reported. (K.I.)

  15. Nonpolar III-nitride vertical-cavity surface-emitting lasers incorporating an ion implanted aperture

    KAUST Repository

    Leonard, J. T.; Cohen, D. A.; Yonkee, B. P.; Farrell, R. M.; Margalith, T.; Lee, S.; DenBaars, S. P.; Speck, J. S.; Nakamura, S.

    2015-01-01

    © 2015 AIP Publishing LLC. We report on our recent progress in improving the performance of nonpolar III-nitride vertical-cavity surface-emitting lasers (VCSELs) by using an Al ion implanted aperture and employing a multi-layer electron-beam evaporated ITO intracavity contact. The use of an ion implanted aperture improves the lateral confinement over SiNx apertures by enabling a planar ITO design, while the multi-layer ITO contact minimizes scattering losses due to its epitaxially smooth morphology. The reported VCSEL has 10 QWs, with a 3nm quantum well width, 1nm barriers, a 5nm electron-blocking layer, and a 6.95- λ total cavity thickness. These advances yield a single longitudinal mode 406nm nonpolar VCSEL with a low threshold current density (∼16kA/cm2), a peak output power of ∼12μW, and a 100% polarization ratio. The lasing in the current aperture is observed to be spatially non-uniform, which is likely a result of filamentation caused by non-uniform current spreading, lateral optical confinement, contact resistance, and absorption loss.

  16. Nonpolar III-nitride vertical-cavity surface-emitting lasers incorporating an ion implanted aperture

    KAUST Repository

    Leonard, J. T.

    2015-07-06

    © 2015 AIP Publishing LLC. We report on our recent progress in improving the performance of nonpolar III-nitride vertical-cavity surface-emitting lasers (VCSELs) by using an Al ion implanted aperture and employing a multi-layer electron-beam evaporated ITO intracavity contact. The use of an ion implanted aperture improves the lateral confinement over SiNx apertures by enabling a planar ITO design, while the multi-layer ITO contact minimizes scattering losses due to its epitaxially smooth morphology. The reported VCSEL has 10 QWs, with a 3nm quantum well width, 1nm barriers, a 5nm electron-blocking layer, and a 6.95- λ total cavity thickness. These advances yield a single longitudinal mode 406nm nonpolar VCSEL with a low threshold current density (∼16kA/cm2), a peak output power of ∼12μW, and a 100% polarization ratio. The lasing in the current aperture is observed to be spatially non-uniform, which is likely a result of filamentation caused by non-uniform current spreading, lateral optical confinement, contact resistance, and absorption loss.

  17. Modulating macrophage polarization with divalent cations in nanostructured titanium implant surfaces

    International Nuclear Information System (INIS)

    Lee, Chung-Ho; Kim, Youn-Jeong; Jang, Je-Hee; Park, Jin-Woo

    2016-01-01

    Nanoscale topographical modification and surface chemistry alteration using bioactive ions are centrally important processes in the current design of the surface of titanium (Ti) bone implants with enhanced bone healing capacity. Macrophages play a central role in the early tissue healing stage and their activity in response to the implant surface is known to affect the subsequent healing outcome. Thus, the positive modulation of macrophage phenotype polarization (i.e. towards the regenerative M2 rather than the inflammatory M1 phenotype) with a modified surface is essential for the osteogenesis funtion of Ti bone implants. However, relatively few advances have been made in terms of modulating the macrophage-centered early healing capacity in the surface design of Ti bone implants for the two important surface properties of nanotopography and and bioactive ion chemistry. We investigated whether surface bioactive ion modification exerts a definite beneficial effect on inducing regenerative M2 macrophage polarization when combined with the surface nanotopography of Ti. Our results indicate that nanoscale topographical modification and surface bioactive ion chemistry can positively modulate the macrophage phenotype in a Ti implant surface. To the best of our knowledge, this is the first demonstration that chemical surface modification using divalent cations (Ca and Sr) dramatically induces the regenerative M2 macrophage phenotype of J774.A1 cells in nanostructured Ti surfaces. In this study, divalent cation chemistry regulated the cell shape of adherent macrophages and markedly up-regulated M2 macrophage phenotype expression when combined with the nanostructured Ti surface. These results provide insight into the surface engineering of future Ti bone implants that are harmonized between the macrophage-governed early wound healing process and subsequent mesenchymal stem cell-centered osteogenesis function. (paper)

  18. Modulating macrophage polarization with divalent cations in nanostructured titanium implant surfaces

    Science.gov (United States)

    Lee, Chung-Ho; Kim, Youn-Jeong; Jang, Je-Hee; Park, Jin-Woo

    2016-02-01

    Nanoscale topographical modification and surface chemistry alteration using bioactive ions are centrally important processes in the current design of the surface of titanium (Ti) bone implants with enhanced bone healing capacity. Macrophages play a central role in the early tissue healing stage and their activity in response to the implant surface is known to affect the subsequent healing outcome. Thus, the positive modulation of macrophage phenotype polarization (i.e. towards the regenerative M2 rather than the inflammatory M1 phenotype) with a modified surface is essential for the osteogenesis funtion of Ti bone implants. However, relatively few advances have been made in terms of modulating the macrophage-centered early healing capacity in the surface design of Ti bone implants for the two important surface properties of nanotopography and and bioactive ion chemistry. We investigated whether surface bioactive ion modification exerts a definite beneficial effect on inducing regenerative M2 macrophage polarization when combined with the surface nanotopography of Ti. Our results indicate that nanoscale topographical modification and surface bioactive ion chemistry can positively modulate the macrophage phenotype in a Ti implant surface. To the best of our knowledge, this is the first demonstration that chemical surface modification using divalent cations (Ca and Sr) dramatically induces the regenerative M2 macrophage phenotype of J774.A1 cells in nanostructured Ti surfaces. In this study, divalent cation chemistry regulated the cell shape of adherent macrophages and markedly up-regulated M2 macrophage phenotype expression when combined with the nanostructured Ti surface. These results provide insight into the surface engineering of future Ti bone implants that are harmonized between the macrophage-governed early wound healing process and subsequent mesenchymal stem cell-centered osteogenesis function.

  19. Theoretical predictions of the lateral spreading of implanted ions

    International Nuclear Information System (INIS)

    Ashworth, D.G.; Oven, R.

    1986-01-01

    The theoretical model and computer program (AAMPITS-3D) of Ashworth and co-workers for the calculation of three-dimensional distributions of implanted ions in multi-element amorphous targets are extended to show that the lateral rest distribution is gaussian in a form with a lateral standard deviation (lateral-spread function) which is a function of depth beneath the target surface. A method is given whereby this function may be accurately determined from a knowledge of the projected range and chord range rest distribution functions. Examples of the lateral-spread function are given for boron, phosphorus and arsenic ions implanted into silicon and a detailed description is given of how the lateral-spread function may be used in conjunction with the projected range rest distribution function to provide a fully three-dimensional rest distribution of ions implanted into amorphous targets. Examples of normalised single ion isodensity contours computed from AMPITS-3D are compared with those obtained using the previous assumption of a lateral standard deviation which was independent of distance beneath the target surface. (author)

  20. Plasma source ion implantation research at southwestern institute of physics

    International Nuclear Information System (INIS)

    Shang Zhenkui; Geng Man; Tong Honghui

    1997-10-01

    The PSII-EX device and PSII-IM device for research and development of plasma source ion implantation (PSII) technology are described briefly. The functions, main technical specifications and properties of the devices are also discussed. After ion implantation by PSII, the improvements of the surface-mechanical properties (such as microhardness, wear-resistance, friction factor, biological compatibility, etc) for some materials, microanalysis and numerical simulation of modified layers of materials, the technical developments for the practical workpiece treatments and the preliminary experiments for plasma source ion implantation-enhanced deposition are introduced too. As last, the future work about PSII have been proposed

  1. Ion implantation for microelectronics

    International Nuclear Information System (INIS)

    Dearnaley, G.

    1977-01-01

    Ion implantation has proved to be a versatile and efficient means of producing microelectronic devices. This review summarizes the relevant physics and technology and assesses the advantages of the method. Examples are then given of widely different device structures which have been made by ion implantation. While most of the industrial application has been in silicon, good progress continues to be made in the more difficult field of compound semiconductors. Equipment designed for the industrial ion implantation of microelectronic devices is discussed briefly. (Auth.)

  2. Materials science issues of plasma source ion implantation

    International Nuclear Information System (INIS)

    Nastasi, M.; Faehl, R.J.; Elmoursi, A.A.

    1996-01-01

    Ion beam processing, including ion implantation and ion beam assisted deposition (IBAD), are established surface modification techniques which have been used successfully to synthesize materials for a wide variety of tribological applications. In spite of the flexibility and promise of the technique, ion beam processing has been considered too expensive for mass production applications. However, an emerging technology, Plasma Source Ion Implantation (PSII), has the potential of overcoming these limitations to become an economically viable tool for mass industrial applications. In PSII, targets are placed directly in a plasma and then pulsed-biased to produce a non-line-of-sight process for intricate target geometries without complicated fixturing. If the bias is a relatively high negative potential (20--100 kV) ion implantation will result. At lower voltages (50--1,200 V), deposition occurs. Potential applications for PSII are in low-value-added products such as tools used in manufacturing, orthopedic devices, and the production of wear coatings for hard disk media. This paper will focus on the technology and materials science associated with PSII

  3. Change of chemical bond and wettability of polylacticacid implanted with high-flux carbon ion

    International Nuclear Information System (INIS)

    Zhang Jizhong; Kang Jiachen; Zhang Xiaoji; Zhou Hongyu

    2008-01-01

    Polylacticacid (PLA) was submitted to high-flux carbon ion implantation with energy of 40 keV. It was investigated to the effect of ion fluence (1 x 10 12 -1 x 10 15 ions/cm 2 ) on the properties of the polymer. X-ray photoelectron spectroscopy (XPS), atomic force microscopy (AFM), wettability, and roughness were employed to study change of structure and properties of the as-implanted PLA samples. Six carbon bonds, that is, C, C-H, C-O-C, C-O, O-C-O, and >C=O, were observed on surfaces of the as-implanted PLA samples. The intensities of various chemical bonds changed with increasing ion fluence. AFM images displayed that there was irradiation damage and that it was related closely with ion fluence. At fluence as high as 1 x 10 15 ions/cm 2 surface-restructuring phenomenum took place on the surface of the PLA. Wettability was also affected by the variation on the fluence. With increasing ion fluence, the water contact angle of the as-implanted PLA samples changed gradually reaching a maximum of 76.5 deg. with 1 x 10 13 ions/cm 2 . The experimental results revealed that carbon ion fluence strongly affected surface chemical bond, morphology, wettability, and roughness of the PLA samples

  4. Solid-state microwave annealing of ion-implanted 4H-SiC

    International Nuclear Information System (INIS)

    Sundaresan, Siddarth G.; Tian, Yong-lai; Ridgway, Mark C.; Mahadik, Nadeemullah A.; Qadri, Syed B.; Rao, Mulpuri V.

    2007-01-01

    Solid-state microwave annealing was performed at temperatures up to 2120 deg, C for 30 s on ion-implanted 4H-SiC in N 2 ambient. The surface roughness in the samples annealed without a surface cap at 1950 deg, C is 2.65 nm for 10 μm x 10 μm atomic force microscopy scans. The sheet resistances measured on Al + - and P + -implanted 4H-SiC, annealed by microwaves, are lower than the best conventional furnace annealing results reported in literature. X-ray diffraction spectra indicate alleviation of the lattice damage induced by the ion-implantation and also incorporation of most of the implanted species into substitutional lattice sites

  5. New developments in metal ion implantation by vacuum arc ion sources and metal plasma immersion

    International Nuclear Information System (INIS)

    Brown, I.G.; Anders, A.; Anders, S.

    1996-01-01

    Ion implantation by intense beams of metal ions can be accomplished using the dense metal plasma formed in a vacuum arc discharge embodied either in a vacuum arc ion source or in a metal plasma immersion configuration. In the former case high energy metal ion beams are formed and implantation is done in a more-or-less conventional way, and in the latter case the substrate is immersed in the plasma and repetitively pulse-biased so as to accelerate the ions at the high voltage plasma sheath formed at the substrate. A number of advances have been made in the last few years, both in plasma technology and in the surface modification procedures, that enhance the effectiveness and versatility of the methods, including for example: controlled increase of the in charge states produced; operation in a dual metal-gaseous ion species mode; very large area beam formation; macroparticle filtering; and the development of processing regimes for optimizing adhesion, morphology and structure. These complementary ion processing techniques provide the plasma tools for doing ion surface modification over a very wide parameter regime, from pure ion implantation at energies approaching the MeV level, through ion mixing at energies in the ∼1 to ∼100 keV range, to IBAD-like processing at energies from a few tens of eV to a few keV. Here the authors review the methods, describe a number of recent developments, and outline some of the surface modification applications to which the methods have been put. 54 refs., 9 figs

  6. Friction and wear of stainless steel, titanium and aluminium with various surface treatments, ion implantation and overlay hard coatings

    International Nuclear Information System (INIS)

    Bunshah, R.F.

    1979-01-01

    This paper deals with the evaluation of the wear properties of 304 stainless steel, commercial grade titanium and commercial grade aluminium without and with different surface treatments, i.e., ion implantation of boron and nitrogen, and overlay coating of superhard materials, titanium carbide and nitride by the Biased Activated Reactive Evaporation (BARE) process. Wear properties were evaluated in adhesive, erosive and abrasive modes of wear. In the case of adhesive wear, ion implantation resulted in an improved wear behaviour in lubricated conditions but had no beneficial effect in dry wear conditions. Overlay coatings on the other hand resulted in improved wear behaviour for both the dry and lubricating conditions. In the case of erosive wear with SiC particles at high velocities, overlay coatings showed higher erosion rates (typical of brittle materials in normal impingement) whereas ion implanted materials behaved similarly as untreated materials; i.e., a lower wear rate than the specimens with overlay coatings. In the case of abrasive wear, it was again observed that the wear rates of overlay coatings is far lower than the wear rates of untreated or ion implanted materials. (author)

  7. Polyatomic ions from a high current ion implanter driven by a liquid metal ion source

    Science.gov (United States)

    Pilz, W.; Laufer, P.; Tajmar, M.; Böttger, R.; Bischoff, L.

    2017-12-01

    High current liquid metal ion sources are well known and found their first application as field emission electric propulsion thrusters in space technology. The aim of this work is the adaption of such kind of sources in broad ion beam technology. Surface patterning based on self-organized nano-structures on, e.g., semiconductor materials formed by heavy mono- or polyatomic ion irradiation from liquid metal (alloy) ion sources (LMAISs) is a very promising technique. LMAISs are nearly the only type of sources delivering polyatomic ions from about half of the periodic table elements. To overcome the lack of only very small treated areas by applying a focused ion beam equipped with such sources, the technology taken from space propulsion systems was transferred into a large single-end ion implanter. The main component is an ion beam injector based on high current LMAISs combined with suited ion optics allocating ion currents in the μA range in a nearly parallel beam of a few mm in diameter. Different types of LMAIS (needle, porous emitter, and capillary) are presented and characterized. The ion beam injector design is specified as well as the implementation of this module into a 200 kV high current ion implanter operating at the HZDR Ion Beam Center. Finally, the obtained results of large area surface modification of Ge using polyatomic Bi2+ ions at room temperature from a GaBi capillary LMAIS will be presented and discussed.

  8. Elimination of carbon vacancies in 4H-SiC epi-layers by near-surface ion implantation: Influence of the ion species

    Energy Technology Data Exchange (ETDEWEB)

    Ayedh, H. M.; Svensson, B. G. [University of Oslo, Department of Physics/Center for Materials Science and Nanotechnology, P.O. Box 1048 Blindern, N-0316 Oslo (Norway); Hallén, A. [School of Information and Communication Technology (ICT), Royal Institute of Technology, SE-164 40 Kista-Stockholm (Sweden)

    2015-11-07

    The carbon vacancy (V{sub C}) is a prevailing point defect in high-purity 4H-SiC epitaxial layers, and it plays a decisive role in controlling the charge carrier lifetime. One concept of reducing the V{sub C}-concentration is based on carbon self-ion implantation in a near surface layer followed by thermal annealing. This leads to injection of carbon interstitials (C{sub i}'s) and annihilation of V{sub C}'s in the epi-layer “bulk”. Here, we show that the excess of C atoms introduced by the self-ion implantation plays a negligible role in the V{sub C} annihilation. Actually, employing normalized implantation conditions with respect to displaced C atoms, other heavier ions like Al and Si are found to be more efficient in annihilating V{sub C}'s. Concentrations of V{sub C} below ∼2 × 10{sup 11} cm{sup −3} can be reached already after annealing at 1400 °C, as monitored by deep-level transient spectroscopy. This corresponds to a reduction in the V{sub C}-concentration by about a factor of 40 relative to the as-grown state of the epi-layers studied. The negligible role of the implanted species itself can be understood from simulation results showing that the concentration of displaced C atoms exceeds the concentration of implanted species by two to three orders of magnitude. The higher efficiency for Al and Si ions is attributed to the generation of collision cascades with a sufficiently high energy density to promote C{sub i}-clustering and reduce dynamic defect annealing. These C{sub i}-related clusters will subsequently dissolve during the post-implant annealing giving rise to enhanced C{sub i} injection. However, at annealing temperatures above 1500 °C, thermodynamic equilibrium conditions start to apply for the V{sub C}-concentration, which limit the net effect of the C{sub i} injection, and a competition between the two processes occurs.

  9. Mechanical and tribological properties of ion beam-processed surfaces

    International Nuclear Information System (INIS)

    Kodali, P.

    1998-01-01

    The intent of this work was to broaden the applications of well-established surface modification techniques and to elucidate the various wear mechanisms that occur in sliding contact of ion-beam processed surfaces. The investigation included characterization and evaluation of coatings and modified surfaces synthesized by three surface engineering methods; namely, beam-line ion implantation, plasma-source ion implantation, and DC magnetron sputtering. Correlation among measured properties such as surface hardness, fracture toughness, and wear behavior was also examined. This dissertation focused on the following areas of research: (1) investigating the mechanical and tribological properties of mixed implantation of carbon and nitrogen into single crystal silicon by beam-line implantation; (2) characterizing the mechanical and tribological properties of diamond-like carbon (DLC) coatings processed by plasma source ion implantation; and (3) developing and evaluating metastable boron-carbon-nitrogen (BCN) compound coatings for mechanical and tribological properties. The surface hardness of a mixed carbon-nitrogen implant sample improved significantly compared to the unimplanted sample. However, the enhancement in the wear factor of this sample was found to be less significant than carbon-implanted samples. The presence of nitrogen might be responsible for the degraded wear behavior since nitrogen-implantation alone resulted in no improvement in the wear factor. DLC coatings have low friction, low wear factor, and high hardness. The fracture toughness of DLC coatings has been estimated for the first time. The wear mechanism in DLC coatings investigated with a ruby slider under a contact stress of 1 GPa was determined to be plastic deformation. The preliminary data on metastable BCN compound coatings indicated high friction, low wear factor, and high hardness

  10. Ion implantation: [fundamental factors which affect accelerator performance and their implications

    International Nuclear Information System (INIS)

    Armour, D.G.

    1987-01-01

    The use of ion implantation to modify the composition of the near surface layers of solid materials has been widely exploited in the semiconductor industry and is finding increasing application in the treatment of metals, ceramics and polymers. The bombardment of a solid with energetic ions inevitably involves the deposition of energy as well as material and this effect, which results in unwanted effects such as radiation damage in conventional implantation situations, is also being utilized to assist in the deposition of highly adherent or epitaxial layers. The increasing range of applications of ion implantation and ion assisted processing of materials has placed increasingly stringent demands on machine performance; in the present paper implantation techniques and their applications will be discussed. (author)

  11. Improved corrosion resistance on biodegradable magnesium by zinc and aluminum ion implantation

    Science.gov (United States)

    Xu, Ruizhen; Yang, Xiongbo; Suen, Kai Wong; Wu, Guosong; Li, Penghui; Chu, Paul K.

    2012-12-01

    Magnesium and its alloys have promising applications as biodegradable materials, and plasma ion implantation can enhance the corrosion resistance by modifying the surface composition. In this study, suitable amounts of zinc and aluminum are plasma-implanted into pure magnesium. The surface composition, phases, and chemical states are determined, and electrochemical tests and electrochemical impedance spectroscopy (EIS) are conducted to investigate the surface corrosion behavior and elucidate the mechanism. The corrosion resistance enhancement after ion implantation is believed to stem from the more compact oxide film composed of magnesium oxide and aluminum oxide as well as the appearance of the β-Mg17Al12 phase.

  12. Si+ and N+ ion implantation for improving blood compatibility of medical poly(methyl methacrylate)

    International Nuclear Information System (INIS)

    Li, D.J.; Cui, F.Z; Cui, F.Z.

    1998-01-01

    Si + and N + ion implantation into medical poly(methyl methacrylate) (PMMA) were performed at an energy of 80 keV with fluences ranging from 5x10 12 to 5x10 15 ions/cm 2 at room temperature to improve blood compatibility. The results of the blood contacting measurements in vitro showed that the anticoagulability and anticalcific behaviour on the surface morphology were enhanced after ion implantation. No appreciable change in the surface morphology was detected by scanning electron microscopy (SEM). X-ray photoelectron spectroscopy (XPS) analysis indicated that ion implantation broke some original chemical bonds on the surface to form some new Si- and N-containing groups. These results were considered responsible for the enhancement in the blood compatibility of PMMA. (author)

  13. Transition Metal Ion Implantation into Diamond-Like Carbon Coatings: Development of a Base Material for Gas Sensing Applications

    Directory of Open Access Journals (Sweden)

    Andreas Markwitz

    2015-01-01

    Full Text Available Micrometre thick diamond-like carbon (DLC coatings produced by direct ion deposition were implanted with 30 keV Ar+ and transition metal ions in the lower percentage (<10 at.% range. Theoretical calculations showed that the ions are implanted just beneath the surface, which was confirmed with RBS measurements. Atomic force microscope scans revealed that the surface roughness increases when implanted with Ar+ and Cu+ ions, whereas a smoothing of the surface from 5.2 to 2.7 nm and a grain size reduction from 175 to 93 nm are measured for Ag+ implanted coatings with a fluence of 1.24×1016 at. cm−2. Calculated hydrogen and carbon depth profiles showed surprisingly significant changes in concentrations in the near-surface region of the DLC coatings, particularly when implanted with Ag+ ions. Hydrogen accumulates up to 32 at.% and the minimum of the carbon distribution is shifted towards the surface which may be the cause of the surface smoothing effect. The ion implantations caused an increase in electrical conductivity of the DLC coatings, which is important for the development of solid-state gas sensors based on DLC coatings.

  14. Early stages of oxidation of ion-implanted nickel at high temperature

    International Nuclear Information System (INIS)

    Peide, Z.; Grant, W.A.; Procter, R.P.M.

    1981-01-01

    The early stages of oxidation of nickel implanted with nickel, chromium, or lithium ions in oxygen at 1100 0 C have been studied using various electron-optical techniques. The unimplanted metal develops initially a fine-grained, convoluted scale having a ridged, cellular structure. Subsequently, the oxide grains increase in size significantly and oxidation becomes predominantly controlled by diffusion of Ni /sup 2+/ ions across a compact, columnar scale. Implantation of the surface with nickel ions has no significant effect on the initial oxidation behavior. However, after implantation with chromium or lithium ions, the development of the NiO scale is, in the early stages of oxidation, suppressed by formation of NiCr 2 O 4 or LiO 2 nodules, respectively. Subsequently, the implanted species are incorporated into the steady-state NiO scale where they dope the oxide and thus influence the diffusion rate of Ni /sup 2+/ ions through it. As would be predicted, the steady-state oxidation rate of chromium-implanted nickel is increased while that of lithium- implanted nickel is decreased compared with that of the unimplanted metal

  15. Long range implantation by MEVVA metal ion source

    International Nuclear Information System (INIS)

    Zhang Tonghe; Wu Yuguang; Ma Furong; Liang Hong

    2001-01-01

    Metal vapor vacuum arc (MEVVA) source ion implantation is a new technology used for achieving long range ion implantation. It is very important for research and application of the ion beam modification of materials. The results show that the implanted atom diffusion coefficient increases in Mo implanted Al with high ion flux and high dose. The implanted depth is 311.6 times greater than that of the corresponding ion range. The ion species, doses and ion fluxes play an important part in the long-range implantation. Especially, thermal atom chemistry have specific effect on the long-range implantation during high ion flux implantation at transient high target temperature

  16. Corrosion resistance of modified layer on uranium formed by plasma immersion ion implantation

    International Nuclear Information System (INIS)

    Long Zhong; Liu Kezhao; Bai Bin; Yan Dongxu

    2010-01-01

    Nitrogen ion was implanted into uranium surface using plasma immersion ion implantation, and the corrosion resistance of modified layer was studied by corrosion experiment. SEM was used to observe variety of samples surface. In atmosphere, the sample surface had not changed during five months. In heat-humid environment, there was dot-corrosion appearing after two months, but it did not influence the integrity of the modified layer. AES was used to study the diffusion of oxygen and nitrogen during hot-humid corrosion, in three months, both of two elements diffused to the substrate, but the diffusion was weak. The structure of modified layer was not changed. Experimental results show that the modified layer formed by plasma immersion ion implantation has good corrosion resistance.

  17. Corrosion resistance of modified layer on uranium formed by plasma immersion ion implantation

    Energy Technology Data Exchange (ETDEWEB)

    Long Zhong, E-mail: long2001@163.co [China Academy of Engineering Physics, Mianyang, Sichuan, 621900 (China); Liu Kezhao; Bai Bin; Yan Dongxu [China Academy of Engineering Physics, Mianyang, Sichuan, 621900 (China)

    2010-02-18

    Nitrogen ion was implanted into uranium surface using plasma immersion ion implantation, and the corrosion resistance of modified layer was studied by corrosion experiment. SEM was used to observe variety of samples surface. In atmosphere, the sample surface had not changed during five months. In heat-humid environment, there was dot-corrosion appearing after two months, but it did not influence the integrity of the modified layer. AES was used to study the diffusion of oxygen and nitrogen during hot-humid corrosion, in three months, both of two elements diffused to the substrate, but the diffusion was weak. The structure of modified layer was not changed. Experimental results show that the modified layer formed by plasma immersion ion implantation has good corrosion resistance.

  18. Influence of implantation of three metallic ions on the mechanical properties of two polymers

    Energy Technology Data Exchange (ETDEWEB)

    Swain, M.V. [Commonwealth Scientific and Industrial Research Organisation (CSIRO), Lindfield, NSW (Australia). Div. of Applied Physics; Perry, A.J. [Australian National Univ., Canberra, ACT (Australia); Treglio, J.R.

    1996-12-31

    Ion implantation of poly ethylene terephthalate (PET) and polystyrene (PS) with various high energy metallic ions at 70 kV to dose of 3 x 10{sup 16} ions/cm 2 have been made. Measurements of the mechanical properties of the polymers before and after implantation have been made with an ultra microindentation system using both pointed and a small (2 nm) radiused spherical tipped indenter. Significant differences have been observed between the Ti-B dual implanted surfaces and those of the Au and W implanted surfaces. For both the PET and PS the resistance to indenter penetration at very low loads was much greater for the Ti-B dual implanted surfaces. The estimated hardness and modulus versus depth of penetration for both indenters shows that the spherical indenter produces more consistent and less controversial values that are somewhat lower than the optimistic estimates from pointed indenters. 8 refs., 2 fig.

  19. Influence of implantation of three metallic ions on the mechanical properties of two polymers

    Energy Technology Data Exchange (ETDEWEB)

    Swain, M V [Commonwealth Scientific and Industrial Research Organisation (CSIRO), Lindfield, NSW (Australia). Div. of Applied Physics; Perry, A J [Australian National Univ., Canberra, ACT (Australia); Treglio, J R

    1997-12-31

    Ion implantation of poly ethylene terephthalate (PET) and polystyrene (PS) with various high energy metallic ions at 70 kV to dose of 3 x 10{sup 16} ions/cm 2 have been made. Measurements of the mechanical properties of the polymers before and after implantation have been made with an ultra microindentation system using both pointed and a small (2 nm) radiused spherical tipped indenter. Significant differences have been observed between the Ti-B dual implanted surfaces and those of the Au and W implanted surfaces. For both the PET and PS the resistance to indenter penetration at very low loads was much greater for the Ti-B dual implanted surfaces. The estimated hardness and modulus versus depth of penetration for both indenters shows that the spherical indenter produces more consistent and less controversial values that are somewhat lower than the optimistic estimates from pointed indenters. 8 refs., 2 fig.

  20. Application of ion implantation in metals and alloys

    International Nuclear Information System (INIS)

    Dearnaley, G.

    1981-01-01

    Ion implantation first became established as a precise method of introducing dopant elements into semiconductors. It is now appreciated that there may be equally important applications in metallic tools or components with the purpose of improving their resistance to wear, fatigue or corrosion. Nitrogen ions implanted into steels pin dislocations and thereby harden the metal. Some metallic ions such as yttrium reduce the tendency for oxidative wear. There is a fairly good understanding of how both treatments can provide a long-lasting protection that extends to many times the original depth of implantation. Nitrogen implantation also improves the wear resistance of Co-cemented tungsten carbide and of hard chromium electroplated coatings. These treatments have wide application in press tools, molds, dies and other metal-forming tools as well as in a more limited variety of cutting tools. Some striking improvements can be achieved in the corrosion field, but there are economic and technical reasons for concluding that practical applications of ion implantation will be more restricted and specialized in this area. The most promising area is that in which mechanical stress and oxidation coexist. When a metallic species has to be introduced, a promising new development is to bombard a thin coating of the metal at an elevated temperature. Several powerful mechanisms of radiation-enhanced diffusion can bring about a complete intermixing. Examples of how this has been used to produce wear resistant surfaces in titanium are given. Finally, the equipment developed for the large scale application of the ion implantation process in the engineering field is described

  1. Martensitic transformation of type 304 stainless steel by high-energy ion implantation

    International Nuclear Information System (INIS)

    Chayahara, A.; Satou, M.; Nakashima, S.; Hashimoto, M.; Sasaki, T.; Kurokawa, M.; Kiyama, S.

    1991-01-01

    The effect of high-energy ion implantation on the structural changes of type 304 stainless steel were investigated. Gold, copper and silicon ions with an energy of 1.5 MeV was implanted into stainless steel. The fluences were in the range from 5x10 15 to 10 17 ions/cm 2 . It was found that the structure of stainless steel was transformed form the austenitic to the martensitic structure by these ion implantations. This structural change was investigated by means of X-ray diffraction and transmission electron microscopy (TEM). The depth profile of the irradiated ions was also analyzed by secondary ion mass spectroscopy (SIMS) and glow discharge spectroscopy (GDS). The degree of martensitic transformation was found to be strongly dependent on the surface pretreatment, either mechanical or electrolytic polishing. When the surface damages or strains by mechanical polishing were present, the martensitic transformation was greatly accelerated presumably due to the combined action of ion irradiation and strain-enhanced transformation. Heavier ions exhibit a high efficiency for the transformation. (orig.)

  2. Biodegradable radioactive implants for glaucoma filtering surgery produced by ion implantation

    Energy Technology Data Exchange (ETDEWEB)

    Assmann, W. [Department fuer Physik, Ludwig-Maximilians-Universitaet Muenchen, 85748 Garching (Germany)]. E-mail: walter.assmann@lmu.de; Schubert, M. [Department fuer Physik, Ludwig-Maximilians-Universitaet Muenchen, 85748 Garching (Germany); Held, A. [Augenklinik, Technische Universitaet Muenchen, 81675 Munich (Germany); Pichler, A. [Augenklinik, Technische Universitaet Muenchen, 81675 Muenchen (Germany); Chill, A. [Zentralinstitut fuer Medizintechnik, Technische Universitaet Muenchen, 85748 Garching (Germany); Kiermaier, S. [Zentralinstitut fuer Medizintechnik, Technische Universitaet Muenchen, 85748 Garching (Germany); Schloesser, K. [Forschungszentrum Karlsruhe, 76021 Karlsruhe (Germany); Busch, H. [NTTF GmbH, 53619 Rheinbreitbach (Germany); Schenk, K. [NTTF GmbH, 53619 Rheinbreitbach (Germany); Streufert, D. [Acri.Tec GmbH, 16761 Hennigsdorf (Germany); Lanzl, I. [Augenklinik, Technische Universitaet Muenchen, 81675 Munich (Germany)

    2007-04-15

    A biodegradable, {beta}-emitting implant has been developed and successfully tested which prevents fresh intraocular pressure increase after glaucoma filtering surgery. Ion implantation has been used to load the polymeric implants with the {beta}-emitter {sup 32}P. The influence of ion implantation and gamma sterilisation on degradation and {sup 32}P-fixation behavior has been studied by ion beam and chemical analysis. Irradiation effects due to the applied ion fluence (10{sup 15} ions/cm{sup 2}) and gamma dose (25 kGy) are found to be tolerable.

  3. Formation of shallow junctions for VLSI by ion implantation and rapid thermal annealing

    International Nuclear Information System (INIS)

    Oeztuerk, M.C.

    1988-01-01

    In this work, several techniques were studied to form shallow junctions in silicon by ion implantation. These include ion implantation through thin layers of silicon dioxide and ion implantation through a thick polycrystalline silicon layer. These techniques can be used to reduce the junction depth. Their main disadvantage is dopant loss in the surface layer. As an alternative, preamorphization of the Si substrate prior to boron implantation to reduce boron channeling was investigated. The disadvantage of preamorphization is the radiation damage introduced into the Si substrate using the implant. Preamorphization by silicon self-implantation has been studied before. The goal of this study was to test Ge as an alternative amorphizing agent. It was found that good-quality p + -n junctions can be formed by both boron and BF 2 ion implantation into Ge-preamorphized Si provided that the preamorphization conditions are optimized. If the amorphous crystalline interface is sufficiently close to the surface, it is possible to completely remove the end-of-range damage. If these defects are not removed and are left in the depletion region, they can result in poor-quality, leaky junctions

  4. Ion Implantation of Calcium and Zinc in Magnesium for Biodegradable Implant Applications

    Directory of Open Access Journals (Sweden)

    Sahadev Somasundaram

    2018-01-01

    Full Text Available In this study, magnesium was implanted with calcium-ion and zinc-ion at fluences of 1015, 1016, and 1017 ion·cm−2, and its in vitro degradation behaviour was evaluated using electrochemical techniques in simulated body fluid (SBF. Rutherford backscattering spectrometry (RBS revealed that the implanted ions formed layers within the passive magnesium-oxide/hydroxide layers. Electrochemical impedance spectroscopy (EIS results demonstrated that calcium-ion implantation at a fluence of 1015 ions·cm−2 increased the polarisation resistance by 24%, but higher fluences showed no appreciable improvement. In the case of zinc-ion implantation, increase in the fluence decreased the polarisation resistance. A fluence of 1017 ion·cm−2 decreased the polarisation resistance by 65%, and fluences of 1015 and 1016 showed only marginal effect. Similarly, potentiodynamic polarisation results also suggested that low fluence of calcium-ion decreased the degradation rate by 38% and high fluence of zinc-ion increased the degradation rate by 61%. All the post-polarized ion-implanted samples and the bare metal revealed phosphate and carbonate formation. However, the improved degradative behaviour in calcium-ion implanted samples can be due to a relatively better passivation, whereas the reduction in degradation resistance in zinc-ion implanted samples can be attributed to the micro-galvanic effect.

  5. The effect of ion implantation on the fatigue behavior of metals and alloys

    International Nuclear Information System (INIS)

    Chakrabortty, S.B.; Kujore, A.; Legg, K.O.; Starke, E.A.

    1981-01-01

    The effect of ion implantation on the strain and stress controlled fatigue behavior of polycrystalline copper has been investigated. The cyclic stress-strain response, strain-life and stress-life relationships and fatigue crack nucleation behavior have been studied. The results from the non-implanted materials have been compared with those from the implanted materials. Four implant species, one with a positive misfit, one with a negative misfit, one with a zero misfit, and one insoluble under equilibrium conditions have been used. Most of the fatigue tests were performed in laboratory air. Ion implantation changes the surface deformation behavior for both monotonic and cyclic loading with a corresponding change in hardening rate. Larger changes are observed for the cyclic loading. Implantations which lead to a more homogeneous deformation (fine slip) near the surface, improves the resistance to fatigue crack initiation. Surface compressive residual stresses, induced from implanting a positive misfit species, have a major influence on crack initiation in the stress-life regime

  6. Tribological studies of nitrogen ion implantation induced overlayer coatings of amorphous carbon and carbonitride phase

    International Nuclear Information System (INIS)

    Kumar, N.; Srivastava, S.K.; Pandian, R.; Bahuguna, Ashok; Dhara, S.; Nair, K.G.M.; Dash, S.; Tyagi, A.K.

    2013-01-01

    Highlights: ► Composite phase of amorphous carbon and carbonitride phase is observed on the N + ion implanted surface of steel. ► Advanced properties of implanted surface shows low friction coefficient of ∼0.05. ► High wear resistance 4.3 × 10 −8 mm 3 /Nm of N + implanted surface is obtained. -- Abstract: Morphology and microstructure of N + ion implanted 316 LN steel are found to modify with irradiated substrate temperature. At low temperature of 100 °C, self-similar micro-ripples are formed but at high temperature of 200 and 300 °C, micro-pores and blisters are observed on the implanted surface. Chemically modified surface is found to consist of amorphous carbon and carbonitride phase. Such composite characteristic of implanted steel surface at irradiated substrate temperature of 300 °C shows improved tribological properties with low friction coefficient and high wear resistance

  7. Endothelial cell adhesion to ion implanted polymers

    Energy Technology Data Exchange (ETDEWEB)

    Suzuki, Y; Kusakabe, M [SONY Corp., Tokyo (Japan); Lee, J S; Kaibara, M; Iwaki, M; Sasabe, H [RIKEN (Inst. of Physical and Chemical Research), Saitama (Japan)

    1992-03-01

    The biocompatibility of ion implanted polymers has been studied by means of adhesion measurements of bovine aorta endothelial cells in vitro. The specimens used were polystyrene (PS) and segmented polyurethane (SPU). Na{sup +}, N{sub 2}{sup +}, O{sub 2}{sup +} and Kr{sup +} ion implantations were performed at an energy of 150 keV with fluences ranging from 1x10{sup 15} to 3x10{sup 17} ions/cm{sup 2} at room temperature. The chemical and physical structures of ion-implanted polymers have been investigated in order to analyze their tissue compatibility such as improvement of endothelial cell adhesion. The ion implanted SPU have been found to exhibit remarkably higher adhesion and spreading of endothelial cells than unimplanted specimens. By contrast, ion implanted PS demonstrated a little improvement of adhesion of cells in this assay. Results of FT-IR-ATR showed that ion implantation broke the original chemical bond to form new radicals such as OH, ....C=O, SiH and condensed rings. The results of Raman spectroscopy showed that ion implantation always produced a peak near 1500 cm{sup -1}, which indicated that these ion implanted PS and SPU had the same carbon structure. This structure is considered to bring the dramatic increase in the extent of cell adhesion and spreading to these ion implanted PS and SPU. (orig.).

  8. Homo-epitaxial diamond film growth on ion implanted diamond substrates

    Energy Technology Data Exchange (ETDEWEB)

    Weiser, P S; Prawer, S; Nugent, K W; Bettiol, A A; Kostidis, L I; Jamieson, D N [Melbourne Univ., Parkville, VIC (Australia). School of Physics

    1997-12-31

    The nucleation of CVD diamond is a complicated process, governed by many interrelated parameters. In the present work we attempt to elucidate the effect of strain on the growth of a homo-epitaxial CVD diamond. We have employed laterally confined high dose (MeV) Helium ion implantation to produce surface swelling of the substrate. The strain is enhanced by the lateral confinement of the implanted region to squares of 100 x 100 {mu}m{sup 2}. After ion implantation, micro-Raman spectroscopy was employed to map the surface strain. The substrates were then inserted into a CVD reactor and a CVD diamond film was grown upon them. Since the strained regions were laterally confined, it was then possible to monitor the effect of strain on diamond nucleation. The substrates were also analysed using Rutherford Backscattering Spectroscopy (RBS), Proton induced X-ray Emission (PIXE) and Ion Beam induced Luminescence (IBIL). 7 refs., 5 figs.

  9. Homo-epitaxial diamond film growth on ion implanted diamond substrates

    Energy Technology Data Exchange (ETDEWEB)

    Weiser, P.S.; Prawer, S.; Nugent, K.W.; Bettiol, A.A.; Kostidis, L.I.; Jamieson, D.N. [Melbourne Univ., Parkville, VIC (Australia). School of Physics

    1996-12-31

    The nucleation of CVD diamond is a complicated process, governed by many interrelated parameters. In the present work we attempt to elucidate the effect of strain on the growth of a homo-epitaxial CVD diamond. We have employed laterally confined high dose (MeV) Helium ion implantation to produce surface swelling of the substrate. The strain is enhanced by the lateral confinement of the implanted region to squares of 100 x 100 {mu}m{sup 2}. After ion implantation, micro-Raman spectroscopy was employed to map the surface strain. The substrates were then inserted into a CVD reactor and a CVD diamond film was grown upon them. Since the strained regions were laterally confined, it was then possible to monitor the effect of strain on diamond nucleation. The substrates were also analysed using Rutherford Backscattering Spectroscopy (RBS), Proton induced X-ray Emission (PIXE) and Ion Beam induced Luminescence (IBIL). 7 refs., 5 figs.

  10. Homo-epitaxial diamond film growth on ion implanted diamond substrates

    International Nuclear Information System (INIS)

    Weiser, P.S.; Prawer, S.; Nugent, K.W.; Bettiol, A.A.; Kostidis, L.I.; Jamieson, D.N.

    1996-01-01

    The nucleation of CVD diamond is a complicated process, governed by many interrelated parameters. In the present work we attempt to elucidate the effect of strain on the growth of a homo-epitaxial CVD diamond. We have employed laterally confined high dose (MeV) Helium ion implantation to produce surface swelling of the substrate. The strain is enhanced by the lateral confinement of the implanted region to squares of 100 x 100 μm 2 . After ion implantation, micro-Raman spectroscopy was employed to map the surface strain. The substrates were then inserted into a CVD reactor and a CVD diamond film was grown upon them. Since the strained regions were laterally confined, it was then possible to monitor the effect of strain on diamond nucleation. The substrates were also analysed using Rutherford Backscattering Spectroscopy (RBS), Proton induced X-ray Emission (PIXE) and Ion Beam induced Luminescence (IBIL). 7 refs., 5 figs

  11. Structure and micro-mechanical properties of helium-implanted layer on Ti by plasma-based ion implantation

    International Nuclear Information System (INIS)

    Ma Xinxin; Li Jinlong; Sun Mingren

    2008-01-01

    The present paper concentrates on structure and micro-mechanical properties of the helium-implanted layer on titanium treated by plasma-based ion implantation with a pulsed voltage of -30 kV and doses of 3, 6, 9 and 12 x 10 17 ions/cm 2 , respectively. X-ray photoelectron spectroscopy and transmission electron microscopy are employed to characterize the structure of the implanted layer. The hardnesses at different depths of the layer were measured by nano-indentation. We found that helium ion implantation into titanium leads to the formation of bubbles with a diameter from a few to more than 10 nm and the bubble size increases with the increase of dose. The primary existing form of Ti is amorphous in the implanted layer. Helium implantation also enhances the ingress of O, C and N and stimulates the formations of TiO 2 , Ti 2 O 3 , TiO, TiC and TiN in the near surface layer. And the amount of the ingressed oxygen is obviously higher than those of nitrogen and carbon due to its higher activity. At the near surface layer, the hardnesses of all implanted samples increases remarkably comparing with untreated one and the maximum hardness has an increase by a factor of up to 3.7. For the samples implanted with higher doses of 6, 9 and 12 x 10 17 He/cm 2 , the local displacement bursts are clearly found in the load-displacement curves. For the samples implanted with a lower dose of 3 x 10 17 He/cm 2 , there is no obvious displacement burst found. Furthermore, the burst width increases with the increase of the dose

  12. Mechanical and Structural Properties of Fluorine-Ion-Implanted Boron Suboxide

    Directory of Open Access Journals (Sweden)

    Ronald Machaka

    2012-01-01

    degradation of near-surface mechanical properties with increasing fluorine fluence. Implications of these observations in the creation of amorphous near-surface layers by high-dose ion implantation are discussed in this paper.

  13. Improving corrosion resistance of magnesium-based alloys by surface modification with hydrogen by electrochemical ion reduction (EIR) and by plasma immersion ion implantation (PIII)

    Energy Technology Data Exchange (ETDEWEB)

    Bakkar, A. [Institut fuer Materialpruefung und Werkstofftechnik, Dr. Doelling und Dr. Neubert GmbH, Freiberger Strasse 1, 38678 Clausthal (Germany); Department of Metallurgy and Materials Engineering, Suez Canal University, P.O. Box 43721, Suez (Egypt); Neubert, V. [Institut fuer Materialpruefung und Werkstofftechnik, Dr. Doelling und Dr. Neubert GmbH, Freiberger Strasse 1, 38678 Clausthal (Germany)]. E-mail: volkmar.neubert@tu-clausthal.de

    2005-05-01

    Magnesium-based hydrides are well known that they have a high hydrogen-storage capacity. In this study, two different methods have been provided for hydrogen surface modification of high purity magnesium (hp Mg) and AZ91 magnesium alloy. One was electrochemical ion reduction (EIR) of hydrogen from an alkaline electrolyte on such Mg-based cathode. The other was plasma immersion ion implantation (PIII or PI{sup 3}) into Mg-based substrate. The depth profile of H-modified surfaces was described by Auger electron spectroscopy (AES) and by secondary ion mass spectrometry (SIMS) measurements. Corrosion testing was carried out in Avesta cell by potentiodynamic polarisation in chloride-containing aqueous solutions of pH 7 and pH 12. A greatly significant improvement in the corrosion resistance of H-modified surfaces was verified.

  14. Improving corrosion resistance of magnesium-based alloys by surface modification with hydrogen by electrochemical ion reduction (EIR) and by plasma immersion ion implantation (PIII)

    International Nuclear Information System (INIS)

    Bakkar, A.; Neubert, V.

    2005-01-01

    Magnesium-based hydrides are well known that they have a high hydrogen-storage capacity. In this study, two different methods have been provided for hydrogen surface modification of high purity magnesium (hp Mg) and AZ91 magnesium alloy. One was electrochemical ion reduction (EIR) of hydrogen from an alkaline electrolyte on such Mg-based cathode. The other was plasma immersion ion implantation (PIII or PI 3 ) into Mg-based substrate. The depth profile of H-modified surfaces was described by Auger electron spectroscopy (AES) and by secondary ion mass spectrometry (SIMS) measurements. Corrosion testing was carried out in Avesta cell by potentiodynamic polarisation in chloride-containing aqueous solutions of pH 7 and pH 12. A greatly significant improvement in the corrosion resistance of H-modified surfaces was verified

  15. The influence of ion implantation on the oxidation of nickel

    International Nuclear Information System (INIS)

    Goode, P.D.

    1975-11-01

    The effects of ion implantation on the oxidation of polycrystalline nickel have been studied for a range of implanted species: viz. He, Li, Ne, Ca, Ti, Ni, Co, Xe, Ce and Bi. The oxides were grown in dry oxygen at 630 0 C and the 16 O(d,p) 17 O nuclear reaction technique used to determine the amount of oxygen taken up. The influence of atomic and ionic size, valency and electronegativity of the implanted impurities was studied as also were the effects of ion bombardment damage and the influence of sputtering during implantation. Atomic size and the annealing of disorder were found to have a marked influence on oxide growth rate. The dependence of oxidation on annealing was further studied by implanting polycrystalline specimens with self ions and observing the oxide growth rate as a function of annealing temperature. A peak in the curve was found at 400 0 C and a similar peak observed at a somewhat higher temperature for oxidised single crystals. It is concluded that the oxidation rate will be influenced by those factors which alter the epitaxial relationship between metal and growing oxide. Such factors include atomic size of the implanted species, surface strain induced by implantation and changes in surface topography as a result of sputtering. In addition a model based on vacancy assisted cation migration is proposed to explain enhanced oxidation observed over a limited temperature range. (author)

  16. Nonlinear damage effect in graphene synthesis by C-cluster ion implantation

    International Nuclear Information System (INIS)

    Zhang Rui; Zhang Zaodi; Wang Zesong; Wang Shixu; Wang Wei; Fu Dejun; Liu Jiarui

    2012-01-01

    We present few-layer graphene synthesis by negative carbon cluster ion implantation with C 1 , C 2 , and C 4 at energies below 20 keV. The small C-clusters were produced by a source of negative ion by cesium sputtering with medium beam current. We show that the nonlinear effect in cluster-induced damage is favorable for graphene precipitation compared with monomer carbon ions. The nonlinear damage effect in cluster ion implantation shows positive impact on disorder reduction, film uniformity, and the surface smoothness in graphene synthesis.

  17. Ion bombardment modification of surfaces

    International Nuclear Information System (INIS)

    Auciello, O.

    1984-01-01

    An historical overview of the main advances in the understanding of bombardment-induced surface topography is presented. The implantation and sputtering mechanisms which are relevant to ion bombardment modification of surfaces and consequent structural, electronic and compositional changes are described. Descriptions of plasma and ion-beam sputtering-induced film formation, primary ion-beam deposition, dual beam techniques, cluster of molecule ion-beam deposition, and modification of thin film properties by ion bombardment during deposition are presented. A detailed account is given of the analytical and computational modelling of topography from the viewpoint of first erosion theory. Finally, an account of the possible application and/or importance of textured surfaces in technologies and/or experimental techniques not considered in previous chapters is presented. refs.; figs.; tabs

  18. Surface modification of the hard metal tungsten carbide-cobalt by boron ion implantation

    International Nuclear Information System (INIS)

    Mrotchek, I.

    2007-01-01

    In the present thesis ion beam implantation of boron is studied as method for the increasement of the hardness and for the improvement of the operational characteristics of cutting tools on the tungsten carbide-cobalt base. For the boron implantation with 40 keV energy and ∼5.10 17 ions/cm 2 fluence following topics were shown: The incoerporation of boron leads to a deformation and remaining strain of the WC lattice, which possesses different stregth in the different directions of the elementary cell. The maximum of the deformation is reached at an implantation temperature of 450 C. The segregation of the new phases CoWB and Co 3 W was detected at 900 C implantation temperature. At lower temperatures now new phases were found. The tribological characteristics of WC-Co are improved. Hereby the maxiaml effect was measured for implantation temperatures from 450 C to 700 C: Improvement of the microhardness by the factor 2..2.5, improvement of the wear resistance by the factor 4. The tribological effects extend to larger depths than the penetration depth of the boron implantation profile. The detected property improvements of the hard metal H3 show the possibility of a practical application of boron ion implantation in industry. The effects essential for a wer decreasement are a hardening of the carbide phase by deformation of the lattice, a hardening of the cobalt binding material and the phase boundaries because of the formation of a solid solution of the implanted boron atoms in Co and by this a blocking of the dislocation movement and the rupture spreading under load

  19. XPS studies of SiO/sub 2/ surface layers formed by oxygen ion implantation into silicon

    Energy Technology Data Exchange (ETDEWEB)

    Schulze, D.; Finster, J. (Karl-Marx-Universitaet, Leipzig (German Democratic Republic). Sektion Chemie); Hensel, E.; Skorupa, W.; Kreissig, U. (Zentralinstitut fuer Kernforschung, Rossendorf bei Dresden (German Democratic Republic))

    1983-03-16

    SiO/sub 2/ surface layers of 160 nm thickness formed by /sup 16/O/sup +/ ion implantation into silicon are examined by X-ray photoelectron spectroscopy measurements into the depth after a step-by-step chemical etching. The chemical nature and the thickness of the transition layer were determined. The results of the XPS measurements show that the outer surface and the bulk of the layers formed by oxygen implantation and subsequent high temperature annealing consist of SiO/sub 2/. There is no evidence for Si or SiO/sub x/ (0

  20. Implanting very low energy atomic ions into surface adsorbed cage molecules: the formation/emission of Cs/C60+

    International Nuclear Information System (INIS)

    Kolodney, Eli; Kaplan, Andrey; Manor, Yoni; Bekkerman, Anatoly; Tsipinyuk, Boris

    2004-01-01

    Full Text: We demonstrate the formation of an endo-complex via a collision of energetic ions with molecular overlayers on a surface. An incoming atomic ion is encapsulated inside a very large molecule or cluster by implanting the primary ion into the target species, which then recovers its original structure or rearrange itself around the implanted ion in some stable configuration. Here we describe an experiment resulting in the formation and ejection of an endo-complex, within a single collision. We study the formation and emission of endohedral fullerenes, Cs/C 60 + and Cs/C 70 + , following a single collision of Cs + ion with a sub-monolayer of C 60 (steady state coverage) on gold and silicon surfaces and with a sub-monolayer of C 70 on gold. A continuous low energy (E 0 =35-220 eV) Cs + ion beam hit the Cs + covered surface and the collisional formation and ejection of the endohedral Cs/Cs 60 + complex, within a single Cs + /C 60 collision was observed and characterized. Several experimental observations clearly demonstrate the single collision nature of the combined atom penetration endo-complex ejection event. The fullerene molecule is actually being picked up off the surface by the penetrating Cs + ion. The evidence for the trapping of the Cs + ion inside the fullerene cage is given both by the appearance of the Cs/Cs (602-2n) + (n=1-5) sequence and its termination at Cs/Cs 50 + . Kinetic Energy Distributions (KEDs) of the outgoing Cs/Cs 60 + were measured for two different Cs + impact energies under field-free conditions. The most striking observation is the near independence of the KEDs on the impact energy. Both KEDs peak around 1.2 eV with similar line shapes. A simple model for the formation/ejection/fragmentation dynamics of the endohedral complex is proposed and is found to be in good agreement with the experimental results

  1. Effects of O2 and H2O plasma immersion ion implantation on surface chemical composition and surface energy of poly vinyl chloride

    International Nuclear Information System (INIS)

    Zhang Wei; Chu, Paul K.; Ji Junhui; Zhang, Yihe; Jiang Zhimin

    2006-01-01

    Oxygen and water plasma immersion ion implantation (PIII) was used to modify poly vinyl chloride (PVC) to enhance oxygen-containing surface functional groups for more effective grafting. The modified surfaces were characterized by X-ray photoelectron spectroscopy (XPS), attenuated total reflection Fourier transform infrared spectroscopy (ATR-FTIR), and contact angle measurements. Our experimental results show that both oxygen and water PIII can greatly improve the O to C ratios on the surface. The optimal plasma processing conditions differ for the two treatments. The hydrophilicity and surface energy of the plasma-implanted PVC are also improved significantly. Our results indicate that O 2 and H 2 O PIII increase both the polar and dispersion interactions and consequently the surface energy. It can be explained by the large amount of oxygen introduced to the surface and that many C-C bonds are transformed into more polar oxygen containing functional groups

  2. X-ray photoelectron spectroscopic depth profilometry of nitrogen implanted in materials for modification of their surface properties

    International Nuclear Information System (INIS)

    Sarkissian, A.H.; Paynter, R.; Stansfield, B.L.

    1996-01-01

    The modification of the surface properties of materials has a wide range of industrial applications. For example, the authors change the electrical characteristics of semiconductors, improve surface hardness, decrease friction, increase resistance to corrosion, improve adhesion, etc. Nitriding is one of the most common processes used in industry for surface treatment. Nitrogen ion implantation is one technique often used to achieve this goal. Ion implantation offers the power to control the deposition profile, and can be achieved by either conventional ion beam implantation or plasma assisted ion implantation. They have used the technique of plasma assisted ion implantation to implant nitrogen in several materials, including titanium, silicon and stainless steel. The plasma source is a surface ECR source developed at INRS-Energie et Materiaux. The depth profile of the implanted ions has been measured by X-ray photoelectron spectroscopy. They have also conducted simulations using the TRIM-95 code to predict the depth profile of the implanted ions. Comparisons of the measured results with those from simulations are used to deduce information regarding the plasma composition and the collisional effects in the plasma. A fast responding, current and voltage measuring circuit with fiber optic links is being developed, which allows more accurate quantitative measurements. Further experiments to study the characteristics of the plasma, and their effects on the characteristics of the implanted surfaces are in progress, and the results are presented at this meeting

  3. Nanocomposites formed by ion implantation: Recent developments and future opportunities

    International Nuclear Information System (INIS)

    Meldrum, A.; Boatner, L.A.; White, C.W.

    2001-01-01

    Ion implantation is a versatile and powerful technique for forming many types of nanocrystalline precipitates embedded in the near-surface region of a wide variety of crystalline and amorphous host materials. The unique optical, electronic and magnetic properties of these nanocomposites has stimulated considerable recent research interest. In this review, we discuss recent developments in the field as well as some of the problems that currently hinder the potential applications of nanocomposites formed by ion implantation

  4. Electrochemical investigations of ion-implanted oxide films

    International Nuclear Information System (INIS)

    Schultze, J.W.; Danzfuss, B.; Meyer, O.; Stimming, U.

    1985-01-01

    Oxide films (passive films) of 40-50 nm thickness were prepared by anodic polarization of hafnium and titanium electrodes up to 20 V. Multiple-energy ion implantation of palladium, iron and xenon was used in order to obtain modified films with constant concentration profiles of the implanted ions. Rutherford backscattering, X-ray photoelectron spectroscopy measurements and electrochemical charging curves prove the presence of implanted ions, but electrochemical and photoelectrochemical measurements indicate that the dominating effect of ion implantation is the disordering of the oxide film. The capacity of hafnium electrodes increases as a result of an increase in the dielectric constant D. For titanium the Schottky-Mott analysis shows that ion implantation causes an increase in D and the donor concentration N. Additional electronic states in the band gap which are created by the implantation improve the conductivity of the semiconducting or insulating films. This is seen in the enhancement of electron transfer reactions and its disappearance during repassivation and annealing. Energy changes in the band gap are derived from photoelectrochemical measurements; the absorption edge of hafnium oxide films decreases by approximately 2 eV because of ion implantation, but it stays almost constant for titanium oxide films. All changes in electrochemical behavior caused by ion implantation show little variation with the nature of the implanted ion. Hence the dominating effect seems to be a disordering of the oxide. (Auth.)

  5. Effect of ion implantation on the corrosion behavior of lead and a lead-antimony alloy

    International Nuclear Information System (INIS)

    Zhang, S.T.; Kong, F.P.; Muller, R.H.

    1994-01-01

    Ion implantation of different metals in Pb and Pb-4% Sb has been found to improve the open-circuit corrosion resistance of the two metals in 5M H 2 SO 4 . Titanium ions were implanted under different conditions of ion dose and ion energy. Optimum implantation conditions resulted in an up to 72-fold reduction of corrosion currents. The implantation of V, Cr, Ni, and W has been investigated for one implantation condition and has also resulted in decreased corrosion currents. The corrosion behavior was characterized by the current response to small anodic potential steps. Surface analysis and depth profiles have shown the importance of the spatial distribution of the implanted ions for their effects on the anodic and cathodic parts of the corrosion reactions

  6. Ion implantation of metals

    International Nuclear Information System (INIS)

    Dearnaley, G.

    1976-01-01

    In this part of the paper descriptions are given of the effects of ion implantation on (a) friction and wear in metals; and (b) corrosion of metals. In the study of corrosion, ion implantation can be used either to introduce a constituent that is known to convey corrosion resistance, or more generally to examine the parameters which control corrosion. (U.K.)

  7. Sliding wear characteristics of carburized steels and thermally refined steels implanted with nitrogen ions

    International Nuclear Information System (INIS)

    Terashima, Keiichi; Koda, Hiroyuki; Takeuchi, Eiichi.

    1995-01-01

    In order to concretely examine the application of surface reforming by ion implantation, nitrogen ion implantation was applied to the thermally refined steels S45C and SCM440 and the carburized steel SCM415, which are high versatile steels for mechanical structures, and their friction and wear characteristics were examined. The results are summarized as follows. In the surface-reformed material, in which nitrogen was implanted for the purpose of improving the seizure durability of the carburized steel, the load-frictional coefficient curve in lubricated sliding friction was similar to that of the material without implantation, but it was recognized that the load at which seizure occurred reached 2000 kgf or more, and as the amount of implantation was more, the material withstood higher load. In the lubricated sliding friction using a pin-ring type wear testing machine of the thermally refined steels and those to which implantation was applied, it was recognized that the specific wear amount was less in the implanted steels than in those without implantation. The results of the analysis of the implanted surface layers and the friction surfaces are reported. (K.I.)

  8. The characteristics of surface oxidation and corrosion resistance of nitrogen implanted zircaloy-4

    International Nuclear Information System (INIS)

    Tang, G.; Choi, B.H.; Kim, W.; Jung, K.S.; Kwon, H.S.; Lee, S.J.; Lee, J.H.; Song, T.Y.; Shon, D.H.; Han, J.G.

    1997-01-01

    This work is concerned with the development and application of ion implantation techniques for improving the corrosion resistance of zircaloy-4. The corrosion resistance in nitrogen implanted zircaloy-4 under a 120 keV nitrogen ion beam at an ion dose of 3 x 10 17 cm -2 depends on the implantation temperature. The characteristics of surface oxidation and corrosion resistance were analyzed with the change of implantation temperature. It is shown that as implantation temperature rises from 100 to 724 C, the colour of specimen surface changes from its original colour to light yellow at 100 C, golden at 175 C, pink at 300 C, blue at 440 C and dark blue at 550 C. As the implantation temperature goes above 640 C, the colour of surface changes to light black, and the surface becomes a little rough. The corrosion resistance of zircaloy-4 implanted with nitrogen is sensitive to the implantation temperature. The pitting potential of specimens increases from 176 to 900 mV (SCE) as the implantation temperature increases from 100 to 300 C, and decreases from 900 to 90 mV(SCE) as the implantation temperature increases from 300 to 640 C. The microstructure, the distribution of oxygen, nitrogen and carbon elements, the oxide grain size and the feature of the precipitation in the implanted surface were investigated by optical microscope, TEM, EDS, XRD and AES. The experimental results reveal that the ZrO 2 is distributed mainly on the outer surface. The ZrN is distributed under the ZrO 2 layer. The characteristics of the distribution of ZrO 2 and ZrN in the nitrogen-implanted zircaloy-4 is influenced by the implantation temperature of the sample, and in turn the corrosion resistance is influenced. (orig.)

  9. Surface Passivation and Junction Formation Using Low Energy Hydrogen Implants

    Science.gov (United States)

    Fonash, S. J.

    1985-01-01

    New applications for high current, low energy hydrogen ion implants on single crystal and polycrystal silicon grain boundaries are discussed. The effects of low energy hydrogen ion beams on crystalline Si surfaces are considered. The effect of these beams on bulk defects in crystalline Si is addressed. Specific applications of H+ implants to crystalline Si processing are discussed. In all of the situations reported on, the hydrogen beams were produced using a high current Kaufman ion source.

  10. Dislocation loops in spinel crystals irradiated successively with deep and shallow ion implants

    International Nuclear Information System (INIS)

    Ai, R.X.; Cooper, E.A.; Sickafus, K.E.; Nastasi, M.; Bordes, N.; Ewing, R.C.

    1993-01-01

    This study examines the influence of microstructural defects on irradiation damage accumulation in the oxide spinel. Single crystals of the compound MgAl 2 O 4 with surface normal [111] were irradiated under cryogenic temperature (100K) either with 50 keV Ne ions (fluence 5.0 x 10 12 /cm 2 ), 400 keV Ne ions (fluence 6.7 x 10 13 /cm 2 ) or successively with 400 keV Ne ions followed by 50 keV Ne ions. The projected range of 50 keV Ne ions in spinel is ∼50 mn (''shallow'') while the projected range of 400 keV Ne ions is ∼500 mn (''deep''). Transmission electron microscopy (TEM) was used to examine dislocation loops/defect clusters formed by the implantation process. Measurements of the dislocation loop size were made using weak-beam imaging technique on cross-sectional TEM ion-implanted specimens. Defect clusters were observed in both deep and shallow implanted specimens, while dislocation loops were observed in the shallow implanted sample that was previously irradiated by 400 keV Ne ions. Cluster size was seen to increase for shallow implants in crystals irradiated with a deep implant (size ∼8.5 nm) as compared to crystals treated only to a shallow implant (size ∼3.1 nm)

  11. Improving Passivation Process of Si Nanocrystals Embedded in SiO2 Using Metal Ion Implantation

    Directory of Open Access Journals (Sweden)

    Jhovani Bornacelli

    2013-01-01

    Full Text Available We studied the photoluminescence (PL of Si nanocrystals (Si-NCs embedded in SiO2 obtained by ion implantation at MeV energy. The Si-NCs are formed at high depth (1-2 μm inside the SiO2 achieving a robust and better protected system. After metal ion implantation (Ag or Au, and a subsequent thermal annealing at 600°C under hydrogen-containing atmosphere, the PL signal exhibits a noticeable increase. The ion metal implantation was done at energies such that its distribution inside the silica does not overlap with the previously implanted Si ion . Under proper annealing Ag or Au nanoparticles (NPs could be nucleated, and the PL signal from Si-NCs could increase due to plasmonic interactions. However, the ion-metal-implantation-induced damage can enhance the amount of hydrogen, or nitrogen, that diffuses into the SiO2 matrix. As a result, the surface defects on Si-NCs can be better passivated, and consequently, the PL of the system is intensified. We have selected different atmospheres (air, H2/N2 and Ar to study the relevance of these annealing gases on the final PL from Si-NCs after metal ion implantation. Studies of PL and time-resolved PL indicate that passivation process of surface defects on Si-NCs is more effective when it is assisted by ion metal implantation.

  12. Ion implantation for semiconductors

    International Nuclear Information System (INIS)

    Grey-Morgan, T.

    1995-01-01

    Full text: Over the past two decades, thousands of particle accelerators have been used to implant foreign atoms like boron, phosphorus and arsenic into silicon crystal wafers to produce special embedded layers for manufacturing semiconductor devices. Depending on the device required, the atomic species, the depth of implant and doping levels are the main parameters for the implantation process; the selection and parameter control is totally automated. The depth of the implant, usually less than 1 micron, is determined by the ion energy, which can be varied between 2 and 600 keV. The ion beam is extracted from a Freeman or Bernas type ion source and accelerated to 60 keV before mass analysis. For higher beam energies postacceleration is applied up to 200 keV and even higher energies can be achieved by mass selecting multiplycharged ions, but with a corresponding reduction in beam output. Depending on the device to be manufactured, doping levels can range from 10 10 to 10 15 atoms/cm 2 and are controlled by implanter beam currents in the range up to 30mA; continuous process monitoring ensures uniformity across the wafer of better than 1 % . As semiconductor devices get smaller, additional sophistication is required in the design of the implanter. The silicon wafers charge electrically during implantation and this charge must be dissipated continuously to reduce the electrical stress in the device and avoid destructive electrical breakdown. Electron flood guns produce low energy electrons (below 10 electronvolts) to neutralize positive charge buildup and implanter design must ensure minimum contamination by other isotopic species and ensure low internal sputter rates. The pace of technology in the semiconductor industry is such that implanters are being built now for 256 Megabit circuits but which are only likely to be widely available five years from now. Several specialist companies manufacture implanter systems, each costing around US$5 million, depending on the

  13. Immobilization of calcium and phosphate ions improves the osteoconductivity of titanium implants

    International Nuclear Information System (INIS)

    Sunarso; Toita, Riki; Tsuru, Kanji; Ishikawa, Kunio

    2016-01-01

    In this work, to elevate weak osteoconductivity of titanium (Ti) implant, we prepared a Ti implant having both calcium and phosphate ions on its surface. To modify calcium and phosphate ions onto Ti, phosphate ions were first immobilized by treating the Ti with a NaH 2 PO 4 solution, followed by CaCl 2 treatment to immobilize calcium ions, which created the calcium and phosphate ions-modified Ti (Ca-P-Ti). X-ray photoelectron spectroscopy and thin-layer X-ray diffraction measurement confirmed that both phosphate and calcium ions were co-immobilized onto the Ti surface on the molecular level. Three-hour after seeding MC3T3-E1 murine pre-osteoblast cells on substrates, cell number on Ca-P-Ti was much larger than that of Ti and phosphate-modified Ti (P-Ti), but was similar to that of calcium-modified Ti (Ca-Ti). Also, MC3T3-E1 cells on Ca-P-Ti expressed larger amount of vinculin, a focal adhesion protein, than those on other substrates, probably resulting in larger cell size as well as greater cell proliferation on Ca-P-Ti than those on other substrates. Alkaline phosphatase activity of cells on Ca-P-Ti was greater than those on Ti and P-Ti, but was almost comparable to that of Ca-Ti. Moreover, the largest amount of bone-like nodule formation was observed on Ca-P-Ti. These results provide evidence that calcium and phosphate ions-co-immobilization onto Ti increased the osteoconductivity of Ti by stimulating the responses of pre-osteoblast cells. This simple modification would be promising technique for bone tissue implant including dental and orthopedic implants. - Highlights: • Phosphate and calcium ions have been successfully co-immobilize on Ti surface. • Co-immobilization of Ca and phosphate ions (Ca-P-Ti) did not alter the original surface morphology. • Ca-P-Ti significantly improved initial MC3T3-E1 cell adhesion. • Ca-P-Ti demonstrated remarkable cell proliferation, differentiation and mineralization. • Overall, Ca-P-Ti would be a promising bone

  14. Development of industrial ion implantation technology

    International Nuclear Information System (INIS)

    Choi, Byung Hoh; Jung, Kee Suk; Kim, Wan; Song, Woo Sub; Hwang, Chul Kyoo

    1994-02-01

    We developed an ion implanter fitted for the treatment of 12 inch or larger wafers to make 256 or higher Mega D-Ram wafers. Design features are dual usage of gas/solid for the ion source loading, production of multi-balanced ions, and the possible oxygen ion implantation. BOSII program was used for the ion optics calculation. Beams are triangularly scanned to wafers for the even implantation by a proper magnetic field application. More than 10 mA ion current is produced. For the efficient implantation to be made, target is made to rotate with tilted angle at a displaced axis. High speed tools, diamond tools, precision dies, and razor blades were implanted and the performance was evaluated after two or three times of line application. Of those materials studied, PCB drills and end mills are on the commercial treatment stages. Industrial materials as SKD-11, WC-Co, NAK-55 was compositely treated with ion beam and coating. Resultant properties were analyzed using AES, XRD, and TEM. For the case of xenon ions, excellent TiN coating resulted and its application to microcircuit lead frame increased the performance to more than 30 percent. 94 figs, 29 pix, 19 tabs, 50 refs. (Author)

  15. Development of industrial ion implantation technology

    Energy Technology Data Exchange (ETDEWEB)

    Choi, Byung Hoh; Jung, Kee Suk; Kim, Wan; Song, Woo Sub; Hwang, Chul Kyoo [Korea Atomic Energy Research Institute, Taejon (Korea, Republic of)

    1994-02-01

    We developed an ion implanter fitted for the treatment of 12 inch or larger wafers to make 256 or higher Mega D-Ram wafers. Design features are dual usage of gas/solid for the ion source loading, production of multi-balanced ions, and the possible oxygen ion implantation. BOSII program was used for the ion optics calculation. Beams are triangularly scanned to wafers for the even implantation by a proper magnetic field application. More than 10 mA ion current is produced. For the efficient implantation to be made, target is made to rotate with tilted angle at a displaced axis. High speed tools, diamond tools, precision dies, and razor blades were implanted and the performance was evaluated after two or three times of line application. Of those materials studied, PCB drills and end mills are on the commercial treatment stages. Industrial materials as SKD-11, WC-Co, NAK-55 was compositely treated with ion beam and coating. Resultant properties were analyzed using AES, XRD, and TEM. For the case of xenon ions, excellent TiN coating resulted and its application to microcircuit lead frame increased the performance to more than 30 percent. 94 figs, 29 pix, 19 tabs, 50 refs. (Author).

  16. Surface and corrosion characteristics of carbon plasma implanted and deposited nickel-titanium alloy

    International Nuclear Information System (INIS)

    Poon, R.W.Y.; Liu, X.Y.; Chung, C.Y.; Chu, P.K.; Yeung, K.W.K.; Lu, W.W.; Cheung, K.M.C.

    2005-01-01

    Nickel-titanium shape memory alloys (NiTi) are potentially useful in orthopedic implants on account of their super-elastic and shape memory properties. However, the materials are prone to surface corrosion and the most common problem is out-diffusion of harmful Ni ions from the substrate into body tissues and fluids. In order to improve the corrosion resistance and related surface properties, we used the technique of plasma immersion ion implantation and deposition to deposit an amorphous hydrogenated carbon coating onto NiTi and implant carbon into NiTi. Both the deposited amorphous carbon film and carbon plasma implanted samples exhibit much improved corrosion resistances and surface mechanical properties and possible mechanisms are suggested

  17. Modulation of the sound press level by the treatment of polymer diaphragms through ion implantation method

    International Nuclear Information System (INIS)

    Yeo, Sunmog; Park, Jaewon; Lee, Hojae

    2010-01-01

    We present two different surface modification treatments, an ion implantation, and an ion beam mixing, and show that the surface modifications caused by these treatments are useful tools to modulate the sound press level. The ion implantations on various polymer diaphragms cause an increase in the resonant frequency so that the sound press level is lowered at low frequencies. On the contrary, a Cu or Fe 2 O 3 coating by using an ion beam mixing method causes a decrease in the resonant frequency, resulting in a high sound press level at low frequencies. We discuss the physical reasons for the change in the sound press level due to the ion-implantation methods.

  18. Au{sup 3+} ion implantation on FTO coated glasses: Effect on structural, electrical, optical and phonon properties

    Energy Technology Data Exchange (ETDEWEB)

    Sahu, Bindu; Dey, Ranajit; Bajpai, P.K., E-mail: bajpai.pk1@gmail.com

    2017-06-01

    Highlights: • Effects of 11.00 MeV Au{sup 3+} ions implanted in FTO coated (thickness ≈300 nm) silicate glasses at varying fluence. • Metal clustering near the surface and subsurface region below glass-FTO interface changes electrical and optical properties significantly. • Ion implantation does not affect the crystalline structure of the coated films; however, the tetragonal distortion increases with increasing ion fluence. • Significant surface reconstruction takes place with ion beam fluence; The average roughness also decreases with increasing fluence. • The sheet resistivity increases with increasing fluence. • Raman analysis also corroborates the re-crystallization process inducing due to ion implantation. • Optical properties of the implanted surfaces changes significantly. - Abstract: Effects of 11.00 MeV Au{sup 3+} ions implanted in FTO coated (thickness ≈300 nm) silicate glasses on structural, electrical optical and phonon behavior have been explored. It has been observed that metal clustering near the surface and sub-surface region below glass-FTO interface changes electrical and optical properties significantly. Ion implantation does not affect the crystalline structure of the coated films; however, the unit cell volume decreases with increase in fluence and the tetragonal distortion (c/a ratio) also decreases systematically in the implanted samples. The sheet resistivity of the films increases from 11 × 10{sup −5} ohm-cm (in pristine) to 7.5 × 10{sup −4} ohm-cm for highest ion beam fluence ≈10{sup 15} ions/cm{sup 2}. The optical absorption decreases with increasing fluence whereas, the optical transmittance as well as reflectance increases with increasing fluence. The Raman spectra are observed at ∼530 cm{sup −1} and ∼1103 cm{sup −1} in pristine sample. The broad band at 530 cm{sup −1} shifts towards higher wave number in the irradiated samples. This may be correlated with increased disorder and strain relaxation in

  19. A Mutant of Bacillus Subtilis with High-Producing Surfactin by Ion Beam Implantation

    International Nuclear Information System (INIS)

    Liu Qingmei; Yuan Hang; Wang Jun; Gong Guohong; Zhou Wei; Fan Yonghong; Wang Li; Yao Jianming; Yu Zengliang

    2006-01-01

    In order to generate a mutant of Bacillus subtilis with enhanced surface activity through low energy nitrogen ion beam implantation, the effects of energy and dose of ions implanted were studied. The morphological changes in the bacteria were observed by scanning electron microscope (SEM). The optimum condition of ions implantation, 20 keV of energy and 2.6x10 15 N + /cm 2 in dose, was determined. A mutant, B.s-E-8 was obtained, whose surface activity of 50-fold and 100-fold diluted cell-free Landy medium was as 5.6-fold and 17.4-fold as the wild strain. The microbial growth and biosurfactant production of both the mutant and the wild strain were compared. After purified by ultrafiltration and SOURCE 15PHE, the biosurfactant was determined to be a complex of surfactin family through analysis of electrospray ionization mass spectrum (ESI/MS) and there was an interesting finding that after the ion beam implantation the intensities of the components were different from the wild type strain

  20. Tribological behavior of duplex coating improved by ion implantation

    International Nuclear Information System (INIS)

    Kakas, D.; Skoric, B.; Rakita, M.

    2004-01-01

    In the present paper the tribological behavior of the coatings are discussed. Duplex coatings were applied on cold working steel 100Cr6. Samples were plasma nitrided at different thickness of plasma surface layers. TiN was deposited with a classic BALZERS PVD equipment and subsequent ion implantation. Ion implantation was provided with N 5+ ions. The other samples were produced with IBAD technology in DANFYSIK chamber. Wear resistance and exchanges of friction coefficient were measured with on-line test using special designed tribology equipment. Following the tests, the wear zone morphology and characteristics of surface layer structure as well as important properties were investigated by scanning electron microscopy (SEM) and X-ray diffraction analysis (XRD). Scratch adhesion testing was performed using commercially available equipment. Energy dispersive X-ray analysis (EDAX) of the wear-scars on pins provided essential information on the wear characteristics. In this paper some results related to influence of duplex coating production methodology on tribological behavior for cold working steel was presented

  1. The structural and optical properties of metal ion-implanted GaN

    Energy Technology Data Exchange (ETDEWEB)

    Macková, A.; Malinský, P. [Nuclear Physics Institute of the Academy of Sciences of the Czech Republic, v.v.i., 250 68 Řež (Czech Republic); Department of Physics, Faculty of Science, J.E. Purkinje University, České Mládeže 8, 400 96 Ústí nad Labem (Czech Republic); Sofer, Z.; Šimek, P.; Sedmidubský, D. [Department of Inorganic Chemistry, Institute of Chemical Technology, 166 28 Prague (Czech Republic); Veselý, M. [Dept. of Organic Technology, University of Chemistry and Technology Prague, Technická 5, 166 28 Prague 6 (Czech Republic); Böttger, R. [Institute of Ion Beam Physics and Materials Research, Helmholtz-Zentrum Dresden – Rossendorf, 01328 Dresden (Germany)

    2016-03-15

    The practical development of novel optoelectronic materials with appropriate optical properties is strongly connected to the structural properties of the prepared doped structures. We present GaN layers oriented along the (0 0 0 1) crystallographic direction that have been grown by low-pressure metal–organic vapour-phase epitaxy (MOVPE) on sapphire substrates implanted with 200 keV Co{sup +}, Fe{sup +} and Ni{sup +} ions. The structural properties of the ion-implanted layers have been characterised by RBS-channelling and Raman spectroscopy to obtain a comprehensive insight into the structural modification of implanted GaN layers and to study the subsequent influence of annealing on crystalline-matrix recovery. Photoluminescence was measured to control the desired optical properties. The post-implantation annealing induced the structural recovery of the modified GaN layer depending on the introduced disorder level, e.g. depending on the ion implantation fluence, which was followed by structural characterisation and by the study of the surface morphology by AFM.

  2. Improved oxidation resistance of group VB refractory metals by Al+ ion implantation

    International Nuclear Information System (INIS)

    Hampikian, J.M.

    1996-01-01

    Aluminum ion implantation of vanadium, niobium, and tantalum improved the metals' oxidation resistances at 500 C and 735 C. Implanted vanadium oxidized only to one-third the extent of unimplanted vanadium when exposed at 500 C to air. The oxidative weight gains of implanted niobium and tantalum proved negligible when measured at 500 C and for times sufficient to fully convert the untreated metals to their pentoxides. At 735 C, implantation of vanadium only slightly retarded its oxidation, while oxidative weight gains of niobium and tantalum were reduced by factors of 3 or more. Implanted niobium exhibited weight gain in direct proportion to oxidation time squared at 735 C. Microstructural examination of the metals implanted with selected fluences of the 180 kV aluminum ions showed the following. The solubility limit of aluminum is extended by implantation, the body centered cubic (bcc) phases being retained to ∼60 at. pct Al in all three metals. The highest fluence investigated, 2.4 x 10 22 ions/m 2 , produced an ∼400-nm layer of VAl 3 beneath the surface of vanadium, and ∼300-nm layers of an amorphous phase containing ∼70 at. pct Al beneath the niobium and tantalum surfaces. All three metals, implanted to this fluence and annealed at 600 C, contained tri-aluminides, intermetallic compounds known for their oxidation resistances. Specimens implanted to this fluence were thus selected for the oxidation measurements

  3. Multifunctions of dual Zn/Mg ion co-implanted titanium on osteogenesis, angiogenesis and bacteria inhibition for dental implants.

    Science.gov (United States)

    Yu, Yiqiang; Jin, Guodong; Xue, Yang; Wang, Donghui; Liu, Xuanyong; Sun, Jiao

    2017-02-01

    In order to improve the osseointegration and long-term survival of dental implants, it is urgent to develop a multifunctional titanium surface which would simultaneously have osteogeneic, angiogeneic and antibacterial properties. In this study, a potential dental implant material-dual Zn/Mg ion co-implanted titanium (Zn/Mg-PIII) was developed via plasma immersion ion implantation (PIII). The Zn/Mg-PIII surfaces were found to promote initial adhesion and spreading of rat bone marrow mesenchymal stem cells (rBMSCs) via the upregulation of the gene expression of integrin α1 and integrin β1. More importantly, it was revealed that Zn/Mg-PIII could increase Zn 2+ and Mg 2+ concentrations in rBMSCs by promoting the influx of Zn 2+ and Mg 2+ and inhibiting the outflow of Zn 2+ , and then could enhance the transcription of Runx2 and the expression of ALP and OCN. Meanwhile, Mg 2+ ions from Zn/Mg-PIII increased Mg 2+ influx by upregulating the expression of MagT1 transporter in human umbilical vein endothelial cells (HUVECs), and then stimulated the transcription of VEGF and KDR via activation of hypoxia inducing factor (HIF)-1α, thus inducing angiogenesis. In addition to this, it was discovered that zinc in Zn/Mg-PIII had certain inhibitory effects on oral anaerobic bacteria (Pg, Fn and Sm). Finally, the Zn/Mg-PIII implants were implanted in rabbit femurs for 4 and 12weeks with Zn-PIII, Mg-PIII and pure titanium as controls. Micro-CT evaluation, sequential fluorescent labeling, histological analysis and push-out test consistently demonstrated that Zn/Mg-PIII implants exhibit superior capacities for enhancing bone formation, angiogenesis and osseointegration, while consequently increasing the bonding strength at bone-implant interfaces. All these results suggest that due to the multiple functions co-produced by zinc and magnesium, rapid osseointegration and sustained biomechanical stability are enhanced by the novel Zn/Mg-PIII implants, which have the potential

  4. Copper ion implantation of polycarbonate matrices: Morphological and structural properties

    Energy Technology Data Exchange (ETDEWEB)

    Resta, V., E-mail: vincenzo.resta@le.infn.it; Quarta, G.; Maruccio, L.; Calcagnile, L.

    2014-07-15

    The implantation of 1 MeV {sup 63}Cu{sup +} ions in polycarbonate (PC) matrices has been carried out in order to evaluate the morphological and structural modifications induced in the polymer as a function of the ion fluence in the range 5 × 10{sup 13} ions cm{sup −2} to 1 × 10{sup 17} ions cm{sup −2}. Atomic Force Microscopy analysis reveals a significant roughness increase of the polymer surface only for fluences higher than 5 × 10{sup 16} ions cm{sup −2} with the presence of hillock structures which surface density increases with increasing the ion fluence. X-ray Diffraction measurements of PC implanted with fluences in the range between 5 × 10{sup 15} at cm{sup −2} and 5 × 10{sup 16} at cm{sup −2} reveal an increase of the disorder inside the PC matrix, as a consequence of the damaging process induced by the ion irradiation. Evidences about the presence of exotic phase structures ascribed to both cubic Cu{sub 2}O and cubic Cu have been found.

  5. Evaluation of stabilization techniques for ion implant processing

    Science.gov (United States)

    Ross, Matthew F.; Wong, Selmer S.; Minter, Jason P.; Marlowe, Trey; Narcy, Mark E.; Livesay, William R.

    1999-06-01

    With the integration of high current ion implant processing into volume CMOS manufacturing, the need for photoresist stabilization to achieve a stable ion implant process is critical. This study compares electron beam stabilization, a non-thermal process, with more traditional thermal stabilization techniques such as hot plate baking and vacuum oven processing. The electron beam processing is carried out in a flood exposure system with no active heating of the wafer. These stabilization techniques are applied to typical ion implant processes that might be found in a CMOS production process flow. The stabilization processes are applied to a 1.1 micrometers thick PFI-38A i-line photoresist film prior to ion implant processing. Post stabilization CD variation is detailed with respect to wall slope and feature integrity. SEM photographs detail the effects of the stabilization technique on photoresist features. The thermal stability of the photoresist is shown for different levels of stabilization and post stabilization thermal cycling. Thermal flow stability of the photoresist is detailed via SEM photographs. A significant improvement in thermal stability is achieved with the electron beam process, such that photoresist features are stable to temperatures in excess of 200 degrees C. Ion implant processing parameters are evaluated and compared for the different stabilization methods. Ion implant system end-station chamber pressure is detailed as a function of ion implant process and stabilization condition. The ion implant process conditions are detailed for varying factors such as ion current, energy, and total dose. A reduction in the ion implant systems end-station chamber pressure is achieved with the electron beam stabilization process over the other techniques considered. This reduction in end-station chamber pressure is shown to provide a reduction in total process time for a given ion implant dose. Improvements in the ion implant process are detailed across

  6. Annealing effects on the migration of ion-implanted cadmium in glassy carbon

    Energy Technology Data Exchange (ETDEWEB)

    Hlatshwayo, T.T., E-mail: thulani.hlatshwayo@up.ac.za [Physics Department, University of Pretoria, Pretoria (South Africa); Sebitla, L.D. [Physics Department, University of Pretoria, Pretoria (South Africa); Physics Department, University of Botswana, Gaborone (Botswana); Njoroge, E.G.; Mlambo, M.; Malherbe, J.B. [Physics Department, University of Pretoria, Pretoria (South Africa)

    2017-03-15

    The migration behaviour of cadmium (Cd) implanted into glassy carbon and the effects of annealing on radiation damage introduced by ion implantation were investigated. The glassy carbon substrates were implanted with Cd at a dose of 2 × 10{sup 16} ions/cm{sup 2} and energy of 360 keV. The implantation was performed at room temperature (RT), 430 °C and 600 °C. The RT implanted samples were isochronally annealed in vacuum at 350, 500 and 600 °C for 1 h and isothermally annealed at 350 °C up to 4 h. The as-implanted and annealed samples were characterized by Raman spectroscopy and Rutherford backscattering spectrometry (RBS). Raman results revealed that implantation at room temperature amorphized the glassy carbon structure while high temperature implantations resulted in slightly less radiation damage. Isochronal annealing of the RT implanted samples resulted in some recrystallization as a function of increasing temperature. The original glassy carbon structure was not achieved at the highest annealing temperature of 600 °C. Diffusion of Cd in glassy carbon was already taking place during implantation at 430 °C. This diffusion of Cd was accompanied by significant loss from the surface during implantation at 600 °C. Isochronal annealing of the room temperature implanted samples at 350 °C for 1 h caused Cd to diffuse towards the bulk while isothermal annealing at 500 and 600 °C resulted in the migration of implanted Cd toward the surface accompanied by a loss of Cd from the surface. Isothermal annealing at 350 °C for 1 h caused Cd to diffuse towards the bulk while for annealing time >1 h Cd diffused towards the surface. These results were interpreted in terms of trapping and de-trapping of implanted Cd by radiation damage.

  7. Plasma immersion ion implantation of the interior surface of a large cylindrical bore using an auxiliary electrode

    International Nuclear Information System (INIS)

    Zeng, X.C.; Kwok, T.K.; Liu, A.G.; Chu, P.K.; Tang, B.Y.

    1998-01-01

    A model utilizing cold, unmagnetized, and collisionless fluid ions as well as Boltzmann electrons is used to comprehensively investigate the sheath expansion into a translationally invariant large bore in the presence of an auxiliary electrode during plasma immersion ion implantation (PIII) of a cylindrical bore sample. The governing equation of ion continuity, ion motion, and Poisson close-quote s equation are solved by using a numerical finite difference method for different cylindrical bore radii, auxiliary electrode radii, and voltage rise times. The ion density and ion impact energy at the cylindrical inner surface, as well as the ion energy distribution, maximum ion impact energy, and average ion impact energy for the various cases are obtained. Our results show a dramatic improvement in the impact energy when an auxiliary electrode is used and the recommended normalized auxiliary electrode radius is in the range of 0.1 endash 0.3. copyright 1998 American Institute of Physics

  8. Relationships between chemical compositions, microstructure, and corrosion properties in molybdenum ion implanted aluminum

    International Nuclear Information System (INIS)

    Kim, S.

    1986-01-01

    This thesis compares the corrosion properties of Al annealed after implantation with selected Mo concentrations to those of as-implanted Al with same Mo level and to pure Al. The principal results in this investigation are the improvement in the pitting corrosion resistance for Al implanted with Mo relative to pure Al in both the as-implanted and as-implanted-annealed state. The corrosion properties were related to the microstructures and chemical profiles in the surface-modified-regions. Potentiodynamic measurements indicate that stability of various species on the surface controls corrosion behavior in the Al-Mo system. Dual energy Mo implant procedure was used to produce a relatively thick ion implanted layer. The processing parameters were selected to produce specimen containing a continuous Al 12 Mo film with two different microstructures in the annealed material. The most improved pitting corrosion resistance was achieved in an as-implanted alloy which was implanted at 95 keV and then at 25 keV. This alloy was very resistant to pitting corrosion in a neutral aqueous solution containing 0.1 M chloride ion. Surface chemical analysis by Auger electron spectroscopy indicates that the role of Mo in inhibiting pitting corrosion is related to the formation of stable Mo oxide film

  9. High dose, heavy ion implantation into metals: the use of sacrificial surface layers to enhance retention

    International Nuclear Information System (INIS)

    Clapham, L.

    1994-01-01

    While of considerable interest for the production of metallic alloys, high dose, heavy ion implantation is highly problematical, since the process is limited by sputtering effects. Sputtering is less significant, however, for light target materials, such as C and Al. This paper summarizes studies involving the use of light materials (such as C and Al) which act as slowly sputtering ''sacrificial layers'' when deposited on metallic targets prior to heavy ion implantation. The use of C and Al sacrificial coatings has enabled implanted ion retentions of 100% to be obtained in a number of ion-metal target systems, where the retentions in uncoated samples were as low as 20%. Ion implantation invariably leads to mixing at the sacrificial layer-metal target interface. This mixing may be detrimental in certain systems, so it is useful to be able to minimize or remove this mixed region. To achieve this, a number of techniques have been investigated: (1) removal of the mixed region in the latter stages of the implant; (2) using a barrier layer or chemical effects to minimize mixing at the sacrificial layer-metal interface; (3) choosing a sacrificial layer material which forms a mixed region which has desirable properties. The results of these investigations, for a number of different ion-target systems, are outlined in this paper. (orig.)

  10. A high current metal vapour vacuum arc ion source for ion implantation studies

    International Nuclear Information System (INIS)

    Evans, P.J.; Noorman, J.T.; Watt, G.C.; Cohen, D.D.; Bailey, G.M.

    1989-01-01

    The main features of the metal vapour vacuum arc(MEVA) as an ion source are presented. The technology utilizes the plasma production capabilities of a vacuum arc cathode. Some of the ions produced in this discharge flow through the anode and the 3 extraction grids to form an extracted ion beam. The high beam current and the potential for generating broad beams, make this technology suitable for implantation of large surface areas. The composition of the vacuum arc cathode determines the particular ions obtained from the MEVA source. 3 refs., 1 tab., 2 figs

  11. Neuron attachment properties of carbon negative-ion implanted bioabsorbable polymer of poly-lactic acid

    International Nuclear Information System (INIS)

    Tsuji, Hiroshi; Sasaki, Hitoshi; Sato, Hiroko; Gotoh, Yasuhito; Ishikawa, Junzo

    2002-01-01

    Modification of a bioabsorbable polymer of poly-lactic acid (PLA) by negative carbon ion implantation was investigated with resect to radiation effects on surface physical properties and nerve-cell attachment properties. Carbon negative ions were implanted to PLA at energy of 5-30 keV with a dose of 10 14 -10 16 ions/cm 2 . Most C-implanted PLA samples showed contact angles near 80 deg. and almost same as that of unimplanted PLA, although a few samples at 5 keV and less 3x10 14 ions/cm 2 had contact angles larger than 90 deg. The attachment properties of nerve cells of PC-12h (rat adrenal phechromocytoma) in vitro were studied. PC-12h cells attached on the unimplanted region in C-implanted PLA samples at 5 and 10 keV. On the contrary, the nerve cells attached on only implanted region for the C-implanted PLA sample at 30 keV and 1x10 15 ions/cm 2

  12. Aligned ion implantation using scanning probes

    International Nuclear Information System (INIS)

    Persaud, A.

    2006-01-01

    A new technique for precision ion implantation has been developed. A scanning probe has been equipped with a small aperture and incorporated into an ion beamline, so that ions can be implanted through the aperture into a sample. By using a scanning probe the target can be imaged in a non-destructive way prior to implantation and the probe together with the aperture can be placed at the desired location with nanometer precision. In this work first results of a scanning probe integrated into an ion beamline are presented. A placement resolution of about 120 nm is reported. The final placement accuracy is determined by the size of the aperture hole and by the straggle of the implanted ion inside the target material. The limits of this technology are expected to be set by the latter, which is of the order of 10 nm for low energy ions. This research has been carried out in the context of a larger program concerned with the development of quantum computer test structures. For that the placement accuracy needs to be increased and a detector for single ion detection has to be integrated into the setup. Both issues are discussed in this thesis. To achieve single ion detection highly charged ions are used for the implantation, as in addition to their kinetic energy they also deposit their potential energy in the target material, therefore making detection easier. A special ion source for producing these highly charged ions was used and their creation and interactions with solids of are discussed in detail. (orig.)

  13. Mutagenic effects of ion implantation on stevia

    International Nuclear Information System (INIS)

    Wang Cailian; Shen Mei; Chen Qiufang; Lu Ting; Shu Shizhen

    1998-01-01

    Dry seeds of Stevia were implanted by 75 keV nitrogen and carbon ions with various doses. The biological effects in M 1 and mutation in M 2 were studied. The results showed that ion beam was able to induce variation on chromosome structure in root tip cells. The rate of cells with chromosome aberration was increased with ion beam dose. The rate of cells with chromosomal aberration was lower than that induced with γ-rays. Frequency of the mutation induced by implantation of N + and C + ions were higher than those induced by γ-rays. The rate of cell with chromosome aberration and in M 2 useful mutation induced by implantation of C + ion was higher than those induced by implantation of N + ion. Mutagenic effects Feng 1 x Riyuan and Riyuan x Feng 2 by implantation of N + and C + were higher than that of Jining and Feng 2

  14. Improving Passivation Process of Si Nano crystals Embedded in SiO2 Using Metal Ion Implantation

    International Nuclear Information System (INIS)

    Bornacelli, J.; Esqueda, J.A.R.; Fernandez, L.R.; Oliver, A.

    2013-01-01

    We studied the photoluminescence (PL) of Si nano crystals (Si-NCs) embedded in SiO 2 obtained by ion implantation at MeV energy. The Si-NCs are formed at high depth (1-2 μm) inside the SiO 2 achieving a robust and better protected system. After metal ion implantation (Ag or Au), and a subsequent thermal annealing at 600°C under hydrogen-containing atmosphere, the PL signal exhibits a noticeable increase. The ion metal implantation was done at energies such that its distribution inside the silica does not overlap with the previously implanted Si ion . Under proper annealing Ag or Au nanoparticles (NPs) could be nucleated, and the PL signal from Si-NCs could increase due to plasmonic interactions. However, the ion-metal-implantation-induced damage can enhance the amount of hydrogen, or nitrogen, that diffuses into the SiO 2 matrix. As a result, the surface defects on Si-NCs can be better passivated, and consequently, the PL of the system is intensified. We have selected different atmospheres (air, H 2 /N 2 and Ar) to study the relevance of these annealing gases on the final PL from Si-NCs after metal ion implantation. Studies of PL and time-resolved PL indicate that passivation process of surface defects on Si-NCs is more effective when it is assisted by ion metal implantation.

  15. Study on tribological behaviors of Fe+ ion implanted in 2024 aluminum alloy

    International Nuclear Information System (INIS)

    Zhang Aimin; Chen Jianmin; Shi Weidong; Liu Zhenmin

    2000-01-01

    2024 aluminum alloy was implanted with Fe + ions at a dose of 7x10 16 -3 x 10 17 Fe + /cm 2 . The depth profile of Fe element was investigated by Auger electron spectroscopy (AES). The composition of the surface layer was investigated by XRD with sample-tilting diffraction (STD) mode. The worn out surface was observed by scanning electron microscopy (SEM). Micro-hardness, friction and wear properties have been studied before and after Fe + implantation. An AES analysis shows Fe display Gaussian shape distributions. STD shows Al 5 Fe 2 formed during the implantation. Micro-hardness of surface layer was reduced after implantation, but it did not simply decrease with the increasing implantation doses. The friction and wear tests of implanted and unimplanted samples were carried out on a static-dynamic friction precise measuring apparatus. After implantation, the friction coefficient was reduced from 0.7 to 0.1; the wear resistance was improved remarkably, but decreased with increasing implantation dose. The wear mechanism of the unimplanted sample was adhesive wear, abrasive wear and plastic deformation. The wear reducing effect of Fe + ion induced on 2024 aluminum alloy is mainly attributed to tribooxidation of iron and transfixion of line defect. These two factors prevent the adhesive wear, abrasive wear and plastic deformation of 2024 aluminum alloy

  16. Accelerator based synthesis of hydroxyapatite by MeV ion implantation

    International Nuclear Information System (INIS)

    Rautray, Tapash R.; Narayanan, R.; Kwon, Tae-Yub; Kim, Kyo-Han

    2010-01-01

    Accelerator based MeV ion implantation of Ca 2+ and P 2+ into the titanium substrate to form hydroxyapatite (HA) has been carried out. Calcium hydroxide was formed after heating the calcium implanted titanium in air at 80 o C for 3 h. Upon subsequent annealing for 5 min at 600 o C HA was formed on the surface. Penetration depth of the HA layer in this method is much higher as compared to keV ion implantation. By elemental analysis, Ca/P ratio of the HA was found to be 1.76 which is higher than the ideal 1.67. This higher Ca/P ratio is attributed to the higher penetration depth of the MeV technique used.

  17. Ion implantation methods for semiconductor substrates

    International Nuclear Information System (INIS)

    Matsushita, T.; Mamine, T.; Hayashi, H.; Nishiyama, K.

    1980-01-01

    A method of ion implantation for controlling the life time of minority carriers in a semiconductor substrate and hence to reduce the temperature dependency of the life time, comprises implanting iron ions into an N type semiconductor substrate with a dosage of 10 10 to 10 15 ions cm -2 , and then heat-treating the implanted substrate at 850 0 to 1250 0 C. The method is applicable to the production of diodes, transistors, Si controlled rectifiers and gate controlled switching devices. (author)

  18. Comparison of experimental target currents with analytical model results for plasma immersion ion implantation

    International Nuclear Information System (INIS)

    En, W.G.; Lieberman, M.A.; Cheung, N.W.

    1995-01-01

    Ion implantation is a standard fabrication technique used in semiconductor manufacturing. Implantation has also been used to modify the surface properties of materials to improve their resistance to wear, corrosion and fatigue. However, conventional ion implanters require complex optics to scan a narrow ion beam across the target to achieve implantation uniformity. An alternative implantation technique, called Plasma Immersion Ion Implantation (PIII), immerses the target into a plasma. The ions are extracted from the plasma directly and accelerated by applying negative high-voltage pulses to the target. An analytical model of the voltage and current characteristics of a remote plasma is presented. The model simulates the ion, electron and secondary electron currents induced before, during and after a high voltage negative pulse is applied to a target immersed in a plasma. The model also includes analytical relations that describe the sheath expansion and collapse due to negative high voltage pulses. The sheath collapse is found to be important for high repetition rate pulses. Good correlation is shown between the model and experiment for a wide variety of voltage pulses and plasma conditions

  19. Peculiarities of the electrontransport properties of polyimide films implanted with copper and cobalt ions

    International Nuclear Information System (INIS)

    Nazhim, F.A.; Odzhaev, V.B.; Lukashevich, M.G.; Nuzhdin, V.I.; Khajbullin, R.I.

    2010-01-01

    Thin polyimide foils were implanted with 40 keV Co + and Cu + ions at fluencies of 2,5·1016-1,251017 cm 2 and at ion current densities of 4, 8 and 12 mA cm 2 . Surface dc electric resistance of the implanted polymer samples have been measured in the temperature range 40-300 K. Metal implantation results in decreasing polymer resistance with the dose and current density increasing for the both kinds of metal ions. The decrease of dc electric resistance is caused by radiation-induced carbonization and metal nanoparticle formation in the implanted region of polymer. The transition from the insulating to metallic regime of conductivity is observed in cobalt implanted samples for critical doses above Dc = 1,25?1017 cm 2 at an ion current density of 8 mA cm 2 . In the contrary, high-fluence implantation in the polymer with Cu + ions for the same regimes does not result in the transition. The dominating mechanisms of charge carrier transport and the origin of insulator-to-metal transition in the metal implanted polymer are discussed. (authors)

  20. Characterization of duplex hard coatings with additional ion implantation

    Directory of Open Access Journals (Sweden)

    B. Škorić

    2012-01-01

    Full Text Available In this paper, we present the results of a study of TiN thin fi lms which are deposited by a Physical Vapour Deposition (PVD and Ion Beam Assisted Deposition (IBAD. In the present investigation the subsequent ion implantation was provided with N+2 ions. The ion implantation was applied to enhance the mechanical properties of surface. The thin film deposition process exerts a number of eff ects such as crystallographic orientation, morphology, topography, densifi cation of the fi lms. The evolution of the microstructure from porous and columnar grains to densel packed grains is accompanied by changes in mechanical and physical properties. A variety of analytic techniques were used for characterization, such as scratch test, calo test, Scanning electron microscopy (SEM, Atomic Force Microscope (AFM, X-ray diff raction (XRD and Energy Dispersive X-ray analysis (EDAX.

  1. Aluminum surface modification by a non-mass-analyzed nitrogen ion beam

    Science.gov (United States)

    Ohira, Shigeo; Iwaki, Masaya

    Non-mass-analyzed nitrogen ion implantation into polycrystal and single crystal aluminum sheets has been carried out at an accelerating voltage of 90 kV and a dose of 1 × 10 18 N ions/cm 2 using a Zymet implanter model Z-100. The pressure during implantation rose to 10 -3 Pa due to the influence of N gas feeding into the ion source. The characteristics of the surface layers were investigated by means of Auger electron spectroscopy (AES), X-ray diffraction (XRD), transmission electron diffraction (TED), and microscopy (TEM). The AES depth profiling shows a rectangular-like distribution of N atoms and little migration of O atoms near the surface. The high dose N-implantation forms c-axis oriented aluminum nitride (AIN) crystallines, and especially irradiation of Al single crystals with N ions leads to the formation of a hcp AlN single crystal. It is concluded that the high dose N-implantation in Al can result in the formation of AlN at room temperature without any thermal annealing. Furthermore, non-mass-analyzed N-implantation at a pressure of 10 -3 Pa of the nitrogen atmosphere causes the formation of pure AlN single crystals in the Al surface layer and consequently it can be practically used for AlN production.

  2. Doping of GaN by ion implantation: Does It Work?

    International Nuclear Information System (INIS)

    Suvkhanov, A.; Wu, W.; Price, K.; Parikh, N.; Irene, E.; Hunn, J.; Thomson, D.; Davis, R.F.; Krasnobaev, L.

    1998-04-01

    Epitaxially grown GaN by metal organic chemical vapor deposition (MOCVD) on SiC were implanted with 100 keV Si + (for n-type) and 80 keV Mg + (for p-type) with various fluences from 1 x 10 12 to 7 x 10 15 ions/cm 2 at liquid nitrogen temperature (LT), room temperature (RT), and 700 C (HT). High temperature (1,200 C and 1,500 C) annealing was carried out after capping the GaN with epitaxial AlN by MOCVD to study damage recovery. Samples were capped by a layer of AlN in order to protect the GaN surface during annealing. Effects of implant temperature, damage and dopant activation are critically studied to evaluate a role of ion implantation in doping of GaN. The damage was studied by Rutherford Backscattering/Channeling, spectroscopic ellipsometry and photoluminescence. Results show dependence of radiation damage level on temperature of the substrate during implantation: implantations at elevated temperatures up to 550 C decrease the lattice disorder; hot implants above 550 C can not be useful in doping of GaN due to nitrogen loss from the surface. SE measurements have indicated very high sensitivity to the implantation damage. PL measurements at LT of 80 keV Mg + (5 x 10 14 cm 2 ) implanted and annealed GaN showed two peaks: one ∼ 100 meV and another ∼ 140 meV away from the band edge

  3. Ion bombardment modification of surfaces

    International Nuclear Information System (INIS)

    Auciello, O.

    1984-01-01

    Ion bombardment-induced modification of surfaces may be considered one of the significant scientific and technological developments of the last two decades. The understanding acquired concerning the underlying mechanisms of several phenomena occurring during ion-surface interactions has led to applications within different modern technologies. These include microelectronics, surface acoustical and optical technologies, solar energy conversion, thin film technology, ion implantation metallurgy, nuclear track technology, thermonuclear fusion, vacuum technology, cold welding technology, biomedicine (implantology). It has become clear that information on many relevant advances, regarding ion bombardment modification of surfaces is dispersed among journals involving fields sometimes not clearly related. This may result, in some cases, in a loss of the type of interdisciplinary exchange of ideas, which has proved to be so fruitful for the advancement of science and technology. This book has been planned in an attempt to collect at least some of today's relevant information about the experimental and theoretical knowledge related to surface modification and its application to technology. (Auth.)

  4. The thermal evolution of targets under plasma focus pulsed ion implantation

    International Nuclear Information System (INIS)

    Sanchez, G.; Feugeas, J.

    1997-01-01

    Pulsed ion beam implantation with plasma focus has proved to be an effective method of metal surface treatment for tribological purposes. Nevertheless, the pulsed nature and the continuous energy spectrum of the ion beams differ from those of the standard ion implantation processes. In this paper a model of the thermal evolution of the surface layers of stainless steel, titanium and copper, during and after nitrogen and argon ion beam incidence, is presented using the finite-difference method. In the calculations, the geometry and physical characteristics of the ion beams, the single-ion-solid interaction process and the thermal properties of the materials were used. The results showed a strong thermal effect consisting in the generation of transitory heating slopes and heating speeds as high as ∼3600 K μm -1 and ∼40 K ns -1 respectively, with maximum temperatures that can reach even the material evaporation point at the surface layers. The cooling down process, through the thermal conduction mechanism at the target bulk, turns out to be fast enough to produce the complete thermal relaxation of the target in only a few microseconds after the end of the ion beam incidence. The results presented are contrasted with experiments performed in similar conditions to those used in the numerical model. (Author)

  5. Effect of ion implantation on thin hard coatings

    International Nuclear Information System (INIS)

    Auner, G.; Hsieh, Y.F.; Padmanabhan, K.R.; Chevallier, J.; Soerensen, G.

    1983-01-01

    The surface mechanical properties of thin hard coatings of carbides, nitrides and borides deposited by r.f. sputtering were improved after deposition by ion implantation. The thickness and the stoichiometry of the films were measured by Rutherford backscattering spectrometry and nuclear reaction analysis before and after ion bombardment. The post ion bombardment was achieved with heavy inert ions such as Kr + and Xe + with an energy sufficient to penetrate the film and to reach the substrate. Both the film adhesion and the microhardness were consistently improved. In order to achieve a more detailed understanding, Rb + and Ni + ions were also used as projectiles, and it was found that these ions were more effective than the inert gas ions. (Auth.)

  6. Ion implantation and diamond-like coatings of aluminum alloys

    Science.gov (United States)

    Malaczynski, G. W.; Hamdi, A. H.; Elmoursi, A. A.; Qiu, X.

    1997-04-01

    In an attempt to increase the wear resistance of some key automotive components, General Motors Research and Development Center initiated a study to determine the potential of surface modification as a means of improving the tribological properties of automotive parts, and to investigate the feasibility of mass producing such parts. This paper describes the plasma immersion ion implantation system that was designed for the study of various options for surface treatment, and it discusses bench testing procedures used for evaluating the surface-treated samples. In particular, both tribological and microstructural analyses are discussed for nitrogen implants and diamond-like hydrocarbon coatings of some aluminum alloys.

  7. Quartz modification by Zn ion implantation and swift Xe ion irradiation

    Energy Technology Data Exchange (ETDEWEB)

    Privezentsev, Vladimir [Institute of Physics and Technology, Russian Academy of Sciences, Moscow (Russian Federation); Kulikauskas, Vaclav [Skobeltsyn Institute of Nuclear Physics, Lomonosov Moscow State University (Russian Federation); Didyk, Alexander; Skuratov, Vladimir [Joint Institute of Nuclear Research, Dubna (Russian Federation); Steinman, Edward; Tereshchenko, Alexey; Kolesnikov, Nikolay [Institute of Solid-State Physics, Russian Academy of Sciences, Chernogolovka (Russian Federation); Trifonov, Alexey; Sakharov, Oleg [National Research University ' ' MIET' ' , Zelenograd, Moscow (Russian Federation); Ksenich, Sergey [National University of Science and Technology ' ' MISiS' ' , Moscow (Russian Federation)

    2017-07-15

    The quartz slides were implanted by {sup 64}Zn{sup +} ions with dose of 5 x 10{sup 16}/cm{sup 2} and energy of 100 keV. After implantation, the amorphous metallic Zn nanoparticles with an average radius of 3.5 nm were created. The sample surface becomes nonuniform, its roughness is increased and its values rise up to 6 nm compared to virgin state, and the roughness maximum is at a value of about 0.8 nm. The surface is made up of valleys and hillocks which have a round shape with an average diameter about 200 nm. At the center of these hillocks are pores with a depth up to 6 nm and a diameter of about 20 nm. After implantation in UV-vis diapason, the optical transmission decreases while PL peak (apparently due to oxygen deficient centers) at wavelength of 400 nm increases. Then the samples were subjected to swift Xe ion irradiation with the fluences of 1 x 10{sup 12}-7.5 x 10{sup 14}/cm{sup 2} and energy of 167 MeV. After Xe irradiation, the sample surface roughness shat down to values of 0.5 nm and the roughness maximum is at a value of about 0.1 nm. Optical transmission in UV-vis diapason increases. The PL peak at wavelength of 400 nm is decreased while a PL peak at wavelength of 660 nm is raised. This peak is presumably due to non-bridging oxygen hole centers or/and NPs with structure Si(core)/SiO{sub 2}(shell). HRTEM image of Zn-implanted quartz subsurface layer. One can see the Zn amorphous nanoparticles, which confirms the electron diffraction pattern (insert). (copyright 2017 WILEY-VCH Verlag GmbH and Co. KGaA, Weinheim)

  8. Bio-functionalisation of polyether ether ketone using plasma immersion ion implantation

    Science.gov (United States)

    Wakelin, Edgar; Yeo, Giselle; Kondyurin, Alexey; Davies, Michael; McKenzie, David; Weiss, Anthony; Bilek, Marcela

    2015-12-01

    Plasma immersion ion implantation (PIII) is used here to improve the surface bioactivity of polyether ether ketone (PEEK) by modifying the chemical and mechanical properties and by introducing radicals. Modifications to the chemical and mechanical properties are characterised as a function of ion fluence (proportional to treatment time) to determine the suitability of the treated surfaces for biological applications. Radical generation increases with treatment time, where treatments greater than 400 seconds result in a high concentration of long-lived radicals. Radical reactions are responsible for oxidation of the surface, resulting in a permanent increase in the polar surface energy. The nano-scale reduced modulus was found to increase with treatment time at the surface from 4.4 to 5.2 GPa. The macromolecular Young's modulus was also found to increase, but by an amount corresponding to the volume fraction of the ion implanted region. The treated surface layer exhibited cracking under cyclical loads, associated with an increased modulus due to dehydrogenation and crosslinking, however it did not show any sign of delamination, indicating that the modified layer is well integrated with the substrate - a critical factor for bioactive surface coatings to be used in-vivo. Protein immobilisation on the PIII treated surfaces was found to saturate after 240 seconds of treatment, indicating that there is room to tune surface mechanical properties for specific applications without affecting the protein coverage. Our findings indicate that the modification of the chemical and mechanical properties by PIII treatments as well as the introduction of radicals render PEEK well suited for use in orthopaedic implantable devices.

  9. Residual stress in ion implanted titanium nitride studied by parallel beam glancing incidence x-ray diffraction

    International Nuclear Information System (INIS)

    Geist, D.E.; Perry, A.J.; Treglio, J.R.; Valvoda, V.; Rafaja, D.

    1995-01-01

    Ion implantation is known to increase the lifetime of cutting tools. Current theories are the increase in lifetime is caused by an increase in the residual stress, or by work hardening of the surface associated with the implantation. In this work the effect of ion implantation on the residual stress in titanium nitride coatings made by the standard industrial methods of chemical and physical vapor deposition (CVD and PVD) is studied. It is found in the as-received condition (unimplanted), the residual stress levels are near zero for CVD materials and highly compressive, of the order of 6 GPa, for PVD materials. Ion implantation has no effect on the residual stress in the coatings made by CVD. Nitrogen does increase the compressive residual stress by some 10% in the near surface regions of PVD coatings, while nickel-titanium dual metal ion implantation does not have any effect. It appears that the lifetime increase is not associated with residual stress effects

  10. Polymeric thermal analysis of C + H and C + H + Ar ion implanted UHMWPE samples

    International Nuclear Information System (INIS)

    Kaya, N.; Oztarhan, Ahmet M.; Urkac, E.S.; Ila, D.; Budak, S.; Oks, E.; Nikolaev, A.; Ezdesir, A.; Tihminlioglu, F.; Tek, Z.; Cetiner, S.; Muntele, C.

    2007-01-01

    Chemical surface characterization of C + H hybrid ion implanted UHMWPE samples were carried out using DSC (differential scanning calorimeter) and TGA (thermal gravimetric analysis) techniques. Samples were implanted with a fluence of 10 17 ion/cm 2 and an extraction voltage of 30 kV. The study of TGA and DSC curves showed that: (1) Polymeric decomposition temperature increased (2) T m , ΔC p and ΔH m values changed while ΔC p and ΔH m increased. T g value could not be measured, because of some experimental limitations. However, the increase in ΔH m values showed that T g values increased (3) the branch density which indicated the increase in number of cross-link (M c ) decreased in ion implanted samples and (4) increase in ΔH m values indicated increase in crystallinity of implanted surface of UHMWPE samples

  11. Characterization and control of wafer charging effects during high-current ion implantation

    International Nuclear Information System (INIS)

    Current, M.I.; Lukaszek, W.; Dixon, W.; Vella, M.C.; Messick, C.; Shideler, J.; Reno, S.

    1994-02-01

    EEPROM-based sense and memory devices provide direct measures of the charge flow and potentials occurring on the surface of wafers during ion beam processing. Sensor design and applications for high current ion implantation are discussed

  12. Effects of high-energy (MeV) ion implantation of polyester films

    International Nuclear Information System (INIS)

    Ueno, Keiji; Matsumoto, Yasuyo; Nishimiya, Nobuyuki; Noshiro, Mitsuru; Satou, Mamoru

    1991-01-01

    The effects of high-energy ion beam irradiation on polyester (PET) films using a 3 MeV tandem-type ion beam accelerator were studied. O, Ni, Pt, and Au as ion species were irradiated at 10 14 -10 15 ions/cm 2 on 50 μm thick PET films. Physical properties and molecular structure changes were studied by the surface resistivity measurements and RBS. The surface resistivity decreases with an increase in irradiation dose. At 10 15 ions/cm 2 irradiation, the surface resistivity is 10 8 Ω/□. According to RBS and XPS analyses, some carbon and oxygen atoms in the PET are replaced by implanted ions and the -C=O bonds are destroyed easily by the ion beam. (orig.)

  13. Application of micro beam PIXE to detection of titanium ion release from dental and orthopaedic implants

    International Nuclear Information System (INIS)

    Ektessabi, A.M.; Otsuka, T.; Tsuboi, Y.; Yokoyama, K.; Albrektsson, T.; Sennerby, L.; Johansson, C.

    1994-01-01

    In the past two decades the utilization of dental and orthopaedic implants in reconstructive surgery has been spread widely. Most of these implants are inserted in the corrosive environment of the human body for long periods of time. The level of dissolution, release, and transport of metal ions as a result of corrosion of these materials are not fully known at present. We report the results of application of micro ion beam PIXE spectroscopy to detect release of titanium from titanium and titanium alloy implants inserted in the tibiae of rabbits for three months. It was found that titanium ions could be detected in the surrounding tissues, with high precision, as a gradient from the implant surface and in higher amounts in the bone tissue as compared with the soft tissues. It is concluded that application of micro ion beam PIXE spectroscopy for detection of metal ion release, and distribution of the released material around the implants with high special resolution and accuracy may be used to further investigate the mechanism of metal release, and the relation between surface micromorphology and corrosion resistance of the implant materials. (author)

  14. Preparation of targets by ion implantation

    International Nuclear Information System (INIS)

    Santry, D.C.

    1976-01-01

    Various factors are described which are involved in target preparation by direct ion implantation and the limitations and pitfalls of the method are emphasized. Examples are given of experiments for which ion implanted targets are well suited. (author)

  15. Electrical and optical properties of nitrile rubber modified by ion implantation

    Science.gov (United States)

    S, Najidha; Predeep, P.

    2014-10-01

    Implantation of N+ ion beams are performed on to a non-conjugated elastomer, acrylonirtle butadiene rubber (NBR) with energy 60 keV in the fluence range of 1014 to 1016 ions/cm2. A decrease in the resistivity of the sample by about eight orders of magnitude is observed in the implanted samples along with color changes. The ion exposed specimens were characterized by means of UV/Vis spectroscopy which shows a shift in the absorption edge value for the as deposited polymer towards higher wavelengths. The band gap is evaluated from the absorption spectra and is found to decrease with increasing fluence. This study can possibly throw light on ion induced changes in the polymer surface.

  16. Chemical and catalytic effects of ion implantation

    International Nuclear Information System (INIS)

    Wolf, G.K.

    1982-01-01

    Energetic particles are used for inducing chemical reactions as well as for modifying the properties of materials with regard to their bulk and surface chemical behavior. The effects are partly caused by radiation damage or phase intermixing, partly by the chemical properties of the individual bombarding particles. In this contribution a survey of relevant applications of these techniques is presented: (1) Chemical reactions of implanted and recoil atoms and their use for syntheses, doping and labeling of compounds. (2) The formation of thin films by decomposing chemical compounds with ion beams. 3) Catalytic effects on substrates treated by sputtering or ion implantation. Recent results with nonmetallic substrates are reviewed. Mainly hydrogenation reactions at a solid/gas interface or redox reactions at an electrified solid/liquid interface are mentioned. The present status and future prospects of these kinds of investigations will be discussed. (author)

  17. Effective implantation of light emitting centers by plasma immersion ion implantation and focused ion beam methods into nanosized diamond

    International Nuclear Information System (INIS)

    Himics, L.; Tóth, S.; Veres, M.; Tóth, A.; Koós, M.

    2015-01-01

    Highlights: • Characteristics of nitrogen implantation of nanodiamond using two low ion energy ion implantation methods were compared. • Formation of complex nitrogen-related defect centers was promoted by subsequent helium implantation and heat treatments. • Depth profiles of the implanted ions and the generated vacancies were determined using SRIM calculations. • The presence of nitrogen impurity was demonstrated by Fourier-transform infrared spectroscopic measurements. • A new nitrogen related band was detected in the photoluminescence spectrum of the implanted samples that was attributed to the N3 color center in nanodiamond. - Abstract: Two different implantation techniques, plasma immersion ion implantation and focused ion beam, were used to introduce nitrogen ions into detonation nanodiamond crystals with the aim to create nitrogen-vacancy related optically active centers of light emission in near UV region. Previously samples were subjected to a defect creation process by helium irradiation in both cases. Heat treatments at different temperatures (750 °C, 450 °C) were applied in order to initiate the formation of nitrogen-vacancy related complex centers and to decrease the sp 2 carbon content formed under different treatments. As a result, a relatively narrow and intensive emission band with fine structure at 2.98, 2.83 and 2.71 eV photon energies was observed in the light emission spectrum. It was assigned to the N3 complex defect center. The formation of this defect center can be expected by taking into account the relatively high dose of implanted nitrogen ions and the overlapped depth distribution of vacancies and nitrogen. The calculated depth profiles distribution for both implanted nitrogen and helium by SRIM simulation support this expectation

  18. Hybrid Donor-Dot Devices made using Top-down Ion Implantation for Quantum Computing

    Science.gov (United States)

    Bielejec, Edward; Bishop, Nathan; Carroll, Malcolm

    2012-02-01

    We present progress towards fabricating hybrid donor -- quantum dots (QD) for quantum computing. These devices will exploit the long coherence time of the donor system and the surface state manipulation associated with a QD. Fabrication requires detection of single ions implanted with 10's of nanometer precision. We show in this talk, 100% detection efficiency for single ions using a single ion Geiger mode avalanche (SIGMA) detector integrated into a Si MOS QD process flow. The NanoImplanter (nI) a focused ion beam system is used for precision top-down placement of the implanted ion. This machine has a 10 nm resolution combined with a mass velocity filter, allowing for the use of multi-species liquid metal ion sources (LMIS) to implant P and Sb ions, and a fast blanking and chopping system for single ion implants. The combination of the nI and integration of the SIGMA with the MOS QD process flow establishes a path to fabricate hybrid single donor-dot devices. Sandia National Laboratories is a multi-program laboratory managed and operated by Sandia Corporation, a wholly owned subsidiary of Lockheed Martin Corporation, for the U.S. Department of Energy's National Nuclear Security Administration under contract DE-AC04-94AL85000.

  19. Optical studies of cobalt implanted rutile TiO2 (110) surfaces

    International Nuclear Information System (INIS)

    Joshi, Shalik Ram; Padmanabhan, B.; Chanda, Anupama; Mishra, Indrani; Malik, V.K.; Mishra, N.C.; Kanjilal, D.; Varma, Shikha

    2016-01-01

    Highlights: • The present study displays formation of nanostructures after Co implantation on TiO 2 surfaces. • Preferential sputtering leads to the creation of oxygen vacancies on the surface. • A large enhancement in visible light absorbance (nearly 5 times compared to pristine) is observed. • Creation of self-organized nanostructures and Ti 3+ oxygen vacancies promote photoabsorption. • Formation of Co-nanoclusters and Co–Ti–O phase play concerted role in enhancing photo-absorption. - Abstract: Present study investigates the photoabsorption properties of single crystal rutile TiO 2 (110) surfaces after they have been implanted with low fluences of cobalt ions. The surfaces, after implantation, demonstrate fabrication of nanostructures and anisotropic nano-ripple patterns. Creation of oxygen vacancies (Ti 3+ states), development of cobalt nano-clusters as well as band gap modifications have also been observed. Results presented here demonstrate that fabrication of self organized nanostructures, upon implantation, along with the development of oxygen vacancies and ligand field transitions of cobalt ion promote the enhancement of photo-absorbance in both UV (∼2 times) and visible (∼5 times) regimes. These investigations on nanostructured TiO 2 surfaces can be important for photo-catalysis.

  20. Electronic Transport and Raman Spectroscopy Characterization in Ion-Implanted Highly Oriented Pyrolytic Graphite

    Science.gov (United States)

    de Jesus, R. F.; Turatti, A. M.; Camargo, B. C.; da Silva, R. R.; Kopelevich, Y.; Behar, M.; Balzaretti, N. M.; Gusmão, M. A.; Pureur, P.

    2018-02-01

    We report on Raman spectroscopy, temperature-dependent in-plane resistivity, and in-plane magnetoresistance experiments in highly oriented pyrolytic graphite (HOPG) implanted with As and Mn. A pristine sample was also studied for comparison. Two different fluences were applied, φ = 0.5× 10^{16} {ions}/{cm}2 and φ = 1.0× 10^{16} {ions}/{cm}2. The implantations were carried out with 20 keV ion energy at room temperature. The Raman spectroscopy results reveal the occurrence of drastic changes of the HOPG surface as a consequence of the damage caused by ionic implantation. For the higher dose, the complete amorphization limit is attained. The resistivity and magnetoresistance results were obtained placing electrical contacts on the irradiated sample surface. Owing to the strong anisotropy of HOPG, the electrical current propagates mostly near the implanted surface. Shubnikov-de Haas (SdH) oscillations were observed in the magnetoresistance at low temperatures. These results allow the extraction of the fundamental SdH frequencies and the carriers' effective masses. In general, the resistivity and magnetoresistance results are consistent with those obtained from Raman measurements. However, one must consider that the electrical conduction in our samples occurs as in a parallel association of a largely resistive thin sheet at the surface strongly modified by disorder with a thicker layer where damage produced by implantation is less severe. The SdH oscillations do not hint to significant changes in the carrier density of HOPG.

  1. Formation of Au nanoparticles in sapphire by using Ar ion implantation and thermal annealing

    International Nuclear Information System (INIS)

    Zhou, L.H.; Zhang, C.H.; Yang, Y.T.; Li, B.S.; Zhang, L.Q.; Fu, Y.C.; Zhang, H.H.

    2009-01-01

    In this paper, we present results of the synthesis of gold nanoclusters in sapphire, using Ar ion implantation and annealing in air. Unlike the conventional method of Au implantation followed by thermal annealing, Au was deposited on the surface of m- and a- cut sapphire single crystal samples including those pre-implanted with Ar ions. Au atoms were brought into the substrate by subsequent implantation of Ar ions to form Au nanoparticles. Samples were finally annealed stepwisely in air at temperatures ranging from 400 to 800 deg. C and then studied using UV-vis absorption spectrometry, transmission electron microscopy and Rutherford backscattered spectrometry. Evidence of the formation Au nanoparticles in the sapphire can be obtained from the characteristic surface plasmon resonance (SPR) absorption band in the optical absorption spectra or directly from the transmission electron microscopy. The results of optical absorption spectra indicate that the specimen orientations and pre-implantation also influence the size and the volume fraction of Au nanoparticles formed. Theoretical calculations using Maxwell-Garnett effective medium theory supply a good interpretation of the optical absorption results.

  2. Low energy implantation of boron with decaborane ions

    Science.gov (United States)

    Albano, Maria Angela

    The goal of this dissertation was to determine the feasibility of a novel approach to forming ultra shallow p-type junctions (tens of nm) needed for future generations of Si MOS devices. In the new approach, B dopant atoms are implanted by cluster ions obtained by ionization of decaborane (B 10H14) vapor. An experimental ion implanter with an electron impact ion source and magnetic mass separation was built at the Ion Beam and Thin Film Research Laboratory at NJIT. Beams of B10Hx+ ions with currents of a few microamperes and energies of 1 to 12 keV were obtained and used for implantation experiments. Profiles of B and H atoms implanted in Si were measured by Secondary Ion Mass Spectroscopy (SIMS) before and after rapid thermal annealing (RTA). From the profiles, the junction depth of 57 nm (at 1018 cm-3 B concentration) was obtained with 12 keV decaborane ions followed by RTA. The dose of B atoms that can be implanted at low energy into Si is limited by sputtering as the ion beam sputters both the matrix and the implanted atoms. As the number of sputtered B atoms increases with the implanted dose and approaches the number of the implanted atoms, equilibrium of B in Si is established. This effect was investigated by comparison of the B dose calculated from the ion beam integration with B content in the sample measured by Nuclear Reaction Analysis (NRA). Maximum (equilibrium) doses of 1.35 x 1016 B cm -2 and 2.67 x 1016 B cm-2 were obtained at the beam energies of 5 and 12 keV, respectively. The problem of forming shallow p-type junctions in Si is related not only to implantation depth, but also to transient enhanced diffusion (TED). TED in Si implanted with B10Hx+ was measured on boron doping superlattice (B-DSL) marker layers. It was found that TED, following decaborane implantation, is the same as with monomer B+ ion implantation of equivalent energy and that it decreases with the decreasing ion energy. (Abstract shortened by UMI.)

  3. Enhanced osteoblast responses to poly ether ether ketone surface modified by water plasma immersion ion implantation.

    Science.gov (United States)

    Wang, Heying; Lu, Tao; Meng, Fanhao; Zhu, Hongqin; Liu, Xuanyong

    2014-05-01

    Poly ether ether ketone (PEEK) offers a set of characteristics superior for human implants; however, its application is limited by the bio-inert surface property. In this work, PEEK surface was modified using single step plasma immersion ion implantation (PIII) treatment with a gas mixture of water vapor as a plasma resource and argon as an ionization assistant. Field emission scanning electron microscopy, atomic force microscopy and X-ray photoelectron spectroscopy were used to investigate the microstructure and composition of the modified PEEK surface. The water contact angle and zeta-potential of the surfaces were also measured. Osteoblast precursor cells MC3T3-E1 and rat bone mesenchymal stem cells were cultured on the PEEK samples to evaluate their cytocompatibility. The obtained results show that the hydroxyl groups as well as a "ravined structure" are constructed on water PIII modified PEEK. Compared with pristine PEEK, the water PIII treated PEEK is more favorable for osteoblast adhesion, spreading and proliferation, besides, early osteogenic differentiation indicated by the alkaline phosphatase activity is also up-regulated. Our study illustrates enhanced osteoblast responses to the PEEK surface modified by water PIII, which gives positive information in terms of future biomedical applications. Copyright © 2014 Elsevier B.V. All rights reserved.

  4. Application of ion implantation in stevia breeding

    International Nuclear Information System (INIS)

    Wang Cailian; Chen Qiufang; Jin Wei; Lu Ting; Shu Shizhen

    1999-08-01

    Dry seed of stevia were implanted with 60-100 keV nitrogen ion and 75 keV carbon ion of various doses, and the effects of the composition and yield of stevioside were studied. The results showed that ion beam could induce variation in total stevioside yield and the composition of the plant. The best treatment was 75 keV nitrogen ion with 5 x 10 14 N + /cm 2 , the stevioside yield and Rebaudioside A (R-A) content were increased by 4.74% and 14.08% respectively. The effects induced by implantation of carbon ion were higher than those induced by implantation of nitrogen ion. Effects of Feng 1 x Ri Yuan and Ri Yuan x Feng 2 are higher than those of Ji Ning and Feng 2 . Seven mutation lines were selected from the mutation progenies. The stevioside composition of these lines were previously improved. The results suggest a potential application of ion implantation in stevia breeding

  5. The Raman effects in γ-LiAlO2 induced by low-energy Ga ion implantation

    Science.gov (United States)

    Zhang, Jing; Song, Hong-Lian; Qiao, Mei; Wang, Tie-Jun; Yu, Xiao-Fei; Wang, Xue-Lin

    2017-10-01

    The tetragonal γ-LiAlO2 crystal, known as a promising solid breeding material in future fusion reactors, has attracted much attention for its irradiation effects. This work focused on the Raman effects in ion-implanted γ-LiAlO2. Ga ions of 30, 80 and 150 keV were implanted on the z-cut γ-LiAlO2 sample surfaces at a fluence of 1 × 1014 ions/cm2 or 1 × 1015 ions/cm2. The average ion range varied from 230 to 910 Å. The Raman spectra were collected from the implanted surfaces before and after the implantation. Evident changes were reflected in the Raman modes intensities, with abnormal increments for the most detected modes. According to the assignments of Raman modes, the Al-O vibration was enhanced to a greater extent than the Li-Al-O vibration, and the LiO4-AlO4 vibration gained a lesser enhancement. The discussion, including the factors of roughness, crystalline disorder and influence by Ga ions, attempts to explain the increments of Raman intensity.

  6. Amorphous surface layers in Ti-implanted Fe

    International Nuclear Information System (INIS)

    Knapp, J.A.; Follstaedt, D.M.; Picraux, S.T.

    1979-01-01

    Implanting Ti into high-purity Fe results in an amorphous surface layer which is composed of not only Fe and Ti, but also C. Implantations were carried out at room temperature over the energy range 90 to 190 keV and fluence range 1 to 2 x 10 16 at/cm 2 . The Ti-implanted Fe system has been characterized using transmission electron microscopy (TEM), ion backscattering and channeling analysis, and (d,p) nuclear reaction analysis. The amorphous layer was observed to form at the surface and grow inward with increasing Ti fluence. For an implant of 1 x 10 17 Ti/cm 2 at 180 keV the layer thickness was 150 A, while the measured range of the implanted Ti was approx. 550 A. This difference is due to the incorporation of C into the amorphous alloy by C being deposited on the surface during implantation and subsequently diffusing into the solid. Our results indicate that C is an essential constituent of the amorphous phase for Ti concentrations less than or equal to 10 at. %. For the 1 x 10 17 Ti/cm 2 implant, the concentration of C in the amorphous phase was approx. 25 at. %, while that of Ti was only approx. 3 at. %. A higher fluence implant of 2 x 10 17 Ti/cm 2 produced an amorphous layer with a lower C concentration of approx. 10 at. % and a Ti concentration of approx. 20 at. %

  7. Effects of C+ ion implantation on electrical properties of NiSiGe/SiGe contacts

    International Nuclear Information System (INIS)

    Zhang, B.; Yu, W.; Zhao, Q.T.; Buca, D.; Breuer, U.; Hartmann, J.-M.; Holländer, B.; Mantl, S.; Zhang, M.; Wang, X.

    2013-01-01

    We have investigated the morphology and electrical properties of NiSiGe/SiGe contact by C + ions pre-implanted into relaxed Si 0.8 Ge 0.2 layers. Cross-section transmission electron microscopy revealed that both the surface and interface of NiSiGe were improved by C + ions implantation. In addition, the effective hole Schottky barrier heights (Φ Bp ) of NiSiGe/SiGe were extracted. Φ Bp was observed to decrease substantially with an increase in C + ion implantation dose

  8. The influence of ions implantation on adhesion and growth of human keratinocytes

    International Nuclear Information System (INIS)

    Walachova, K.; Dvorankova, B.; Vogtova, D.; Svorcik, V.

    1999-01-01

    This work deals with the study of modification of surface of the polyethylene after ion implantation. For experiments were used the Ar + ions with energy 63 keV and Xe + ions with energy 156 keV. Some surface properties of modified layers (100 nm) and their influence on adhesion and proliferation of keratinocytes were studied. For the study of structural changes of polymer were used methods UV-VIS and FTIR spectrometry, atomic force spectroscopy

  9. Formation of SiC using low energy CO2 ion implantation in silicon

    International Nuclear Information System (INIS)

    Sari, A.H.; Ghorbani, S.; Dorranian, D.; Azadfar, P.; Hojabri, A.R.; Ghoranneviss, M.

    2008-01-01

    Carbon dioxide ions with 29 keV energy were implanted into (4 0 0) high-purity p-type silicon wafers at nearly room temperature and doses in the range between 1 x 10 16 and 3 x 10 18 ions/cm 2 . X-ray diffraction analysis (XRD) was used to characterize the formation of SiC in implanted Si substrate. The formation of SiC and its crystalline structure obtained from above mentioned technique. Topographical changes induced on silicon surface, grains and evaluation of them at different doses observed by atomic force microscopy (AFM). Infrared reflectance (IR) and Raman scattering measurements were used to reconfirm the formation of SiC in implanted Si substrate. The electrical properties of implanted samples measured by four point probe technique. The results show that implantation of carbon dioxide ions directly leads to formation of 15R-SiC. By increasing the implantation dose a significant changes were also observed on roughness and sheet resistivity properties.

  10. Maximization of DRAM yield by control of surface charge and particle addition during high dose implantation

    Science.gov (United States)

    Horvath, J.; Moffatt, S.

    1991-04-01

    Ion implantation processing exposes semiconductor devices to an energetic ion beam in order to deposit dopant ions in shallow layers. In addition to this primary process, foreign materials are deposited as particles and surface films. The deposition of particles is a major cause of IC yield loss and becomes even more significant as device dimensions are decreased. Control of particle addition in a high-volume production environment requires procedures to limit beamline and endstation sources, control of particle transport, cleaning procedures and a well grounded preventative maintenance philosophy. Control of surface charge by optimization of the ion beam and electron shower conditions and measurement with a real-time charge sensor has been effective in improving the yield of NMOS and CMOS DRAMs. Control of surface voltages to a range between 0 and -20 V was correlated with good implant yield with PI9200 implanters for p + and n + source-drain implants.

  11. High-dose ion implantation of ceramics: benefits and limitations for tribology

    International Nuclear Information System (INIS)

    Bull, S.J.; Page, T.F.

    1988-01-01

    This paper is concerned with the effects of ion implantation on sapphire and soda-lime-silica glass. It establishes the complex interplay between radiation damage, hardness, surface stress and, for the first time, friction. For sapphire, both the shallow indentation hardness response and the integrated near-surface stress increase with damage and exhibit maxima as the surface eventually amorphizes. For the glass, initial damage is shown to result in structural softening before rehardening at higher doses; the radiation-induced stress is a complex function of dose and seems partly linked to electronic rather than displacement processes. Some structural change also eventually occurs akin to amorphization in crystals and is accompanied by changes in hardness and surface stress. Superimposed on these patterns of behaviour are changes in the friction behaviour, part of which is ascribed to increased adhesion presumed due to implantation changing the surface affinity for water adsorption. These effects are demonstrated and discussed in the context of ion-implanted ceramics finding application as controlled friction and/or wear components in engineering applications. Other effects such as gas bubble formation, crazing and sputtering are shown to lead to surface microstructures which can also play a deleterious role in tribological behaviour. (author)

  12. Methods for obtaining a uniform volume concentration of implanted ions

    International Nuclear Information System (INIS)

    Reutov, V.F.

    1995-01-01

    Three simple practical methods of irradiations with high energy particles providing the conditions for obtaining a uniform volume concentration of the implanted ions in the massive samples are described in the present paper. Realization of the condition of two-sided irradiation of a plane sample during its rotation in the flux of the projectiles is the basis of the first method. The use of free air as a filter with varying absorbent ability due to movement of the irradiated sample along ion beam brought to the atmosphere is at the basis of the second method of uniform ion alloying. The third method for obtaining a uniform volume concentration of the implanted ions in a massive sample consists of irradiation of a sample through the absorbent filter in the shape of a foil curved according to the parabolic law moving along its surface. The first method is the most effective for obtaining a great number of the samples, for example, for mechanical tests, the second one - for irradiation in different gaseous media, and the third one - for obtaining high concentrations of the implanted ions under controlled (regulated) thermal and deformation conditions. 2 refs., 7 figs

  13. Comparison of pulsed electron beam-annealed and pulsed ruby laser-annealed ion-implanted silicon

    International Nuclear Information System (INIS)

    Wilson, S.R.; Appleton, B.R.; White, C.W.; Narayan, J.; Greenwald, A.C.

    1978-11-01

    Recently two new techniques, pulsed electron beam annealing and pulsed laser annealing, have been developed for processing ion-implanted silicon. These two types of anneals have been compared using ion-channeling, ion back-scattering, and transmission electron microscopy (TEM). Single crystal samples were implanted with 100 keV As + ions to a dose of approx. 1 x 10 16 ions/cm 2 and subsequently annealed by either a pulsed Ruby laser or a pulsed electron beam. Our results show in both cases that the near-surface region has melted and regrown epitaxially with nearly all of the implanted As (97 to 99%) incroporated onto lattice sites. The analysis indicates that the samples are essentially defect free and have complete electrical recovery

  14. The effect of MEVVA ion implantation on the tribological properties of PVD-TiN films

    International Nuclear Information System (INIS)

    Manory, R.; Mollica, S.

    1998-01-01

    The present work is the first study in which the effects of metal evaporation vacuum (MEVVA) implantation are studied on TiN of the PVD type which is commercially available in Australia. The MEVVA ion implanter differs from the 'conventional' type of ion implanter in the fact that it has a high throughput of metal ions which are not mass analysed and therefore has more potential for industrial non-electronic applications. TiN-coated steel samples have been implanted with two types of species - one light and one heavy - C + and W + respectively. The samples were analysed by Rutherford backscattering (RBS) and x-ray diffraction (XRD). The tribological performance was assessed by pin-on-disc and microhardness. The results show that carbon implantation was very effective in improving the friction coefficient by the formation of a carbonaceous layer on the surface. XRD also shows formation of TiC in the near surface region. W implantation does not improve the friction coefficient but improves the lifetime of the coating. Unimplanted films fail in the pin-on-disk test after 7000 cycles, whereas implanted films are still well adhered after 18000 cycles

  15. Effect of implanted doses of N+-ions on the contact resistance of copper contacts

    International Nuclear Information System (INIS)

    Dubravec, B.; Kovac, P.; Lipka, F.; Padysak, M.

    1997-01-01

    The paper deals with the effect of implanted doses of N + ions on the contact resistance. Dependencies of the contact resistance versus contact force R c =f(F c ) and microhardness of implanted surfaces were measured for three implanted profiles. The influence of the aggressive environs on the contact resistance of implanted contact is given too

  16. Electrical and optical properties of nitrile rubber modified by ion implantation

    Energy Technology Data Exchange (ETDEWEB)

    S, Najidha [Department of Physics, B.J.M Govt: college, Chavara, Kollam, Kerala (India); Predeep, P. [Laboratory for molecular Photonics and Electronics, Department of Physics, National Institute of Technology, Calicut (India)

    2014-10-15

    Implantation of N{sup +} ion beams are performed on to a non-conjugated elastomer, acrylonirtle butadiene rubber (NBR) with energy 60 keV in the fluence range of 10{sup 14} to 10{sup 16} ions/cm{sup 2}. A decrease in the resistivity of the sample by about eight orders of magnitude is observed in the implanted samples along with color changes. The ion exposed specimens were characterized by means of UV/Vis spectroscopy which shows a shift in the absorption edge value for the as deposited polymer towards higher wavelengths. The band gap is evaluated from the absorption spectra and is found to decrease with increasing fluence. This study can possibly throw light on ion induced changes in the polymer surface.

  17. Performance improvement of silicon nitride ball bearings by ion implantation. CRADA final report

    International Nuclear Information System (INIS)

    Williams, J.M.; Miner, J.

    1998-01-01

    The present report summarizes technical results of CRADA No. ORNL 92-128 with the Pratt and Whitney Division of United Technologies Corporation. The stated purpose of the program was to assess the 3effect of ion implantation on the rolling contact performance of engineering silicon nitride bearings, to determine by post-test analyses of the bearings the reasons for improved or reduced performance and the mechanisms of failure, if applicable, and to relate the overall results to basic property changes including but not limited to swelling, hardness, modulus, micromechanical properties, and surface morphology. Forty-two control samples were tested to an intended runout period of 60 h. It was possible to supply only six balls for ion implantation, but an extended test period goal of 150 h was used. The balls were implanted with C-ions at 150 keV to a fluence of 1.1 x 10 17 /cm 2 . The collection of samples had pre-existing defects called C-cracks in the surfaces. As a result, seven of the control samples had severe spalls before reaching the goal of 60 h for an unacceptable failure rate of 0.003/sample-h. None of the ion-implanted samples experienced engineering failure in 150 h of testing. Analytical techniques have been used to characterize ion implantation results, to characterize wear tracks, and to characterize microstructure and impurity content. In possible relation to C-cracks. It is encouraging that ion implantation can mitigate the C-crack failure mode. However, the practical implications are compromised by the fact that bearings with C-cracks would, in no case, be acceptable in engineering practice, as this type of defect was not anticipated when the program was designed. The most important reason for the use of ceramic bearings is energy efficiency

  18. Improvement of corrosion resistance and biocompatibility of rare-earth WE43 magnesium alloy by neodymium self-ion implantation

    International Nuclear Information System (INIS)

    Jin, Weihong; Wu, Guosong; Feng, Hongqing; Wang, Wenhao; Zhang, Xuming; Chu, Paul K.

    2015-01-01

    Highlights: • Nd self-ion implantation produces a smooth and hydrophobic surface on rare-earth WE43 Mg alloy. • The implanted layer is composed of mainly Nd 2 O 3 and MgO. • Degradation is significantly retarded in simulated body fluids and cell culture medium. • The Nd-implanted WE43 alloy exhibits remarkably enhanced cell adhesion and biocompatibility. - Abstract: Without introducing extraneous elements, a small amount of Nd is introduced into rare-earth WE43 magnesium alloy by ion implantation. The surface composition, morphology, polarization, and electrochemical properties, as well as weight loss, pH, and leached ion concentrations after immersion, are systematically evaluated to determine the corrosion behavior. The cell adhesion and viability are also determined to evaluate the biological response in vitro. A relatively smooth and hydrophobic surface layer composed of mainly Nd 2 O 3 and MgO is produced and degradation of WE43 is significantly retarded. Furthermore, significantly enhanced cell adhesion and excellent biocompatibility are observed after Nd self-ion implantation

  19. Synthesis of graphene by MEVVA source ion implantation

    International Nuclear Information System (INIS)

    Ying, J.J.; Xiao, X.H.; Dai, Z.G.; Wu, W.; Li, W.Q.; Mei, F.; Cai, G.X.; Ren, F.; Jiang, C.Z.

    2013-01-01

    Ion implantation provides a new synthesis route for graphene, and few-layered graphene synthesis by ion implantation has been reported. Here we show the synthesis of a single layer of high-quality graphene by Metal Vapor Vacuum Arc (MEVVA) source ion implantation. Polycrystalline nickel and copper thin films are implanted with MEVVA source carbon ions at 40 kV, followed by high-temperature thermal annealing and quenching. A Raman spectrum is applied to probe the quality and thickness of the prepared graphene. A single layer of high-quality graphene is grown on the nickel films, but not on the copper films. The growth mechanisms on the nickel and copper films are explained. MEVVA source ion implantation has been widely applied in industrial applications, demonstrating that this synthesis method can be generalized for industrial production

  20. Seeding of silicon by copper ion implantation for selective electroless copper plating

    Energy Technology Data Exchange (ETDEWEB)

    Bhansali, S.; Sood, D.K.; Zmood, R.B. [Microelectronic and Materials Technology Centre, Royal Melbourne Institute of Technolgy, Melbourne, VIC (Australia)

    1993-12-31

    We report on the successful use of copper(self) ion implantation into silicon to seed the electroless plating of copper on silicon (100) surfaces. Copper ions have been implanted to doses of 5E14-6.4E16 ions/cm{sup 2} using a MEEVA ion implanter at extraction voltage of 40kV. Dose was varied in fine steps to determine the threshold dose of 2E15 Cu ions/cm{sup 2} for `seed` formation of copper films on silicon using a commercial electroless plating solution. Plated films were studied with Rutherford backscattering spectrometry, scanning electron microscopy, EDX and profilometry . The adhesion of films was measured by `scotch tape test`. The adhesion was found to improve with increasing dose. However thicker films exhibited rather poor adhesion and high internal stress. SEM results show that the films grow first as isolated islands which become larger and eventually impinge into a continuous film as the plating time is increased. (authors). 5 refs., 1 tab., 3 figs.

  1. Seeding of silicon by copper ion implantation for selective electroless copper plating

    Energy Technology Data Exchange (ETDEWEB)

    Bhansali, S; Sood, D K; Zmood, R B [Microelectronic and Materials Technology Centre, Royal Melbourne Institute of Technolgy, Melbourne, VIC (Australia)

    1994-12-31

    We report on the successful use of copper(self) ion implantation into silicon to seed the electroless plating of copper on silicon (100) surfaces. Copper ions have been implanted to doses of 5E14-6.4E16 ions/cm{sup 2} using a MEEVA ion implanter at extraction voltage of 40kV. Dose was varied in fine steps to determine the threshold dose of 2E15 Cu ions/cm{sup 2} for `seed` formation of copper films on silicon using a commercial electroless plating solution. Plated films were studied with Rutherford backscattering spectrometry, scanning electron microscopy, EDX and profilometry . The adhesion of films was measured by `scotch tape test`. The adhesion was found to improve with increasing dose. However thicker films exhibited rather poor adhesion and high internal stress. SEM results show that the films grow first as isolated islands which become larger and eventually impinge into a continuous film as the plating time is increased. (authors). 5 refs., 1 tab., 3 figs.

  2. Seeding of silicon by copper ion implantation for selective electroless copper plating

    International Nuclear Information System (INIS)

    Bhansali, S.; Sood, D.K.; Zmood, R.B.

    1993-01-01

    We report on the successful use of copper(self) ion implantation into silicon to seed the electroless plating of copper on silicon (100) surfaces. Copper ions have been implanted to doses of 5E14-6.4E16 ions/cm 2 using a MEEVA ion implanter at extraction voltage of 40kV. Dose was varied in fine steps to determine the threshold dose of 2E15 Cu ions/cm 2 for 'seed' formation of copper films on silicon using a commercial electroless plating solution. Plated films were studied with Rutherford backscattering spectrometry, scanning electron microscopy, EDX and profilometry . The adhesion of films was measured by 'scotch tape test'. The adhesion was found to improve with increasing dose. However thicker films exhibited rather poor adhesion and high internal stress. SEM results show that the films grow first as isolated islands which become larger and eventually impinge into a continuous film as the plating time is increased. (authors). 5 refs., 1 tab., 3 figs

  3. The compaction of fused silica resulting from ion implantation

    Energy Technology Data Exchange (ETDEWEB)

    Johnson, C.M.; Ridgway, M.C. [Australian National Univ., Canberra, ACT (Australia); Leech, P.L. [Telstra Research Laboratories, Clayton, Victoria (Australia)

    1996-12-31

    Ion implantation of fused silica results in compaction and consequently an increase in refractive index. This method of modifying the near-surface region has been shown as a potential means for fabricating single mode channel waveguides. This study has measured the compaction of the implanted regions for Si implantations as a function of dose (2x10{sup 12} - 6x10{sup l6} ions/cm{sup 2}), energy (1-9 MeV) and post-implantation annealing temperature (200-900 degree C). For a given energy, a dose-dependence of the step height (depth of compacted region) is observed for doses less than {approx}10{sup 15} ions/cm{sup 2}. At higher doses the step height saturates. For a given dose, a linear trend is evident for the step height as a function of energy suggesting that the major mechanism for this compaction is electronic stopping. As the annealing temperature increases, the step height gradually decreases from {approx}0.1-0.2 {mu} to -10-20% of the original value. From the annealing data, it is possible to extract an activation energy of 0.08 eV associated with the thermal removal of the compacted region. 4 refs., 4 figs.

  4. The compaction of fused silica resulting from ion implantation

    Energy Technology Data Exchange (ETDEWEB)

    Johnson, C M; Ridgway, M C [Australian National Univ., Canberra, ACT (Australia); Leech, P L [Telstra Research Laboratories, Clayton, Victoria (Australia)

    1997-12-31

    Ion implantation of fused silica results in compaction and consequently an increase in refractive index. This method of modifying the near-surface region has been shown as a potential means for fabricating single mode channel waveguides. This study has measured the compaction of the implanted regions for Si implantations as a function of dose (2x10{sup 12} - 6x10{sup l6} ions/cm{sup 2}), energy (1-9 MeV) and post-implantation annealing temperature (200-900 degree C). For a given energy, a dose-dependence of the step height (depth of compacted region) is observed for doses less than {approx}10{sup 15} ions/cm{sup 2}. At higher doses the step height saturates. For a given dose, a linear trend is evident for the step height as a function of energy suggesting that the major mechanism for this compaction is electronic stopping. As the annealing temperature increases, the step height gradually decreases from {approx}0.1-0.2 {mu} to -10-20% of the original value. From the annealing data, it is possible to extract an activation energy of 0.08 eV associated with the thermal removal of the compacted region. 4 refs., 4 figs.

  5. Modification of medical metals by ion implantation of copper

    Science.gov (United States)

    Wan, Y. Z.; Xiong, G. Y.; Liang, H.; Raman, S.; He, F.; Huang, Y.

    2007-10-01

    The effect of copper ion implantation on the antibacterial activity, wear performance and corrosion resistance of medical metals including 317 L of stainless steels, pure titanium, and Ti-Al-Nb alloy was studied in this work. The specimens were implanted with copper ions using a MEVVA source ion implanter with ion doses ranging from 0.5 × 10 17 to 4 × 10 17 ions/cm 2 at an energy of 80 keV. The antibacterial effect, wear rate, and inflexion potential were measured as a function of ion dose. The results obtained indicate that copper ion implantation improves the antibacterial effect and wear behaviour for all the three medical materials studied. However, corrosion resistance decreases after ion implantation of copper. Experimental results indicate that the antibacterial property and corrosion resistance should be balanced for medical titanium materials. The marked deteriorated corrosion resistance of 317 L suggests that copper implantation may not be an effective method of improving its antibacterial activity.

  6. In-situ deposition of sacrificial layers during ion implantation

    International Nuclear Information System (INIS)

    Anders, A.; Anders, S.; Brown, I.G.; Yu, K.M.

    1995-02-01

    The retained dose of implanted ions is limited by sputtering. It is known that a sacrificial layer deposited prior to ion implantation can lead to an enhanced retained dose. However, a higher ion energy is required to obtain a similar implantation depth due to the stopping of ions in the sacrificial layer. It is desirable to have a sacrificial layer of only a few monolayers thickness which can be renewed after it has been sputtered away. We explain the concept and describe two examples: (i) metal ion implantation using simultaneously a vacuum arc ion source and filtered vacuum arc plasma sources, and (ii) Metal Plasma Immersion Ion Implantation and Deposition (MePIIID). In MePIIID, the target is immersed in a metal or carbon plasma and a negative, repetitively pulsed bias voltage is applied. Ions are implanted when the bias is applied while the sacrificial layer suffers sputtering. Low-energy thin film deposition - repair of the sacrificial layer -- occurs between bias pulses. No foreign atoms are incorporated into the target since the sacrificial film is made of the same ion species as used in the implantation phase

  7. Ion beam system for implanting industrial products of various shapes

    International Nuclear Information System (INIS)

    Denholm, A.S.; Wittkower, A.

    1985-01-01

    Implantation of metals and ceramics with ions of nitrogen and other species has improved surface properties such as friction, wear and corrosion in numerous industrial applications. Zymet has built a production machine to take advantage of this process which can implant a 2 x 10 17 ions/cm 2 dose of nitrogen ions into a 20 cm x 20 cm area in about 30 min using a 100 keV beam. Treatment is accomplished by mounting the product on a cooled, tiltable, turntable which rotates continuously, or is indexed in 15 0 steps to expose different surfaces in fixed position. Product cooling is accomplished by using a chilled eutectic metal to mount and grip the variously shaped objects. A high voltage supply capable of 10 mA at 100 kV is used, and the equipment is microcomputer controlled via serial light links. All important machine parameters are presented in sequenced displays on a CRT. Uniformity of treatment and accumulated dose are monitored by a Faraday cup system which provides the microprocessor with data for display of time to completion on the process screen. For routine implants the operator requires only two buttons; one for chamber vacuum control, and the other for process start and stop. (orig.)

  8. High energy ion implantation

    International Nuclear Information System (INIS)

    Ziegler, J.F.

    1985-01-01

    High energy ion implantation offers the oppertunity for unique structures in semiconductor processing. The unusual physical properties of such implantations are discussed as well as the special problems in masking and damage annealing. A review is made of proposed circuit structures which involve deep implantation. Examples are: deep buried bipolar collectors fabricated without epitaxy, barrier layers to reduce FET memory sensitivity to soft-fails, CMOS isolation well structures, MeV implantation for customization and correction of completed circuits, and graded reach-throughs to deep active device components. (orig.)

  9. Plasma Immersion Ion Implantation in Radio Frequency Plasma

    International Nuclear Information System (INIS)

    Bora, B.; Bhuyan, H.; Wyndham, E.

    2013-01-01

    Plasma immersion ion implantation (PIII) has attracted wide interests since it emulates conventional ion-beam ion implantation (IBII) in niche applications. For instance, the technique has very high throughput, the implantation time is independent of the sample size, and samples with an irregular shape can be implanted without complex beam scanning or sample manipulation. For uniform ion implantation and deposition on to different substrates, like silicon, stainless steel etc., a capacitive coupled Radio frequency (RF), 13.6 MHz, plasma is used. During the PIII process, the physical parameters which are expected to play crucial rule in the deposition process like RF power, Negative pulse voltage and pulse duration, gas type and gas mixture, gas flow rates and the implantation dose are studied. The ion dose is calculated by dynamic sheath model and the plasma parameters are calculated from the V-I characteristic and power balance equation by homogeneous model of rf plasma discharge considering Ohmic as well as Stochastic heating. The correlations between the yield of the implantation process and the physical parameters as well as plasma parameters are discussed. (author)

  10. Cluster Ion Implantation in Graphite and Diamond

    DEFF Research Database (Denmark)

    Popok, Vladimir

    2014-01-01

    Cluster ion beam technique is a versatile tool which can be used for controllable formation of nanosize objects as well as modification and processing of surfaces and shallow layers on an atomic scale. The current paper present an overview and analysis of data obtained on a few sets of graphite...... and diamond samples implanted by keV-energy size-selected cobalt and argon clusters. One of the emphases is put on pinning of metal clusters on graphite with a possibility of following selective etching of graphene layers. The other topic of concern is related to the development of scaling law for cluster...... implantation. Implantation of cobalt and argon clusters into two different allotropic forms of carbon, namely, graphite and diamond is analysed and compared in order to approach universal theory of cluster stopping in matter....

  11. Production of Endohedral Fullerenes by Ion Implantation

    Energy Technology Data Exchange (ETDEWEB)

    Diener, M.D.; Alford, J. M.; Mirzadeh, S.

    2007-05-31

    The empty interior cavity of fullerenes has long been touted for containment of radionuclides during in vivo transport, during radioimmunotherapy (RIT) and radioimaging for example. As the chemistry required to open a hole in fullerene is complex and exceedingly unlikely to occur in vivo, and conformational stability of the fullerene cage is absolute, atoms trapped within fullerenes can only be released during extremely energetic events. Encapsulating radionuclides in fullerenes could therefore potentially eliminate undesired toxicity resulting from leakage and catabolism of radionuclides administered with other techniques. At the start of this project however, methods for production of transition metal and p-electron metal endohedral fullerenes were completely unknown, and only one method for production of endohedral radiofullerenes was known. They therefore investigated three different methods for the production of therapeutically useful endohedral metallofullerenes: (1) implantation of ions using the high intensity ion beam at the Oak Ridge National Laboratory (ORNL) Surface Modification and Characterization Research Center (SMAC) and fullerenes as the target; (2) implantation of ions using the recoil energy following alpha decay; and (3) implantation of ions using the recoil energy following neutron capture, using ORNL's High Flux Isotope Reactor (HFIR) as a thermal neutron source. While they were unable to obtain evidence of successful implantation using the ion beam at SMAC, recoil following alpha decay and neutron capture were both found to be economically viable methods for the production of therapeutically useful radiofullerenes. In this report, the procedures for preparing fullerenes containing the isotopes {sup 212}Pb, {sup 212}Bi, {sup 213}Bi, and {sup 177}Lu are described. None of these endohedral fullerenes had ever previously been prepared, and all of these radioisotopes are actively under investigation for RIT. Additionally, the chemistry for

  12. Cellular structure formed by ion-implantation-induced point defect

    International Nuclear Information System (INIS)

    Nitta, N.; Taniwaki, M.; Hayashi, Y.; Yoshiie, T.

    2006-01-01

    The authors have found that a cellular defect structure is formed on the surface of Sn + ion implanted GaSb at a low temperature and proposed its formation mechanism based on the movement of the induced point defects. This research was carried out in order to examine the validity of the mechanism by clarifying the effect of the mobility of the point defects on the defect formation. The defect structure on the GaSb surfaces implanted at cryogenic temperature and room temperature was investigated by scanning electron microscopy (SEM) and cross-sectional transmission electron microscopy (TEM) observation. In the sample implanted at room temperature, the sponge-like structure (a pileup of voids) was formed and the cellular structure, as observed at a low temperature, did not develop. This behavior was explained by the high mobility of the vacancies during implantation at room temperature, and the proposed idea that the defect formation process is dominated by the induced point defects was confirmed

  13. Examination of the ion-implantation route to fabrication of the Kane quantum computer using advanced imaging techniques

    International Nuclear Information System (INIS)

    Pakes, C.; Millar, V.; Peng, J.; Cimmino, A.; Prawer, S.; Jamieson, D.; Yang, C.; McKinnon, R.; Stanley, F.; Clark, R.; University of New South Wales, NSW; Dzurak, A.

    2002-01-01

    Full text: The Kane solid-state quantum computer employs as qubits an array of 31 P atoms embedded with nanoscale precision in a silicon matrix. One proposal for the fabrication of such an array is by phosphorous-ion implantation. We present an overview of a program of research aiming to develop advanced imaging techniques to address key issues relating to the fabrication of the Kane device by ion implantation, focusing particularly on the development of surface-resist technology to allow the registration of single implanted ions and an examination of the extent of damage imposed on the silicon matrix. Our surface resists take the form of a polymethylmethacrylate (PMMA) thin-films, which have been exposed both to MeV and keV ions. Registration of ion implantation is based on the development of localised chemical modification arising from latent damage caused within the PMMA layer by the passage of an implanted ion. On development of the resist, atomic force microscopy imaging demonstrates the formation of clearly defined etched holes, of typical diameter 30 nm, which are ascribed to single-ion impacts. The use of novel scanning probes, such as carbon nanotubes, for imaging complex PMMA resist structures will be illustrated. Potential applications to the fabrication of self-aligned gate structures will be discussed

  14. Urinary catheter with polyurethane coating modified by ion implantation

    International Nuclear Information System (INIS)

    Kondyurina, I.; Nechitailo, G.S.; Svistkov, A.L.; Kondyurin, A.; Bilek, M.

    2015-01-01

    A low friction urinary catheter that could be used without a lubricant is proposed in this work. A polyurethane coating was synthesised on the surface of a metal guide wire catheter. Ion implantation was applied to surface modify the polyurethane coating. FTIR ATR, wetting angle, AFM and friction tests were used for analysis. Low friction was found to be provided by the formation of a hard carbonised layer on the polyurethane surface

  15. Thermal conductivity measurement of the He-ion implanted layer of W using transient thermoreflectance technique

    Energy Technology Data Exchange (ETDEWEB)

    Qu, Shilian; Li, Yuanfei [Laboratory of Advanced Materials, School of Materials Science and Engineering, Tsinghua University, Beijing 100084 (China); Wang, Zhigang [Department of Electronic Engineering, Dalian University of Technology, Dalian 116024 (China); Jia, Yuzhen [Laboratory of Advanced Materials, School of Materials Science and Engineering, Tsinghua University, Beijing 100084 (China); Science and Technology on Reactor Fuel and Materials Laboratory, Nuclear Power Institute of China, Chengdu 610213 (China); Li, Chun [School of Mechanical and Materials Engineering, North China University of Technology, Beijing 100144 (China); Xu, Ben; Chen, Wanqi [Laboratory of Advanced Materials, School of Materials Science and Engineering, Tsinghua University, Beijing 100084 (China); Bai, Suyuan [School of Physics and Electronic Technology, Liaoning Normal University, Dalian 116029 (China); Huang, Zhengxing; Tang, Zhenan [Department of Electronic Engineering, Dalian University of Technology, Dalian 116024 (China); Liu, Wei, E-mail: liuw@mail.tsinghua.edu.cn [Laboratory of Advanced Materials, School of Materials Science and Engineering, Tsinghua University, Beijing 100084 (China)

    2017-02-15

    Transient thermoreflectance method was applied on the thermal conductivity measurement of the surface damaged layer of He-implanted tungsten. Uniform damages tungsten surface layer was produced by multi-energy He-ion implantation with thickness of 450 nm. Result shows that the thermal conductivity is reduced by 90%. This technique was further applied on sample with holes on the surface, which was produced by the He-implanted at 2953 K. The thermal conductivity decreases to 3% from the bulk value.

  16. Ion-implantation dense cascade data

    International Nuclear Information System (INIS)

    Winterbon, K.B.

    1983-04-01

    A tabulation is given of data useful in estimating various aspects of ion-implantation cascades in the nuclear stopping regime, particularly with respect to nonlinearity of the cascade at high energy densities. The tabulation is restricted to self-ion implantation. Besides power-cross-section cascade dimensions, various material properties are included. Scaling of derived quantities with input data is noted, so one is not limited to the values assumed by the author

  17. The air oxidation behavior of lanthanum ion implanted zirconium at 500 deg. C

    CERN Document Server

    Peng, D Q; Chen, X W; Zhou, Q G

    2003-01-01

    The beneficial effect of lanthanum ion implantation on the oxidation behavior of zirconium at 500 deg. C has been studied. Zirconium specimens were implanted by lanthanum ions using a MEVVA source at energy of 40 keV with a fluence range from 1x10 sup 1 sup 6 to 1x10 sup 1 sup 7 ions/cm sup 2 at maximum temperature of 130 deg. C, The weight gain curves were measured after being oxidized in air at 500 deg. C for 100 min, which showed that a significant improvement was achieved in the oxidation behavior of zirconium ion implanted with lanthanum compared with that of the as-received zirconium. The valence of the oxides in the scale was analyzed by X-ray photoemission spectroscopy; and then the depth distributions of the elements in the surface of the samples were obtained by Auger electron spectroscopy. Glancing angle X-ray diffraction at 0.3 deg. incident angles was employed to examine the modification of its phase transformation because of the lanthanum ion implantation in the oxide films. It was obviously fou...

  18. Recoil implantation of boron into silicon by high energy silicon ions

    Science.gov (United States)

    Shao, L.; Lu, X. M.; Wang, X. M.; Rusakova, I.; Mount, G.; Zhang, L. H.; Liu, J. R.; Chu, Wei-Kan

    2001-07-01

    A recoil implantation technique for shallow junction formation was investigated. After e-gun deposition of a B layer onto Si, 10, 50, or 500 keV Si ion beams were used to introduce surface deposited B atoms into Si by knock-on. It has been shown that recoil implantation with high energy incident ions like 500 keV produces a shallower B profile than lower energy implantation such as 10 keV and 50 keV. This is due to the fact that recoil probability at a given angle is a strong function of the energy of the primary projectile. Boron diffusion was showed to be suppressed in high energy recoil implantation and such suppression became more obvious at higher Si doses. It was suggested that vacancy rich region due to defect imbalance plays the role to suppress B diffusion. Sub-100 nm junction can be formed by this technique with the advantage of high throughput of high energy implanters.

  19. Versatile high current metal ion implantation facility

    International Nuclear Information System (INIS)

    Brown, I.G.; Dickinson, M.R.; Galvin, J.E.; Godechot, X.; MacGill, R.A.

    1992-01-01

    A metal ion implantation facility has been developed with which high current beams of practically all the solid metals of the periodic table can be produced. A multicathode, broad-beam, metal vapor vacuum arc ion source is used to produce repetitively pulsed metal ion beams at an extraction voltage of up to 100 kV, corresponding to an ion energy of up to several hundred kiloelectronvolts because of the ion charge state multiplicity, and with a beam current of up to several amps peak pulsed and several tens of milliamps time averaged delivered onto a downstream target. Implantation is done in a broad-beam mode, with a direct line of sight from ion source to target. Here we summarize some of the features of the ion source and the implantation facility that has been built up around it. (orig)

  20. Plasma-based ion implantation and deposition: A review of physics,technology, and applications

    Energy Technology Data Exchange (ETDEWEB)

    Pelletier, Jacques; Anders, Andre

    2005-05-16

    After pioneering work in the 1980s, plasma-based ion implantation (PBII) and plasma-based ion implantation and deposition (PBIID) can now be considered mature technologies for surface modification and thin film deposition. This review starts by looking at the historical development and recalling the basic ideas of PBII. Advantages and disadvantages are compared to conventional ion beam implantation and physical vapor deposition for PBII and PBIID, respectively, followed by a summary of the physics of sheath dynamics, plasma and pulse specifications, plasma diagnostics, and process modeling. The review moves on to technology considerations for plasma sources and process reactors. PBII surface modification and PBIID coatings are applied in a wide range of situations. They include the by-now traditional tribological applications of reducing wear and corrosion through the formation of hard, tough, smooth, low-friction and chemically inert phases and coatings, e.g. for engine components. PBII has become viable for the formation of shallow junctions and other applications in microelectronics. More recently, the rapidly growing field of biomaterial synthesis makes used of PBII&D to produce surgical implants, bio- and blood-compatible surfaces and coatings, etc. With limitations, also non-conducting materials such as plastic sheets can be treated. The major interest in PBII processing originates from its flexibility in ion energy (from a few eV up to about 100 keV), and the capability to efficiently treat, or deposit on, large areas, and (within limits) to process non-flat, three-dimensional workpieces, including forming and modifying metastable phases and nanostructures. We use the acronym PBII&D when referring to both implantation and deposition, while PBIID implies that deposition is part of the process.

  1. A method to measure depth distributions of implanted ions

    International Nuclear Information System (INIS)

    Arnesen, A.; Noreland, T.

    1977-04-01

    A new variant of the radiotracer method for depth distribution determinations has been tested. Depth distributions of radioactive implanted ions are determined by dissolving thin, uniform layers of evaporated material from the surface of a backing and by measuring the activity before and after the layer removal. The method has been used to determine depth distributions for 25 keV and 50 keV 57 Co ions in aluminium and gold. (Auth.)

  2. New materials properties achievable by ion implantation doping and laser processing

    International Nuclear Information System (INIS)

    Appleton, B.R.; Larson, B.C.; White, C.W.; Narayan, J.; Wilson, S.R.; Pronko, P.P.

    1978-12-01

    It is well established that ion implantation techniques can be used to introduce selected impurities into solids in a controlled, accurate and often unique manner. Recent experiments have shown that pulsed laser processing of materials can lead to surface melting, dopant redistribution and crystal regrowth, all on extremely short time scales (approx. < 1 μ sec.). These two processes can be combined to achieve properties not possible with normal materials preparation techniques, or to alter materials properties in a more efficient manner. Investigations are presented utilizing the combined techniques of positive ion scattering-channeling, x-ray scattering and transmission electron microscopy which show that supersaturated alloys can be formed in the surface regions (approx. 1 μm) of ion implanted, laser annealed silicon single crystals, and that these surfaces undergo a unique one dimensional lattice contraction or expansion depending on the dopant species. The resultant surface has a lattice parameter significantly different from the bulk, is free from any damage defects, has essentially all the dopant atoms in substitutional sites and the impurity concentrations can exceed solid solubility limits by more than an order of magnitude

  3. Electrical conduction in 100 keV Kr+ ion implanted poly (ethylene terephthalate)

    Science.gov (United States)

    Goyal, P. K.; Kumar, V.; Gupta, Renu; Mahendia, S.; Anita, Kumar, S.

    2012-06-01

    Polyethylene terephthalate (PET) samples have been implanted to 100 keV Kr+ ions at the fluences 1×1015-- 1×1016 cm-2. From I-V characteristics, the conduction mechanism was found to be shifted from ohmic to space charge limited conduction (SCLC) after implantation. The surface conductivity of these implanted samples was found to increase with increasing implantation dose. The structural alterations in the Raman spectra of implanted PET samples indicate that such an increase in the conductivity may be attributed to the formation of conjugated double bonded carbonaceous structure in the implanted layer of PET.

  4. Amorphization of metals by ion implantation and ion beam mixing

    International Nuclear Information System (INIS)

    Rauschenbach, B.; Heera, V.

    1988-01-01

    Amorphous metallic systems can be formed either by high-fluence ion implantation of glassforming species or by irradiation of layered metal systems with inert gas ions. Both techniques and experimental examples are presented. Empirical rules are discussed which predict whether a given system can be transformed into an amorphous phase. Influence of temperature, implantation dose and pre-existing crystalline metal composition on amorphization is considered. Examples are given of the implantation induced amorphous structure, recrystallization and formation of quasicrystalline structures. (author)

  5. Effect of ion irradiation on the optical properties and room temperature oxidation of copper surface

    Energy Technology Data Exchange (ETDEWEB)

    Poperenko, L.V.; Ramadan Shaaban, Essam; Khanh, N.Q.; Stashchuk, V.S.; Vinnichenko, M.V.; Yurgelevich, I.V.; Nosach, D.V.; Lohner, T

    2004-05-01

    Ex situ and in situ spectroellipsometric investigation of room temperature oxidation of ion-implanted copper surface was performed. The ellipsometer is capable to measure simultaneously the ellipsometric parameters {psi} and {delta} at 88 different wavelength values in the range of 280-760 nm within a few minutes in the high precision operation mode using two zone averaging and within a fraction of a second in the one zone operation mode. The native oxide layer formed earlier on the surface of the copper was sputtered off during the aluminum ion implantation. In situ study of the growth of the newly formed native oxide layer on the ion implanted surface was carried out. Ion beam analytical measurements were performed to gain further information on the native oxide layer. The absolute number of the oxygen atoms in the native copper oxide layer was determined. The depth distribution of the implanted aluminum was extracted from Rutherford backscattering spectra. It is found that Al implantation enhanced the oxidation resistance.

  6. Effect of ion irradiation on the optical properties and room temperature oxidation of copper surface

    International Nuclear Information System (INIS)

    Poperenko, L.V.; Ramadan Shaaban, Essam; Khanh, N.Q.; Stashchuk, V.S.; Vinnichenko, M.V.; Yurgelevich, I.V.; Nosach, D.V.; Lohner, T.

    2004-01-01

    Ex situ and in situ spectroellipsometric investigation of room temperature oxidation of ion-implanted copper surface was performed. The ellipsometer is capable to measure simultaneously the ellipsometric parameters Ψ and Δ at 88 different wavelength values in the range of 280-760 nm within a few minutes in the high precision operation mode using two zone averaging and within a fraction of a second in the one zone operation mode. The native oxide layer formed earlier on the surface of the copper was sputtered off during the aluminum ion implantation. In situ study of the growth of the newly formed native oxide layer on the ion implanted surface was carried out. Ion beam analytical measurements were performed to gain further information on the native oxide layer. The absolute number of the oxygen atoms in the native copper oxide layer was determined. The depth distribution of the implanted aluminum was extracted from Rutherford backscattering spectra. It is found that Al implantation enhanced the oxidation resistance

  7. Ion implantation of boron in germanium

    International Nuclear Information System (INIS)

    Jones, K.S.

    1985-05-01

    Ion implantation of 11 B + into room temperature Ge samples leads to a p-type layer prior to any post implant annealing steps. Variable temperature Hall measurements and deep level transient spectroscopy experiments indicate that room temperature implantation of 11 B + into Ge results in 100% of the boron ions being electrically active as shallow acceptor, over the entire dose range (5 x 10 11 /cm 2 to 1 x 10 14 /cm 2 ) and energy range (25 keV to 100 keV) investigated, without any post implant annealing. The concentration of damage related acceptor centers is only 10% of the boron related, shallow acceptor center concentration for low energy implants (25 keV), but becomes dominant at high energies (100 keV) and low doses ( 12 /cm 2 ). Three damage related hole traps are produced by ion implantation of 11 B + . Two of these hole traps have also been observed in γ-irradiated Ge and may be oxygen-vacancy related defects, while the third trap may be divacancy related. All three traps anneal out at low temperatures ( 0 C). Boron, from room temperature implantation of BF 2 + into Ge, is not substitutionally active prior to a post implant annealing step of 250 0 C for 30 minutes. After annealing additional shallow acceptors are observed in BF 2 + implanted samples which may be due to fluorine or flourine related complexes which are electrically active

  8. Vacancy supersaturations produced by high-energy ion implantation

    International Nuclear Information System (INIS)

    Venezia, V.C.; Eaglesham, D.J.; Jacobson, D.C.; Gossmann, H.J.

    1998-01-01

    A new technique for detecting the vacancy clusters produced by high-energy ion implantation into silicon is proposed and tested. This technique takes advantage of the fact that metal impurities, such as Au, are gettered near one-half of the projected range (1/2 R p ) of MeV implants. The vacancy clustered region produced by a 2 MeV Si + implant into silicon has been labeled with Au diffused in from the front surface. The trapped Au was detected by Rutherford backscattering spectrometry (RBS) to profile the vacancy clusters. Cross section transmission electron microscopy (XTEM) analysis shows that the Au in the region of vacancy clusters is in the form of precipitates. By annealing MeV implanted samples prior to introduction of the Au, changes in the defect concentration within the vacancy clustered region were monitored as a function of annealing conditions

  9. Ta-ion implantation induced by a high-intensity laser for plasma diagnostics and target preparation

    Energy Technology Data Exchange (ETDEWEB)

    Cutroneo, M., E-mail: cutroneo@ujf.cas.cz [Nuclear Physics Institute, ASCR, 250 68 Rez (Czech Republic); Malinsky, P.; Mackova, A. [Nuclear Physics Institute, ASCR, 250 68 Rez (Czech Republic); Department of Physics, Faculty of Science, J.E. Purkinje University, Ceske mladeze 8, 400 96 Usti nad Labem (Czech Republic); Matousek, J. [Department of Physics, Faculty of Science, J.E. Purkinje University, Ceske mladeze 8, 400 96 Usti nad Labem (Czech Republic); Torrisi, L. [Department of Physics and Earth Science, Messina University, V.le F.S. d’Alcontres 31, 981 66 S. Agata, Messina (Italy); Slepicka, P. [Department of Solid State Engineering, Institute of Chemical Technology, 166 28 Prague (Czech Republic); Ullschmied, J. [Institute of Physics, ASCR, v.v.i., 182 21 Prague 8 (Czech Republic)

    2015-12-15

    The present work is focused on the implantation of Ta ions into silicon substrates covered by a silicon dioxide layer 50–300 nm thick. The implantation is achieved using sub-nanosecond pulsed laser ablation (10{sup 15} W/cm{sup 2}) with the objective of accelerating non-equilibrium plasma ions. The accelerated Ta ions are implanted into the exposed silicon substrates at energies of approximately 20 keV per charge state. By changing a few variables in the laser pulse, it is possible to control the kinetic energy, the yield and the angular distribution of the emitted ions. Rutherford Back-Scattering analysis was performed using 2.0 MeV He{sup +} as the probe ions to determine the elemental depth profiles and the chemical composition of the laser-implanted substrates. The depth distributions of the implanted Ta ions were compared to SRIM 2012 simulations. The evaluated results of energy distribution were compared with online techniques, such as Ion Collectors (IC) and an Ion Energy Analyser (IEA), for a detailed identification of the produced ion species and their energy-to-charge ratios (M/z). Moreover, XPS (X-ray Photon Spectroscopy) and AFM (Atomic Force Microscopy) analyses were carried out to obtain information on the surface morphology and the chemical composition of the modified implanted layers, as these features are important for further application of such structures.

  10. Characterization of nitrogen-ion-implanted aluminium

    International Nuclear Information System (INIS)

    Rauschenbach, B.; Breuer, K.; Leonhardt, G.

    1990-01-01

    Aluminium has been implanted with nitrogen ions at different temperatures. The implanted samples have been characterized by Auger electron spectroscopy (AES), X-ray photoelectron spectroscopy (XPS) and electron energy-loss spectroscopy (EELS). Deconvolution procedures are needed to separate the influence of the ion sputter profiling by AES and XPS from the nitrogen-ion-beam-induced effects. The chemical state of Al, N, O and C was identified by deconvolution of the measured spectra. In general, there were double-peak structures observed for N 1s and O 1s, identified as contributions from nitrides and weakly bound nitrogen, and oxides and weakly bound oxygen, respectively. Auger analysis confirms the influence of the nitrogen ion fluence on the shape of the concentration distribution. The influence of temperature on the chemical state of implanted aluminium and on the concentration distribution is discussed. (orig.)

  11. TEM study of the ion beam induced damage during 14 kev P+ implantation in silicon

    International Nuclear Information System (INIS)

    Rubanov, S.; Tamanyan, G.; Hudson, F.; Jamieson, D.N.; McCallum, J.C.; Prawer, S.

    2005-01-01

    The proposed silicon-based quantum computer architecture comprises an array of phosphorus donor atoms (qubits) positioned with nanometre accuracy beneath the surface of a semiconductor host, using a single ion implantation technique. The average depth of the implanted ions (the projected range R p ), lateral range R p 1, and the distribution of ions about that depth can be approximated as two-dimensional Gaussian with standard deviation ΔR p and ΔR p 1 (lateral straggle). Using transmission electron microscopy (TEM) we studied ion beam induced damage after 14 keV P + implantation in Si. The TEM images allowed us to compare the depth of the amorphous cluster formation to R p , R p 1, ΔR p 1 calculated from SRIM and hence determine evidence for the limitation on the accuracy of the position of the implanted ions imposed by straggling. (author). 4 refs., 3 figs

  12. Mechanical and tribological properties of AISI 304 stainless steel nitrided by glow discharge compared to ion implantation and plasma immersion ion implantation

    International Nuclear Information System (INIS)

    Foerster, C.E.; Serbena, F.C.; Silva, S.L.R. da; Lepienski, C.M.; Siqueira, C.J. de M.; Ueda, M.

    2007-01-01

    Results about mechanical and tribological behavior of AISI 304 stainless steel nitrided by three different ion beam processes - glow discharge (GD), ion implantation (II) and plasma immersion ion implantation (PI3) are reported. Expanded austenite γ N and nitrides phases (Fe 2+x N, γ'-Fe 4 N and Cr-N) were identified as a function of nitriding conditions. Hardness (H) and elastic modulus (E) profiles were obtained by instrumented penetration. The hardness reached values as high as 21 GPa by PI3. Tribological behavior was studied by reciprocating sliding tests with a WC (Co) ball at room temperature (RT) in dry condition. Different wear regimes were identified in the friction coefficient profiles. The profile form and the running-in distance are strongly dependent on the nitriding process. Adhesive and abrasive wear components can be inferred from these friction profiles. Hardness and tribological performance, after the nitriding processes, are discussed in terms of surface microstructure

  13. Improvement of the corrosion and tribological properties of CSS-42L aerospace bearing steel using carbon ion implantation

    Energy Technology Data Exchange (ETDEWEB)

    Wang, Fangfang; Zhou, Chungen; Zheng, Lijing, E-mail: zhenglijing@buaa.edu.cn; Zhang, Hu

    2017-01-15

    Highlights: • The corrosion and tribological properties of an aerospace bearing steel CSS-42L was investigated. • Carbon ion implantation was conducted and an amorphous layer formed at the near surface of CSS-42L steel. • The enhanced Cr diffusion and the decreased free electrons are contributed to the improvement of corrosion properties. • The external hard layer has positive effect on the wear resistance. - Abstract: The aerospace bearings steel CSS-42L was ion implanted by carbon with implantation fluxes of 5 × 10{sup 16} ions cm{sup −2}. The composition, microstructure and hardness of the carbon implanted samples were characterized using X-ray photoelectron spectroscopy, Auger electron spectroscopy, X-ray diffraction, and nanoindentation tests. The corrosion and tribological properties were also evaluated in the present work. The results shown that carbon implantation produced an amorphous layer and graphitic bounds formed at the near surface of CSS-42L steel. In the electrochemical test, the carbon implanted samples suggested lower current densities and corrosion rates. Carbon ion implanted samples shown a relative Cr-enrichment at the surface as compared with nonimplanted samples. The improved corrosion resistance is believed to be related to the formed amorphous layer, the enhancement of Cr diffusion in the carbon implantation layer which contributed the formation of passive film on the surface, the decrease of free electrons which caused by the increase of carbon fraction. The external hard layer had positive effect on the wear resistance, reducing strongly the friction coefficient about 30% and the abrasive-adhesive mechanism present in the unimplanted samples was not modified by the implantation process.

  14. In-vitro evaluation of corrosion resistance of nitrogen ion implanted titanium simulated body fluid

    International Nuclear Information System (INIS)

    Subbaiyan, M.; Sundararajian, T.; Rajeswari, S.; Kamachi Mudali, U.; Nair, K.G.M.; Thampi, N.S.

    1997-01-01

    Titanium and its alloy Ti6Al4V enjoy widespread use in various biomedical applications because of favourable local tissue response, higher corrosion resistance and fatigue strength than the stainless steels and cobalt-chromium alloy previously used. The study reported in this paper aims to optimize the conditions of nitrogen ion implantation on commercially pure titanium and to correlate the implantation parameters to the corrosion resistance. X-ray photoelectron spectroscopy was used to analyse surface concentration and the implantation processes. An improvement in the electrochemical behaviour of the passive film was shown to occur with nitrogen ion implantation on titanium, in simulated body fluids. (UK)

  15. Hip implants - Paper VI - Ion concentrations

    Energy Technology Data Exchange (ETDEWEB)

    Sargeant, A. [Department of Biological Sciences, Ohio Northern University, Ada, OH 45810 (United States); Goswami, T. [Department of Mechanical Engineering, Ohio Northern University, Ada, OH 45810 (United States)]. E-mail: t-goswami@onu.edu

    2007-07-01

    Total hip-joint arthroplasty is performed in increasing numbers where it translates to about 0.16-0.2% of population per year in industrial countries. In most cases, an implant is a metallic component articulating with a metal, ceramic or poly-ethylene liner as seen in the case of hip, knee and spine. The metal implants release ions in vivo. Therefore, there is a need to study metallic implants and ions released as a result. Toxic concentrations of ions can lead to many adverse physiological effects, including cytotoxicity, genotoxicity, carcinogenicity, and metal sensitivity. There is a need to map ion concentrations establishing boundaries between normal and toxic levels; which however, does not exist. Reference levels of ion concentrations in body fluids and tissues determined by many studies are compiled, reviewed, and presented in this paper. The concentrations of ions released from different alloys, including cobalt, chromium, nickel, molybdenum titanium, aluminum, and vanadium, are presented in this paper. This paper reviews the literature pertaining to clinical data on metal ion concentrations in patients with metal joint prostheses, and laboratory data on the physiological effects of the metals.

  16. Very broad beam metal ion source for large area ion implantation application

    International Nuclear Information System (INIS)

    Brown, I.; Anders, S.; Dickinson, M.R.; MacGill, R.A.; Yao, X.

    1993-01-01

    The authors have made and operated a very broad beam version of vacuum arc ion source and used it to carry out high energy metal ion implantation of a particularly large substrate. A multiple-cathode vacuum arc plasma source was coupled to a 50 cm diameter beam extractor (multiple aperture, accel-decel configuration) operated at a net extraction voltage of up to 50 kV. The metal ion species chosen were Ni and Ta. The mean ion charge state for Ni and Ta vacuum arc plasmas is 1.8 and 2.9, respectively, and so the mean ion energies were up to about 90 and 145 keV, respectively. The ion source was operated in a repetitively pulsed mode with pulse length 250 μs and repetition rate several pulses per second. The extracted beam had a gaussian profile with FWHM about 35 cm, giving a nominal beam area of about 1,000 cm 2 . The current of Ni or Ta metal ions in the beam was up to several amperes. The targets for the ion implantation were a number of 24-inch long, highly polished Cu rails from an electromagnetic rail gun. The rails were located about 80 cm away from the ion source extractor grids, and were moved across a diameter of the vessel in such a way as to maximize the uniformity of the implant along the rail. The saturation retained dose for Ta was limited to about 4 x 10 16 cm -2 because of the rather severe sputtering, in accordance with the theoretical expectations for these implantation conditions. Here they describe the ion source, the implantation procedure, and the kinds of implants that can be produced in this way

  17. Evidence of surface migration and formation of catalytically inactive Pt in corrosion studies of Pt+ implanted Ti

    International Nuclear Information System (INIS)

    Appleton, B.R.; Kelly, E.J.; White, C.W.; Thompson, N.G.; Lichter, B.D.

    1980-08-01

    This investigation is part of an ongoing research project directed at applying the techniques of ion implantation doping and ion scattering analysis to identify the mechanisms associated with the anodic dissolution of Ti-Pt alloys. The Ti-Pt alloys produced by ion implantation were electrochemically examined in hydrogen saturated 1 N H 2 SO 4 by both potentiostatic polarization and open-circuit potential methods. In this study, Ti samples implanted to relatively high doses (5.4 x 10 15 to 2.9 x 10 16 atoms/cm 2 ) were examined by ion scattering analysis at various stages in the electrochemical measurements. Quantitative measurements showed that the majority of the implanted Pt accumulated on the surface during anodic dissolution and underwent large scale surface migration. Evidence is also presented for the transition of the Pt on the surface from a catalytically active to inactive state. Possible mechanisms for the observed catalytically inactive Pt are discussed

  18. Doping of silicon carbide by ion implantation

    International Nuclear Information System (INIS)

    Gimbert, J.

    1999-01-01

    It appeared that in some fields, as the hostile environments (high temperature or irradiation), the silicon compounds showed limitations resulting from the electrical and mechanical properties. Doping of 4H and 6H silicon carbide by ion implantation is studied from a physicochemical and electrical point of view. It is necessary to obtain n-type and p-type material to realize high power and/or high frequency devices, such as MESFETs and Schottky diodes. First, physical and electrical properties of silicon carbide are presented and the interest of developing a process technology on this material is emphasised. Then, physical characteristics of ion implantation and particularly classical dopant implantation, such as nitrogen, for n-type doping, and aluminium and boron, for p-type doping are described. Results with these dopants are presented and analysed. Optimal conditions are extracted from these experiences so as to obtain a good crystal quality and a surface state allowing device fabrication. Electrical conduction is then described in the 4H and 6H-SiC polytypes. Freezing of free carriers and scattering processes are described. Electrical measurements are carried out using Hall effect on Van der Panw test patterns, and 4 point probe method are used to draw the type of the material, free carrier concentrations, resistivity and mobility of the implanted doped layers. These results are commented and compared to the theoretical analysis. The influence of the technological process on electrical conduction is studied in view of fabricating implanted silicon carbide devices. (author)

  19. High energy ion implantation for IC processing

    International Nuclear Information System (INIS)

    Oosterhoff, S.

    1986-01-01

    In this thesis the results of fundamental research on high energy ion implantation in silicon are presented and discussed. The implantations have been carried out with the 500 kV HVEE ion implantation machine, that was acquired in 1981 by the IC technology and Electronics group at Twente University of Technology. The damage and anneal behaviour of 1 MeV boron implantations to a dose of 10 13 /cm 2 have been investigated as a function of anneal temperature by sheet resistance, Hall and noise measurements. (Auth.)

  20. Origin for the shape of Au small crystals formed inside sapphire by ion implantation

    International Nuclear Information System (INIS)

    Ohkubo, M.; Hioki, T.

    1989-01-01

    In ion-implanted oxides, precipitation is usually formed except the case of forming solid solution. The precipitation comprises the metallic particles of implanted atoms, the oxide of implanted atoms, the metal of matrix elements, the compound of implanted atoms and matrix and so on. In particular, the metallic particles of implanted atoms are frequently faceted. From the facets, the equilibrium shape of crystals can be imagined. The equilibrium shape is determined so that the surface free energy is to be minimized. However, the shape of the metallic particles precipitated inside oxides should not be such equilibrium shape because they come in contact with foreign crystals. As the result, in the precipitation phenomena induced by ion implantation, the crystal structures of precipitated particles and substrates, the crystallographic relation between two crystals, interfacial energy and so on must be taken in consideration. In this paper, the report is made on the shape of the metallic gold particles formed inside sapphires by ion implantation that it was caused by only the crystal habit of sapphires regardless of the above-mentioned complexity. (K.I.)

  1. Temperature Activated Diffusion of Radicals through Ion Implanted Polymers

    DEFF Research Database (Denmark)

    Wakelin, Edgar A.; Davies, Michael J.; Bilek, Marcela M. M.

    2015-01-01

    Plasma immersion ion implantation (PIII) is a promising technique for immobilizing biomolecules on the surface of polymers. Radicals generated in a subsurface layer by PIII treatment diffuse throughout the substrate, forming covalent bonds to molecules when they reach the surface. Understanding...... to the surface. The model makes useful predictions for the lifetime over which the surface is sufficiently active to covalently immobilize biomolecules and it can be used to determine radical fluence during biomolecule incubation for a range of storage and incubation temperatures so facilitating selection...

  2. Effects of ion implantation on the hardness and friction behaviour of soda-lime silica glass

    International Nuclear Information System (INIS)

    Bull, S.J.; Page, T.F.

    1992-01-01

    Ion implantation-induced changes in the near-surface mechanical properties of soda-lime silica glass have been investigated by indentation and scratch testing and have been found to be more complicated than changes in the corresponding properties of crystalline ceramic materials. Argon, nitrogen, carbon and potassium ions were used with energies in the range 45-300 keV. Hardness and scratch friction tests were performed under ambient laboratory conditions. At low doses, a decrease in hardness and an increase in both friction and surface stress are observed which are attributed to the electronic damage produced by ion implantation. At higher doses, the hardness increases again and a maximum is produced similar to the behaviour observed for crystalline materials. Similarly there is found to be a second stress and friction peak at this dose. This behaviour is shown to be due to the build-up of displacement damage produced by ion implantation and is thus very similar to the radiation hardening (and eventual amorphization) behaviour of ion-implanted crystalline ceramics. For glass, ''amorphization'' probably corresponds to some change in the existing amorphous state which, in turn, is responsible for the reduction in hardness, stress and friction at the highest doses. (author)

  3. Thermal characterization of Ag and Ag + N ion implanted ultra-high molecular weight polyethylene (UHMWPE)

    Science.gov (United States)

    Sokullu Urkac, E.; Oztarhan, A.; Tihminlioglu, F.; Kaya, N.; Ila, D.; Muntele, C.; Budak, S.; Oks, E.; Nikolaev, A.; Ezdesir, A.; Tek, Z.

    2007-08-01

    Most of total hip joints are composed of ultra-high molecular weight polyethylene (UHMWPE). However, as ultra-high molecular weight polyethylene is too stable in a body, wear debris may accumulate and cause biological response such as bone absorption and loosening of prosthesis. In this study, ultra-high molecular weight polyethylene samples were Ag and Ag + N hybrid ion implanted by using MEVVA ion implantation technique to improve its surface properties. Samples were implanted with a fluence of 1017 ion/cm2 and extraction voltage of 30 kV. Implanted and unimplanted samples were investigated by thermo-gravimetry analysis (TGA), differential scanning calorimetry (DSC), X-ray diffraction (XRD) analysis, scanning electron microscopy (SEM), optical microscopy (OM) and contact Angle measurement. Thermal characterization results showed that the ion bombardment induced an increase in the % crystallinity, onset and termination degradation temperatures of UHMWPE.

  4. The modification of LiTaO3 crystal by low-energy He-ion implantation

    International Nuclear Information System (INIS)

    Pang, L.L.; Wang, Z.G.; Jin, Y.F.; Yao, C.F.; Cui, M.H.; Sun, J.R.; Shen, T.L.; Wei, K.F.; Zhu, Y.B.; Sheng, Y.B.; Li, Y.F.

    2012-01-01

    Highlights: ► LiTaO 3 crystal was implanted by 250 keV He + . ► We report the surface and transmittance of LiTaO 3 change with the fluence and time. ► New phenomena (self-splitting, self-exfoliation, self-recovery) occurred. ► Evolvement of defects and the behavior of helium were discussed. - Abstract: The effects of He-ion implantation on the surface morphology and transmittance of LiTaO 3 single crystals are investigated. The samples were implanted with 250 keV He-ion at different fluences at room temperature. The results show that the surface morphology and transmittance of implanted samples strongly depend on the ion fluence and the time when the samples expose to the air up to 60 days. When the fluence is above 1.0 × 10 16 He + /cm 2 , the transmission spectra indicate that a high concentration of defects is created. 3D-profile images show that at the higher fluence a great many triangular stripes appear on the surface of the samples. After 60 days, the recovery of the transmittance occurs and varies with the fluence. For the sample at the fluence of 5.0 × 10 16 He + /cm 2 , the raised stripes on the surface evolve into narrow cracks. Regional exfoliation, however, occurs on the surface of the sample with the fluence of 1.0 × 10 17 He + /cm 2 . According to the experimental results and simulation of SRIM 2008 code, the evolvement of defects and the behavior of He are discussed.

  5. The KFKI 150 kV ion-implanter

    International Nuclear Information System (INIS)

    Pasztor, E.

    1976-09-01

    The description of the ion-implanter of 150 keV maximum energy designed and built in the Central Research Institute for Physics, Budapest is given. The implanter fulfils all technological and safety requirements of the industry. In addition to B,P and As other elements up to mass-number 76 can also be implanted by help of the Danfysik 911 type ion source. The 3x10 -6 Torr operational pressure is provided by three turbomolecular pumps. The maximum dose is 1 μCb/cm 2 min and to ensure uniformity of the implantation on the 100x105 mm 2 target area the ion beam is swept electrostatically. According to the testing experiments the inhomogenity can be taken to be +-1.3%. (Sz.N.Z.)

  6. Formation and characterization of ZnO : Tm+ optical waveguides fabricated by Tm+ and O+ ion implantation

    International Nuclear Information System (INIS)

    Ming Xianbing; Lu Fei; Liu Hanping; Chen Ming; Wang Lei

    2009-01-01

    Planar optical waveguides were formed in ZnO crystal by Tm + and O + ion implantation. The distributions of Tm + in as-implanted and annealed ZnO samples were investigated by the RBS technique. A shift of the Tm + peak towards the sample surface and out diffusion were observed after thermal treatment and subsequent O + ion implantation. Waveguide formation was determined after O + implantation in Tm + -implanted ZnO crystal. By using the prism-coupling method two guided modes were detected. The refractive index profile in the implanted waveguide was reconstructed according to the SRIM and RCM simulation. The RBS/channelling measurements show that the lattice structure of ZnO did not suffer detectable damage after O + implantation.

  7. Osteopontin (OPN is an important protein to mediate improvements in the biocompatibility of C ion-implanted silicone rubber.

    Directory of Open Access Journals (Sweden)

    Shao-liang Wang

    Full Text Available Medical device implants are drawing increasing amounts of interest from modern medical practitioners. However, this attention is not evenly spread across all such devices; most of these implantable devices can cause adverse reactions such as inflammation, fibrosis, thrombosis, and infection. In this work, the biocompatibility of silicone rubber (SR was improved through carbon (C ion implantation. Scanning electron microscopy (SEM, atomic force microscopy (AFM, X-ray photoelectron spectroscopy (XPS, and X-ray diffraction (XRD results confirmed that these newly generated carbon-implanted silicone rubbers (C-SRs had large, irregular peaks and deep valleys on their surfaces. The water contact angle of the SR surface decreased significantly after C ion implantation. C ion implantation also changed the surface charge distribution, silicone oxygen rate, and chemical-element distribution of SR to favor cell attachment. The dermal fibroblasts cultured on the surface C-SR grew faster and showed more typical fibroblastic shapes. The expression levels of major adhesion proteins, including talin-1, zyxin, and vinculin, were significantly higher in dermal fibroblasts cultured on C-SR coated plates than in dermal fibroblasts cultured on SR. Those same dermal fibroblasts on C-SRs showed more pronounced adhesion and migration abilities. Osteopontin (OPN, a critical extracellular matrix (ECM protein, was up-regulated and secreted from dermal fibroblasts cultured on C-SR. Matrix metalloproteinase-9 (MMP-9 activity was also increased. These cells were highly mobile and were able to adhere to surfaces, but these abilities were inhibited by the monoclonal antibody against OPN, or by shRNA-mediated MMP-9 knockdown. Together, these results suggest that C ion implantation significantly improves SR biocompatibility, and that OPN is important to promote cell adhesion to the C-SR surface.

  8. Ion implantation in metals

    International Nuclear Information System (INIS)

    Vook, F.L.

    1977-02-01

    The application of ion beams to metals is rapidly emerging as a promising area of research and technology. This report briefly describes some of the recent advances in the modification and study of the basic properties of metals by ion implantation techniques. Most of the research discussed illustrates some of the new and exciting applications of ion beams to metals which are under active investigation at Sandia Laboratories, Albuquerque

  9. Current trends in ion implantation

    International Nuclear Information System (INIS)

    Gwilliam, R.M.

    2001-01-01

    As semiconductor device dimensions continue to shrink, the drive beyond 250 nm is creating significant problems for the device processor. In particular, trends toward shallower-junctions, lower thermal budgets and simplified processing steps present severe challenges to ion implantation. In parallel with greater control of the implant process goes the need for a better understanding of the physical processes involved during implantation and subsequent activation annealing. For instance, the need for an understanding of dopant-defect interaction is paramount as defects mediate a number of technologically important phenomena such as transient enhanced diffusion and impurity gettering. This paper will outline the current trends in the ion implantation and some of the challenges it faces in the next decade, as described in the semiconductor roadmap. It will highlight some recent positron annihilation work that has made a contribution to addressing one of these challenges, namely the need for tighter control of implant uniformity and dose. Additionally, some vacancy-mediated processes are described with the implication that these may provide areas in which positron annihilation spectroscopy could make a significant contribution. (orig.)

  10. Nonlinear optical properties of Sn+ ion-implanted silica glass

    International Nuclear Information System (INIS)

    Takeda, Y.; Hioki, T.; Motohiro, T.; Noda, S.; Kurauchi, T.

    1994-01-01

    The absolute value of the third-order nonlinear optical susceptibility, vertical stroke χ (3) vertical stroke , of Sn + ion-implanted silica glass was found to be similar 10 -6 esu. This value is as large as those reported for semiconductor-doped glasses. Silica glass substrates were implanted with Sn + ions at an acceleration energy of 400 keV to a dose of 2x10 17 ions/cm 2 at room temperature. Metallic Sn microcrystallites of 4-20 nm in diameter were found to be embedded in the silica glass matrix. The average volume fraction of the Sn microcrystallites was evaluated to be 28%. vertical stroke χ (3) vertical stroke and the imaginary part of the dielectric function, Im ε, had peaks at the same wavelength of 500 nm owing to surface plasmon resonance. The peak width of vertical stroke χ (3) vertical stroke was nearly half of that of Im ε, which can be explained by an effective medium theory. ((orig.))

  11. Some aspects of ion implantation in semiconductors

    International Nuclear Information System (INIS)

    Klose, H.

    1982-01-01

    The advantages and disadvantages of ion implantation in the application of semiconductor technology are reviewed in short. This article describes some aspects of the state of the art and current developments of nonconventional annealing procedures, ion beam gettering of deep impurities, special applications of ion implantation using low or high energy ions and GaAs-electronics, respectively. Radiation defects in Si and the nonexponential emission and capture processes in GaAsP are discussed. Final future trends of ion beam methods in semiconductor production technology are summarized. (author)

  12. 1/f Fluctuations in ion implanted metal semiconductor contacts

    International Nuclear Information System (INIS)

    Stojanovic, M.; Marjanovic, N.; Radojevic, B.

    1998-01-01

    Ion implanted Metal-Semiconductor contacts is the most widely used structures in electrical devices. Weather complete devices or some parts are of interest, properties of metal-semiconductor junction strongly influence the quality and external characteristic of electronic devices. That is the reason why special attention is paid to the investigation of factor (noise for example) that could influence given junction. Low frequency 1/f fluctuations (noise) are constantly present in metal-semiconductor junction, so measurement of their level as well as the dependence on factors such as temperature must be taken into account in detailed analysis of electrical characteristics of devices such as contact, nuclear detector with surface barrier etc. In this paper we present the results of low frequency noise level measurements on TiN-Ti-Si structures produced by As + ion implantation. (author)

  13. The enhancement in wear resistance of W18Cr4V steel by ion implantation

    International Nuclear Information System (INIS)

    Zhou Ping; Xu Peiguang

    1987-01-01

    Two new methods of ion implantation were adopted in comparison with nitrogen implantation: carbon monoxide was implanted directly into W18Cr4V steel, and nitrogen was implanted into a deposited titanium film about 1000 A thick. It is shown that higher surface hardness and wear resistance have been achieved. The composition and phase structure of the implanted layer was determined in detail. The wear mechanisms were discussed

  14. Defects and defect generation in oxide layer of ion implanted silicon-silicon dioxide structures

    CERN Document Server

    Baraban, A P

    2002-01-01

    One studies mechanism of generation of defects in Si-SiO sub 2 structure oxide layer as a result of implantation of argon ions with 130 keV energy and 10 sup 1 sup 3 - 3.2 x 10 sup 1 sup 7 cm sup - sup 2 doses. Si-SiO sub 2 structures are produced by thermal oxidation of silicon under 950 deg C temperature. Investigations were based on electroluminescence technique and on measuring of high-frequency volt-farad characteristics. Increase of implantation dose was determined to result in spreading of luminosity centres and in its maximum shifting closer to boundary with silicon. Ion implantation was shown, as well, to result in increase of density of surface states at Si-SiO sub 2 interface. One proposed model of defect generation resulting from Ar ion implantation into Si-SiO sub 2

  15. Development of vertical compact ion implanter for gemstones applications

    Science.gov (United States)

    Intarasiri, S.; Wijaikhum, A.; Bootkul, D.; Suwannakachorn, D.; Tippawan, U.; Yu, L. D.; Singkarat, S.

    2014-08-01

    Ion implantation technique was applied as an effective non-toxic treatment of the local Thai natural corundum including sapphires and rubies for the enhancement of essential qualities of the gemstones. Energetic oxygen and nitrogen ions in keV range of various fluences were implanted into the precious stones. It has been thoroughly proved that ion implantation can definitely modify the gems to desirable colors together with changing their color distribution, transparency and luster properties. These modifications lead to the improvement in quality of the natural corundum and thus its market value. Possible mechanisms of these modifications have been proposed. The main causes could be the changes in oxidation states of impurities of transition metals, induction of charge transfer from one metal cation to another and the production of color centers. For these purposes, an ion implanter of the kind that is traditionally used in semiconductor wafer fabrication had already been successfully applied for the ion beam bombardment of natural corundum. However, it is not practical for implanting the irregular shape and size of gem samples, and too costly to be economically accepted by the gem and jewelry industry. Accordingly, a specialized ion implanter has been requested by the gem traders. We have succeeded in developing a prototype high-current vertical compact ion implanter only 1.36 m long, from ion source to irradiation chamber, for these purposes. It has been proved to be very effective for corundum, for example, color improvement of blue sapphire, induction of violet sapphire from low value pink sapphire, and amelioration of lead-glass-filled rubies. Details of the implanter and recent implantation results are presented.

  16. Development of vertical compact ion implanter for gemstones applications

    Energy Technology Data Exchange (ETDEWEB)

    Intarasiri, S., E-mail: saweat@gmail.com [Science and Technology Research Institute, Chiang Mai University, Chiang Mai 50200 (Thailand); Thailand Center of Excellence in Physics, Commission on Higher Education, 328 Si Ayutthaya Road, Bangkok 10400 (Thailand); Wijaikhum, A. [Plasma and Beam Physics Research Facility, Department of Physics and Materials Science, Faculty of Science, Chiang Mai University, Chiang Mai 50200 (Thailand); Bootkul, D., E-mail: mo_duangkhae@hotmail.com [Department of General Science (Gems and Jewelry), Faculty of Science, Srinakharinwirot University, Bangkok 10110 (Thailand); Thailand Center of Excellence in Physics, Commission on Higher Education, 328 Si Ayutthaya Road, Bangkok 10400 (Thailand); Suwannakachorn, D.; Tippawan, U.; Yu, L.D.; Singkarat, S. [Plasma and Beam Physics Research Facility, Department of Physics and Materials Science, Faculty of Science, Chiang Mai University, Chiang Mai 50200 (Thailand); Thailand Center of Excellence in Physics, Commission on Higher Education, 328 Si Ayutthaya Road, Bangkok 10400 (Thailand)

    2014-08-15

    Ion implantation technique was applied as an effective non-toxic treatment of the local Thai natural corundum including sapphires and rubies for the enhancement of essential qualities of the gemstones. Energetic oxygen and nitrogen ions in keV range of various fluences were implanted into the precious stones. It has been thoroughly proved that ion implantation can definitely modify the gems to desirable colors together with changing their color distribution, transparency and luster properties. These modifications lead to the improvement in quality of the natural corundum and thus its market value. Possible mechanisms of these modifications have been proposed. The main causes could be the changes in oxidation states of impurities of transition metals, induction of charge transfer from one metal cation to another and the production of color centers. For these purposes, an ion implanter of the kind that is traditionally used in semiconductor wafer fabrication had already been successfully applied for the ion beam bombardment of natural corundum. However, it is not practical for implanting the irregular shape and size of gem samples, and too costly to be economically accepted by the gem and jewelry industry. Accordingly, a specialized ion implanter has been requested by the gem traders. We have succeeded in developing a prototype high-current vertical compact ion implanter only 1.36 m long, from ion source to irradiation chamber, for these purposes. It has been proved to be very effective for corundum, for example, color improvement of blue sapphire, induction of violet sapphire from low value pink sapphire, and amelioration of lead-glass-filled rubies. Details of the implanter and recent implantation results are presented.

  17. Development of vertical compact ion implanter for gemstones applications

    International Nuclear Information System (INIS)

    Intarasiri, S.; Wijaikhum, A.; Bootkul, D.; Suwannakachorn, D.; Tippawan, U.; Yu, L.D.; Singkarat, S.

    2014-01-01

    Ion implantation technique was applied as an effective non-toxic treatment of the local Thai natural corundum including sapphires and rubies for the enhancement of essential qualities of the gemstones. Energetic oxygen and nitrogen ions in keV range of various fluences were implanted into the precious stones. It has been thoroughly proved that ion implantation can definitely modify the gems to desirable colors together with changing their color distribution, transparency and luster properties. These modifications lead to the improvement in quality of the natural corundum and thus its market value. Possible mechanisms of these modifications have been proposed. The main causes could be the changes in oxidation states of impurities of transition metals, induction of charge transfer from one metal cation to another and the production of color centers. For these purposes, an ion implanter of the kind that is traditionally used in semiconductor wafer fabrication had already been successfully applied for the ion beam bombardment of natural corundum. However, it is not practical for implanting the irregular shape and size of gem samples, and too costly to be economically accepted by the gem and jewelry industry. Accordingly, a specialized ion implanter has been requested by the gem traders. We have succeeded in developing a prototype high-current vertical compact ion implanter only 1.36 m long, from ion source to irradiation chamber, for these purposes. It has been proved to be very effective for corundum, for example, color improvement of blue sapphire, induction of violet sapphire from low value pink sapphire, and amelioration of lead-glass-filled rubies. Details of the implanter and recent implantation results are presented

  18. Lithium ion implantation effects in MgO (100)

    NARCIS (Netherlands)

    van Huis, MA; Fedorov, AV; van Veen, A; Labohm, F; Schut, H; Mijnarends, PE; Kooi, BJ; De Hosson, JTM; Triftshauser, W; Kogel, G; Sperr, P

    2001-01-01

    Single crystals of MgO (100) were implanted with 10(16) (6)Li ions cm(-2) at an energy of 30 keV. After ion implantation the samples were annealed isochronally in air at temperatures up to 1200K. After implantation and after each annealing step, the defect evolution was monitored with optical

  19. EPDM Rubber Modified by Nitrogen Plasma Immersion Ion Implantation.

    Science.gov (United States)

    Kondyurin, Alexey

    2018-04-24

    Ethylene-propylene diene monomer rubber (EPDM) was treated by plasma immersion ion implantation (PIII) with nitrogen ions of 20 keV energy and fluence from 10 13 to 10 16 ions/cm². The Fourier-transform infrared attenuated total reflection spectra, atomic force microscopy and optical microscopy showed significant structure changes of the surface. The analysis of an interface of PIII treated EPDM rubber with polyurethane binder showed a cohesive character of the adhesion joint fracture at the presence of solvent and interpreted as covalent bond network formation between the PIII treated rubber and the adhesive.

  20. EPDM Rubber Modified by Nitrogen Plasma Immersion Ion Implantation

    Directory of Open Access Journals (Sweden)

    Alexey Kondyurin

    2018-04-01

    Full Text Available Ethylene-propylene diene monomer rubber (EPDM was treated by plasma immersion ion implantation (PIII with nitrogen ions of 20 keV energy and fluence from 1013 to 1016 ions/cm2. The Fourier-transform infrared attenuated total reflection spectra, atomic force microscopy and optical microscopy showed significant structure changes of the surface. The analysis of an interface of PIII treated EPDM rubber with polyurethane binder showed a cohesive character of the adhesion joint fracture at the presence of solvent and interpreted as covalent bond network formation between the PIII treated rubber and the adhesive.

  1. Channeling ion implantation through palladium films

    International Nuclear Information System (INIS)

    Ishiwara, H.; Furukawa, S.

    1975-01-01

    The possibility of channeling ion implantation into semiconductors through polycrystalline metallic layers is studied. Minimum values and standard deviations of channeling angular yield in polycrystalline Pd 2 Si layers formed on Si have been measured by protons and 4 He, and 14 N ion backscattering and channeling measurements. Depth distributions of the spread of crystallite orientations and scattering centers such as lattice defects have been separately derived by using the above two quantities. It has been concluded that the channeling-ion-implantation technique will become a practical one by using the parallel scanning system

  2. Effects of nitrogen ion implantation time on tungsten films deposited by DC magnetron sputtering on AISI 410 martensitic stainless steel

    Energy Technology Data Exchange (ETDEWEB)

    Malau, Viktor, E-mail: malau@ugm.ac.id; Ilman, Mochammad Noer, E-mail: noer-ilman@yahoo.com; Iswanto, Priyo Tri, E-mail: priyatri@yahoo.com; Jatisukamto, Gaguk, E-mail: gagukjtsk@yahoo.co.id [Mechanical and Industrial Engineering Department, Gadjah Mada University Jl. Grafika 2, Yogyakarta, 55281 (Indonesia)

    2016-03-29

    Nitrogen ion implantation time on tungsten thin film deposited on surface of AISI 410 steel has been performed. Tungsten thin film produced by dc magnetron sputtering method was deposited on AISI 410 martensitic stainless steel substrates, and then the nitrogen ions were implanted on tungsten thin film. The objective of this research is to investigate the effects of implantation deposition time on surface roughness, microhardness, specific wear and corrosion rate of nitrogen implanted on tungsten film. Magnetron sputtering process was performed by using plasma gas of argon (Ar) to bombardier tungsten target (W) in a vacuum chamber with a pressure of 7.6 x 10{sup −2} torr, a voltage of 300 V, a sputter current of 80 mA for sputtered time of 10 minutes. Nitrogen implantation on tungsten film was done with an initial pressure of 3x10{sup −6} mbar, a fluence of 2 x 10{sup 17} ions/cm{sup 2}, an energy of 100 keV and implantation deposition times of 0, 20, 30 and 40 minutes. The surface roughness, microhardness, specific wear and corrosion rate of the films were evaluated by surfcorder test, Vickers microhardness test, wear test and potentiostat (galvanostat) test respectively. The results show that the nitrogen ions implanted deposition time on tungsten film can modify the surface roughness, microhardness, specific wear and corrosion rate. The minimum surface roughness, specific wear and corrosion rate can be obtained for implantation time of 20 minutes and the maximum microhardness of the film is 329 VHN (Vickers Hardness Number) for implantation time of 30 minutes. The specific wear and corrosion rate of the film depend directly on the surface roughness.

  3. Ion implantation and annealing studies in III-V nitrides

    International Nuclear Information System (INIS)

    Zolper, J.C.; Pearton, S.J.

    1996-01-01

    Ion implantation doping and isolation is expected to play an enabling role for the realization of advanced III-Nitride based devices. In fact, implantation has already been used to demonstrate n- and p-type doping of GaN with Si and Mg or Ca, respectively, as well as to fabricate the first GaN junction field effect transistor. Although these initial implantation studies demonstrated the feasibility of this technique for the III-Nitride materials, further work is needed to realize its full potential. After reviewing some of the initial studies in this field, the authors present new results for improved annealing sequences and defect studies in GaN. First, sputtered AlN is shown by electrical characterization of Schottky and Ohmic contacts to be an effect encapsulant of GaN during the 1,100 C implant activation anneal. The AlN suppresses N-loss from the GaN surface and the formation of a degenerate n + -surface region that would prohibit Schottky barrier formation after the implant activation anneal. Second, they examine the nature of the defect generation and annealing sequence following implantation using both Rutherford Backscattering (RBS) and Hall characterization. They show that for a Si-dose of 1 x 10 16 cm -2 50% electrical donor activation is achieved despite a significant amount of residual implantation-induced damage in the material

  4. Optical transmission of silica glass during swift-heavy-ion implantation

    International Nuclear Information System (INIS)

    Plaksin, Oleg; Okubo, Nariaki; Takeda, Yoshihiko; Amekura, Hiroshi; Kono, Kenichiro; Kishimoto, Naoki

    2004-01-01

    Metal nanoparticles fabricated by heavy-ion implantation of insulators are promising for non-linear optical applications. Spectra of optical transmission of silica glass in the visible region were measured during and after implantation of 3 MeV Cu 2+ ions. Three absorption bands contribute to the spectra: transient absorption (TA) at 2.34 eV, a surface plasmon resonance (SPR) peak at 2.21 eV and a tail of residual absorption (RA), which increases when the photon energy is increased from 2.2 to 2.6 eV. The TA and a change of the SPR peak strongly contribute to the total transient absorption obtained as the difference in absorption during and after irradiation. The effect of RA shows up as a decrease of absorption after switching on the ion beam. The TA provides a means for selective electronic excitation by a laser during implantation of silica glass. The precipitation of Cu atoms and the growth of Cu nanoparticles are well distinguishable stages of nanoparticle formation. The SPR peak appears at a fluence of 3.3 x 10 16 ions/cm 2 , corresponding to the onset of precipitation. At fluences higher than 3.4 x 10 16 ions/cm 2 , when the growth of nanoparticles predominates, the fluence dependence of the SPR peak is linear

  5. Synthesis of titanium sapphire by ion implantation

    International Nuclear Information System (INIS)

    Morpeth, L.D.; McCallum, J.C.; Nugent, K.W.

    1998-01-01

    Since laser action was first demonstrated in titanium sapphire (Ti:Al 2 O 3 ) in 1982, it has become the most widely used tunable solid state laser source. The development of a titanium sapphire laser in a waveguide geometry would yield an elegant, compact, versatile and highly tunable light source useful for applications in many areas including optical telecommunications. We are investigating whether ion implantation techniques can be utilised to produce suitable crystal quality and waveguide geometry for fabrication of a Ti:Al 2 O 3 waveguide laser. The implantation of Ti and O ions into c-axis oriented α-Al 2 O 3 followed by subsequent thermal annealing under various conditions has been investigated as a means of forming the waveguide and optimising the fraction of Ti ions that have the correct oxidation state required for laser operation. A Raman Microprobe is being used to investigate the photo-luminescence associated with Ti 3+ ion. Initial photoluminescence measurements of ion implanted samples are encouraging and reveal a broad luminescence profile over a range of ∼ .6 to .9 μm, similar to that expected from Ti 3+ . Rutherford Backscattering and Ion Channelling analysis have been used to study the crystal structure of the samples following implantation and annealing. This enables optimisation of the implantation parameters and annealing conditions to minimise defect levels which would otherwise limit the ability of light to propagate in the Ti:Al 2O 3 waveguide. (authors)

  6. Thermal characterization of Ag and Ag + N ion implanted ultra-high molecular weight polyethylene (UHMWPE)

    Energy Technology Data Exchange (ETDEWEB)

    Sokullu Urkac, E. [Department of Materials Science, Izmir High Technology Institute, Gulbahcekoyu Urla, Izmir (Turkey)]. E-mail: emelsu@gmail.com; Oztarhan, A. [Bioengineering Department, Ege University, Bornova, Izmir 35100 (Turkey); Tihminlioglu, F. [Department of Chemical Engineering, Izmir High Technology Institute, Gulbahcekoyu Urla, Izmir (Turkey); Kaya, N. [Bioengineering Department, Ege University, Bornova, Izmir 35100 (Turkey); Ila, D. [Center for Irradiation of Materials, Alabama A and M University, Normal AL 35762 (United States); Muntele, C. [Center for Irradiation of Materials, Alabama A and M University, Normal AL 35762 (United States); Budak, S. [Center for Irradiation of Materials, Alabama A and M University, Normal AL 35762 (United States); Oks, E. [H C Electronics Institute, Tomsk (Russian Federation); Nikolaev, A. [H C Electronics Institute, Tomsk (Russian Federation); Ezdesir, A. [R and D Department, PETKIM Holding A.S., Aliaga, Izmir 35801 (Turkey); Tek, Z. [Department of Physics, Celal Bayar University, Manisa (Turkey)

    2007-08-15

    Most of total hip joints are composed of ultra-high molecular weight polyethylene (UHMWPE ). However, as ultra-high molecular weight polyethylene is too stable in a body, wear debris may accumulate and cause biological response such as bone absorption and loosening of prosthesis. In this study, ultra-high molecular weight polyethylene samples were Ag and Ag + N hybrid ion implanted by using MEVVA ion implantation technique to improve its surface properties. Samples were implanted with a fluence of 10{sup 17} ion/cm{sup 2} and extraction voltage of 30 kV. Implanted and unimplanted samples were investigated by thermo-gravimetry analysis (TGA), differential scanning calorimetry (DSC), X-ray diffraction (XRD) analysis, scanning electron microscopy (SEM), optical microscopy (OM) and contact Angle measurement. Thermal characterization results showed that the ion bombardment induced an increase in the % crystallinity, onset and termination degradation temperatures of UHMWPE.

  7. Effect of ion implantation on subsequent erosion and wear behavior of solids

    International Nuclear Information System (INIS)

    McHargue, C.J.

    1985-01-01

    The removal of material from a solid surface by mechanical forces is influenced by material properties (hardness, fracture toughness, yield strength, surface free energy) as well as system parameters (force, velocity of loading, environment). Ion implantation can modify many of the material properties either by directly affecting the deformation characteristics or indirectly by affecting the chemical or phase composition at the surface. The various forms of wear and erosion are analyzed to determine the material and system parameters which control material removal. The effects of implantation on these critical parameters are noted and examples of changes in surface topography under various test conditions are discussed. 18 figs

  8. Improve the corrosion and cytotoxic behavior of NiTi implants with use of the ion beam technologies

    Energy Technology Data Exchange (ETDEWEB)

    Meisner, L. L., E-mail: llm@isps.tsc.ru; Meisner, S. N. [Institute of Strength Physics and Materials Science SB RAS, 2/4 Akademichesky Ave., Tomsk, 634021 (Russian Federation); National Research Tomsk State University, 36, Lenina Avenue, Tomsk, 634050 (Russian Federation); Matveeva, V. A.; Matveev, A. L. [Institute of Chemical Biology and Fundamental Medicine, Siberian Branch,Russian Academy of Sciences, Novosibirsk, 630090 (Russian Federation)

    2015-11-17

    The corrosion resistance behavior and cytotoxicity of binary NiTi-base alloy specimens subjected to surface modification by silicon ion beams and the proliferative ability of mesenchymal stem cells (MSC) of rat marrow on an ion-implanted surface of the alloy have been studied. The silicon ion beam processing of specimen surfaces is shown to bring about a nearly two-fold improvement in the corrosion resistance of the material to attack by acqueous solutions of NaCl and human plasma and a drastic decrease in the nickel concentration after immersion of the specimens into the solutions for ∼3400 and ∼6000 h, respectively. It is found that MSC proliferation strongly depends on the surface structure, roughness and chemical condition of NiTi implants.

  9. Enhancement of electrical conductivity of ion-implanted polymer films

    International Nuclear Information System (INIS)

    Brock, S.

    1985-01-01

    The electrical conductivity of ion-implanted films of Nylon 66, Polypropylene (PP), Poly(tetrafluoroethylene) (Teflon) and mainly Poly (ethylene terephthalate) (PET) was determined by DC measurements at voltages up to 4500 V and compared with the corresponding values of pristine films. Measurements were made at 21 0 C +/- 1 0 C and 65 +/- 2% RH. The electrical conductivity of PET films implanted with F + , Ar + , or As + ions at energies of 50 keV increases by seven orders of magnitude as the fluence increases from 1 x 10 18 to 1 x 10 20 ions/m 2 . The conductivity of films implanted with As + was approximately one order greater than those implanted with Ar + , which in turn was approximately one-half order greater than those implanted with F + . The conductivity of the most conductive film ∼1 S/m) was almost 14 orders of magnitude greater than the pristine PET film. Except for the three PET samples implanted at fluences near 1 x 10 20 ions/m 2 with F + , Ar + , and As + ions, all implanted films were ohmic up to an electric field strength of 600 kV/m. The temperature dependence of the conductivity of the three PET films implanted near a fluence of 1 x 10 20 ions/m 2 was measured over the range of 80 K < T < 300 K

  10. Ion implantation to improve mechanical and electrical properties of resistive materials based on ruthenium dioxide

    International Nuclear Information System (INIS)

    Byeli, A.V.; Shykh, S.K.; Beresina, V.P.

    1996-01-01

    This paper reports the influence of ion implantation, using different chemical species, on the surface micromorphology, wear resistance, coefficient of friction and electrical resistivity, and its variation during friction for resistive materials based on ruthenium dioxide. It is demonstrated that nitrogen and hydrogen ions are the most effective for modifying surface properties. (Author)

  11. Influence of 400 keV carbon ion implantation on structural, optical and electrical properties of PMMA

    Energy Technology Data Exchange (ETDEWEB)

    Arif, Shafaq, E-mail: sarif2005@gmail.com [Department of Physics, Lahore College for Women University, Lahore 54000 (Pakistan); Rafique, M. Shahid [Department of Physics, University of Engineering & Technology, Lahore 54000 (Pakistan); Saleemi, Farhat; Sagheer, Riffat [Department of Physics, Lahore College for Women University, Lahore 54000 (Pakistan); Naab, Fabian; Toader, Ovidiu [Department of Nuclear Engineering and Radiological Sciences, Michigan Ion Beam Laboratory, University of Michigan, MI 48109-2104 (United States); Mahmood, Arshad; Rashid, Rashad [National Institute of Lasers and Optronics (NILOP), P.O. Nilore, Islamabad (Pakistan); Mahmood, Mazhar [Department of Metallurgy & Materials Engineering, Pakistan Institute of Engineering & Applied Sciences (PIEAS), Islamabad (Pakistan)

    2015-09-01

    Ion implantation is a useful technique to modify surface properties of polymers without altering their bulk properties. The objective of this work is to explore the 400 keV C{sup +} ion implantation effects on PMMA at different fluences ranging from 5 × 10{sup 13} to 5 × 10{sup 15} ions/cm{sup 2}. The surface topographical examination of irradiated samples has been performed using Atomic Force Microscope (AFM). The structural and chemical modifications in implanted PMMA are examined by Raman and Fourier Infrared Spectroscopy (FTIR) respectively. The effects of carbon ion implantation on optical properties of PMMA are investigated by UV–Visible spectroscopy. The modifications in electrical conductivity have been measured using a four point probe technique. AFM images reveal a decrease in surface roughness of PMMA with an increase in ion fluence from 5 × 10{sup 14} to 5 × 10{sup 15} ions/cm{sup 2}. The existence of amorphization and sp{sup 2}-carbon clusterization has been confirmed by Raman and FTIR spectroscopic analysis. The UV–Visible data shows a prominent red shift in absorption edge as a function of ion fluence. This shift displays a continuous reduction in optical band gap (from 3.13 to 0.66 eV) due to formation of carbon clusters. Moreover, size of carbon clusters and photoconductivity are found to increase with increasing ion fluence. The ion-induced carbonaceous clusters are believed to be responsible for an increase in electrical conductivity of PMMA from (2.14 ± 0.06) × 10{sup −10} (Ω-cm){sup −1} (pristine) to (0.32 ± 0.01) × 10{sup −5} (Ω-cm){sup −1} (irradiated sample)

  12. Evaluation of electron beam stabilization for ion implant processing

    Science.gov (United States)

    Buffat, Stephen J.; Kickel, Bee; Philipps, B.; Adams, J.; Ross, Matthew F.; Minter, Jason P.; Marlowe, Trey; Wong, Selmer S.

    1999-06-01

    With the integration of high energy ion implant processes into volume CMOS manufacturing, the need for thick resist stabilization to achieve a stable ion implant process is critical. With new photoresist characteristics, new implant end station characteristics arise. The resist outgassing needs to be addressed as well as the implant profile to ensure that the dosage is correct and the implant angle does not interfere with other underlying features. This study compares conventional deep-UV/thermal with electron beam stabilization. The electron beam system used in this study utilizes a flood electron source and is a non-thermal process. These stabilization techniques are applied to a MeV ion implant process in a CMOS production process flow.

  13. Titanium Nitride and Nitrogen Ion Implanted Coated Dental Materials

    Directory of Open Access Journals (Sweden)

    David W. Berzins

    2012-07-01

    Full Text Available Titanium nitride and/or nitrogen ion implanted coated dental materials have been investigated since the mid-1980s and considered in various applications in dentistry such as implants, abutments, orthodontic wires, endodontic files, periodontal/oral hygiene instruments, and casting alloys for fixed restorations. Multiple methodologies have been employed to create the coatings, but detailed structural analysis of the coatings is generally lacking in the dental literature. Depending on application, the purpose of the coating is to provide increased surface hardness, abrasion/wear resistance, esthetics, and corrosion resistance, lower friction, as well as greater beneficial interaction with adjacent biological and material substrates. While many studies have reported on the achievement of these properties, a consensus is not always clear. Additionally, few studies have been conducted to assess the efficacy of the coatings in a clinical setting. Overall, titanium nitride and/or nitrogen ion implanted coated dental materials potentially offer advantages over uncoated counterparts, but more investigation is needed to document the structure of the coatings and their clinical effectiveness.

  14. Nitrogen plasma immersion ion implantation for surface treatment and wear protection of austenitic stainless steel X6CrNiTi1810

    International Nuclear Information System (INIS)

    Blawert, C.; Mordike, B.L.

    1999-01-01

    Plasma immersion ion implantation is an effective surface treatment for stainless steels. The influence of treatment parameters (temperature, plasma density and pressure) on the sliding wear resistance are studied here. At moderate temperatures, nitrogen remains in solid solution without forming nitrides. This increases the surface hardness and the wear resistance without affecting the passivation of the steel. This may allow the use of such steels in applications where their poor wear resistance would normally prohibit their use. (orig.)

  15. Anodized dental implant surface

    Directory of Open Access Journals (Sweden)

    Sunil Kumar Mishra

    2017-01-01

    Full Text Available Purpose: Anodized implants with moderately rough surface were introduced around 2000. Whether these implants enhanced biologic effect to improve the environment for better osseointegration was unclear. The purpose of this article was to review the literature available on anodized surface in terms of their clinical success rate and bone response in patients till now. Materials and Methods: A broad electronic search of MEDLINE and PubMed databases was performed. A focus was made on peer-reviewed dental journals. Only articles related to anodized implants were included. Both animal and human studies were included. Results: The initial search of articles resulted in 581 articles on anodized implants. The initial screening of titles and abstracts resulted in 112 full-text papers; 40 animal studies, 16 studies on cell adhesion and bacterial adhesion onto anodized surfaced implants, and 47 human studies were included. Nine studies, which do not fulfill the inclusion criteria, were excluded. Conclusions: The long-term studies on anodized surface implants do favor the surface, but in most of the studies, anodized surface is compared with that of machined surface, but not with other surfaces commercially available. Anodized surface in terms of clinical success rate in cases of compromised bone and immediately extracted sockets has shown favorable success.

  16. Silver nanoparticle-enriched diamond-like carbon implant modification as a mammalian cell compatible surface with antimicrobial properties

    Science.gov (United States)

    Gorzelanny, Christian; Kmeth, Ralf; Obermeier, Andreas; Bauer, Alexander T.; Halter, Natalia; Kümpel, Katharina; Schneider, Matthias F.; Wixforth, Achim; Gollwitzer, Hans; Burgkart, Rainer; Stritzker, Bernd; Schneider, Stefan W.

    2016-01-01

    The implant-bone interface is the scene of competition between microorganisms and distinct types of tissue cells. In the past, various strategies have been followed to support bony integration and to prevent bacterial implant-associated infections. In the present study we investigated the biological properties of diamond-like carbon (DLC) surfaces containing silver nanoparticles. DLC is a promising material for the modification of medical implants providing high mechanical and chemical stability and a high degree of biocompatibility. DLC surface modifications with varying silver concentrations were generated on medical-grade titanium discs, using plasma immersion ion implantation-induced densification of silver nanoparticle-containing polyvinylpyrrolidone polymer solutions. Immersion of implants in aqueous liquids resulted in a rapid silver release reducing the growth of surface-bound and planktonic Staphylococcus aureus and Staphylococcus epidermidis. Due to the fast and transient release of silver ions from the modified implants, the surfaces became biocompatible, ensuring growth of mammalian cells. Human endothelial cells retained their cellular differentiation as indicated by the intracellular formation of Weibel-Palade bodies and a high responsiveness towards histamine. Our findings indicate that the integration of silver nanoparticles into DLC prevents bacterial colonization due to a fast initial release of silver ions, facilitating the growth of silver susceptible mammalian cells subsequently. PMID:26955791

  17. Ion implantation in semiconductors and other materials

    International Nuclear Information System (INIS)

    Guernet, G.; Bruel, M.; Gailliard, J.P.; Garcia, M.; Robic, J.Y.

    1977-01-01

    The evolution of ion implantation techniques in the field of semiconductors and its extension to various fields such as metallurgy, mechanics, superconductivity and opto-electronics are considered. As for semiconductors ion implantation is evoked as: a means of predeposition of impurities at low doping level (10 11 to 10 14 cm -2 ); a means for obtaining profiles of controlled concentration; a means of reaching high doping levels with using 'strong current' implantation machines of the second generation. Some results obtained are presented [fr

  18. Wear properties of metal ion implanted 4140 steel

    International Nuclear Information System (INIS)

    Evans, P.J.; Paoloni, F.J.

    1994-01-01

    AISI type 4140 (high tensile) steel has been implanted with tungsten and titanium using a metal vapour vacuum arc ion source. Doses in the range (1-5)x10 16 ionscm -2 were implanted to a depth of approximately 30nm. The relative wear resistance between non-implanted and implanted specimens has been estimated using pin-on-disc and abrasive wear tests. Implantation of titanium decreased the area of wear tracks by a factor of 5 over unimplanted steel. In some cases the steel was also hardened by a liquid carburization treatment before implantation. Abrasion tests revealed a further improvement in wear resistance on this material following ion irradiation. ((orig.))

  19. Amorphous GaP produced by ion implantation

    International Nuclear Information System (INIS)

    Shimada, T.; Kato, Y.; Shiraki, Y.; Komatsubara, K.F.

    1976-01-01

    Two types of non-crystalline states ('disordered' and 'amorphous') of GaP were produced by using ion implantation and post annealing. A structural-phase-transition-like annealing behaviour from the 'disordered' state to the 'amorphous' state was observed. The ion dose dependence and the annealing behaviour of the atomic structure of GaP implanted with 200 keV -N + ions were studied by using electron diffraction, backscattering and volume change measurements. The electronic structure was also investigated by measuring optical absorption and electrical conductivity. The implanted layer gradually loses the crystalline order with the increase of the nitrogen dose. The optical absorption coefficient α and electric conductivity sigma of GaP crystals implanted with 200 keV -N + ions of 1 x 10 16 cm -2 were expressed as αhν = C(hν - E 0 )sup(n) and log sigma = A -BTsup(-1/4), respectively. Moreover, the volume of the implanted layer increased about three percent and the electron diffraction pattern was diffused halo whose intensity monotonically decreases along the radial direction. These results indicate that the as-implanted layer has neither a long range order or short range order ('disordered state'). In the sample implanted at 1 x 10 16 cm -2 , a structural phase-transition-like annealing stage was observed at around 400 0 C. That is, the optical absorption coefficient abruptly fell off from 6 x 10 4 to 7 x 10 3 cm -1 and the volume of the implanted layer decreased about 2% within an increase of less than 10 degrees in the anneal temperature. Moreover, the short range order of the lattice structure appeared in the electron diffraction pattern. According to the backscattering experiment, the heavily implanted GaP was still in the non-crystalline state even after annealing. These facts suggest that heavily implanted GaP, followed by annealing at around 400 0 C, is in the 'amorphous' state, although as-implanted GaP is not in the 'amorphous' state but in the

  20. Ion implantation enhanced metal-Si-metal photodetectors

    Science.gov (United States)

    Sharma, A. K.; Scott, K. A. M.; Brueck, S. R. J.; Zolper, J. C.; Myers, D. R.

    1994-05-01

    The quantum efficiency and frequency response of simple Ni-Si-Ni metal-semiconductor-metal (MSM) photodetectors at long wavelengths are significantly enhanced with a simple, ion-implantation step to create a highly absorbing region approx. 1 micron below the Si surface. The internal quantum efficiency is improved by a factor of approx. 3 at 860 nm (to 64%) and a full factor of ten at 1.06 microns (to 23%) as compared with otherwise identical unimplanted devices. Dark currents are only slightly affected by the implantation process and are as low as 630 pA for a 4.5-micron gap device at 10-V bias. Dramatic improvement in the impulse response is observed, 100 ps vs. 600 ps, also at 10-V bias and 4.5-micron gap, due to the elimination of carrier diffusion tails in the implanted devices. Due to its planar structure, this device is fully VLSI compatible. Potential applications include optical interconnections for local area networks and multi-chip modules.

  1. Production and characterization of thin 7Li targets fabricated by ion implantation

    International Nuclear Information System (INIS)

    Cruz, J.; Fonseca, M.; Luis, H.; Mateus, R.; Marques, H.; Jesus, A.P.; Ribeiro, J.P.; Teodoro, O.M.N.D.; Rolfs, C.

    2009-01-01

    Very high fluence implantation of 7 Li + ions was used to promote the formation of a thin and high density 7 Li target in the surface region of Al samples. The implanted volume was characterized by particle induced gamma-ray emission, Rutherford backscattering spectrometry, X-ray photoelectron spectroscopy and nuclear reaction analysis, revealing that the implanted surface is a combination of Li 2 CO 3 , metallic lithium, LiOH and C, with almost no Al present. Radiation damage effects by proton beams were studied by observing the evolution of the 7 Li(p, α) 4 He nuclear reaction yield with the accumulated charge, at different proton energies, revealing high stability of the produced Li target.

  2. Progress in ion implantation equipment for semiconductor manufacturing

    International Nuclear Information System (INIS)

    Kawai, Tadashi; Naito, Masao

    1987-01-01

    In the semiconductor device manufacturing industry, ion implantation systems are used to dope semiconductor substrates with impurities that act as donor or acceptor. In an ion implantation system, required impurity ions are generated from an ion source, subjected to mass analysis, accelerated, converged and implanted in semiconductor wafers. High-tension arc tends to cause troubles in these systems, but improvement in design increased the average operation rate of medium-power systems from bout 70 percent to 90 percent during the past 10 years. Freeman type ion sources have replaced most RF ion sources and cold cathode PIG sources, which had been widely used until the early 1970s. Many of the recent ion sources are equipped with a P and As vaporizer to increase the beam intensity. By an increased beam intensity or decreased handling time in combination with an automatic handling system, the throughput has reached 330 wafers per hour for 10 second implantation. The yield has increased due to the development of improved scanning methods, vacuum devices such as cryopump, and processes and apparatus that serve for preventing particles from being contained in micro-devices. Various other improvements have been made to permit efficient production. (Nogami, K.)

  3. Characterization of PEEK, PET and PI implanted with Mn ions and sub-sequently annealed

    Science.gov (United States)

    Mackova, A.; Malinsky, P.; Miksova, R.; Pupikova, H.; Khaibullin, R. I.; Slepicka, P.; Gombitová, A.; Kovacik, L.; Svorcik, V.; Matousek, J.

    2014-04-01

    Polyimide (PI), polyetheretherketone (PEEK) and polyethylene terephthalate (PET) foils were implanted with 80 keV Mn+ ions at room temperature at fluencies of 1.0 × 1015-1.0 × 1016 cm-2. Mn depth profiles determined by RBS were compared to SRIM 2012 and TRIDYN simulations. The processes taking place in implanted polymers under the annealing procedure were followed. The measured projected ranges RP differ slightly from the SRIM and TRIDYN simulation and the depth profiles are significantly broader (up to 2.4 times) than those simulated by SRIM, while TRIDYN simulations were in a reasonable agreement up to the fluence 0.5 × 1016 in PEEK. Oxygen and hydrogen escape from the implanted layer was examined using RBS and ERDA techniques. PET, PEEK and PI polymers exhibit oxygen depletion up to about 40% of its content in virgin polymers. The compositional changes induced by implantation to particular ion fluence are similar for all polymers examined. After annealing no significant changes of Mn depth distribution was observed even the further oxygen and hydrogen desorption from modified layers appeared. The surface morphology of implanted polymers was characterized using AFM. The most significant change in the surface roughness was observed on PEEK. Implanted Mn atoms tend to dissipate in the polymer matrix, but the Mn nanoparticles are too small to be observed on TEM micrographs. The electrical, optical and structural properties of the implanted and sub-sequently annealed polymers were investigated by sheet resistance measurement and UV-Vis spectroscopy. With increasing ion fluence, the sheet resistance decreases and UV-Vis absorbance increases simultaneously with the decline of optical band gap Eg. The most pronounced change in the resistance was found on PEEK. XPS spectroscopy shows that Mn appears as a mixture of Mn oxides. Mn metal component is not present. All results were discussed in comparison with implantation experiment using the various ion species (Ni, Co

  4. Characterization of PEEK, PET and PI implanted with Mn ions and sub-sequently annealed

    International Nuclear Information System (INIS)

    Mackova, A.; Malinsky, P.; Miksova, R.; Pupikova, H.; Khaibullin, R.I.; Slepicka, P.; Gombitová, A.; Kovacik, L.; Svorcik, V.; Matousek, J.

    2014-01-01

    Polyimide (PI), polyetheretherketone (PEEK) and polyethylene terephthalate (PET) foils were implanted with 80 keV Mn + ions at room temperature at fluencies of 1.0 × 10 15 –1.0 × 10 16 cm −2 . Mn depth profiles determined by RBS were compared to SRIM 2012 and TRIDYN simulations. The processes taking place in implanted polymers under the annealing procedure were followed. The measured projected ranges R P differ slightly from the SRIM and TRIDYN simulation and the depth profiles are significantly broader (up to 2.4 times) than those simulated by SRIM, while TRIDYN simulations were in a reasonable agreement up to the fluence 0.5 × 10 16 in PEEK. Oxygen and hydrogen escape from the implanted layer was examined using RBS and ERDA techniques. PET, PEEK and PI polymers exhibit oxygen depletion up to about 40% of its content in virgin polymers. The compositional changes induced by implantation to particular ion fluence are similar for all polymers examined. After annealing no significant changes of Mn depth distribution was observed even the further oxygen and hydrogen desorption from modified layers appeared. The surface morphology of implanted polymers was characterized using AFM. The most significant change in the surface roughness was observed on PEEK. Implanted Mn atoms tend to dissipate in the polymer matrix, but the Mn nanoparticles are too small to be observed on TEM micrographs. The electrical, optical and structural properties of the implanted and sub-sequently annealed polymers were investigated by sheet resistance measurement and UV–Vis spectroscopy. With increasing ion fluence, the sheet resistance decreases and UV–Vis absorbance increases simultaneously with the decline of optical band gap E g . The most pronounced change in the resistance was found on PEEK. XPS spectroscopy shows that Mn appears as a mixture of Mn oxides. Mn metal component is not present. All results were discussed in comparison with implantation experiment using the various ion

  5. Influence of ion source configuration and its operation parameters on the target sputtering and implantation process.

    Science.gov (United States)

    Shalnov, K V; Kukhta, V R; Uemura, K; Ito, Y

    2012-06-01

    In the work, investigation of the features and operation regimes of sputter enhanced ion-plasma source are presented. The source is based on the target sputtering with the dense plasma formed in the crossed electric and magnetic fields. It allows operation with noble or reactive gases at low pressure discharge regimes, and, the resulting ion beam is the mixture of ions from the working gas and sputtering target. Any conductive material, such as metals, alloys, or compounds, can be used as the sputtering target. Effectiveness of target sputtering process with the plasma was investigated dependently on the gun geometry, plasma parameters, and the target bias voltage. With the applied accelerating voltage from 0 to 20 kV, the source can be operated in regimes of thin film deposition, ion-beam mixing, and ion implantation. Multi-component ion beam implantation was applied to α-Fe, which leads to the surface hardness increasing from 2 GPa in the initial condition up to 3.5 GPa in case of combined N(2)-C implantation. Projected range of the implanted elements is up to 20 nm with the implantation energy 20 keV that was obtained with XPS depth profiling.

  6. Negative-ion beam surface modification of tissue-culture polystyrene dishes for changing hydrophilic and cell-attachment properties

    International Nuclear Information System (INIS)

    Tsuji, H.; Satoh, H.; Ikeda, S.; Ikemura, S.; Gotoh, Y.; Ishikawa, J.

    1999-01-01

    Negative-silver-ion implantation into tissue-culture polystyrene (TCPS) dishes was investigated and it was found to modify hydrophilic and cell attachment properties of the dishes. Negative-ion implantation has an advantage of being almost free of surface charging, and is a suitable method for implantation into insulators such as polymers. Negative silver ions are used due to the antibacterial property of silver. Ag-implanted TCPS dishes had a contact angle larger than the normal value of 66 deg. of unimplanted dishes. The contact angle of water had a strong dependence on the ion energy rather than the dose. As a cell-culture experiment, human umbilical vascular endothelial cell (HUVEC) was used in unimplanted and Ag-implanted TCPS dishes, the implantation removed the cell-attachment property of the surface. In implantation with a mask with a striped pattern, most attached cells of HUVEC were in the unimplanted region aligned along a stripe direction

  7. Neuron cell positioning on polystyrene in culture by silver-negative ion implantation and region control of neural outgrowth

    International Nuclear Information System (INIS)

    Tsuji, Hiroshi; Sato, Hiroko; Baba, Takahiro; Ikemura, Shin'ichi; Gotoh, Yasuhito; Ishikawa, Junzo

    2000-01-01

    A new method to control the position of neuron cell attachment and extension region of neural outgrowth has been developed by using a pattering ion implantation with silver-negative ions into polystyrene dishes. This technique offers a promising method to form an artificially designed neural network in cell culture in vitro. Silver-negative ions were implanted into non-treated polystyrene dishes (NTPS) at conditions of 20 keV and 3x10 15 ions/cm 2 through a pattering mask, which had as many as 67 slits of 60 μm in width and 4 mm in length with a spacing of 60 μm. For cell culture in vitro, nerve cells of PC-12h (rat adrenal phechromocytoma) were used because they respond to a nerve growth factor (NGF). In the first 2 days in culture without NGF, we observed a selective cell attachment only to the ion-implanted region in patterning Ag - implanted polystyrene sample (p-Ag/NTPS). In another 2 days in culture with NGF, the nerve cells expanded neurites only over the ion-implanted region. For collagen-coated p-Ag/NTPS sample of which collagen was coated after the ion implantation (Collagen/p-Ag/NTPS), most nerve cells were also attached on the ion-implanted region. However, neurites expanded in both ion-implanted and unimplanted regions. The contact angle of NTPS decreased after the ion implantation from 86 deg. to 74 deg. . The region selectivity of neuron attachment and neurite extension is considered to be due to contact angle lowering by the ion implantation as radiation effect on the surface

  8. Effect of elemental composition of ion beam on the phase formation and surface strengthening of structural materials

    International Nuclear Information System (INIS)

    Avdienko, K.I.; Avdienko, A.A.; Kovalenko, I.A.

    2001-01-01

    The investigation results are reported on the influence of ion beam element composition on phase formation, wear resistance and microhardness of surface layers of titanium alloys VT-4 and VT-16 as well as stainless steel 12Kh18N10T implanted with nitrogen, oxygen and boron. It is stated that ion implantation into structural materials results in surface hardening and is directly dependent on element composition of implanted ion beam. The presence of oxygen in boron or nitrogen ion beams prevents the formation of boride and nitride phases thus decreasing a hardening effect [ru

  9. Synthesis of Ag ion-implanted TiO{sub 2} thin films for antibacterial application and photocatalytic performance

    Energy Technology Data Exchange (ETDEWEB)

    Hou, Xinggang, E-mail: hou226@mail.tjnu.edu.cn [Department of Physics, Tianjin Normal University, Tianjin 300387 (China); Ma, Huiyan; Liu, Feng; Deng, Jianhua; Ai, Yukai; Zhao, Xinlei; Mao, Dong; Li, Dejun [Department of Physics, Tianjin Normal University, Tianjin 300387 (China); Liao, Bin [Key Laboratory of Beam Technology and Material Modification of Ministry of Education, Beijing Normal University, Beijing 100875 (China)

    2015-12-15

    Highlights: • Implanted TiO{sub 2} films with excellent antibacterial and photocatalytic ability was prepared. • Bactericidal effect of released Ag ions was confirmed using VC as radical scavenger. • Excitation of TiO{sub 2} to visible region is attributed to subtitutional Ag. • Synergetic effect of Ag{sup 3+} and Ag{sup +} accounts for the enhanced ability of TiO{sub 2}. - Abstract: TiO{sub 2} thin films were deposited by spin coating method. Silver ions were implanted into the films using a Metal Vapor Vacuum Arc implanter. The antibacterial ability of implanted films was tested using Escherichia coli removal under fluorescent irradiation and in the dark. The concentration of E. coli was evaluated by plating technique. The photocatalytic efficiency of the implanted films was studied by degradation of methyl orange under fluorescent illumination. The surface free energy of the implanted TiO{sub 2} films was calculated by contact angle testing. Vitamin C was used as radical scavengers to explore the antibacterial mechanism of the films. The results supported the model that both generation of reactive oxygen species and release of silver ions played critical roles in the toxic effect of implanted films against E. coli. XPS experimental results demonstrated that a portion of the Ag(Ag{sup 3+}) ions were doped into the crystalline lattice of TiO{sub 2}. As demonstrated by density functional theory calculations, the impurity energy level of subtitutional Ag was responsible for enhanced absorption of visible light. Ag ion-implanted TiO{sub 2} films with excellent antibacterial efficiency against bacteria and decomposed ability against organic pollutants could be potent bactericidal surface in moist environment.

  10. Procedure for the ion implantation of MOS elements

    International Nuclear Information System (INIS)

    Gessner, T.; Vetter, E.; Tolonics, J.

    1986-01-01

    The ion implantation procedure is applied to the doping of MOS elements. The invention guarantees a homogeneous doping in the dose range from 10 10 to 10 12 ions/cm 2 without additional installations of mechanical orifices in high-current implantation devices. The ion source parameters like cathode heating current, pressure at the ion source, extraction and acceleration voltages correspond to the dose range (10 10 to 10 12 ions/cm 2 ) for single charged ions of the doping agent. Double or triple charged ions generated at the ion source have been separated mass-analytically, accelerated and scanned. Ion densities below 100 nA/cm 2 have been obtained

  11. Surface modification of coronary artery stent by Ti-O/Ti-N complex film coating prepared with plasma immersion ion implantation and deposition

    International Nuclear Information System (INIS)

    Huang, N.; Leng, Y.X.; Yang, P.

    2006-01-01

    This paper reported the work of surface coating of Ti-O/Ti-N complex films on coronary stents by means of the plasma immersion ion implantation/deposition process. The deformation behavior of the Ti-O/Ti-N coated stainless steel stents was investigated. In vivo investigation of the anticoagulation behavior of Ti-O coated coronary stents was also performed. The results of mechanical characterization of the Ti-O/Ti-N coated stents show that the film has strong binding strength, and to some extent the ability to withstand plastic deformation. The biological response behavior of the coated stent surface was significantly different from the uncoated. The results of implantation of stents into rabbit ventral aorta show no thrombus formation on the surfaces of the Ti-O coated stents, although serious coagulation had occurred on the surfaces of unmodified stents over a period of 4 weeks under conditions with no anticoagulant

  12. Hardening by ion implantation of VT1-0 alloy having different grain size

    Energy Technology Data Exchange (ETDEWEB)

    Nikonenko, Alisa, E-mail: aliska-nik@mail.ru; Kurzina, Irina, E-mail: kurzina99@mail.ru [National Research Tomsk State University, 36, Lenin Str., 634050, Tomsk (Russian Federation); Popova, Natalya, E-mail: natalya-popova-44@mail.ru [Tomsk State University of Architecture and Building, 2, Solyanaya Sq., 634003, Tomsk (Russian Federation); Institute of Strength Physics and Materials Science, SB RAS, 2/4, Akademicheskii Ave., 634021, Tomsk Russia (Russian Federation); Nikonenko, Elena, E-mail: vilatomsk@mail.ru [Tomsk State University of Architecture and Building, 2, Solyanaya Sq., 634003, Tomsk (Russian Federation); National Research Tomsk Polytechnic University, 30, Lenin Str., 634050, Tomsk (Russian Federation); Kalashnikov, Mark, E-mail: kmp1980@mail.ru [Institute of Strength Physics and Materials Science, SB RAS, 2/4, Akademicheskii Ave., 634021, Tomsk Russia (Russian Federation)

    2016-01-15

    The paper presents a transmission electron microscopy (TEM) study of the structural and phase state of commercially pure titanium implanted by aluminum ions. TEM study has been carried out for two types of grains, namely coarse (0.4 µm) and small (0.5 µm). This paper presents details of the yield stress calculations and the analysis of strength components for the both grain types in two areas of the modified layer: at a distance of 0-150 nm (surface area I) and ∼300 nm (central area II) from the irradiated surface. It is shown that the ion implantation results in a considerable hardening of the entire thickness of the implanted layer in the both grain types. The grain size has, however, a different effect on the yield stress in areas I and II. Thus, near the ion-alloyed layer, the yield stress decreases with the increase of the grain size, whilst area II demonstrates its increase. Moreover, the contribution to the general hardening of the alloy made by certain hardening mechanisms differs from contributions made by each of these mechanisms in each certain case.

  13. Amorphization and recrystallization in MeV ion implanted InP crystals

    International Nuclear Information System (INIS)

    Xiong, F.; Nieh, C.W.; Jamieson, D.N.; Vreeland, T. Jr.; Tombrello, T.A.

    1988-01-01

    A comprehensive study of MeV- 15 N-ion-implanted InP by a variety of analytical techniques has revealed the physical processes involved in MeV ion implantation into III-V compound semiconductors as well as the influence of post-implantation annealing. It provides a coherent picture of implant distribution, structural transition, crystalline damage, and lattice strain in InP crystals induced by ion implantation and thermal annealing. The experimental results from the different measurements are summarized in this report. Mechanisms of amorphization by implantation and recrystallization through annealing in MeV-ion-implanted InP are proposed and discussed in light of the results obtained

  14. Ion beam stabilization in ion implantation equipment

    International Nuclear Information System (INIS)

    Pina, L.

    1973-01-01

    The results are presented of experimental efforts aimed at ion beam current stabilization in an equipment for ion implantation in solids. The related problems of power supplies are discussed. Measured characteristics of laboratory equipment served the determination of the parameters to be required of the supplies as well as the design and the construction of the supplies. The respective wiring diagram is presented. (J.K.)

  15. An automated ion implant/pulse anneal machine for low cost silicon cell production

    International Nuclear Information System (INIS)

    Armini, A.J.; Bunker, S.N.; Spitzer, M.B.

    1982-01-01

    The continuing development of a high throughput ion implanter and a pulsed electron beam annealer designed for dedicated silicon solar cell manufacture is reviewed. This equipment is intended for production of junctions in 10 cm wide wafers at a throughput up to 10 MWsub(p) per year. The principal features of the implanter are the lack of mass analysis and defocusing utilizing electrostatic deflection. The implanted surface is annealed by liquid phase epitaxy resulting from a single burst of a large area electron beam. Cells with non-mass analyzed ion implantation have yielded AM1 cell efficiencies in excess of 15%. Pulse annealed Czochralski cells have been made with AM1 efficiencies of 13% vs. 15% for a furnace annealed group. Results of pulse annealing of polycrystalline materials indicate that cell performance comparable to diffusion can be obtained. (Auth.)

  16. Wear properties of metal ion implanted 4140 steel

    Energy Technology Data Exchange (ETDEWEB)

    Evans, P.J. (Applications of Nuclear Physics, Ansto, Private Mail Bag 1, Menai, NSW 2234 (Australia)); Paoloni, F.J. (Department of Electrical and Computer Engineering, University of Wollongong, GPO Box 1144, Wollongong, NSW 2500 (Australia))

    1994-07-01

    AISI type 4140 (high tensile) steel has been implanted with tungsten and titanium using a metal vapour vacuum arc ion source. Doses in the range (1-5)x10[sup 16]ionscm[sup -2] were implanted to a depth of approximately 30nm. The relative wear resistance between non-implanted and implanted specimens has been estimated using pin-on-disc and abrasive wear tests. Implantation of titanium decreased the area of wear tracks by a factor of 5 over unimplanted steel. In some cases the steel was also hardened by a liquid carburization treatment before implantation. Abrasion tests revealed a further improvement in wear resistance on this material following ion irradiation. ((orig.))

  17. P-type doping of GaN(000\\bar{1}) by magnesium ion implantation

    Science.gov (United States)

    Narita, Tetsuo; Kachi, Tetsu; Kataoka, Keita; Uesugi, Tsutomu

    2017-01-01

    Magnesium ion implantation has been performed on a GaN(000\\bar{1}) substrate, whose surface has a high thermal stability, thus allowing postimplantation annealing without the use of a protective layer. The current-voltage characteristics of p-n diodes fabricated on GaN(000\\bar{1}) showed distinct rectification at a turn-on voltage of about 3 V, although the leakage current varied widely among the diodes. Coimplantation with magnesium and hydrogen ions effectively suppressed the leakage currents and device-to-device variations. In addition, an electroluminescence band was observed at wavelengths shorter than 450 nm for these diodes. These results provide strong evidence that implanted magnesium ions create acceptors in GaN(000\\bar{1}).

  18. A detailed physical model for ion implant induced damage in silicon

    International Nuclear Information System (INIS)

    Tian, S.; Morris, M.F.; Morris, S.J.; Obradovic, B.; Wang, G.; Tasch, A.F.

    1998-01-01

    A unified physically based ion implantation damage model has been developed which successfully predicts both the impurity profiles and the damage profiles for a wide range of implant conditions for arsenic, phosphorus, BF 2 , and boron implants into single-crystal silicon. In addition, the amorphous layer thicknesses predicted by this new damage model are also in excellent agreement with experimental measurements. This damage model is based on the physics of point defects in silicon, and explicitly simulates the defect production, diffusion, and their interactions which include interstitial-vacancy recombination, clustering of same type of defects, defect-impurity complex formation, emission of mobile defects from clusters, and surface effects for the first time. New computationally efficient algorithms have been developed to overcome the barrier of the excessive computational requirements. In addition, the new model has been incorporated in the UT-MARLOWE ion implantation simulator, and has been developed primarily for use in engineering workstations. This damage model is the most physical model in the literature to date within the framework of the binary collision approximation (BCA), and provides the required, accurate as-implanted impurity profiles and damage profiles for transient enhanced diffusion (TED) simulation

  19. Computational stochastic model of ions implantation

    Energy Technology Data Exchange (ETDEWEB)

    Zmievskaya, Galina I., E-mail: zmi@gmail.ru; Bondareva, Anna L., E-mail: bal310775@yandex.ru [M.V. Keldysh Institute of Applied Mathematics RAS, 4,Miusskaya sq., 125047 Moscow (Russian Federation); Levchenko, Tatiana V., E-mail: tatlevchenko@mail.ru [VNII Geosystem Russian Federal Center, Varshavskoye roadway, 8, Moscow (Russian Federation); Maino, Giuseppe, E-mail: giuseppe.maino@enea.it [Scuola di Lettere e BeniCulturali, University di Bologna, sede di Ravenna, via Mariani 5, 48100 Ravenna (Italy)

    2015-03-10

    Implantation flux ions into crystal leads to phase transition /PT/ 1-st kind. Damaging lattice is associated with processes clustering vacancies and gaseous bubbles as well their brownian motion. System of stochastic differential equations /SDEs/ Ito for evolution stochastic dynamical variables corresponds to the superposition Wiener processes. The kinetic equations in partial derivatives /KE/, Kolmogorov-Feller and Einstein-Smolukhovskii, were formulated for nucleation into lattice of weakly soluble gases. According theory, coefficients of stochastic and kinetic equations uniquely related. Radiation stimulated phase transition are characterized by kinetic distribution functions /DFs/ of implanted clusters versus their sizes and depth of gas penetration into lattice. Macroscopic parameters of kinetics such as the porosity and stress calculated in thin layers metal/dielectric due to Xe{sup ++} irradiation are attracted as example. Predictions of porosity, important for validation accumulation stresses in surfaces, can be applied at restoring of objects the cultural heritage.

  20. Effect of UV/ozone treatment on the nanoscale surface properties of gold implanted polyethylene

    Energy Technology Data Exchange (ETDEWEB)

    Kisić, Danilo; Nenadović, Miloš [INS Vinca, Laboratory of Atomic Physics, University of Belgrade, Mike Alasa 12-14, 11001 Belgrade (Serbia); Štrbac, Svetlana [ICTM Institute of Electrochemistry, University of Belgrade, Njegoseva 12, 11001 Belgrade (Serbia); Adnadjević, Borivoj [Faculty of Physical Chemistry, University of Belgrade, Studentski trg 12-16, 11158 Belgrade (Serbia); Rakočević, Zlatko, E-mail: zlatkora@vinca.rs [INS Vinca, Laboratory of Atomic Physics, University of Belgrade, Mike Alasa 12-14, 11001 Belgrade (Serbia)

    2014-07-01

    The effect of ultraviolet (UV) ozone treatment on the surface properties of gold implanted high density polyethylene (HDPE) was investigated at a nanoscale using Atomic Force Microscopy (AFM). HDPE samples were modified by the implantation of gold ions at a dose of 5 × 10{sup 15} ions/cm{sup 2}, using energies of 50, 100, 150, and 200 keV, and subsequently treated with UV/ozone. AFM surface topography images revealed that after UV/ozone treatment, the surface roughness of all Au/HDPE samples increased, while Power Spectral Density function increased only for samples implanted using higher energies, with a maximum for 150 keV. The chemical surface composition was homogenous in all cases, which was evidenced by the appearance of single peaks in the histograms obtained from the phase AFM images. For UV/ozone treated samples, the shift of the peaks positions in the histograms to the higher values of the phase lag with respect to untreated ones indicated the decrease of surface hardness. Besides, a significant change of fractal dimension of surface grains is observed after UV/ozone treatment.

  1. Channeled-ion implantation of group-III and group-V ions into silicon

    International Nuclear Information System (INIS)

    Furuya, T.; Nishi, H.; Inada, T.; Sakurai, T.

    1978-01-01

    Implantation of group-III and group-V ions along [111] and [110] axes of silicon have been performed using a backscattering technique, and the depth profiles of implanted ions have been measured by the C-V method. The range of channeled Ga ions is the largest among the present data, and a p-type layer of about 6 μm is obtained by implantation at only 150 keV. The carrier profiles of channeled Al and Ga ions with deep ranges do not show any distinguishable channeled peak contrasting with the B, P, and As channeling which gives a well-defined peak. The electronic stopping cross section (S/sub e/) of channeled P ions agree well with the results of Eisen and Reddi, but in B channeling, the discrepancies of 10--20% are observed among S/sub e/ values obtained experimentally by three different groups

  2. Fe doped Magnetic Nanodiamonds made by Ion Implantation.

    Science.gov (United States)

    Chen, ChienHsu; Cho, I C; Jian, Hui-Shan; Niu, H

    2017-02-09

    Here we present a simple physical method to prepare magnetic nanodiamonds (NDs) using high dose Fe ion-implantation. The Fe atoms are embedded into NDs through Fe ion-implantation and the crystal structure of NDs are recovered by thermal annealing. The results of TEM and Raman examinations indicated the crystal structure of the Fe implanted NDs is recovered completely. The SQUID-VSM measurement shows the Fe-NDs possess room temperature ferromagnetism. That means the Fe atoms are distributed inside the NDs without affecting NDs crystal structure, so the NDs can preserve the original physical and chemical properties of the NDs. In addition, the ion-implantation-introduced magnetic property might make the NDs to become suitable for variety of medical applications.

  3. Fe doped Magnetic Nanodiamonds made by Ion Implantation

    Science.gov (United States)

    Chen, Chienhsu; Cho, I. C.; Jian, Hui-Shan; Niu, H.

    2017-02-01

    Here we present a simple physical method to prepare magnetic nanodiamonds (NDs) using high dose Fe ion-implantation. The Fe atoms are embedded into NDs through Fe ion-implantation and the crystal structure of NDs are recovered by thermal annealing. The results of TEM and Raman examinations indicated the crystal structure of the Fe implanted NDs is recovered completely. The SQUID-VSM measurement shows the Fe-NDs possess room temperature ferromagnetism. That means the Fe atoms are distributed inside the NDs without affecting NDs crystal structure, so the NDs can preserve the original physical and chemical properties of the NDs. In addition, the ion-implantation-introduced magnetic property might make the NDs to become suitable for variety of medical applications.

  4. From plasma immersion ion implantation to deposition: A historical perspective on principles and trends

    Energy Technology Data Exchange (ETDEWEB)

    Anders, Andre

    2001-06-14

    Plasma immersion techniques of surface modification are known under a myriad of names. The family of techniques reaches from pure plasma ion implantation, to ion implantation and deposition hybrid modes, to modes that are essentially plasma film deposition with substrate bias. In the most general sense, all plasma immersion techniques have in common that the surface of a substrate (target) is exposed to plasma and that relatively high substrate bias is applied. The bias is usually pulsed. In this review, the roots of immersion techniques are explored, some going back to the 1800s, followed by a discussion of the groundbreaking works of Adler and Conrad in the 1980s. In the 1990s, plasma immersion techniques matured in theoretical understanding, scaling, and the range of applications. First commercial facilities are now operational. Various immersion concepts are compiled and explained in this review. While gas (often nitrogen) ion implantation dominated the early years, film-forming immersion techniques and semiconductor processing gained importance. In the 1980s and 1990s we have seen exponential growth of the field but signs of slowdown are clear since 1998. Nevertheless, plasma immersion techniques have found, and will continue to have, an important place among surface modification techniques.

  5. Implantation of 111In in NTDSi by heavy ion recoil technique

    International Nuclear Information System (INIS)

    Thakare, S.V.; Tomar, B.S.

    1998-01-01

    Heavy ion recoil implantation technique has been used to implant 111 In in n-type silicon using medium energy heavy ion accelerator Pelletron, at TIFR, Colaba, Mumbai. The nuclear reaction used for this purpose was 109 Ag( 7 Li,p4n) 111 In. The beam energy was optimised to be 50 MeV for maximum concentration of the implanted probe atoms. The gamma-ray spectrum of the implanted sample after 24 hours was found to contain only 171 and 245 keV gamma rays of 111 In. The penetration depth of ion is increased to 1.6 μm by heavy ion recoil implantation technique as compared to 0.16 μm with the conventional ion implantation technique. (author)

  6. Structural and compositional characterization of LiNbO{sub 3} crystals implanted with high energy iron ions

    Energy Technology Data Exchange (ETDEWEB)

    Sada, C., E-mail: cinzia.sada@unipd.i [Universita di Padova and CNISM, Dipartimento di Fisica, Via Marzolo 8, 35131 Padova (Italy); Argiolas, N.; Bazzan, M.; Ciampolillo, M.V.; Zaltron, A.M.; Mazzoldi, P. [Universita di Padova and CNISM, Dipartimento di Fisica, Via Marzolo 8, 35131 Padova (Italy); Agarwal, D.C.; Avastshi, D.K. [Inter-University Accelerator Centre, Post Box-10502, New Delhi 110067 (India)

    2010-10-01

    Iron ions were implanted with a total fluence of 6 x 10{sup 17} ions/m{sup 2} into lithium niobate crystals by way of a sequential implantation at different energies of 95, 100 and 105 MeV respectively through an energy retarder Fe foil to get a uniform Fe doping of about few microns from the surface. The implanted crystals were then annealed in air in the range 200-400 {sup o}C for different durations to promote the crystalline quality that was damaged by implantation. In order to understand the basic phenomena underlying the implantation process, compositional in-depth profiles obtained by the secondary ion mass spectrometry were correlated to the structural properties of the implanted region measured by the high resolution X-ray diffraction depending on the process parameters. The optimised preparation conditions are outlined in order to recover the crystalline quality, essential for integrated photorefractive applications.

  7. Studies of ion implanted thermally oxidised chromium

    International Nuclear Information System (INIS)

    Muhl, S.

    1977-01-01

    The thermal oxidation of 99.99% pure chromium containing precise amounts of foreign elements has been studied and compared to the oxidation of pure chromium. Thirty-three foreign elements including all of the naturally occurring rare earth metals were ion implanted into chromium samples prior to oxidation at 750 0 C in oxygen. The role of radiation induced damage, inherent in this doping technique, has been studied by chromium implantations at various energies and doses. The repair of the damage has been studied by vacuum annealing at temperatures up to 800 0 C prior to oxidation. Many of the implants caused an inhibition of oxidation, the greatest being a 93% reduction for 2 x 10 16 ions/cm 2 of praseodymium. The distribution of the implant was investigated by the use of 2 MeV alpha backscattering and ion microprobe analysis. Differences in the topography and structure of the chromic oxide on and off the implanted area were studied using scanning electron and optical microscopy. X-ray diffraction analysis was used to investigate if a rare earth-chromium compound of a perovskite-type structure had been formed. Lastly, the electrical conductivity of chromic oxide on and off the implanted region was examined at low voltages. (author)

  8. Cytological effect of nitrogen ion implantation into Stevia

    International Nuclear Information System (INIS)

    Shen Mei; Wang Cailian; Chen Qiufang; Lu Ting; Shu Shizhen

    1997-01-01

    Dry seeds of Stevia were implanted by 35∼150 keV nitrogen ion with various doses. The cytological effect on M 1 was studied. The results showed that nitrogen ion beam was able to induce variation on chromosome structure in root tip cells. The rate of cells with chromosome aberration was increased with the increased with the increase of ion beam energy and dose. However, there was no significant linear regression relationship between ion dose and aberration rate. The cytological effect of nitrogen ion implantation was lower than that of γ-rays

  9. SIMS analysis of isotopic impurities in ion implants

    International Nuclear Information System (INIS)

    Sykes, D.E.; Blunt, R.T.

    1986-01-01

    The n-type dopant species Si and Se used for ion implantation in GaAs are multi-isotopic with the most abundant isotope not chosen because of potential interferences with residual gases. SIMS analysis of a range of 29 Si implants produced by several designs of ion implanter all showed significant 28 Si impurity with a different depth distribution from that of the deliberately implanted 29 Si isotope. This effect was observed to varying degrees with all fifteen implanters examined and in every 29 Si implant analysed to date 29 Si + , 29 Si ++ and 30 Si implants all show the same effect. In the case of Se implantation, poor mass resolution results in the implantation of all isotopes with the same implant distribution (i.e. energy), whilst implants carried out with good mass resolution show the implantation of all isotopes with the characteristic lower depth distribution of the impurity isotopes as found in the Si implants. This effect has also been observed in p-type implants into GaAs (Mg) and for Ga implanted in Si. A tentative explanation of the effect is proposed. (author)

  10. Reflectance spectroscopy of PMMA implanted with 50 keV silicon ions

    Energy Technology Data Exchange (ETDEWEB)

    Florian, Bojana [Bulgarian Institute of Metrology, 2 Prof. P. Mutafchiev Str., 1797 Sofia (Bulgaria); Stefanov, Ivan [Department of Quantum Electronics, Faculty of Physics, Sofia University, 5 James Bourchier Blvd., 1164 Sofia (Bulgaria); Hadjichristov, Georgi [Institute of Solid State Physics, 72 Tzarigradsko Chaussee Blvd., 1784 Sofia (Bulgaria)

    2009-07-01

    Recently, the modification of the specular reflectivity of PMMA implanted with low-energy (50 keV) silicon ions was studied and nano-clusters formed in PMMA by Si{sup +} implantation were evidenced by Raman spectroscopy and electrical measurements. Further, the optical loss due to off-specular (diffuse) reflectivity of this ion-implanted polymer is also of practical interest for applications such as micro-optical lenses, diffraction gratings, Fresnel lenses, waveguides, etc. We examined both specular and diffuse reflectivity of Si{sup +} implanted PMMA in the UV-Vis-NIR. The effect from Si{sup +} implantation in the dose range 10{sup 14}-10{sup 17} ions/cm{sup 2} is linked to the structure formed in PMMA where the buried ion-implanted layer has a thickness up to 100 nm. As compared to the pristine PMMA, an enhancement of the reflectivity of Si{sup +} implanted PMMA is observed, that is attributed to the modification of the subsurface region of PMMA upon the ion implantation.

  11. Changes in austenitic steel surface induced by thermal and implantation treatments studied by Mössbauer spectroscopy

    Czech Academy of Sciences Publication Activity Database

    Jirásková, Yvonna; Schneeweiss, Oldřich; Blawert, C.

    2006-01-01

    Roč. 38, č. 4 (2006), s. 348-351 ISSN 0142-2421. [ECASIA´05. European Conference on Applications of Surface and Interface Analysis /11./. Vienna, 25.09.2005-30.09.2005] R&D Projects: GA MŠk ME 645; GA AV ČR IBS2041105 Institutional research plan: CEZ:AV0Z20410507 Keywords : Mössbauer spectroscopy ion implantation * diffusion and migration ion implantation, * austenitic steel surface phase composition Subject RIV: BM - Solid Matter Physics ; Magnetism Impact factor: 1.427, year: 2006

  12. Nanostructural evolution and behavior of H and Li in ion-implanted γ-LiAlO 2

    Energy Technology Data Exchange (ETDEWEB)

    Jiang, Weilin; Zhang, Jiandong; Edwards, Danny J.; Overman, Nicole R.; Zhu, Zihua; Price, Lloyd; Gigax, Jonathan; Castanon, Elizabeth; Shao, Lin; Senor, David J.

    2017-10-01

    In-situ He+ ion irradiation is performed under a helium ion microscope to study nanostructural evolution in polycrystalline gamma-LiAlO2 pellets. Various locations within a grain, across grain boundaries and at a cavity are selected. The results exhibit He bubble formation, grain-boundary cracking, nanoparticle agglomeration, increasing surface brightness with dose, and material loss from the surface. Similar brightening effects at grain boundaries are also observed under a scanning electron microscope. Li diffusion and loss from polycrystalline gamma-LiAlO2 is faster than its monocrystalline counterpart during H2+ ion implantation at elevated temperatures. There is also more significant H diffusion and release from polycrystalline pellets during thermal annealing of 300 K implanted samples. Grain boundaries and cavities could provide a faster pathway for H and Li diffusion. H release is slightly faster from the 573 K implanted monocrystalline gamma-LiAlO2 during annealing at 773 K. Metal hydrides could be formed preferentially along the grain boundaries to immobilize hydrogen.

  13. Mechanical properties of ion-beam-textured surgical implant alloys

    Science.gov (United States)

    Weigand, A. J.

    1977-01-01

    An electron-bombardment Hg ion thruster was used as an ion source to texture surfaces of materials used to make orthopedic and/or dental prostheses or implants. The materials textured include 316 stainless steel, titanium-6% aluminum, 4% vanadium, and cobalt-20% chromium, 15% tungsten. To determine the effect of ion texturing on the ultimate strength and yield strength, stainless steel and Co-Cr-W alloy samples were tensile tested to failure. Three types of samples of both materials were tested. One type was ion-textured (the process also heats each sample to 300 C), another type was simply heated to 300 C in an oven, and the third type was untreated. Stress-strain diagrams, 0.2% offset yield strength data, total elongation data, and area reduction data are presented. Fatigue specimens of ion textured and untextured 316 stainless steel and Ti-6% Al-4% V were tested. Included as an ion textured sample is a Ti-6% Al-4% V sample which was ion machined by means of Ni screen mask so as to produce an array of 140 mu m x 140 mu m x 60 mu m deep pits. Scanning electron microscopy was used to characterize the ion textured surfaces.

  14. Enhancing Hydrogen Diffusion in Silica Matrix by Using Metal Ion Implantation to Improve the Emission Properties of Silicon Nanocrystals

    Directory of Open Access Journals (Sweden)

    J. Bornacelli

    2014-01-01

    Full Text Available Efficient silicon-based light emitters continue to be a challenge. A great effort has been made in photonics to modify silicon in order to enhance its light emission properties. In this aspect silicon nanocrystals (Si-NCs have become the main building block of silicon photonic (modulators, waveguide, source, and detectors. In this work, we present an approach based on implantation of Ag (or Au ions and a proper thermal annealing in order to improve the photoluminescence (PL emission of Si-NCs embedded in SiO2. The Si-NCs are obtained by ion implantation at MeV energy and nucleated at high depth into the silica matrix (1-2 μm under surface. Once Si-NCs are formed inside the SiO2 we implant metal ions at energies that do not damage the Si-NCs. We have observed by, PL and time-resolved PL, that ion metal implantation and a subsequent thermal annealing in a hydrogen-containing atmosphere could significantly increase the emission properties of Si-NCs. Elastic Recoil Detection measurements show that the samples with an enhanced luminescence emission present a higher hydrogen concentration. This suggests that ion metal implantation enhances the hydrogen diffusion into silica matrix allowing a better passivation of surface defects on Si NCs.

  15. Ion beam synthesis of IrSi3 by implantation of 2 MeV Ir ions

    International Nuclear Information System (INIS)

    Sjoreen, T.P.; Chisholm, M.F.; Hinneberg, H.J.

    1992-11-01

    Formation of a buried IrSi 3 layer in (111) oriented Si by ion implantation and annealing has been studied at an implantation energy of 2 MeV for substrate temperatures of 450--550C. Rutherford backscattering (RBS), ion channeling and cross-sectional transmission electron microscopy showed that a buried epitaxial IrSi 3 layer is produced at 550C by implanting ≥ 3.4 x 10 17 Ir/cm 2 and subsequently annealing for 1 h at 1000C plus 5 h at 1100C. At a dose of 3.4 x 10 17 Ir/cm 2 , the thickness of the layer varied between 120 and 190 nm and many large IrSi 3 precipitates were present above and below the film. Increasing the dose to 4.4 x 10 17 Ir/cm 2 improved the layer uniformity at the expense of increased lattice damage in the overlying Si. RBS analysis of layer formation as a function of substrate temperature revealed the competition between the mechanisms for optimizing surface crystallinity vs. IrSi 3 layer formation. Little apparent substrate temperature dependence was evident in the as-implanted state but after annealing the crystallinity of the top Si layer was observed to deteriorate with increasing substrate temperature while the precipitate coarsening and coalescence improved

  16. Complementary study of the internal porous silicon layers formed under high-dose implantation of helium ions

    Energy Technology Data Exchange (ETDEWEB)

    Lomov, A. A., E-mail: lomov@ftian.ru; Myakon’kikh, A. V. [Russian Academy of Sciences, Institute of Physics and Technology (Russian Federation); Chesnokov, Yu. M. [National Research Centre “Kurchatov Institute” (Russian Federation); Shemukhin, A. A.; Oreshko, A. P. [Moscow State University (Russian Federation)

    2017-03-15

    The surface layers of Si(001) substrates subjected to plasma-immersion implantation of helium ions with an energy of 2–5 keV and a dose of 5 × 10{sup 17} cm{sup –2} have been investigated using high-resolution X-ray reflectivity, Rutherford backscattering, and transmission electron microscopy. The electron density depth profile in the surface layer formed by helium ions is obtained, and its elemental and phase compositions are determined. This layer is found to have a complex structure and consist of an upper amorphous sublayer and a layer with a porosity of 30–35% beneath. It is shown that the porous layer has the sharpest boundaries at a lower energy of implantable ions.

  17. Annealing of ion implanted silicon

    International Nuclear Information System (INIS)

    Chivers, D.; Smith, B.J.; Stephen, J.; Fisher, M.

    1980-09-01

    The newer uses of ion implantation require a higher dose rate. This has led to the introduction of high beam current implanters; the wafers move in front of a stationary beam to give a scanning effect. This can lead to non-uniform heating of the wafer. Variations in the sheet resistance of the layers can be very non-uniform following thermal annealing. Non-uniformity in the effective doping both over a single wafer and from one wafer to another, can affect the usefulness of ion implantation in high dose rate applications. Experiments to determine the extent of non-uniformity in sheet resistance, and to see if it is correlated to the annealing scheme have been carried out. Details of the implantation parameters are given. It was found that best results were obtained when layers were annealed at the maximum possible temperature. For arsenic, phosphorus and antimony layers, improvements were observed up to 1200 0 C and boron up to 950 0 C. Usually, it is best to heat the layer directly to the maximum temperature to produce the most uniform layer; with phosphorus layers however it is better to pre-heat to 1050 0 C. (U.K.)

  18. A simple ion implanter for material modifications in agriculture and gemmology

    Science.gov (United States)

    Singkarat, S.; Wijaikhum, A.; Suwannakachorn, D.; Tippawan, U.; Intarasiri, S.; Bootkul, D.; Phanchaisri, B.; Techarung, J.; Rhodes, M. W.; Suwankosum, R.; Rattanarin, S.; Yu, L. D.

    2015-12-01

    In our efforts in developing ion beam technology for novel applications in biology and gemmology, an economic simple compact ion implanter especially for the purpose was constructed. The designing of the machine was aimed at providing our users with a simple, economic, user friendly, convenient and easily operateable ion implanter for ion implantation of biological living materials and gemstones for biotechnological applications and modification of gemstones, which would eventually contribute to the national agriculture, biomedicine and gem-industry developments. The machine was in a vertical setup so that the samples could be placed horizontally and even without fixing; in a non-mass-analyzing ion implanter style using mixed molecular and atomic nitrogen (N) ions so that material modifications could be more effective; equipped with a focusing/defocusing lens and an X-Y beam scanner so that a broad beam could be possible; and also equipped with a relatively small target chamber so that living biological samples could survive from the vacuum period during ion implantation. To save equipment materials and costs, most of the components of the machine were taken from decommissioned ion beam facilities. The maximum accelerating voltage of the accelerator was 100 kV, ideally necessary for crop mutation induction and gem modification by ion beams from our experience. N-ion implantation of local rice seeds and cut gemstones was carried out. Various phenotype changes of grown rice from the ion-implanted seeds and improvements in gemmological quality of the ion-bombarded gemstones were observed. The success in development of such a low-cost and simple-structured ion implanter provides developing countries with a model of utilizing our limited resources to develop novel accelerator-based technologies and applications.

  19. A simple ion implanter for material modifications in agriculture and gemmology

    International Nuclear Information System (INIS)

    Singkarat, S.; Wijaikhum, A.; Suwannakachorn, D.; Tippawan, U.; Intarasiri, S.; Bootkul, D.; Phanchaisri, B.; Techarung, J.; Rhodes, M.W.; Suwankosum, R.; Rattanarin, S.; Yu, L.D.

    2015-01-01

    In our efforts in developing ion beam technology for novel applications in biology and gemmology, an economic simple compact ion implanter especially for the purpose was constructed. The designing of the machine was aimed at providing our users with a simple, economic, user friendly, convenient and easily operateable ion implanter for ion implantation of biological living materials and gemstones for biotechnological applications and modification of gemstones, which would eventually contribute to the national agriculture, biomedicine and gem-industry developments. The machine was in a vertical setup so that the samples could be placed horizontally and even without fixing; in a non-mass-analyzing ion implanter style using mixed molecular and atomic nitrogen (N) ions so that material modifications could be more effective; equipped with a focusing/defocusing lens and an X–Y beam scanner so that a broad beam could be possible; and also equipped with a relatively small target chamber so that living biological samples could survive from the vacuum period during ion implantation. To save equipment materials and costs, most of the components of the machine were taken from decommissioned ion beam facilities. The maximum accelerating voltage of the accelerator was 100 kV, ideally necessary for crop mutation induction and gem modification by ion beams from our experience. N-ion implantation of local rice seeds and cut gemstones was carried out. Various phenotype changes of grown rice from the ion-implanted seeds and improvements in gemmological quality of the ion-bombarded gemstones were observed. The success in development of such a low-cost and simple-structured ion implanter provides developing countries with a model of utilizing our limited resources to develop novel accelerator-based technologies and applications.

  20. A simple ion implanter for material modifications in agriculture and gemmology

    Energy Technology Data Exchange (ETDEWEB)

    Singkarat, S. [Plasma and Beam Physics Research Facility, Department of Physics and Materials Science, Faculty of Science, Chiang Mai University, Chiang Mai 50200 (Thailand); Thailand Center of Excellence in Physics, Commission on Higher Education, 328 Si Ayutthaya Road, Bangkok 10400 (Thailand); Wijaikhum, A. [Plasma and Beam Physics Research Facility, Department of Physics and Materials Science, Faculty of Science, Chiang Mai University, Chiang Mai 50200 (Thailand); Department of Physics, University of York, Heslington, York YO10 5DD (United Kingdom); Suwannakachorn, D.; Tippawan, U. [Plasma and Beam Physics Research Facility, Department of Physics and Materials Science, Faculty of Science, Chiang Mai University, Chiang Mai 50200 (Thailand); Intarasiri, S. [Science and Technology Research Institute, Chiang Mai University, Chiang Mai 50200 (Thailand); Bootkul, D. [Department of General Science, Faculty of Science, Srinakharinwirot University, Bangkok 10110 (Thailand); Phanchaisri, B.; Techarung, J. [Science and Technology Research Institute, Chiang Mai University, Chiang Mai 50200 (Thailand); Rhodes, M.W.; Suwankosum, R.; Rattanarin, S. [Plasma and Beam Physics Research Facility, Department of Physics and Materials Science, Faculty of Science, Chiang Mai University, Chiang Mai 50200 (Thailand); Yu, L.D., E-mail: yuld@thep-center.org [Plasma and Beam Physics Research Facility, Department of Physics and Materials Science, Faculty of Science, Chiang Mai University, Chiang Mai 50200 (Thailand); Thailand Center of Excellence in Physics, Commission on Higher Education, 328 Si Ayutthaya Road, Bangkok 10400 (Thailand)

    2015-12-15

    In our efforts in developing ion beam technology for novel applications in biology and gemmology, an economic simple compact ion implanter especially for the purpose was constructed. The designing of the machine was aimed at providing our users with a simple, economic, user friendly, convenient and easily operateable ion implanter for ion implantation of biological living materials and gemstones for biotechnological applications and modification of gemstones, which would eventually contribute to the national agriculture, biomedicine and gem-industry developments. The machine was in a vertical setup so that the samples could be placed horizontally and even without fixing; in a non-mass-analyzing ion implanter style using mixed molecular and atomic nitrogen (N) ions so that material modifications could be more effective; equipped with a focusing/defocusing lens and an X–Y beam scanner so that a broad beam could be possible; and also equipped with a relatively small target chamber so that living biological samples could survive from the vacuum period during ion implantation. To save equipment materials and costs, most of the components of the machine were taken from decommissioned ion beam facilities. The maximum accelerating voltage of the accelerator was 100 kV, ideally necessary for crop mutation induction and gem modification by ion beams from our experience. N-ion implantation of local rice seeds and cut gemstones was carried out. Various phenotype changes of grown rice from the ion-implanted seeds and improvements in gemmological quality of the ion-bombarded gemstones were observed. The success in development of such a low-cost and simple-structured ion implanter provides developing countries with a model of utilizing our limited resources to develop novel accelerator-based technologies and applications.

  1. Paramagnetism in ion-implanted oxides

    CERN Document Server

    Mølholt, Torben Esmann; Gíslason, Hafliði Pétur; Ólafsson, Sveinn

    This thesis describes the investigation on para-magnetism in dilute ion-implanted single-crystal oxide samples studied by on- and off-line $^{57}$Fe emission Mössbauer spectroscopy. The ion-implantation of the radioactive isotopes ( $^{57}$Mn and $^{57}$Co) was performed at the ISOLDE facility at CERN in Geneva, Switzerland. The off-line measurements were performed at Aarhus University, Denmark. Mössbauer spectroscopy is a unique method, giving simultaneously local information on valence/spin state of the $^{57}$Fe probe atoms, site symmetry and magnetic properties on an atomic scale. The utilisation of emission Mössbauer spectroscopy opens up many new possibilities compared with traditional transmission Mössbauer spectroscopy. Among them is the possibility of working with a low concentration below 10$^{-4}$ –10$^{-3}$ at.%, where the implanted Mössbauer $^{57}$Fe probes are truly dilute impurities exclusively interacting with their nearest neighbours and therefore the possibility of crea...

  2. Radioactive ion implantation as a tool for wear measurements

    International Nuclear Information System (INIS)

    Bagger, C.; Soerensen, G.

    1979-01-01

    The present paper deals with ion implantation of radioactive krypton ions in surfaces with aim of measuring wear of different magnetic materials in sound-heads. The technique is especially suited for a relatively fast comparison of wear-characteristics of materials of varying composition in small inaccessible areas. In the present case utilisation of a 60 KeV accelerator allows determination of a total wear as small as 0.05 μm with an accuracy of 10%. Further the technique yields information of the time dependence of the wear process with an accuracy less than 0.001 μm. (author)

  3. Ion-induced surface modification of alloys

    International Nuclear Information System (INIS)

    Wiedersich, H.

    1983-11-01

    In addition to the accumulation of the implanted species, a considerable number of processes can affect the composition of an alloy in the surface region during ion bombardment. Collisions of energetic ions with atoms of the alloy induce local rearrangement of atoms by displacements, replacement sequences and by spontaneous migration and recombination of defects within cascades. Point defects form clusters, voids, dislocation loops and networks. Preferential sputtering of elements changes the composition of the surface. At temperatures sufficient for thermal migration of point defects, radiation-enhanced diffusion promotes alloy component redistribution within and beyond the damage layer. Fluxes of interstitials and vacancies toward the surface and into the interior of the target induce fluxes of alloying elements leading to depth-dependent compositional changes. Moreover, Gibbsian surface segregation may affect the preferential loss of alloy components by sputtering when the kinetics of equilibration of the surface composition becomes competitive with the sputtering rate. Temperature, time, current density and ion energy can be used to influence the individual processes contributing to compositional changes and, thus, produce a rich variety of composition profiles near surfaces. 42 references

  4. High current pelletron for ion implantation

    International Nuclear Information System (INIS)

    Schroeder, J.B.

    1989-01-01

    Since 1984, when the first production MeV ion implanter (an NEC model MV-T30) went on-line, interest in versatile electrostatic accelerator systems for MeV ion implantation has grown. The systems use a negative ion source to inject a tandem megavolt accelerator. In early systems the 0.4 mA of charging current from the two Pelletron charging chains in the accelerator was sufficient for the low intensity of beams from the ion source. This 2-chain system, however, is no longer adequate for the much higher beam intensities from today's improved ion sources. A 4-chain charging system, which delivers 1.3 mA to the high voltage terminal, was developed and is in operation in new models of NEC S Series Pelletron accelerators. This paper describes the latest beam performance of 1 MV and 1.7 MW Pelletron accelerators with this new 4-chain charging system. (orig.)

  5. Laws of phase formation in ion-implanted metals

    International Nuclear Information System (INIS)

    Kazdaev, H.R.; Abylkhalykova, R.B.; Skakov, M.K.

    2004-01-01

    Full text: Main laws of ordered structures formation at molybdenum implantation by elements forming phases of introduction (B, C, N, 0, Si, P, S) are discovered in this work. According to them the character of structural and phase transformations in molybdenum at ion implantation is determined not by kinetic parameters of bombarding particles and their chemical activity but by size factor η x/Me (ratio of nuclear radii of introduced elements and atoms of a matrix). At change of its meaning in the certain limits the following can be observed: superstructures formation (η x/Mo x/Mo x/Mo >0.69). In the latter case at the further implantation doze increasing recrystallization of molybdenum monocrystalline layers amorphized during previous bombarding with chemical connection formation takes place, characterized by us as ion-inducted synthesis. The phenomenon discovered on the samples implanted by phosphorus ions. As the result, the high-temperature phase of molybdenum monophosphide MoP having densely situated lattice was synthesized. The complete confirmation of the main laws of structural and phased transformations at ion implantation established by results on molybdenum monocrystals with OCC lattice was achieved at realization of similar researches on the other transitive metal - zirconium which differs from molybdenum according to a number of attributes: a type of an initial lattice structural condition (large scaled polycrystal), presence of interparticle borders and high solubility of atmospheric impurities (nitrogen, carbon, oxygen). The discovered laws have proved to be true also according to ion implanted samples of monocrystal tungsten and polycrystal tantalum

  6. Antibacterial TiO2Coating Incorporating Silver Nanoparticles by Micro arc Oxidation and Ion Implantation

    International Nuclear Information System (INIS)

    Zhang, P.; Zhang, Z.; Li, W.

    2013-01-01

    Infection associated with titanium implants remains the most common serious complication in hard tissue replacement surgery. Since such postoperative infections are usually difficult to cure, it is critical to find optimal strategies for preventing infections. In this study, TiO 2 coating incorporating silver (Ag) nanoparticles were fabricated on pure titanium by micro arc oxidation and ion implantation. The antibacterial activity was evaluated by exposing the specimens to Staphylococcus aureus and comparing the reaction of the pathogens to Ti-MAO-Ag with Ti-MAO controls. Ti-MAO-Ag clearly inhibited bacterial colonization more than the control specimen. The coating’s antibacterial ability was enhanced by increasing the dose of silver ion implantation, and Ti-MAO-Ag 20.0 had the best antibacterial ability. In addition, cytocompatibility was assessed by culturing cell colonies on the specimens. The cells grew well on both specimens. These findings indicate that surface modification by means of this process combining MAO and silver ion implantation is useful in providing antibacterial activity and exhibits cytocompatibility with titanium implants

  7. Effect of ion implantation on apple wine yeast

    International Nuclear Information System (INIS)

    Song Andong; Chen Hongge; Zhang Shimin; Jia Cuiying

    2004-01-01

    The wild type apple wine yeast Y 02 was treated by ion implantation with the dose of 8 x 10 15 ion/cm 2 . As results, a special mutant strain, ION II -11 dry, was obtained. The morphology characters, partial biochemistry characters, mycelium protein of the mutant strain were distinctively changed compared with original strain Y 02 . After the fermentation test ,the apple wine producing rate of the mutant strain increased 22.4% compared with original strain. These results showed that ion implantation was an effective method for mutagenesis

  8. Surface Morphologies of Ti and Ti-Al-V Bombarded by 1.0-MeV Au+ Ions

    Science.gov (United States)

    Garcia, M. A.; Rickards, J.; Cuerno, R.; Trejo-Luna, R.; Cañetas-Ortega, J.; de la Vega, L. R.; Rodríguez-Fernández, L.

    2017-12-01

    Ion implantation is known to enhance the mechanical properties of biomaterials such as, e.g., the wear resistance of orthopedic joints. Increasing the surface area of implants may likewise improve their integration with, e.g., bone tissue, which requires surface features with sizes in the micron range. Ion implantation of biocompatible metals has recently been demonstrated to induce surface ripples with wavelengths of a few microns. However, the physical mechanisms controlling the formation and characteristics of these patterns are yet to be understood. We bombard Ti and Ti-6Al-4V surfaces with 1.0-MeV Au+ ions. Analysis by scanning electron and atomic force microscopies shows the formation of surface ripples with typical dimensions in the micron range, with potential indeed for biomedical applications. Under the present specific experimental conditions, the ripple properties are seen to strongly depend on the fluence of the implanted ions while being weakly dependent on the target material. Moreover, by examining experiments performed for incidence angle values θ =8 ° , 23°, 49°, and 67°, we confirm the existence of a threshold incidence angle for (ripple) pattern formation. Surface indentation is also used to study surface features under additional values of θ , agreeing with our single-angle experiments. All properties of the surface structuring process are very similar to those found in the production of surface nanopatterns under low-energy ion bombardment of semiconductor targets, in which the stopping power is dominated by nuclear contributions, as in our experiments. We consider a continuum model that combines the effects of various physical processes as originally developed in that context, with parameters that we estimate under a binary-collision approximation. Notably, reasonable agreement with our experimental observations is achieved, even under our high-energy conditions. Accordingly, in our system, ripple formation is determined by mass

  9. Production of amorphous metal layers using ion implantation and investigation of the related modification of some surface properties

    International Nuclear Information System (INIS)

    Hoang Dac Luc; Vu Hoang Lam.

    1993-01-01

    Amorphous layers were produced by implanting B + ions into Al at 50 keV. The modification of the electrochemical corrosion resistance and the mechanical strength of implanted specimen was investigated. (author). 2 refs, 1 tab, 2 figs

  10. Carbon dioxide ion implantation in Titanium Nitride (Ti N)

    International Nuclear Information System (INIS)

    Torabi, Sh.; Sari, A. H.; Hojabri, A.; Ghoranneviss, M.

    2007-01-01

    Nitrogen ion implantation on titanium samples performed at 3x10 18 , 8x10 17 , 3x10 18 doses. In addition CO 2 ions were also implanted at doses in the range of 1x10 17 ,4 x10 17 ,8x10 17 . Atomic Force Microscopy, used to investigate the topographical changes of implanted samples. The structure of samples and phase composition were characterized using x-ray diffraction. The results show that by increasing of nitrogen ions, the roughness, grain sizes and hardness will increase. But by further increasing of dose, hardness will be decreased. The CO 2 implantation also enhance the roughness, grain size and hardness which could be caused by phase composition.

  11. Synthesis of borides in molybdenum implanted by B+ ions under thermal and electron annealing

    International Nuclear Information System (INIS)

    Kazdaev, Kh.R.; Akchulakov, M.T.; Bayadilov, E.M.; Ehngel'ko, V.I.; Lazarenko, A.V.; Chebukov, E.S.

    1989-01-01

    The possibility of formation of borides in the near surface layers of monocrystalline molybdenum implanted by boron ions at 35 keV energy under thermal and pulsed electron annealing by an electon beam at 140 keV energy is investigated. It is found that implantation of boron ions into molybdenum with subsequent thermal annealing permits to produce both molybdenum monoboride (α-MoB) and boride (γ-Mo 2 B) with rather different formation mechanisms. Formation of the α-MoB phase occurs with the temperature elevation from the centers appeared during implantation, while the γ-Mo 2 B phase appears only on heating the implanted layers up to definite temperature as a result of the phase transformation of the solid solution into a chemical compound. Pulsed electron annealing instead of thermal annealing results mainly in formation of molybdenum boride (γ-Mo 2 B), the state of structure is determined by the degree of heating of implanted layers and their durable stay at temperatures exceeding the threshold values

  12. Influence of temperature on properties of nitrogen plasma source ion implantation (N-PSII) of Ti6A14V alloy

    CERN Document Server

    Geng Man; Zhao Qing

    2001-01-01

    Specimens of Ti6Al4V alloy were implanted with nitrogen plasma source ion implantation (N-PSII) at temperatures between 100 degree C and 600 degree C to a ion dose of 4 x 10 sup 1 sup 7 cm sup - sup 2. Auger Electron Spectroscopy (AES) was used to determine the nitrogen concentration depth profiles. Microhardness measurements and pin-on-disk wear test were performed to evaluate the improvements of the surface modification. Glancing angle X-ray diffraction (XRD) was employed to determine the phases presented in the surface modified layer. The thickness of implanted layer increased by about an order of magnitude when the temperature was elevated from 100 degree C to 600 degree C. Higher surface hardness and wear resistance was also obtained at higher temperature. Scanning electron microscopy (SEM) showed distinct microstructural changes and the presence of titanium nitrides in the implanted surface

  13. Sliding behavior of boron ion-implanted 304 stainless steel

    International Nuclear Information System (INIS)

    Shrivastava, S.; Jain, A.; Singh, C.

    1995-01-01

    The authors have studied the influence of boron ion implantation on the friction and wear behavior of 304 stainless steel. The authors find an increase in microhardness following implantation. The authors also observed a reduction in wear and coefficient of friction. They have measured the microhardness, inside the wear tracks and have found a large increase in the values in the unimplanted specimens and only a small increase in the implanted specimens. These observations have thrown light on the change in the wear mechanism between the two cases. The authors have also used Scanning Electron Microscopy and Energy Dispersive Analysis of X-rays, to characterize the differences in the mode of wear. The change in wear behavior is brought about by the ability of boron to prevent the surface from transforming into a hard brittle layer during wear

  14. Quantum effects in ion implanted devices

    International Nuclear Information System (INIS)

    Jamieson, D.N.; Chan, V.; Hudson, F.E.; Andresen, S.E.; Yang, C.; Hopf, T.; Hearne, S.M.; Pakes, C.I.; Prawer, S.; Gauja, E.; Yang, C.; Dzurak, A.S.; Yang, C.; Clark, R.G.; Yang, C.

    2005-01-01

    Fabrication of nanoscale devices that exploit the rules of quantum mechanics to process information presents formidable technical challenges because it will be necessary to control quantum states at the level of individual atoms, electrons or photons. We have developed a pathway to the construction of quantum devices using ion implantation and demonstrate, using charge transport analysis, that the devices exhibit single electron effects. We construct devices that employ two P donors in Si by employing the technique of ion beam induced charge (IBIC) in which single 14 keV P ions can be implanted into ultra-pure silicon by monitoring on-substrate detector electrodes. We have used IBIC with a MeV nuclear microprobe to map and measure the charge collection efficiency in the development of the electrode structure and show that 100% charge collection efficiency can be achieved leading to the fabrication of prototype devices that display quantum effects in the transport of single charge quanta between the islands of implanted donors. (author). 9 refs., 4 figs., 1 tab

  15. Effects of noble-metal ion implantation on corrosion inhibition and charge injection capability of surgical Ti and Ti-6Al-4V

    International Nuclear Information System (INIS)

    Lee, I.S.

    1989-01-01

    Studies are described involving effects of noble-metal ion implantation on corrosion inhibition and charge injection capabilities of surgical Ti and Ti-6Al-4V. With surgical alloys, harmful biological responses are principally due to the type and quantity of metal ions released by the corrosion process. One approach to improve long-term biological performance involves surface modifications to significantly reduce degradation rates. With regard to surface-modifications, one of the most effective methods is through ion implantation. Results are presented for ion-implanted Au, Rh, and Ir. For the static in vitro corrosion properties, the noble-metal ion implanted Ti-6Al-4V and commercially-pure (CP) Ti were investigated in non-passivating acid and passivating saline solutions. It was postulated that during the early stages of corrosion (or during a corrosion pretreatment) the implanted noble-metal would enrich at the surface and significantly reduce subsequent corrosion rates. The observed behavior for the Ir and Rh implanted materials appeared to follow the postulated mechanism, with both initial and time-dependent improvements in corrosion resistance. However, the Au implanted material yielded early benefits, but the enhanced corrosion resistance deteriorated with time. X-ray photoelectron spectroscopy (XPS) analysis indicated that the implanted Au atoms remained as pure metallic Au, while the Ir and Rh atoms were in some oxide state, which gave the good adhesion of the Ir or Rh enriched surface to the Ti substrate. For a stimulating neural electrode, the charge density should be as large as possible to provide adequate stimulation of the nervous system while allowing for miniaturization of the electrode. Activated Ir has been known as having the highest charge injection capability of any material known

  16. Doping of silicon carbide by ion implantation; Dopage du carbure de silicium par implantation ionique

    Energy Technology Data Exchange (ETDEWEB)

    Gimbert, J

    1999-03-04

    It appeared that in some fields, as the hostile environments (high temperature or irradiation), the silicon compounds showed limitations resulting from the electrical and mechanical properties. Doping of 4H and 6H silicon carbide by ion implantation is studied from a physicochemical and electrical point of view. It is necessary to obtain n-type and p-type material to realize high power and/or high frequency devices, such as MESFETs and Schottky diodes. First, physical and electrical properties of silicon carbide are presented and the interest of developing a process technology on this material is emphasised. Then, physical characteristics of ion implantation and particularly classical dopant implantation, such as nitrogen, for n-type doping, and aluminium and boron, for p-type doping are described. Results with these dopants are presented and analysed. Optimal conditions are extracted from these experiences so as to obtain a good crystal quality and a surface state allowing device fabrication. Electrical conduction is then described in the 4H and 6H-SiC polytypes. Freezing of free carriers and scattering processes are described. Electrical measurements are carried out using Hall effect on Van der Panw test patterns, and 4 point probe method are used to draw the type of the material, free carrier concentrations, resistivity and mobility of the implanted doped layers. These results are commented and compared to the theoretical analysis. The influence of the technological process on electrical conduction is studied in view of fabricating implanted silicon carbide devices. (author)

  17. Plasma immersion ion implantation: duplex layers from a single process

    International Nuclear Information System (INIS)

    Hutchings, R.; Collins, G.A.; Tendys, J.

    1992-01-01

    Plasma immersion ion implantation (PI 3 ) is an alternative non-line-of-sight technique for implanting ions directly from a plasma which surrounds the component to be treated. In contrast to plasma source ion implantation, the PI 3 system uses an inductively coupled r.f. plasma. It is shown that nitrogen can be retained during implantation at elevated temperatures, even for unalloyed steels. This allows controlled diffusion of nitrogen to greater depths, thereby improving the load bearing capacity of the implanted layer. Components can be heated directly, using the energy deposited by the incident ions during the pulsed implantation. The necessary temperature control can be accomplished simply by regulating the frequency and length of the high voltage pulses applied to the component. Chemical depth profiles and microstructural data obtained from H13 tool steel are used to show that PI 3 can, in a single process, effectively produce a duplex subsurface structure. This structure consists of an outer non-equilibrium layer typical of nitrogen implantation (containing in excess of 20 at.% nitrogen) backed by a substantial diffusion zone of much lower nitrogen content. The relationship between implantation temperature and the resultant subsurface microstructure is explored. (orig.)

  18. Magnetic properties of thin films obtained by ion implantation of 3d metals in polyethylene-terephthalate

    International Nuclear Information System (INIS)

    Petukhov, V.Yu.; Ibragimova, M.I.; Khabibullina, N.R; Zheglov, E.P.; Muller, R.

    2002-01-01

    Polymer films containing small metal particles have been attracting particular interest because of their unique properties. Implantation of metal ions in polymers is one of the methods to synthesize metal-polymer nano-composite materials. Ion implantation makes possible the magnetic nano-structures with controlled parameters. Previously, we showed that 3d-metal implantation into numerous polymers (polymethylmethacrylate, phosphorus containing polymethylmethacrylate) resulted in the formation of a composite film consisting of metal nanoparticles buried in an implanted layer. The particles are usually found to be distributed randomly in the surface layer. It has been established that structural peculiarities, phase composition, and magnetic properties of synthesized metal-polymer systems depend on the type of the initial polymer matrix, ion types, as well as conditions of ion implantation. In the present study we have been prepared thin metal-polymer composite films by ion-beam implantation of Fe + and Co + ions in polyethylene terephthalate. The implantation of 40 keV ions at room temperature with doses from 2·10 1 6 to 3·10 17 cm -2 have been performed, with the ion current density not exceeding 10 μA/cm 2 . The magnetic properties have been investigated both by ferromagnetic resonance (FMR) and vibrating sample magnetometry (VSM). FMR spectra were recorded using magnetic radio spectrometer Varian E-12 with frequency of 9.5 GHz at room temperature. The dependencies of FMR spectra on orientation have been measured for all samples. Measurements were carried out for two orientations of the sample, normal direction of the films being either parallel or perpendicular to dc magnetic field. The values of the effective magnetization were calculated from orientation dependencies. Thin ferromagnetic films (TFF) have been shown to form for samples with both implanted ions. For samples implanted with Co + ions, the appearance of FMR lines occurs at doses markedly greater

  19. Improving Aspergillus niger tannase yield by N+ ion beam implantation

    Directory of Open Access Journals (Sweden)

    Wei Jin

    2013-02-01

    Full Text Available This work aimed to improve tannase yield of Aspergillus niger through N+ ion beam implantation in submerged fermentation. The energy and dose of N+ ion beam implantation were investigated. The results indicated that an excellent mutant was obtained through nine successive implantations under the conditions of 10 keV and 30-40 (×2.6×10(13 ions/cm², and its tannase yield reached 38.5 U/mL, which was about five-time higher than the original strain. The study on the genetic stability of the mutant showed that its promising performance in tannase production could be stable. The studies of metal ions and surfactants affecting tannase yield indicated that manganese ions, stannum ions, xylene and SDS contained in the culture medium had positive effects on tannase production under submerged fermentation. Magnesium ions, in particular, could enhance the tannase yield by the mutant increasing by 42%, i.e. 53.6 U/mL. Accordingly, low-energy ion implantation could be a desirable approach to improve the fungal tannase yield for its commercial application.

  20. A one-dimensional collisional model for plasma-immersion ion implantation

    International Nuclear Information System (INIS)

    Vahedi, V.; Lieberman, M.A.; Alves, M.V.; Verboncoeur, J.P.; Birdsall, C.K.

    1991-01-01

    Plasma-immersion ion implantation (also known as plasma-source ion implantation) is a process in which a target is immersed in a plasma and a series of large negative-voltage pulses are applied to it to extract ions from the plasma and implant them into the target. A general one-dimensional model is developed to study this process in different coordinate systems for the case in which the pressure of the neutral gas is large enough that the ion motion in the sheath can be assumed to be highly collisional