WorldWideScience

Sample records for surface etching occurs

  1. Copper-assisted, anti-reflection etching of silicon surfaces

    Science.gov (United States)

    Toor, Fatima; Branz, Howard

    2014-08-26

    A method (300) for etching a silicon surface (116) to reduce reflectivity. The method (300) includes electroless deposition of copper nanoparticles about 20 nanometers in size on the silicon surface (116), with a particle-to-particle spacing of 3 to 8 nanometers. The method (300) includes positioning (310) the substrate (112) with a silicon surface (116) into a vessel (122). The vessel (122) is filled (340) with a volume of an etching solution (124) so as to cover the silicon surface (116). The etching solution (124) includes an oxidant-etchant solution (146), e.g., an aqueous solution of hydrofluoric acid and hydrogen peroxide. The silicon surface (116) is etched (350) by agitating the etching solution (124) with, for example, ultrasonic agitation, and the etching may include heating (360) the etching solution (124) and directing light (365) onto the silicon surface (116). During the etching, copper nanoparticles enhance or drive the etching process.

  2. Dry Etching of Copper Phthalocyanine Thin Films: Effects on Morphology and Surface Stoichiometry

    Directory of Open Access Journals (Sweden)

    Michael J. Brett

    2012-08-01

    Full Text Available We investigate the evolution of copper phthalocyanine thin films as they are etched with argon plasma. Significant morphological changes occur as a result of the ion bombardment; a planar surface quickly becomes an array of nanopillars which are less than 20 nm in diameter. The changes in morphology are independent of plasma power, which controls the etch rate only. Analysis by X-ray photoelectron spectroscopy shows that surface concentrations of copper and oxygen increase with etch time, while carbon and nitrogen are depleted. Despite these changes in surface stoichiometry, we observe no effect on the work function. The absorbance and X-ray diffraction spectra show no changes other than the peaks diminishing with etch time. These findings have important implications for organic photovoltaic devices which seek nanopillar thin films of metal phthalocyanine materials as an optimal structure.

  3. Micropatterning on cylindrical surfaces via electrochemical etching using laser masking

    International Nuclear Information System (INIS)

    Cho, Chull Hee; Shin, Hong Shik; Chu, Chong Nam

    2014-01-01

    Highlights: • Various micropatterns were fabricated on the cylindrical surface of a stainless steel shaft. • Selective electrochemical dissolution was achieved via a series process of laser masking and electrochemical etching. • Laser masking characteristics on the non-planar surface were investigated. • A uniform mask layer was formed on the cylindrical surface via synchronized laser line scanning with a rotary system. • The characteristics of electrochemical etching on the non-planar surface were investigated. - Abstract: This paper proposes a method of selective electrochemical dissolution on the cylindrical surfaces of stainless steel shafts. Selective electrochemical dissolution was achieved via electrochemical etching using laser masking. A micropatterned recast layer was formed on the surface via ytterbium-doped pulsed fiber laser irradiation. The micropatterned recast layer could be used as a mask layer during the electrochemical etching process. Laser masking condition to form adequate mask layer on the planar surface for etching cannot be used directly on the non-planar surface. Laser masking condition changes depending on the morphological surface. The laser masking characteristics were investigated in order to form a uniform mask layer on the cylindrical surface. To minimize factors causing non-uniformity in the mask layer on the cylindrical surface, synchronized laser line scanning with a rotary system was applied during the laser masking process. Electrochemical etching characteristics were also investigated to achieve deeper etched depth, without collapsing the recast layer. Consequently, through a series process of laser masking and electrochemical etching, various micropatternings were successfully performed on the cylindrical surfaces

  4. The Effect of Phosphoric Acid Pre-etching Times on Bonding Performance and Surface Free Energy with Single-step Self-etch Adhesives.

    Science.gov (United States)

    Tsujimoto, A; Barkmeier, W W; Takamizawa, T; Latta, M A; Miyazaki, M

    2016-01-01

    The purpose of this study was to evaluate the effect of phosphoric acid pre-etching times on shear bond strength (SBS) and surface free energy (SFE) with single-step self-etch adhesives. The three single-step self-etch adhesives used were: 1) Scotchbond Universal Adhesive (3M ESPE), 2) Clearfil tri-S Bond (Kuraray Noritake Dental), and 3) G-Bond Plus (GC). Two no pre-etching groups, 1) untreated enamel and 2) enamel surfaces after ultrasonic cleaning with distilled water for 30 seconds to remove the smear layer, were prepared. There were four pre-etching groups: 1) enamel surfaces were pre-etched with phosphoric acid (Etchant, 3M ESPE) for 3 seconds, 2) enamel surfaces were pre-etched for 5 seconds, 3) enamel surfaces were pre-etched for 10 seconds, and 4) enamel surfaces were pre-etched for 15 seconds. Resin composite was bonded to the treated enamel surface to determine SBS. The SFEs of treated enamel surfaces were determined by measuring the contact angles of three test liquids. Scanning electron microscopy was used to examine the enamel surfaces and enamel-adhesive interface. The specimens with phosphoric acid pre-etching showed significantly higher SBS and SFEs than the specimens without phosphoric acid pre-etching regardless of the adhesive system used. SBS and SFEs did not increase for phosphoric acid pre-etching times over 3 seconds. There were no significant differences in SBS and SFEs between the specimens with and without a smear layer. The data suggest that phosphoric acid pre-etching of ground enamel improves the bonding performance of single-step self-etch adhesives, but these bonding properties do not increase for phosphoric acid pre-etching times over 3 seconds.

  5. Photoelectrochemical etching of gallium nitride surface by complexation dissolution mechanism

    Energy Technology Data Exchange (ETDEWEB)

    Zhang, Miao-Rong [Suzhou Institute of Nano-Tech and Nano-Bionics, Chinese Academy of Sciences, 215123 Suzhou (China); University of Chinese Academy of Sciences, 100049 Beijing (China); Hou, Fei; Wang, Zu-Gang; Zhang, Shao-Hui [Suzhou Institute of Nano-Tech and Nano-Bionics, Chinese Academy of Sciences, 215123 Suzhou (China); Changchun University of Science and Technology, 130022 Changchun (China); Pan, Ge-Bo, E-mail: gbpan2008@sinano.ac.cn [Suzhou Institute of Nano-Tech and Nano-Bionics, Chinese Academy of Sciences, 215123 Suzhou (China)

    2017-07-15

    Graphical abstract: GaN surface was etched by 0.3 M EDTA-2Na. The proposed complexation dissolution mechanism can be applicable to almost all neutral etchants under the prerequisite of strong light and electric field. - Highlights: • GaN surface was etched by EDTA-2Na. • GaN may be dissolved into EDTA-2Na by forming Ga–EDTA complex. • We propose the complexation dissolution mechanism for the first time. - Abstract: Gallium nitride (GaN) surface was etched by 0.3 M ethylenediamine tetraacetic acid disodium (EDTA-2Na) via photoelectrochemical etching technique. SEM images reveal the etched GaN surface becomes rough and irregular. The pore density is up to 1.9 × 10{sup 9} per square centimeter after simple acid post-treatment. The difference of XPS spectra of Ga 3d, N 1s and O 1s between the non-etched and freshly etched GaN surfaces can be attributed to the formation of Ga–EDTA complex at the etching interface between GaN and EDTA-2Na. The proposed complexation dissolution mechanism can be broadly applicable to almost all neutral etchants under the prerequisite of strong light and electric field. From the point of view of environment, safety and energy, EDTA-2Na has obvious advantages over conventionally corrosive etchants. Moreover, as the further and deeper study of such nearly neutral etchants, GaN etching technology has better application prospect in photoelectric micro-device fabrication.

  6. Low surface damage dry etched black silicon

    Science.gov (United States)

    Plakhotnyuk, Maksym M.; Gaudig, Maria; Davidsen, Rasmus Schmidt; Lindhard, Jonas Michael; Hirsch, Jens; Lausch, Dominik; Schmidt, Michael Stenbæk; Stamate, Eugen; Hansen, Ole

    2017-10-01

    Black silicon (bSi) is promising for integration into silicon solar cell fabrication flow due to its excellent light trapping and low reflectance, and a continuously improving passivation. However, intensive ion bombardment during the reactive ion etching used to fabricate bSi induces surface damage that causes significant recombination. Here, we present a process optimization strategy for bSi, where surface damage is reduced and surface passivation is improved while excellent light trapping and low reflectance are maintained. We demonstrate that reduction of the capacitively coupled plasma power, during reactive ion etching at non-cryogenic temperature (-20 °C), preserves the reflectivity below 1% and improves the effective minority carrier lifetime due to reduced ion energy. We investigate the effect of the etching process on the surface morphology, light trapping, reflectance, transmittance, and effective lifetime of bSi. Additional surface passivation using atomic layer deposition of Al2O3 significantly improves the effective lifetime. For n-type wafers, the lifetime reaches 12 ms for polished and 7.5 ms for bSi surfaces. For p-type wafers, the lifetime reaches 800 μs for both polished and bSi surfaces.

  7. Effect of Reduced Phosphoric Acid Pre-etching Times 
on Enamel Surface Characteristics and Shear Fatigue Strength Using Universal Adhesives.

    Science.gov (United States)

    Tsujimoto, Akimasa; Fischer, Nicholas; Barkmeier, Wayne; Baruth, Andrew; Takamizawa, Toshiki; Latta, Mark; Miyazaki, Masashi

    2017-01-01

    To examine the effect of reduced phosphoric acid pre-etching times on enamel fatigue bond strength of universal adhesives and surface characteristics by using atomic force microscopy (AFM). Three universal adhesives were used in this study (Clearfil Universal Bond [C], G-Premio Bond [GP], Scotchbond Universal Adhesive [SU]). Four pre-etching groups were employed: enamel pre-etched with phosphoric acid and immediately rinsed with an air-water spray, and enamel pre-etched with phosphoric acid for 5, 10, or 15 s. Ground enamel was used as the control group. For the initial bond strength test, 15 specimens per etching group for each adhesive were used. For the shear fatigue test, 20 specimens per etching group for each adhesive were loaded using a sine wave at a frequency of 20 Hz for 50,000 cycles or until failure occurred. Initial shear bond strengths and fatigue shear strengths of composite adhesively bonded to ground and pre-etched enamel were determined. AFM observations of ground and pre-etched enamel were also conducted, and surface roughness as well as surface area were evaluated. The initial shear bond strengths and fatigue shear strengths of the universal adhesives in the pre-etched groups were significantly higher than those of the control group, and were not influenced by the pre-etching time. Significantly higher surface roughness and surface area of enamel surfaces in pre-etched groups were observed compared with those in the control group. While the surface area was not significantly influenced by etching time, surface roughness of the enamel surfaces in the pre-etched groups significantly increased with pre-etching time. The results of this in vitro study suggest that reduced phosphoric acid pre-etching times do not impair the fatigue bond strength of universal adhesives. Although fatigue bond strength and surface area were not influenced by phosphoric-acid etching times, surface roughness increased with increasing etching time.

  8. Formation of plasma induced surface damage in silica glass etching for optical waveguides

    International Nuclear Information System (INIS)

    Choi, D.Y.; Lee, J.H.; Kim, D.S.; Jung, S.T.

    2004-01-01

    Ge, B, P-doped silica glass films are widely used as optical waveguides because of their low losses and inherent compatibility with silica optical fibers. These films were etched by ICP (inductively coupled plasma) with chrome etch masks, which were patterned by reactive ion etching (RIE) using chlorine-based gases. In some cases, the etched surfaces of silica glass were very rough (root-mean square roughness greater than 100 nm) and we call this phenomenon plasma induced surface damage (PISD). Rough surface cannot be used as a platform for hybrid integration because of difficulty in alignment and bonding of active devices. PISD reduces the etch rate of glass and it is very difficult to remove residues on a rough surface. The objective of this study is to elucidate the mechanism of PISD formation. To achieve this goal, PISD formation during different etching conditions of chrome etch mask and silica glass was investigated. In most cases, PISD sources are formed on a glass surface after chrome etching, and metal compounds are identified in theses sources. Water rinse after chrome etching reduces the PISD, due to the water solubility of metal chlorides. PISD is decreased or even disappeared at high power and/or low pressure in glass etching, even if PISD sources were present on the glass surface before etching. In conclusion, PISD sources come from the chrome etching process, and polymer deposition on these sources during the silica etching cause the PISD sources to grow. In the area close to the PISD source there is a higher ion flux, which causes an increase in the etch rate, and results in the formation of a pit

  9. Improved thrombogenicity on oxygen etched Ti6Al4V surfaces

    International Nuclear Information System (INIS)

    Riedel, Nicholas A.; Smith, Barbara S.; Williams, John D.; Popat, Ketul C.

    2012-01-01

    Thrombus formation on blood contacting biomaterials continues to be a key factor in initiating a critical mode of failure in implantable devices, requiring immediate attention. In the interest of evaluating a solution for one of the most widely used biomaterials, titanium and its alloys, this study focuses on the use of a novel surface oxidation treatment to improve the blood compatibility. This study examines the possibility of using oblique angle ion etching to produce a high quality oxide layer that enhances blood compatibility on medical grade titanium alloy Ti6Al4V. An X-ray photoelectron spectroscopy (XPS) analysis of these oxygen-rich surfaces confirmed the presence of TiO 2 peaks and also indicated increased surface oxidation as well as a reduction in surface defects. After 2 h of contact with whole human plasma, the oxygen etched substrates demonstrated a reduction in both platelet adhesion and activation as compared to bare titanium substrates. The whole blood clotting behavior was evaluated for up to 45 min, showing a significant decrease in clot formation on oxygen etched substrates. Finally, a bicinchoninic acid (BCA) total protein assay and XPS were used to evaluate the degree of key blood serum protein (fibrinogen, albumin, immunoglobulin G) adsorption on the substrates. The results showed similar protein levels for both the oxygen etched and control substrates. These results indicate that oblique angle oxygen etching may be a promising method to increase the thrombogenicity of Ti6Al4V. - Highlights: ►Oblique angle oxygen ion etching creates a high quality, uniform oxide surface. ►Oxygen etched substrates showed fewer adhered platelets. ►Platelet activation was reduced by the improved oxide surface. ►Oxygen etched substrates exhibited increased whole blood clotting times. ►Although clotting reductions were seen, protein adsorption remained similar.

  10. Catalytically-etched hexagonal boron nitride flakes and their surface activity

    International Nuclear Information System (INIS)

    Kim, Do-Hyun; Lee, Minwoo; Ye, Bora; Jang, Ho-Kyun; Kim, Gyu Tae; Lee, Dong-Jin; Kim, Eok-Soo; Kim, Hong Dae

    2017-01-01

    Highlights: • Hexagonal boron nitride flakes are etched at low temperature in air by catalysts. • The presence of transition metal oxides produces an etched structure in the flakes. • Etched surfaces become highly active due to vacancy defects formed in the flakes. - Abstract: Hexagonal boron nitride (h-BN) is a ceramic compound which is thermally stable up to 1000 °C in air. Due to this, it is a very challenging task to etch h-BN under air atmosphere at low temperature. In this study, we report that h-BN flakes can be easily etched by oxidation at 350 °C under air atmosphere in the presence of transition metal (TM) oxide. After selecting Co, Cu, and Zn elements as TM precursors, we simply oxidized h-BN sheets impregnated with the TM precursors at 350 °C in air. As a result, microscopic analysis revealed that an etched structure was created on the surface of h-BN flakes regardless of catalyst type. And, X-ray diffraction patterns indicated that the air oxidation led to the formation of Co_3O_4, CuO, and ZnO from each precursor. Thermogravimetric analysis showed a gradual weight loss in the temperature range where the weight of h-BN flakes increased by air oxidation. As a result of etching, pore volume and pore area of h-BN flakes were increased after catalytic oxidation in all cases. In addition, the surface of h-BN flakes became highly active when the h-BN samples were etched by Co_3O_4 and CuO catalysts. Based on these results, we report that h-BN flakes can be easily oxidized in the presence of a catalyst, resulting in an etched structure in the layered structure.

  11. Catalytically-etched hexagonal boron nitride flakes and their surface activity

    Energy Technology Data Exchange (ETDEWEB)

    Kim, Do-Hyun, E-mail: nanotube@korea.ac.kr [School of Electrical Engineering, Korea University, 5-ga, Anam-dong, Seongbuk-gu, Seoul 136-713 (Korea, Republic of); Lee, Minwoo; Ye, Bora [Green Manufacturing 3Rs R& D Group, Korea Institute of Industrial Technology, Ulsan 681-310 (Korea, Republic of); Jang, Ho-Kyun; Kim, Gyu Tae [School of Electrical Engineering, Korea University, 5-ga, Anam-dong, Seongbuk-gu, Seoul 136-713 (Korea, Republic of); Lee, Dong-Jin [New Functional Components Research Team, Korea Institute of Footware & Leather Technology, 152 Danggamseo-ro, Busanjin-gu, Busan 614-100 (Korea, Republic of); Kim, Eok-Soo [Green Manufacturing 3Rs R& D Group, Korea Institute of Industrial Technology, Ulsan 681-310 (Korea, Republic of); Kim, Hong Dae, E-mail: hdkim@kitech.re.kr [Green Manufacturing 3Rs R& D Group, Korea Institute of Industrial Technology, Ulsan 681-310 (Korea, Republic of)

    2017-04-30

    Highlights: • Hexagonal boron nitride flakes are etched at low temperature in air by catalysts. • The presence of transition metal oxides produces an etched structure in the flakes. • Etched surfaces become highly active due to vacancy defects formed in the flakes. - Abstract: Hexagonal boron nitride (h-BN) is a ceramic compound which is thermally stable up to 1000 °C in air. Due to this, it is a very challenging task to etch h-BN under air atmosphere at low temperature. In this study, we report that h-BN flakes can be easily etched by oxidation at 350 °C under air atmosphere in the presence of transition metal (TM) oxide. After selecting Co, Cu, and Zn elements as TM precursors, we simply oxidized h-BN sheets impregnated with the TM precursors at 350 °C in air. As a result, microscopic analysis revealed that an etched structure was created on the surface of h-BN flakes regardless of catalyst type. And, X-ray diffraction patterns indicated that the air oxidation led to the formation of Co{sub 3}O{sub 4}, CuO, and ZnO from each precursor. Thermogravimetric analysis showed a gradual weight loss in the temperature range where the weight of h-BN flakes increased by air oxidation. As a result of etching, pore volume and pore area of h-BN flakes were increased after catalytic oxidation in all cases. In addition, the surface of h-BN flakes became highly active when the h-BN samples were etched by Co{sub 3}O{sub 4} and CuO catalysts. Based on these results, we report that h-BN flakes can be easily oxidized in the presence of a catalyst, resulting in an etched structure in the layered structure.

  12. Shallow surface etching of organic and inorganic compounds by electrospray droplet impact

    International Nuclear Information System (INIS)

    Hiraoka, Kenzo; Sakai, Yuji; Iijima, Yoshitoki; Asakawa, Daiki; Mori, Kunihiko

    2009-01-01

    The electrospray droplet impact (EDI) was applied to bradykinin, polyethylene terephthalate (PET), SiO 2 /Si, and indium phosphide (InP). It was found that bradykinin deposited on the stainless steel substrate was ionized/desorbed without the accumulation of radiation products. The film thickness desorbed by a single collisional event was found to be less than 10 monolayers. In the EDI mass spectra for PET, several fragment ions were observed but the XPS spectra did not change with prolonged cluster irradiation. The etching rate for SiO 2 by EDI was measured to be ∼0.2 nm/min. The surface roughness of InP etched by EDI was found to be one order of magnitude smaller than that etched by 3 keV Ar + for about the same etching depths. EDI is capable of shallow surface etching with little damage left on the etched surface.

  13. Improved thrombogenicity on oxygen etched Ti6Al4V surfaces

    Energy Technology Data Exchange (ETDEWEB)

    Riedel, Nicholas A. [Department of Mechanical Engineering, Colorado State University, Fort Collins, CO 80523 (United States); Smith, Barbara S. [School of Biomedical Engineering, Colorado State University, Fort Collins, CO 80523 (United States); Williams, John D. [Department of Mechanical Engineering, Colorado State University, Fort Collins, CO 80523 (United States); Popat, Ketul C., E-mail: ketul.popat@colostate.edu [Department of Mechanical Engineering, Colorado State University, Fort Collins, CO 80523 (United States); School of Biomedical Engineering, Colorado State University, Fort Collins, CO 80523 (United States)

    2012-07-01

    Thrombus formation on blood contacting biomaterials continues to be a key factor in initiating a critical mode of failure in implantable devices, requiring immediate attention. In the interest of evaluating a solution for one of the most widely used biomaterials, titanium and its alloys, this study focuses on the use of a novel surface oxidation treatment to improve the blood compatibility. This study examines the possibility of using oblique angle ion etching to produce a high quality oxide layer that enhances blood compatibility on medical grade titanium alloy Ti6Al4V. An X-ray photoelectron spectroscopy (XPS) analysis of these oxygen-rich surfaces confirmed the presence of TiO{sub 2} peaks and also indicated increased surface oxidation as well as a reduction in surface defects. After 2 h of contact with whole human plasma, the oxygen etched substrates demonstrated a reduction in both platelet adhesion and activation as compared to bare titanium substrates. The whole blood clotting behavior was evaluated for up to 45 min, showing a significant decrease in clot formation on oxygen etched substrates. Finally, a bicinchoninic acid (BCA) total protein assay and XPS were used to evaluate the degree of key blood serum protein (fibrinogen, albumin, immunoglobulin G) adsorption on the substrates. The results showed similar protein levels for both the oxygen etched and control substrates. These results indicate that oblique angle oxygen etching may be a promising method to increase the thrombogenicity of Ti6Al4V. - Highlights: Black-Right-Pointing-Pointer Oblique angle oxygen ion etching creates a high quality, uniform oxide surface. Black-Right-Pointing-Pointer Oxygen etched substrates showed fewer adhered platelets. Black-Right-Pointing-Pointer Platelet activation was reduced by the improved oxide surface. Black-Right-Pointing-Pointer Oxygen etched substrates exhibited increased whole blood clotting times. Black-Right-Pointing-Pointer Although clotting reductions were

  14. Surface characterization after subaperture reactive ion beam etching

    Energy Technology Data Exchange (ETDEWEB)

    Miessler, Andre; Arnold, Thomas; Rauschenbach, Bernd [Leibniz-Institut fuer Oberflaechenmodifizierung (IOM), Leipzig (Germany)

    2010-07-01

    In usual ion beam etching processes using inert gas (Ar, Xe, Kr..) the material removal is determined by physical sputtering effects on the surface. The admixture of suitable gases (CF{sub 4}+O{sub 2}) into the glow discharge of the ion beam source leads to the generation of reactive particles, which are accelerated towards the substrate where they enhance the sputtering process by formation of volatile chemical reaction products. During the last two decades research in Reactive Ion Beam Etching (RIBE) has been done using a broad beam ion source which allows the treatment of smaller samples (diameter sample < diameter beam). Our goal was to apply a sub-aperture Kaufman-type ion source in combination with an applicative movement of the sample with respect to the source, which enables us to etch areas larger than the typical lateral dimensions of the ion beam. Concerning this matter, the etching behavior in the beam periphery plays a decisive role and has to be investigated. We use interferometry to characterize the final surface topography and XPS measurements to analyze the chemical composition of the samples after RIBE.

  15. Simulation of the evolution of fused silica's surface defect during wet chemical etching

    Science.gov (United States)

    Liu, Taixiang; Yang, Ke; Li, Heyang; Yan, Lianghong; Yuan, Xiaodong; Yan, Hongwei

    2017-08-01

    Large high-power-laser facility is the basis for achieving inertial confinement fusion, one of whose missions is to make fusion energy usable in the near future. In the facility, fused silica optics plays an irreplaceable role to conduct extremely high-intensity laser to fusion capsule. But the surface defect of fused silica is a major obstacle limiting the output power of the large laser facility and likely resulting in the failure of ignition. To mitigate, or event to remove the surface defect, wet chemical etching has been developed as a practical way. However, how the surface defect evolves during wet chemical etching is still not clearly known so far. To address this problem, in this work, the three-dimensional model of surface defect is built and finite difference time domain (FDTD) method is developed to simulate the evolution of surface defect during etching. From the simulation, it is found that the surface defect will get smooth and result in the improvement of surface quality of fused silica after etching. Comparatively, surface defects (e.g. micro-crack, scratch, series of pinholes, etc.) of a typical fused silica at different etching time are experimentally measured. It can be seen that the simulation result agrees well with the result of experiment, indicating the FDTD method is valid for investigating the evolution of surface defect during etching. With the finding of FDTD simulation, one can optimize the treatment process of fused silica in practical etching or even to make the initial characterization of surface defect traceable.

  16. Shear bond strength of self-etch adhesives to enamel with additional phosphoric acid etching.

    Science.gov (United States)

    Lührs, Anne-Katrin; Guhr, Silke; Schilke, Reinhard; Borchers, Lothar; Geurtsen, Werner; Günay, Hüsamettin

    2008-01-01

    This study evaluated the shear bond strength of self-etch adhesives to enamel and the effect of additional phosphoric acid etching. Seventy sound human molars were randomly divided into three test groups and one control group. The enamel surfaces of the control group (n=10) were treated with Syntac Classic (SC). Each test group was subdivided into two groups (each n=10). In half of each test group, ground enamel surfaces were coated with the self-etch adhesives AdheSe (ADH), Xeno III (XE) or Futurabond NR (FNR). In the remaining half of each test group, an additional phosphoric acid etching of the enamel surface was performed prior to applying the adhesives. The shear bond strength was measured with a universal testing machine at a crosshead speed of 1 mm/minute after storing the samples in distilled water at 37 degrees C for 24 hours. Fracture modes were determined by SEM examination. For statistical analysis, one-way ANOVA and the two-sided Dunnett Test were used (p>0.05). Additional phosphoric etching significantly increased the shear bond strength of all the examined self-etch adhesives (padhesive fractures. For all the self-etch adhesives, a slight increase in mixed fractures occurred after conditioning with phosphoric acid. An additional phosphoric acid etching of enamel should be considered when using self-etch adhesives. More clinical studies are needed to evaluate the long-term success of the examined adhesives.

  17. Reactive-ion etching of nylon fabric meshes using oxygen plasma for creating surface nanostructures

    International Nuclear Information System (INIS)

    Salapare, Hernando S.; Darmanin, Thierry; Guittard, Frédéric

    2015-01-01

    Graphical abstract: - Highlights: • Reactive-ion etching (RIE) is employed to nylon 6,6 fabrics to achieve surface texturing and improved wettability. • FTIR spectra of the treated samples exhibited decreased transmittance of amide and carboxylic acid groups due to etching. • Etching is enhanced for higher power plasma treatments and for samples with larger mesh sizes. • Decreased crystallinity was achieved after plasma treatment. • Higher power induced higher negative DC self-bias voltage on the samples that favored anisotropic and aggressive etching. - Abstract: A facile one-step oxygen plasma irradiation in reactive ion etching (RIE) configuration is employed to nylon 6,6 fabrics with different mesh sizes to achieve surface nanostructures and improved wettability for textile and filtration applications. To observe the effects of power and irradiation time on the samples, the experiments were performed using constant irradiation time in varying power and using constant power in varying irradiation times. Results showed improved wettability after the plasma treatment. The FTIR spectra of all the treated samples exhibited decreased transmittance of the amide and carboxylic acid groups due to surface etching. The changes in the surface chemistry are supported by the SEM data wherein etching and surface nanostructures were observed for the plasma-treated samples. The etching of the surfaces is enhanced for higher power plasma treatments. The thermal analysis showed that the plasma treatment resulted in decreased crystallinity. Surface chemistry showed that the effects of the plasma treatment on the samples have no significant difference for all the mesh sizes. However, surface morphology showed that the sizes of the surface cracks are the same for all the mesh sizes but samples with larger mesh sizes exhibited enhanced etching as compared to the samples with smaller mesh sizes. Higher power induced higher negative DC self-bias voltage on the samples that

  18. Investigation of surface roughness on etched glass surfaces

    International Nuclear Information System (INIS)

    Papa, Z.; Budai, J.; Farkas, B.; Toth, Z.

    2011-01-01

    Roughening the surface of solar cells is a common practice within the photovoltaic industry as it reduces reflectance, and thus enhances the performance of devices. In this work the relationship between reflectance characterized by the haze parameter, surface roughness and optical properties was investigated. To achieve this goal, model samples were prepared by hydrofluoric acid etching of glass for various times and measured by optical microscopy, spectroscopic ellipsometry, scanning electron microscopy, and atomic force microscopy. Our investigation showed that the surface reflectance was decreased not only by the roughening of the surface but also by the modification of the depth profile and lowering of the refractive index of the surface domain of the samples.

  19. Dominant rate process of silicon surface etching by hydrogen chloride gas

    International Nuclear Information System (INIS)

    Habuka, Hitoshi; Suzuki, Takahiro; Yamamoto, Sunao; Nakamura, Akio; Takeuchi, Takashi; Aihara, Masahiko

    2005-01-01

    Silicon surface etching and its dominant rate process are studied using hydrogen chloride gas in a wide concentration range of 1-100% in ambient hydrogen at atmospheric pressure in a temperature range of 1023-1423 K, linked with the numerical calculation accounting for the transport phenomena and the surface chemical reaction in the entire reactor. The etch rate, the gaseous products and the surface morphology are experimentally evaluated. The dominant rate equation accounting for the first-order successive reactions at silicon surface by hydrogen chloride gas is shown to be valid. The activation energy of the dominant surface process is evaluated to be 1.5 x 10 5 J mol - 1 . The silicon deposition by the gaseous by-product, trichlorosilane, is shown to have a negligible influence on the silicon etch rate

  20. Effect of surface etching on condensing heat transfer

    Energy Technology Data Exchange (ETDEWEB)

    Seok, Sung Chul; Park, Jae Won; Jung, Jiyeon; Choi, Chonggun; Choi, Gyu Hong; Hwang, Seung Sik; Chung, Tae Yong; Shin, Donghoon [Kookmin University, Seoul (Korea, Republic of); Kim, Jin Jun [Hoseo University, Asan (Korea, Republic of)

    2016-02-15

    This study conducted experiments on humid air condensation during heat transfer in an air preheating exchanger attached to a home condensing boiler to improve thermal efficiency. An etchant composed of sulfuric acid and sodium nitrate was used to create roughness on the heat exchanger surface made from STS430J1L. A counter flow heat exchanger was fabricated to test the performance of heat transfer. Results showed that the overall heat transfer coefficients of all specimens treated with etchant improved with respect to the original specimens (not treated with etchant), and the overall heat transfer coefficient of the 60 s etching specimen increased by up to 15%. However, the increasing rate of the heat transfer coefficient was disproportional to the etching time. When the etching time specifically increased above 60 s, the heat transfer coefficient decreased. This effect was assumed to be caused by surface characteristics such as contact angle. Furthermore, a smaller contact angle or higher hydrophilicity leads to higher heat transfer coefficient.

  1. Surface Phenomena During Plasma-Assisted Atomic Layer Etching of SiO2.

    Science.gov (United States)

    Gasvoda, Ryan J; van de Steeg, Alex W; Bhowmick, Ranadeep; Hudson, Eric A; Agarwal, Sumit

    2017-09-13

    Surface phenomena during atomic layer etching (ALE) of SiO 2 were studied during sequential half-cycles of plasma-assisted fluorocarbon (CF x ) film deposition and Ar plasma activation of the CF x film using in situ surface infrared spectroscopy and ellipsometry. Infrared spectra of the surface after the CF x deposition half-cycle from a C 4 F 8 /Ar plasma show that an atomically thin mixing layer is formed between the deposited CF x layer and the underlying SiO 2 film. Etching during the Ar plasma cycle is activated by Ar + bombardment of the CF x layer, which results in the simultaneous removal of surface CF x and the underlying SiO 2 film. The interfacial mixing layer in ALE is atomically thin due to the low ion energy during CF x deposition, which combined with an ultrathin CF x layer ensures an etch rate of a few monolayers per cycle. In situ ellipsometry shows that for a ∼4 Å thick CF x film, ∼3-4 Å of SiO 2 was etched per cycle. However, during the Ar plasma half-cycle, etching proceeds beyond complete removal of the surface CF x layer as F-containing radicals are slowly released into the plasma from the reactor walls. Buildup of CF x on reactor walls leads to a gradual increase in the etch per cycle.

  2. Surface etching technologies for monocrystalline silicon wafer solar cells

    Science.gov (United States)

    Tang, Muzhi

    With more than 200 GW of accumulated installations in 2015, photovoltaics (PV) has become an important green energy harvesting method. The PV market is dominated by solar cells made from crystalline silicon wafers. The engineering of the wafer surfaces is critical to the solar cell cost reduction and performance enhancement. Therefore, this thesis focuses on the development of surface etching technologies for monocrystalline silicon wafer solar cells. It aims to develop a more efficient alkaline texturing method and more effective surface cleaning processes. Firstly, a rapid, isopropanol alcohol free texturing method is successfully demonstrated to shorten the process time and reduce the consumption of chemicals. This method utilizes the special chemical properties of triethylamine, which can form Si-N bonds with wafer surface atoms. Secondly, a room-temperature anisotropic emitter etch-back process is developed to improve the n+ emitter passivation. Using this method, 19.0% efficient screen-printed aluminium back surface field solar cells are developed that show an efficiency gain of 0.15% (absolute) compared with conventionally made solar cells. Finally, state-of-the-art silicon surface passivation results are achieved using hydrogen plasma etching as a dry alternative to the classical hydrofluoric acid wet-chemical process. The effective native oxide removal and the hydrogenation of the silicon surface are shown to be the reasons for the excellent level of surface passivation achieved with this novel method.

  3. Characterization of etch pit formation via the Everson-etching method on CdZnTe crystal surfaces from the bulk to the nanoscale

    International Nuclear Information System (INIS)

    Teague, Lucile C.; Duff, Martine C.; Cadieux, James R.; Soundararajan, Raji; Shick, Charles R.; Lynn, Kelvin G.

    2011-01-01

    A combination of atomic force microscopy, optical microscopy, and mass spectrometry was employed to study CdZnTe crystal surface and used etchant solution following exposure of the CdZnTe crystal to the Everson etch solution. We discuss the results of these studies in relationship to the initial surface preparation methods, the performance of the crystals as radiation spectrometers, the observed etch pit densities, and the chemical mechanism of surface etching. Our results show that the surface features that are exposed to etchants result from interactions with the chemical components of the etchants as well as pre-existing mechanical polishing.

  4. Atomic structure of diamond {111} surfaces etched in oxygen water vapor

    International Nuclear Information System (INIS)

    Theije, F.K. de; Reedijk, M.F.; Arsic, J.; Enckevort, W.J.P. van; Vlieg, E.

    2001-01-01

    The atomic structure of the {111} diamond face after oxygen-water-vapor etching is determined using x-ray scattering. We find that a single dangling bond diamond {111} surface model, terminated by a full monolayer of -OH fits our data best. To explain the measurements it is necessary to add an ordered water layer on top of the -OH terminated surface. The vertical contraction of the surface cell and the distance between the oxygen atoms are generally in agreement with model calculations and results on similar systems. The OH termination is likely to be present during etching as well. This model experimentally confirms the atomic-scale mechanism we proposed previously for this etching system

  5. Proportion quantitative analysis and etching of {110} planes on tungsten single crystal coating surface

    Energy Technology Data Exchange (ETDEWEB)

    Mu, Rende, E-mail: dallasbiam@163.com [Beijing Institute of Aeronautical Materials, Aviation Key Laboratory of Science and Technology on Advanced Corrosion and Protection for Aviation Material, Department 5, P.O. Box 81-5, Beijing 100095 (China); Tan, Chengwen; Yu, Xiaodong [School of Material Science and Engineering, Beijing Institute of Technology, Beijing 100081 (China)

    2016-05-05

    Tungsten single crystal and poly crystal were treated by electrolytic etching in a 3% by weight solution of NaOH in distilled water. The method for determining the proportion of {110} planes and characteristic morphology on the coating surface after electrolytic etching were investigated using EBSD and auto-focusing microscope. Then the optimization of process parameters for electrolytic etching is achieved. In order to compare the effect of process parameters, three process parameters were selected for the tungsten single crystal electrolytic etching. Through analyzing the change of {110} planes' proportion, we found that when the coatings are etched with 1.4 amp/cm{sup 2} and 3 min, {110} planes can be exposed in the greatest degree that can reach 61.4% on tubular surfaces. The proposed approach greatly improves the proportion of {110} planes relative to the original surface. - Highlights: • Tungsten single/poly crystals treated by electrolytic etching in solution of NaOH. • The {110} planes have the lower surface free energy than {112}. • Some {112} planes etched firstly, the {110} planes exposed at last during etching. • {110} planes exposed to the greatest extent with 1.4 amp/cm{sup 2} and 3 min.

  6. Nanoscale silver-assisted wet etching of crystalline silicon for anti-reflection surface textures.

    Science.gov (United States)

    Li, Rui; Wang, Shuling; Chuwongin, Santhad; Zhou, Weidong

    2013-01-01

    We report here an electro-less metal-assisted chemical etching (MacEtch) process as light management surface-texturing technique for single crystalline Si photovoltaics. Random Silver nanostructures were formed on top of the Si surface based on the thin film evaporation and annealing process. Significant reflection reduction was obtained from the fabricated Si sample, with approximately 2% reflection over a wide spectra range (300 to 1050 nm). The work demonstrates the potential of MacEtch process for anti-reflection surface texture fabrication of large area, high efficiency, and low cost thin film solar cell.

  7. Change of wettability of PTFE surface by sputter etching and excimer laser. Sputter etching oyobi excimer laser ni yoru PTFE hyomen no shinsuika

    Energy Technology Data Exchange (ETDEWEB)

    Yamamoto, S. (Nitto Denko Corp., Osaka (Japan)); Kubo, U. (Kinki University, Osaka (Japan))

    1994-06-20

    The wettability of PTFE (polytetrafluoroethylene) surfaces was improved by sputter etching and excimer laser irradiation. In sputter etching, the PTFE surface was treated by reactive sputter etching with H2O gas to give active groups on the surface. In laser irradiation, the surface was irradiated in pure water by high-energy KrF excimer laser. As the surface wettability was evaluated with a contact angle to water, the contact angle decreased remarkably in both treatments resulting in a good improvement effect. In sputter etching, various new chemical bonds such as F-C=O, F2C-FC-O, F2C-C-O and C-O were observed because of a decrease in F and incorporation of oxygen. Such chemical bonds could be eliminated by ultraviolet ray irradiation, and the treated surface condition approached the initial condition after irradiation of 200 hours. In laser irradiation, it was suggested that C-F bonds were broken, and OH groups were added to the surface by dissociation of H2O to H and OH. 7 refs., 8 figs., 1 tab.

  8. Low surface damage dry etched black silicon

    DEFF Research Database (Denmark)

    Plakhotnyuk, Maksym M.; Gaudig, Maria; Davidsen, Rasmus Schmidt

    2017-01-01

    Black silicon (bSi) is promising for integration into silicon solar cell fabrication flow due to its excellent light trapping and low reflectance, and a continuously improving passivation. However, intensive ion bombardment during the reactive ion etching used to fabricate bSi induces surface dam...

  9. Etching of GaAs substrates to create As-rich surface

    Indian Academy of Sciences (India)

    WINTEC

    during the manipulations of the substrate after the chemi- cal etching process. ... using the four techniques described in table 1 and for an. *Author for ... Etching of GaAs substrates to create As-rich surface. 563. Table 1. Treatment procedures used. Treatment. Techniques. 1st stage. 2nd stage. 3rd stage. 4th stage. 1. Treated ...

  10. Influence of Pre-etching Times on Fatigue Strength of Self-etch Adhesives to Enamel.

    Science.gov (United States)

    Takamizawa, Toshiki; Barkmeier, Wayne W; Tsujimoto, Akimasa; Endo, Hajime; Tsuchiya, Kenji; Erickson, Robert L; Latta, Mark A; Miyazaki, Masashi

    To use shear bond strength (SBS) and shear fatigue strength (SFS) testing to determine the influence of phosphoric acid pre-etching times prior to application of self-etch adhesives on enamel bonding. Two single-step self-etch universal adhesives (Prime&Bond Elect and Scotchbond Universal), a conventional single-step self-etch adhesive (G-ӕnial Bond), and a conventional two-step self-etch adhesive (OptiBond XTR) were used. The SBS and SFS were obtained with phosphoric acid pre-etching for 3, 10, or 15 s prior to application of the adhesives, and without pre-etching (0 s) as a control. A staircase method was used to determine the SFS with 10 Hz frequency for 50,000 cycles or until failure occurred. The mean demineralization depth for each treated enamel surface was also measured using a profilometer. For all the adhesives, the groups with pre-etching showed significantly higher SBS and SFS than groups without pre-etching. However, there was no significant difference in SBS and SFS among groups with > 3 s of preetching. In addition, although the groups with pre-etching showed significantly deeper demineralization depths than groups without pre-etching, there was no significant difference in depth among groups with > 3 s of pre-etching. Three seconds of phosphoric acid pre-etching prior to application of self-etch adhesive can enhance enamel bonding effectiveness.

  11. The interplay between surface charging and microscale roughness during plasma etching of polymeric substrates

    Science.gov (United States)

    Memos, George; Lidorikis, Elefterios; Kokkoris, George

    2018-02-01

    The surface roughness developed during plasma etching of polymeric substrates is critical for a variety of applications related to the wetting behavior and the interaction of surfaces with cells. Toward the understanding and, ultimately, the manipulation of plasma induced surface roughness, the interplay between surface charging and microscale roughness of polymeric substrates is investigated by a modeling framework consisting of a surface charging module, a surface etching model, and a profile evolution module. The evolution of initially rough profiles during plasma etching is calculated by taking into account as well as by neglecting charging. It is revealed, on the one hand, that the surface charging contributes to the suppression of root mean square roughness and, on the other hand, that the decrease of the surface roughness induces a decrease of the charging potential. The effect of charging on roughness is intense when the etching yield depends solely on the ion energy, and it is mitigated when the etching yield additionally depends on the angle of ion incidence. The charging time, i.e., the time required for reaching a steady state charging potential, is found to depend on the thickness of the polymeric substrate, and it is calculated in the order of milliseconds.

  12. Thermal etching of silver: Influence of rolling defects

    Energy Technology Data Exchange (ETDEWEB)

    Ollivier, M., E-mail: o.maelig@imperial.ac.uk [Department of Materials, Imperial College London, SW7 2AZ (United Kingdom); Harker, R.M. [AWE Aldermaston, Aldermaston, Reading RG7 4PR (United Kingdom); Chater, R.J.; Gourlay, C.M. [Department of Materials, Imperial College London, SW7 2AZ (United Kingdom)

    2016-08-15

    Silver is well known to be thermally etched in an oxygen-rich atmosphere and has been extensively studied in the laboratory to understand thermal etching and to limit its effect when this material is used as a catalyst. Yet, in many industrial applications the surface of rolled silver sheets is used without particular surface preparation. Here, it is shown by combining FIB-tomography, FIB-SIMS and analytical SEM that the kinetics of thermal etch pitting are significantly faster on rolled Ag surfaces than on polished surfaces. This occurs due to range of interacting phenomena including (i) the reaction of subsurface carbon-contamination with dissolved oxygen to form pores that grow to intersect the surface, (ii) surface reconstruction around corrosion pits and surface scratches, and (iii) sublimation at low pressure and high temperature. A method to identify subsurface pores is developed to show that the pores have (111) and (100) internal facets and may be filled with a gas coming from the chemical reaction of oxygen and carbon contamination. - Highlights: Thermal etching of industrial silver sheets vs. polished silver sheets Effect of annealing atmosphere on the thermal etching of silver: surface and subsurface characterization Link between etch pitting and defects induced by rolling. FIB-tomography coupled with EBSD for determining crystal planes of the facets of subsurface pores. FIB-SIMS characterization to probe the gas confined inside subsurface pores.

  13. Modification of the Surface Topography and Composition of Ultrafine and Coarse Grained Titanium by Chemical Etching.

    Science.gov (United States)

    Nazarov, Denis V; Zemtsova, Elena G; Solokhin, Alexandr Yu; Valiev, Ruslan Z; Smirnov, Vladimir M

    2017-01-13

    In this study, we present the detailed investigation of the influence of the etching medium (acidic or basic Piranha solutions) and the etching time on the morphology and surface relief of ultrafine grained (UFG) and coarse grained (CG) titanium. The surface relief and morphology have been studied by means of scanning electron microscopy (SEM), atomic force microscopy (AFM), and the spectral ellipsometry. The composition of the samples has been determined by X-ray fluorescence analysis (XRF) and X-ray Photoelectron Spectroscopy (XPS). Significant difference in the etching behavior of UFG and CG titanium has been found. UFG titanium exhibits higher etching activity independently of the etching medium. Formed structures possess higher homogeneity. The variation of the etching medium and time leads to micro-, nano-, or hierarchical micro/nanostructures on the surface. Significant difference has been found between surface composition for UFG titanium etched in basic and acidic Piranha solution. Based on the experimental data, the possible reasons and mechanisms are considered for the formation of nano- and microstructures. The prospects of etched UFG titanium as the material for implants are discussed.

  14. Fabrication mechanism of friction-induced selective etching on Si(100) surface.

    Science.gov (United States)

    Guo, Jian; Song, Chenfei; Li, Xiaoying; Yu, Bingjun; Dong, Hanshan; Qian, Linmao; Zhou, Zhongrong

    2012-02-23

    As a maskless nanofabrication technique, friction-induced selective etching can easily produce nanopatterns on a Si(100) surface. Experimental results indicated that the height of the nanopatterns increased with the KOH etching time, while their width increased with the scratching load. It has also found that a contact pressure of 6.3 GPa is enough to fabricate a mask layer on the Si(100) surface. To understand the mechanism involved, the cross-sectional microstructure of a scratched area was examined, and the mask ability of the tip-disturbed silicon layer was studied. Transmission electron microscope observation and scanning Auger nanoprobe analysis suggested that the scratched area was covered by a thin superficial oxidation layer followed by a thick distorted (amorphous and deformed) layer in the subsurface. After the surface oxidation layer was removed by HF etching, the residual amorphous and deformed silicon layer on the scratched area can still serve as an etching mask in KOH solution. The results may help to develop a low-destructive, low-cost, and flexible nanofabrication technique suitable for machining of micro-mold and prototype fabrication in micro-systems.

  15. Modeling the characteristic etch morphologies along specific crystallographic orientations by anisotropic chemical etching

    Directory of Open Access Journals (Sweden)

    Kun-Dar Li

    2018-02-01

    Full Text Available To improve the advanced manufacturing technology for functional materials, a sophisticated control of chemical etching process is highly demanded, especially in the fields of environment and energy related applications. In this study, a phase-field-based model is utilized to investigate the etch morphologies influenced by the crystallographic characters during anisotropic chemical etching. Three types of etching modes are inspected theoretically, including the isotropic, and preferred oriented etchings. Owing to the specific etching behavior along the crystallographic directions, different characteristic surface structures are presented in the simulations, such as the pimple-like, pyramidal hillock and ridge-like morphologies. In addition, the processing parameters affecting the surface morphological formation and evolution are also examined systematically. According to the numerical results, the growth mechanism of surface morphology in a chemical etching is revealed distinctly. While the etching dynamics plays a dominant role on the surface formation, the characteristic surface morphologies corresponding to the preferred etching direction become more apparent. As the atomic diffusion turned into a determinative factor, a smoothened surface would appear, even under the anisotropic etching conditions. These simulation results provide fundamental information to enhance the development and application of anisotropic chemical etching techniques.

  16. Modeling the characteristic etch morphologies along specific crystallographic orientations by anisotropic chemical etching

    Science.gov (United States)

    Li, Kun-Dar; Miao, Jin-Ru

    2018-02-01

    To improve the advanced manufacturing technology for functional materials, a sophisticated control of chemical etching process is highly demanded, especially in the fields of environment and energy related applications. In this study, a phase-field-based model is utilized to investigate the etch morphologies influenced by the crystallographic characters during anisotropic chemical etching. Three types of etching modes are inspected theoretically, including the isotropic, and preferred oriented etchings. Owing to the specific etching behavior along the crystallographic directions, different characteristic surface structures are presented in the simulations, such as the pimple-like, pyramidal hillock and ridge-like morphologies. In addition, the processing parameters affecting the surface morphological formation and evolution are also examined systematically. According to the numerical results, the growth mechanism of surface morphology in a chemical etching is revealed distinctly. While the etching dynamics plays a dominant role on the surface formation, the characteristic surface morphologies corresponding to the preferred etching direction become more apparent. As the atomic diffusion turned into a determinative factor, a smoothened surface would appear, even under the anisotropic etching conditions. These simulation results provide fundamental information to enhance the development and application of anisotropic chemical etching techniques.

  17. Planarization of the diamond film surface by using the hydrogen plasma etching with carbon diffusion process

    International Nuclear Information System (INIS)

    Kim, Sung Hoon

    2001-01-01

    Planarization of the free-standing diamond film surface as smooth as possible could be obtained by using the hydrogen plasma etching with the diffusion of the carbon species into the metal alloy (Fe, Cr, Ni). For this process, we placed the free-standing diamond film between the metal alloy and the Mo substrate like a metal-diamond-molybdenum (MDM) sandwich. We set the sandwich-type MDM in a microwave-plasma-enhanced chemical vapor deposition (MPECVD) system. The sandwich-type MDM was heated over ca. 1000 .deg. C by using the hydrogen plasma. We call this process as the hydrogen plasma etching with carbon diffusion process. After etching the free-standing diamond film surface, we investigated surface roughness, morphologies, and the incorporated impurities on the etched diamond film surface. Finally, we suggest that the hydrogen plasma etching with carbon diffusion process is an adequate etching technique for the fabrication of the diamond film surface applicable to electronic devices

  18. Determination of the dissolution slowness surface by study of etched shapes I. Morphology of the dissolution slowness surface and theoretical etched shapes

    Science.gov (United States)

    Leblois, T.; Tellier, C. R.

    1992-07-01

    We propose a theoretical model for the anisotropic etching of crystals, in order to be applied in the micromachining. The originality of the model is due to the introduction of dissolution tensors to express the representative surface of the dissolution slowness. The knowledge of the equation of the slowness surface allows us to determine the trajectories of all the elements which compose the starting surface. It is then possible to construct the final etched shape by numerical simulation. Several examples are given in this paper which show that the final etched shapes are correlated to the extrema of the dissolution slowness. Since the slowness surface must be determined from experiments, emphasis is placed on difficulties encountered when we correlate theory to experiments. Nous avons modélisé le processus de dissolution anisotrope des cristaux en vue d'une application à la simulation des formes obtenues par photolithogravure chimique. La principale originalité de ce modèle tient à l'introduction de tenseurs de dissolution pour exprimer la surface représentative de la lenteur de dissolution. La connaissance de l'équation de la lenteur de dissolution permet de calculer les trajectoires des différents éléments constituant la surface de départ puis de reconstituer par simulation la forme dissoute. Les simulations démontrent que les formes limites des cristaux dissous sont corrélées aux extrema de la lenteur de dissolution. La détermination de la surface de la lenteur se faisant à partir de mesures expérimetales, nous nous sommes efforcés de montrer toutes les difficultés attachées à cette analyse.

  19. Modification of the Surface Topography and Composition of Ultrafine and Coarse Grained Titanium by Chemical Etching

    Directory of Open Access Journals (Sweden)

    Denis V. Nazarov

    2017-01-01

    Full Text Available In this study, we present the detailed investigation of the influence of the etching medium (acidic or basic Piranha solutions and the etching time on the morphology and surface relief of ultrafine grained (UFG and coarse grained (CG titanium. The surface relief and morphology have been studied by means of scanning electron microscopy (SEM, atomic force microscopy (AFM, and the spectral ellipsometry. The composition of the samples has been determined by X-ray fluorescence analysis (XRF and X-ray Photoelectron Spectroscopy (XPS. Significant difference in the etching behavior of UFG and CG titanium has been found. UFG titanium exhibits higher etching activity independently of the etching medium. Formed structures possess higher homogeneity. The variation of the etching medium and time leads to micro-, nano-, or hierarchical micro/nanostructures on the surface. Significant difference has been found between surface composition for UFG titanium etched in basic and acidic Piranha solution. Based on the experimental data, the possible reasons and mechanisms are considered for the formation of nano- and microstructures. The prospects of etched UFG titanium as the material for implants are discussed.

  20. The chemical and electrochemical anisotropic etching of silicon

    International Nuclear Information System (INIS)

    Dixon, E.

    1997-06-01

    The success of silicon IC technology in producing a wide variety of microstructures relies heavily on the orientation dependant etching observed for silicon in alkaline media. Despite the rapid growth of this industry, the chemical and electrochemical mechanisms by which anisotropic etching occurs remain poorly understood. The most common etchant systems in use are ethylenediamine-pyrocatechol-water (EPW) and potassium hydroxide-isopropanol-water (KOH-IPA), and whilst these systems are highly plane selective they each have distinct disadvantages. The occurrence of inhomogeneities such as micropyramids and pits on the surface of etched substrates is a particularly disadvantageous characteristic of many alkaline etching systems. A complete understanding of the chemical and electrochemical anisotropic etching mechanisms is essential in order to obtain more reproducible etching, improved etch rate ratios and the development of more reliable etching baths. Wet chemical etching experiments to evaluate the etching rates for the different alkali metal cations have shown that similar etch rates are observed for LiOH, NaOH and KOH but those of RbOH and CsOH are significantly lower. The presence of impurities was shown to worsen the etched wafer's surface finish obtained in these etching baths. Additives have been shown to dramatically improve the surface finish with the presence of IPA in conjunction with etchant oxygenation virtually eliminating all surface defects. Electrochemical experiments were used to assess the electrochemical behaviour of Si p-(100) in of a wide variety of etchants and variations were seen according to the etchant used. A.C impedance spectroscopy showed a variation in the flat-band potential (V FB ) according to alkali metal hydroxide etchant used. These trends were similarly observed in the presence of isopropanol. Oxygenation was observed to reproducibly alter the flat-band potentials. A.c impedance spectroscopic studies additionally confirmed the

  1. The effect of plasma etching on the surface topography of niobium superconducting radio frequency cavities

    Science.gov (United States)

    Radjenović, B.; Radmilović-Radjenović, M.

    2014-11-01

    In this letter the evolution of the surface topography of a niobium superconducting radio frequency cavity caused by different plasma etching modes (isotropic and anisotropic) is studied by the three-dimensional level set method. The initial rough surface is generated starting from an experimental power spectral density. The time dependence of the rms roughness is analyzed and the growth exponential factors β are determined for two etching modes (isotropic and anisotropic) assuming that isotropic etching is a much more effective mechanism of smoothing. The obtained simulation results could be useful for optimizing the parameters of the etching processes needed to obtain high quality niobium surfaces for superconducting radio frequency cavities.

  2. Bio-inspired silicon nanospikes fabricated by metal-assisted chemical etching for antibacterial surfaces

    Science.gov (United States)

    Hu, Huan; Siu, Vince S.; Gifford, Stacey M.; Kim, Sungcheol; Lu, Minhua; Meyer, Pablo; Stolovitzky, Gustavo A.

    2017-12-01

    The recently discovered bactericidal properties of nanostructures on wings of insects such as cicadas and dragonflies have inspired the development of similar nanostructured surfaces for antibacterial applications. Since most antibacterial applications require nanostructures covering a considerable amount of area, a practical fabrication method needs to be cost-effective and scalable. However, most reported nanofabrication methods require either expensive equipment or a high temperature process, limiting cost efficiency and scalability. Here, we report a simple, fast, low-cost, and scalable antibacterial surface nanofabrication methodology. Our method is based on metal-assisted chemical etching that only requires etching a single crystal silicon substrate in a mixture of silver nitrate and hydrofluoric acid for several minutes. We experimentally studied the effects of etching time on the morphology of the silicon nanospikes and the bactericidal properties of the resulting surface. We discovered that 6 minutes of etching results in a surface containing silicon nanospikes with optimal geometry. The bactericidal properties of the silicon nanospikes were supported by bacterial plating results, fluorescence images, and scanning electron microscopy images.

  3. Surface morphology and electronic structure of halogen etched InAs (1 1 1)

    Energy Technology Data Exchange (ETDEWEB)

    Eassa, N., E-mail: nashwa.eassa@nmmu.ac.za [Department of Physics, Nelson Mandela Metropolitan University, P.O. Box 77000, Port Elizabeth 6031 (South Africa); Murape, D.M. [Department of Physics, Nelson Mandela Metropolitan University, P.O. Box 77000, Port Elizabeth 6031 (South Africa); Betz, R. [Department of Chemistry, Nelson Mandela Metropolitan University (South Africa); Neethling, J.H.; Venter, A.; Botha, J.R. [Department of Physics, Nelson Mandela Metropolitan University, P.O. Box 77000, Port Elizabeth 6031 (South Africa)

    2012-05-15

    The reaction of halogen-based etchants with n-InAs (1 1 1)A and the resulting surface morphology and surface electronic structure are investigated using field emission scanning electron microscopy and Raman spectroscopy. Using the intensity ratio of the unscreened longitudinal optical (LO) phonon to the transverse optical (TO) phonon in the Raman spectrum, a significant reduction in band bending is deduced after exposure of the InAs surface to HCl:H{sub 2}O, Br-methanol and I-ethanol for moderate times and concentrations. These procedures also lead to smooth and defect-free InAs surfaces. The improvements in surface properties are reversed, however, if the concentrations of the etchants are increased or the etch time is too long. In the worst cases, pit formation and inverted pyramids with {l_brace}1 1 1{r_brace} side facets are observed. The influence of the etchant concentration and etch time on the morphological and electronic properties of the etched surfaces is reported.

  4. Surface morphology and electronic structure of halogen etched InAs (1 1 1)

    International Nuclear Information System (INIS)

    Eassa, N.; Murape, D.M.; Betz, R.; Neethling, J.H.; Venter, A.; Botha, J.R.

    2012-01-01

    The reaction of halogen-based etchants with n-InAs (1 1 1)A and the resulting surface morphology and surface electronic structure are investigated using field emission scanning electron microscopy and Raman spectroscopy. Using the intensity ratio of the unscreened longitudinal optical (LO) phonon to the transverse optical (TO) phonon in the Raman spectrum, a significant reduction in band bending is deduced after exposure of the InAs surface to HCl:H 2 O, Br–methanol and I–ethanol for moderate times and concentrations. These procedures also lead to smooth and defect-free InAs surfaces. The improvements in surface properties are reversed, however, if the concentrations of the etchants are increased or the etch time is too long. In the worst cases, pit formation and inverted pyramids with {1 1 1} side facets are observed. The influence of the etchant concentration and etch time on the morphological and electronic properties of the etched surfaces is reported.

  5. On the topography of sputtered or chemically etched crystals: surface energies minimised

    International Nuclear Information System (INIS)

    Chadderton, L.T.; Cope, J.O.

    1984-01-01

    The sputtering of single or polycrystalline metal surfaces by heavy ions gives rise to the characteristic topographical features of etch pits, ripples, and cones (pyramids). For cones and pyramids, in particular, no completely satisfactory explanation exists as to the origin of the basic geometry. Scanning electron micrographs are shown. It is proposed that for topographical features of both chemical etch and ion beam origin on single crystal surfaces, the presence of facets on cones and pyramids in particular, is due to the minimization of surface energy. (U.K.)

  6. The Effect of Hydrofluoric Acid Etching Duration on the Surface Micromorphology, Roughness, and Wettability of Dental Ceramics

    Science.gov (United States)

    Ramakrishnaiah, Ravikumar; Alkheraif, Abdulaziz A.; Divakar, Darshan Devang; Matinlinna, Jukka P.; Vallittu, Pekka K.

    2016-01-01

    The current laboratory study is evaluating the effect of hydrofluoric acid etching duration on the surface characteristics of five silica-based glass ceramics. Changes in the pore pattern, crystal structure, roughness, and wettability were compared and evaluated. Seventy-five rectangularly shaped specimens were cut from each material (IPS e-max™, Dentsply Celtra™, Vita Suprinity™, Vita mark II™, and Vita Suprinity FC™); the sectioned samples were finished, polished, and ultrasonically cleaned. Specimens were randomly assigned into study groups: control (no etching) and four experimental groups (20, 40, 80 and 160 s of etching). The etched surfaces’ microstructure including crystal structure, pore pattern, pore depth, and pore width was studied under a scanning electron microscope, and the surface roughness and wettability were analyzed using a non-contact surface profilometer and a contact angle measuring device, respectively. The results were statistically analyzed using one-way analysis of variance (ANOVA) and the post hoc Tukey’s test. The results showed a significant change in the pore number, pore pattern, crystal structure, surface roughness, and wettability with increased etching duration. Etching for a short time resulted in small pores, and etching for longer times resulted in wider, irregular grooves. A significant increase in the surface roughness and wettability was observed with an increase in the etching duration. The findings also suggested a strong association between the surface roughness and wettability. PMID:27240353

  7. Graphite surface topography induced by Ta cluster impact and oxidative etching

    International Nuclear Information System (INIS)

    Reimann, C.T.; Olsson, L.; Erlandsson, R.; Henkel, M.; Urbassek, H.M.

    1998-01-01

    Freshly cleaved highly oriented pyrolytic graphite (HOPG), when baked in air at ∝630 C, forms one-monolayer(ML)-deep circular pits due to oxidation initiated at surface defect sites. We found that the areal density and depths of these pits could be modulated by deliberately introducing surface and sub-surface defects by energetic ion bombardment prior to baking. Bombardment by 555-eV/atom Ta 1 + , Ta 2 + , Ta 4 + , or Ta 9 + , always enhanced the areal density of etch pits, but only bombardment by Ta 4 + , or Ta 9 + significantly enhanced the depths of the pits. We performed molecular dynamics simulations of Ta n cluster bombardment of HOPG (n = 1, 2, 4, and 9) with the aim of characterizing the damage structures induced by the bombardment and correlating them with the experimental data. For Ta 9 + , the simulations showed a high level of damage extending from the surface down to nine MLs, in agreement with the most probable etch pit depth observed. For other cluster species, predicted etch pit depths were deeper than the observed ones. Annealing or steric requirements for initiating oxidation may account for some of the differences between simulations and experimental results. (orig.)

  8. Self-etching ceramic primer versus hydrofluoric acid etching: Etching efficacy and bonding performance.

    Science.gov (United States)

    El-Damanhoury, Hatem M; Gaintantzopoulou, Maria D

    2018-01-01

    This study assessed the effect of pretreatment of hybrid and glass ceramics using a self-etching primer on the shear bond strength (SBS) and surface topography, in comparison to pretreatment with hydrofluoric acid and silane. 40 rectangular discs from each ceramic material (IPS e.max CAD;EM, Vita Mark II;VM, Vita Enamic;VE), were equally divided (n=10) and assigned to one of four surface pretreatment methods; etching with 4.8% hydrofluoric acid followed by Monobond plus (HFMP), Monobond etch & prime (Ivoclar Vivadent) (MEP), No treatment (NT) as negative control and Monobond plus (Ivoclar Vivadent) with no etching (MP) as positive control. SBS of resin cement (Multilink-N, Ivoclar Vivadent) to ceramic surfaces was tested following a standard protocol. Surface roughness was evaluated using an Atomic force microscope (AFM). Surface topography and elemental analysis were analyzed using SEM/EDX. Data were analyzed with two-way analysis of variance (ANOVA) and post-hoc Bonferroni test at a significance level of α=0.05. Pretreatment with HFMP resulted in higher SBS and increased surface roughness in comparison to MEP and MP. Regardless the method of surface pretreatment, the mean SBS values of EM ceramic was significantly higher (pceramics for resin-luting cementation. Copyright © 2017 Japan Prosthodontic Society. Published by Elsevier Ltd. All rights reserved.

  9. Nanowall formation by maskless wet-etching on a femtosecond laser irradiated silicon surface

    Science.gov (United States)

    Lee, Siwoo; Jo, Kukhyun; Keum, Hee-sung; Chae, Sangmin; Kim, Yonghyeon; Choi, Jiyeon; Lee, Hyun Hwi; Kim, Hyo Jung

    2018-04-01

    We found that micro-cells surrounded by nanowalls can be formed by a maskless wet-etching process on Si (100) surfaces possessing Laser Induced Periodic Surface Structure (LIPSS) by femtosecond laser irradiation. The LIPSS process could produce periodic one-dimensional micron scale ripples on a Si surface, which could be developed into micro-cells by a subsequent etching process. The solution etching conditions strongly affected both the micro-cell and nanowall shapes such as the height and the thickness of nanowalls. The tetramethylammonium hydroxide solution created thin nanowalls and the resulting micro-cells with a well-flattened bottom while the KOH solution formed thick walls and incomplete micro-cells. The bottoms of micro-cells surrounded by the nanowalls were considerably flat with a 3.10 nm surface roughness. A pentacene layer was deposited on the micro-cells of a Si surface to evaluate the film properties by grazing incidence wide angle x-ray scattering measurements. The pentacene film on the micro-cell Si surface showed a strong film phase, which was comparable to the film phase grown on the atomically flat Si surface.

  10. Nano-structuring of PTFE surface by plasma treatment, etching, and sputtering with gold

    International Nuclear Information System (INIS)

    Reznickova, Alena; Kolska, Zdenka; Hnatowicz, Vladimir; Svorcik, Vaclav

    2011-01-01

    Properties of pristine, plasma modified, and etched (by water and methanol) polytetrafluoroethylene (PTFE) were studied. Gold nanolayers sputtered on this modified PTFE have been also investigated. Contact angle, measured by goniometry, was studied as a function of plasma exposure and post-exposure aging times. Degradation of polymer chains was examined by etching of plasma modified PTFE in water or methanol. The amount of ablated and etched layer was measured by gravimetry. In the next step the pristine, plasma modified, and etched PTFE was sputtered with gold. Changes in surface morphology were observed using atomic force microscopy. Chemical structure of modified polymers was characterized by X-ray photoelectron spectroscopy (XPS). Surface chemistry of the samples was investigated by electrokinetic analysis. Sheet resistance of the gold layers was measured by two-point technique. The contact angle of the plasma modified PTFE decreases with increasing exposure time. The PTFE amount, ablated by the plasma treatment, increases with the plasma exposure time. XPS measurements proved that during the plasma treatment the PTFE macromolecular chains are degraded and oxidized and new –C–O–C–, –C=O, and –O–C=O groups are created in modified surface layer. Surface of the plasma modified PTFE is weakly soluble in methanol and intensively soluble in water. Zeta potential and XPS shown dramatic changes in PTFE surface chemistry after the plasma exposure, water etching, and gold deposition. When continuous gold layer is formed a rapid decrease of the sheet resistance of the gold layer is observed.

  11. Extremely superhydrophobic surfaces with micro- and nanostructures fabricated by copper catalytic etching.

    Science.gov (United States)

    Lee, Jung-Pil; Choi, Sinho; Park, Soojin

    2011-01-18

    We demonstrate a simple method for the fabrication of rough silicon surfaces with micro- and nanostructures, which exhibited superhydrophobic behaviors. Hierarchically rough silicon surfaces were prepared by copper (Cu)-assisted chemical etching process where Cu nanoparticles having particle size of 10-30 nm were deposited on silicon surface, depending on the period of time of electroless Cu plating. Surface roughness was controlled by both the size of Cu nanoparticles and etching conditions. As-synthesized rough silicon surfaces showed water contact angles ranging from 93° to 149°. Moreover, the hierarchically rough silicon surfaces were chemically modified by spin-coating of a thin layer of Teflon precursor with low surface energy. And thus it exhibited nonsticky and enhanced hydrophobic properties with extremely high contact angle of nearly 180°.

  12. Plasma treatment of porous GaAs surface formed by electrochemical etching method: Characterization and properties

    International Nuclear Information System (INIS)

    Naddaf, M.; Saloum, S.

    2008-12-01

    Porous GaAs samples were formed by electrochemical anodic etching of Zn doped p-type GaAs (100) wafers at different etching parameters (time, mode of applied voltage or current and electrolyte). The effect of etching parameters and plasma surface treatment on the optical properties of the prepared sample has been investigated by using room temperature photoluminescence (PL), Raman spectroscopy and reflectance spectroscopic measurements in the range (400-800 nm). The surface morphological changes were studied by using atomic force microscope. It has been found that etching parameters can be controlled to produce a considerably low optical reflectivity porous GaAs layer, attractive for use in solar cells. In addition, it has been observed that the deposition of plasma polymerized HMDSO thin film on porous GaAs surface can be utilized to produce a surface with novel optical properties interesting for solar cells and optoelectronic devices. (author)

  13. Obtaining porous silicon suitable for sensor technology using MacEtch nonelectrolytic etching

    Directory of Open Access Journals (Sweden)

    Iatsunskyi I. R.

    2013-12-01

    Full Text Available The author suggests to use the etching method MacEtch (metal-assisted chemical etching for production of micro- and nanostructures of porous silicon. The paper presents research results on the morphology structures obtained at different parameters of deposition and etching processes. The research has shown that, depending on the parameters of deposition of silver particles and silicon wafers etching, the obtained surface morphology may be different. There may be both individual crater-like pores and developed porous or macroporous surface. These results indicate that the MacEtch etching is a promising method for obtaining micro-porous silicon nanostructures suitable for effective use in gas sensors and biological object sensors.

  14. Etching of semiconductor cubic crystals: Determination of the dissolution slowness surfaces

    Science.gov (United States)

    Tellier, C. R.

    1990-03-01

    Equations of the representative surface of dissolution slowness for cubic crystals are determined in the framework of a tensorial approach of the orientation-dependent etching process. The independent dissolution constants are deduced from symmetry considerations. Using previous data on the chemical etching of germanium and gallium arsenide crystals, some possible polar diagrams of the dissolution slowness are proposed. A numerical and graphical simulation method is used to obtain the derived dissolution shapes. The influence of extrema in the dissolution slowness on the successive dissolution shapes is also examined. A graphical construction of limiting shapes of etched crystals appears possible using the tensorial representation of the dissolution slowness.

  15. Effect of Surface Treatment on Enamel Cracks After Orthodontic Bracket Debonding: Er,Cr:YSGG Laser-Etching Versus Acid-Etching

    Science.gov (United States)

    Ghaffari, Hassanali; Mirhashemi, Amirhossein; Baherimoghadam, Tahereh; Azmi, Amir

    2017-01-01

    Objectives: This study sought to compare enamel cracks after orthodontic bracket debonding in the surfaces prepared with erbium, chromium: yttrium-scandium-galliumgarnet (Er,Cr:YSGG) laser and the conventional acid-etching technique. Materials and Methods: This in-vitro experimental study was conducted on 60 sound human premolars extracted for orthodontic purposes. The teeth were randomly divided into two groups (n=30). The teeth in group A were etched with 37% phosphoric acid gel, while the teeth in group B were subjected to Er,Cr:YSGG laser irradiation (gold handpiece, MZ8 tip, 50Hz, 4.5W, 60μs, 80% water and 60% air). Orthodontic brackets were bonded to the enamel surfaces and were then debonded in both groups. The samples were inspected under a stereomicroscope at ×38 magnification to assess the number and length of enamel cracks before bonding and after debonding. Independent-samples t-test was used to compare the frequency of enamel cracks in the two groups. Levene’s test was applied to assess the equality of variances. Results: No significant difference was noted in the frequency or length of enamel cracks between the two groups after debonding (P>0.05). Conclusions: Despite the same results of the frequency and length of enamel cracks in the two groups and by considering the side effects of acid-etching (demineralization and formation of white spot lesions), Er,Cr:YSGG laser may be used as an alternative to acid-etching for enamel surface preparation prior to bracket bonding. PMID:29296111

  16. Plasma treatment of porous GaAs surface formed by electrochemical etching method: Characterization and properties

    International Nuclear Information System (INIS)

    Saloum, S.; Naddaf, M.

    2010-01-01

    Porous GaAs samples were formed by electrochemical anodic etching of Zn doped p-type GaAs (100) wafers at different etching parameters (time, mode of applied voltage or current and electrolyte). The effect of etching parameters and plasma surface treatment on the optical properties of the prepared sample has been investigated by using room temperature photoluminescence (PL), Raman spectroscopy and reflectance spectroscopic measurements in the range (400-800 nm). The surface morphological changes were studied by using atomic force microscope. (author)

  17. Synchrotron radiation induced direct photo-etching and surface modification of PTFE

    International Nuclear Information System (INIS)

    Oshima, Akihiro; Washio, Masakazu

    2003-01-01

    In the first part of this article, we have described and discussed the measurement results of etching rates by direct photo-etching using Synchrotron Radiation (SR) for various kind of crosslinked PTFEs, which were prepared by different crosslinking doses, comparing with the non-crosslinked PTFE. It has been found that the etching rates obtained for crosslinked PTFE were much larger than that of non-crosslinked one. These results are not described by simple consideration such as the G values of main chain scission. We propose that the etching rates should be discussed by the complex mechanism through at least two different steps such as polymer decomposition and fragment desorption. In the second part of the article, we have described and discussed the abnormal reaction induced at the surface region after the SR etching for non-crosslinked PTFE. Through the measurements using DSC and solid state 19 F-NMR, we have confirmed the crosslinking reaction of PTFE even in solid state PTFE. This should be induced by the very high density radical formation in very thin area of PTFE films by SR radiation. (author)

  18. Infinitely high etch selectivity during CH4/H2/Ar inductively coupled plasma (ICP) etching of indium tin oxide (ITO) with photoresist mask

    International Nuclear Information System (INIS)

    Kim, D.Y.; Ko, J.H.; Park, M.S.; Lee, N.-E.

    2008-01-01

    Under certain conditions during ITO etching using CH 4 /H 2 /Ar inductively coupled plasmas, the etch rate selectivity of ITO to photoresist (PR) was infinitely high because the ITO films continued to be etched, but a net deposition of the α-C:H layer occurred on the top of the PR. Analyses of plasmas and etched ITO surfaces suggested that the continued consumption of the carbon and hydrogen in the deposited α-C:H layer by their chemical reaction with In and Sn atoms in the ITO resulting in the generation of volatile metal-organic etch products and by the ion-enhanced removal of the α-C:H layer presumably play important roles in determining the ITO etch rate and selectivity

  19. Investigation of Plasma Etching for Superconducting RF Cavities Surface Preparation. Final Report

    International Nuclear Information System (INIS)

    Vuskovic, Leposava

    2009-01-01

    Our results show that plasma-treated samples are comparable or superior to a BCP sample, both in the size of features and sharpness of the boundaries between individual features at the surface. Plasma treatment of bulk Nb cavities is a promising technique for microwave cavities preparation used in particle acceleration application. Etching rates are sufficiently high to enable efficient removal of mechanically damaged surface layer with high reproducibility. No impurities are deposited on the bulk Nb surface during plasma treatment. Surface topology characteristic are promising for complex cavity geometry, since discharge conforms the profile of the reaction chamber. In view of these experimental results, we propose plasma treatment for producing microwave cavities with high Q factor instead of using bulk Nb treated with wet etching process.

  20. Silicon etch process

    International Nuclear Information System (INIS)

    Day, D.J.; White, J.C.

    1984-01-01

    A silicon etch process wherein an area of silicon crystal surface is passivated by radiation damage and non-planar structure produced by subsequent anisotropic etching. The surface may be passivated by exposure to an energetic particle flux - for example an ion beam from an arsenic, boron, phosphorus, silicon or hydrogen source, or an electron beam. Radiation damage may be used for pattern definition and/or as an etch stop. Ethylenediamine pyrocatechol or aqueous potassium hydroxide anisotropic etchants may be used. The radiation damage may be removed after etching by thermal annealing. (author)

  1. Superhydrophobic coatings for aluminium surfaces synthesized by chemical etching process

    Directory of Open Access Journals (Sweden)

    Priya Varshney

    2016-10-01

    Full Text Available In this paper, the superhydrophobic coatings on aluminium surfaces were prepared by two-step (chemical etching followed by coating and one-step (chemical etching and coating in a single step processes using potassium hydroxide and lauric acid. Besides, surface immersion time in solutions was varied in both processes. Wettability and surface morphologies of treated aluminium surfaces were characterized using contact angle measurement technique and scanning electron microscopy, respectively. Microstructures are formed on the treated aluminium surfaces which lead to increase in contact angle of the surface (>150°. Also on increasing immersion time, contact angle further increases due to increase in size and depth of microstructures. Additionally, these superhydrophobic coatings show excellent self-cleaning and corrosion-resistant behavior. Water jet impact, floatation on water surface, and low temperature condensation tests assert the excellent water-repellent nature of coatings. Further, coatings are to be found mechanically, thermally, and ultraviolet stable. Along with, these coatings are found to be excellent regeneration ability as verified experimentally. Although aforesaid both processes generate durable and regenerable superhydrophobic aluminium surfaces with excellent self-cleaning, corrosion-resistant, and water-repellent characteristics, but one-step process is proved more efficient and less time consuming than two-step process and promises to produce superhydrophobic coatings for industrial applications.

  2. Surface Passivation of CdZnTe Detector by Hydrogen Peroxide Solution Etching

    Science.gov (United States)

    Hayes, M.; Chen, H.; Chattopadhyay, K.; Burger, A.; James, R. B.

    1998-01-01

    The spectral resolution of room temperature nuclear radiation detectors such as CdZnTe is usually limited by the presence of conducting surface species that increase the surface leakage current. Studies have shown that the leakage current can be reduced by proper surface preparation. In this study, we try to optimize the performance of CdZnTe detector by etching the detector with hydrogen peroxide solution as function of concentration and etching time. The passivation effect that hydrogen peroxide introduces have been investigated by current-voltage (I-V) measurement on both parallel strips and metal-semiconductor-metal configurations. The improvements on the spectral response of Fe-55 and 241Am due to hydrogen peroxide treatment are presented and discussed.

  3. Laser surface pretreatment of 100Cr6 bearing steel – Hardening effects and white etching zones

    International Nuclear Information System (INIS)

    Buling, Anna; Sändker, Hendrik; Stollenwerk, Jochen; Krupp, Ulrich; Hamann-Steinmeier, Angela

    2016-01-01

    Highlights: • Laser surface pretreatment of the bearing steel 100Cr6 is performed. • Microstructural changes of the surface are examined by light microscopy and SEM. • Topographical changes are observed using white light interferometry. • Micro-hardness testing show the existence of very hard white etching zones (WEZ). • WEZ are attributed to near-surface reaustenitization and rapid quenching. • Dark etching zones (DEZ) are found at the laser path edges after laser pretreatment. - Abstract: In order to achieve a surface pretreatment of the bearing steel 100Cr6 (1–1.5 wt.% Cr) a laser-based process was used. The obtained modification may result in an optimization of the adhesive properties of the surface with respect to an anticorrosion polymer coating on the basis of PEEK (poly-ether-ether-ketone), which is applied on the steel surface by a laser melting technique. This work deals with the influence of the laser-based pretreatment regarding the surface microstructure and the micro-hardness of the steel, which has been examined by scanning electron microscopy (SEM), light microscopy and automated micro-hardness testing. The most suitable parameter set for the laser-based pretreatment leads to the formation of very hard white etching zones (WEZ) with a thickness of 23 μm, whereas this pretreatment also induces topographical changes. The occurrence of the white etching zones is attributed to near-surface re-austenitization and rapid quenching. Moreover, dark etching zones (DEZ) with a thickness of 32 μm are found at the laser path edges as well as underneath the white etching zones (WEZ). In these areas, the hardness is decreased due to the formation of oxides as a consequence of re-tempering.

  4. Laser surface pretreatment of 100Cr6 bearing steel – Hardening effects and white etching zones

    Energy Technology Data Exchange (ETDEWEB)

    Buling, Anna, E-mail: a.buling@hs-osnabrueck.de [Faculty of Engineering and Computer Science, University of Applied Sciences, 49009 Osnabrück (Germany); Sändker, Hendrik; Stollenwerk, Jochen [Fraunhofer Institute for Laser Technology ILT, Steinbachstrasse 15, 52074 Aachen (Germany); Krupp, Ulrich; Hamann-Steinmeier, Angela [Faculty of Engineering and Computer Science, University of Applied Sciences, 49009 Osnabrück (Germany)

    2016-08-15

    Highlights: • Laser surface pretreatment of the bearing steel 100Cr6 is performed. • Microstructural changes of the surface are examined by light microscopy and SEM. • Topographical changes are observed using white light interferometry. • Micro-hardness testing show the existence of very hard white etching zones (WEZ). • WEZ are attributed to near-surface reaustenitization and rapid quenching. • Dark etching zones (DEZ) are found at the laser path edges after laser pretreatment. - Abstract: In order to achieve a surface pretreatment of the bearing steel 100Cr6 (1–1.5 wt.% Cr) a laser-based process was used. The obtained modification may result in an optimization of the adhesive properties of the surface with respect to an anticorrosion polymer coating on the basis of PEEK (poly-ether-ether-ketone), which is applied on the steel surface by a laser melting technique. This work deals with the influence of the laser-based pretreatment regarding the surface microstructure and the micro-hardness of the steel, which has been examined by scanning electron microscopy (SEM), light microscopy and automated micro-hardness testing. The most suitable parameter set for the laser-based pretreatment leads to the formation of very hard white etching zones (WEZ) with a thickness of 23 μm, whereas this pretreatment also induces topographical changes. The occurrence of the white etching zones is attributed to near-surface re-austenitization and rapid quenching. Moreover, dark etching zones (DEZ) with a thickness of 32 μm are found at the laser path edges as well as underneath the white etching zones (WEZ). In these areas, the hardness is decreased due to the formation of oxides as a consequence of re-tempering.

  5. Surface photovoltage studies of p-type AlGaN layers after reactive-ion etching

    Science.gov (United States)

    McNamara, J. D.; Phumisithikul, K. L.; Baski, A. A.; Marini, J.; Shahedipour-Sandvik, F.; Das, S.; Reshchikov, M. A.

    2016-10-01

    The surface photovoltage (SPV) technique was used to study the surface and electrical properties of Mg-doped, p-type AlxGa1-xN (0.06 GaN:Mg thin films and from the predictions of a thermionic model for the SPV behavior. In particular, the SPV of the p-AlGaN:Mg layers exhibited slower-than-expected transients under ultraviolet illumination and delayed restoration to the initial dark value. The slow transients and delayed restorations can be attributed to a defective surface region which interferes with normal thermionic processes. The top 45 nm of the p-AlGaN:Mg layer was etched using a reactive-ion etch which caused the SPV behavior to be substantially different. From this study, it can be concluded that a defective, near-surface region is inhibiting the change in positive surface charge by allowing tunneling or hopping conductivity of holes from the bulk to the surface, or by the trapping of electrons traveling to the surface by a high concentration of defects in the near-surface region. Etching removes the defective layer and reveals a region of presumably higher quality, as evidenced by substantial changes in the SPV behavior.

  6. A study of the mechanisms causing surface defects on sidewalls during Si etching for TSV (through Si via)

    International Nuclear Information System (INIS)

    Choi, Jae Woong; Loh, Woon Leng; Praveen, Sampath Kumar; Murphy, Ramana; Swee, Eugene Tan Kiat

    2013-01-01

    In this paper we report three mechanisms causing surface defects on Si sidewalls during Si etching for TSV. The first mechanism causing surface defects was a downward surface-defect formation due to the participation of the residual polymerizing gas in the transition periods between passivation steps and etch steps. The second mechanism was an upward surface-defect formation due to etchant attacking the interface between the Si and the sidewall polymer. Although the sidewall polymer was thick enough to protect the Si surface, it was not possible to avoid surface defects if the etch step was not switched to the following passivation step in time. The third mechanism was a sponge-like surface-defect formation caused by either poor polymer depositions or voids inside the sidewall polymer. The sponge-like surface defects were formed by Si isotropic etching through the weak points of the sidewall polymer. All three surface defects were considered as the major factors on TSV integration and packaging reliability issues. (paper)

  7. Plasma surface modification of polypropylene track-etched membrane to improve its performance properties

    Science.gov (United States)

    Kravets, L. I.; Elinson, V. M.; Ibragimov, R. G.; Mitu, B.; Dinescu, G.

    2018-02-01

    The surface and electrochemical properties of polypropylene track-etched membrane treated by plasma of nitrogen, air and oxygen are studied. The effect of the plasma-forming gas composition on the surface morphology is considered. It has been found that the micro-relief of the membrane surface formed under the gas-discharge etching, changes. Moreover, the effect of the non-polymerizing gas plasma leads to formation of oxygen-containing functional groups, mostly carbonyl and carboxyl. It is shown that due to the formation of polar groups on the surface and its higher roughness, the wettability of the plasma-modified membranes improves. In addition, the presence of polar groups on the membrane surface layer modifies its electrochemical properties so that conductivity of plasma-treated membranes increase.

  8. Etching of Niobium Sample Placed on Superconducting Radio Frequency Cavity Surface in Ar/CL2 Plasma

    International Nuclear Information System (INIS)

    Upadhyay, Janardan; Phillips, Larry; Valente, Anne-Marie

    2011-01-01

    Plasma based surface modification is a promising alternative to wet etching of superconducting radio frequency (SRF) cavities. It has been proven with flat samples that the bulk Niobium (Nb) removal rate and the surface roughness after the plasma etchings are equal to or better than wet etching processes. To optimize the plasma parameters, we are using a single cell cavity with 20 sample holders symmetrically distributed over the cell. These holders serve the purpose of diagnostic ports for the measurement of the plasma parameters and for the holding of the Nb sample to be etched. The plasma properties at RF (100 MHz) and MW (2.45 GHz) frequencies are being measured with the help of electrical and optical probes at different pressures and RF power levels inside of this cavity. The niobium coupons placed on several holders around the cell are being etched simultaneously. The etching results will be presented at this conference.

  9. Etching of Niobium Sample Placed on Superconducting Radio Frequency Cavity Surface in Ar/CL2 Plasma

    Energy Technology Data Exchange (ETDEWEB)

    Janardan Upadhyay, Larry Phillips, Anne-Marie Valente

    2011-09-01

    Plasma based surface modification is a promising alternative to wet etching of superconducting radio frequency (SRF) cavities. It has been proven with flat samples that the bulk Niobium (Nb) removal rate and the surface roughness after the plasma etchings are equal to or better than wet etching processes. To optimize the plasma parameters, we are using a single cell cavity with 20 sample holders symmetrically distributed over the cell. These holders serve the purpose of diagnostic ports for the measurement of the plasma parameters and for the holding of the Nb sample to be etched. The plasma properties at RF (100 MHz) and MW (2.45 GHz) frequencies are being measured with the help of electrical and optical probes at different pressures and RF power levels inside of this cavity. The niobium coupons placed on several holders around the cell are being etched simultaneously. The etching results will be presented at this conference.

  10. In vitro evaluation of microleakage around orthodontic brackets using laser etching and Acid etching methods.

    Directory of Open Access Journals (Sweden)

    Mohammad Hossein Toodehzaeim

    2014-06-01

    Full Text Available path of microleakage between the enamel and adhesive potentially allows microbial ingress that may consequently cause enamel decalcification. The aim of this study was to compare microleakage of brackets bonded either by laser or acid etching techniques.The specimens were 33 extracted premolars that were divided into three groups as the acid etching group (group 1, laser etching with Er:YAG at 100 mJ and 15 Hz for 15s (group 2, and laser etching with Er:YAG at 140 mJ and 15 Hz for 15s (group 3. After photo polymerization, the teeth were subjected to 500 thermal cycles. Then the specimens were sealed with nail varnish, stained with 2% methylen blue for 24hs, sectioned, and examined under a stereomicroscope. They were scored for marginal microleakage that occurred between the adhesive-enamel and bracket-adhesive interfaces from the occlusal and gingival margins. Data were analyzed with the Kruskal- Wallis test.For the adhesive-enamel and bracket-adhesive surfaces, significant differences were not observed between the three groups.According to this study, the Er:YAG laser with 1.5 and 2.1 watt settings may be used as an adjunctive for preparing the surface for orthodontic bracket bonding.

  11. Dry Etch Black Silicon with Low Surface Damage: Effect of Low Capacitively Coupled Plasma Power

    DEFF Research Database (Denmark)

    Iandolo, Beniamino; Plakhotnyuk, Maksym; Gaudig, Maria

    2017-01-01

    Black silicon fabricated by reactive ion etch (RIE) is promising for integration into silicon solar cells thanks to its excellent light trapping ability. However, intensive ion bombardment during the RIE induces surface damage, which results in enhanced surface recombination velocity. Here, we pr...... carrier lifetime thanks to reduced ion energy. Surface passivation using atomic layer deposition of Al2O3 improves the effective lifetime to 7.5 ms and 0.8 ms for black silicon n- and p-type wafers, respectively.......Black silicon fabricated by reactive ion etch (RIE) is promising for integration into silicon solar cells thanks to its excellent light trapping ability. However, intensive ion bombardment during the RIE induces surface damage, which results in enhanced surface recombination velocity. Here, we...... present a RIE optimization leading to reduced surface damage while retaining excellent light trapping and low reflectivity. In particular, we demonstrate that the reduction of the capacitively coupled power during reactive ion etching preserves a reflectance below 1% and improves the effective minority...

  12. Beam Simulation Studies of Plasma-Surface Interactions in Fluorocarbon Etching of Silicon and Silicon Dioxide

    Science.gov (United States)

    Gray, David C.

    1992-01-01

    A molecular beam apparatus has been constructed which allows the synthesis of dominant species fluxes to a wafer surface during fluorocarbon plasma etching. These species include atomic F as the primary etchant, CF _2 as a potential polymer forming precursor, and Ar^{+} or CF _{rm x}^{+} type ions. Ionic and neutral fluxes employed are within an order of magnitude of those typical of fluorocarbon plasmas and are well characterized through the use of in -situ probes. Etching yields and product distributions have been measured through the use of in-situ laser interferometry and line-of-sight mass spectrometry. XPS studies of etched surfaces were performed to assess surface chemical bonding states and average surface stoichiometry. A useful design guide was developed which allows optimal design of straight -tube molecular beam dosers in the collisionally-opaque regime. Ion-enhanced surface reaction kinetics have been studied as a function of the independently variable fluxes of free radicals and ions, as well as ion energy and substrate temperature. We have investigated the role of Ar ^{+} ions in enhancing the chemistries of F and CF_2 separately, and in combination on undoped silicon and silicon dioxide surfaces. We have employed both reactive and inert ions in the energy range most relevant to plasma etching processes, 20-500 eV, through the use of Kaufman and ECR type ion sources. The effect of increasing ion energy on the etching of fluorine saturated silicon and silicon dioxide surfaces was quantified through extensions of available low energy physical sputtering theory. Simple "site"-occupation models were developed for the quantification of the ion-enhanced fluorine etching kinetics in these systems. These models are suitable for use in topography evolution simulators (e.g. SAMPLE) for the predictive modeling of profile evolution in non-depositing fluorine-based plasmas such as NF_3 and SF_6. (Copies available exclusively from MIT Libraries, Rm. 14

  13. Synthesis and characterization of hybrid micro/nano-structured NiTi surfaces by a combination of etching and anodizing

    Science.gov (United States)

    Huan, Z.; Fratila-Apachitei, L. E.; Apachitei, I.; Duszczyk, J.

    2014-02-01

    The purpose of this study was to generate hybrid micro/nano-structures on biomedical nickel-titanium alloy (NiTi). To achieve this, NiTi surfaces were firstly electrochemically etched and then anodized in fluoride-containing electrolyte. With the etching process, the NiTi surface was micro-roughened through the formation of micropits uniformly distributed over the entire surface. Following the subsequent anodizing process, self-organized nanotube structures enriched in TiO2 could be superimposed on the etched surface under specific conditions. Furthermore, the anodizing treatment significantly reduced water contact angles and increased the surface free energy compared to the surfaces prior to anodizing. The results of this study show for the first time that it is possible to create hybrid micro/nano-structures on biomedical NiTi alloys by combining electrochemical etching and anodizing under controlled conditions. These novel structures are expected to significantly enhance the surface biofunctionality of the material when compared to conventional implant devices with either micro- or nano-structured surfaces.

  14. Synthesis and characterization of hybrid micro/nano-structured NiTi surfaces by a combination of etching and anodizing

    International Nuclear Information System (INIS)

    Huan, Z; Fratila-Apachitei, L E; Apachitei, I; Duszczyk, J

    2014-01-01

    The purpose of this study was to generate hybrid micro/nano-structures on biomedical nickel–titanium alloy (NiTi). To achieve this, NiTi surfaces were firstly electrochemically etched and then anodized in fluoride-containing electrolyte. With the etching process, the NiTi surface was micro-roughened through the formation of micropits uniformly distributed over the entire surface. Following the subsequent anodizing process, self-organized nanotube structures enriched in TiO 2 could be superimposed on the etched surface under specific conditions. Furthermore, the anodizing treatment significantly reduced water contact angles and increased the surface free energy compared to the surfaces prior to anodizing. The results of this study show for the first time that it is possible to create hybrid micro/nano-structures on biomedical NiTi alloys by combining electrochemical etching and anodizing under controlled conditions. These novel structures are expected to significantly enhance the surface biofunctionality of the material when compared to conventional implant devices with either micro- or nano-structured surfaces. (paper)

  15. Synthesis and characterization of hybrid micro/nano-structured NiTi surfaces by a combination of etching and anodizing.

    Science.gov (United States)

    Huan, Z; Fratila-Apachitei, L E; Apachitei, I; Duszczyk, J

    2014-02-07

    The purpose of this study was to generate hybrid micro/nano-structures on biomedical nickel-titanium alloy (NiTi). To achieve this, NiTi surfaces were firstly electrochemically etched and then anodized in fluoride-containing electrolyte. With the etching process, the NiTi surface was micro-roughened through the formation of micropits uniformly distributed over the entire surface. Following the subsequent anodizing process, self-organized nanotube structures enriched in TiO2 could be superimposed on the etched surface under specific conditions. Furthermore, the anodizing treatment significantly reduced water contact angles and increased the surface free energy compared to the surfaces prior to anodizing. The results of this study show for the first time that it is possible to create hybrid micro/nano-structures on biomedical NiTi alloys by combining electrochemical etching and anodizing under controlled conditions. These novel structures are expected to significantly enhance the surface biofunctionality of the material when compared to conventional implant devices with either micro- or nano-structured surfaces.

  16. Effect of surface acid etching on the biaxial flexural strength of two hot-pressed glass ceramics.

    Science.gov (United States)

    Hooshmand, Tabassom; Parvizi, Shaghayegh; Keshvad, Alireza

    2008-07-01

    The purpose of this study was to assess the effect of surface acid etching on the biaxial flexural strength of two hot-pressed glass ceramics reinforced by leucite or lithium disilicate crystals. Forty glass ceramic disks (14-mm diameter, 2-mm thick) consisting of 20 leucite-based ceramic disks (IPS Empress) and 20 lithia disilicate-based ceramic (IPS Empress 2) were produced by hot-pressing technique. All specimens were polished and then cleaned ultrasonically in distilled water. Ten specimens of each ceramic group were then etched with 9% hydrofluoric (HF) acid gel for 2 minutes and cleaned ultrasonically again. The biaxial flexural strength was measured by the piston-on-three-ball test in a universal testing machine. Data based on ten specimens in each group were analyzed by two-way ANOVA (alpha= 0.05). Microstructure of ceramic surfaces before and after acid etching was also examined by a scanning electron microscope. The mean biaxial flexural strength values for each group tested were (in MPa): nonetched IPS Empress = 118.6 +/- 25.5; etched IPS Empress = 102.9 +/- 15.4; nonetched IPS Empress 2 = 283.0 +/- 48.5; and etched IPS Empress 2 = 250.6 +/- 34.6. The results showed that the etching process reduced the biaxial flexural strengths significantly for both ceramic types (p= 0.025). No significant interaction between the ceramic type and etching process was found (p= 0.407). From the results, it was concluded that surface HF acid etching could have a weakening effect on hot-pressed leucite or lithia disilicate-based glass ceramic systems.

  17. Etching Behavior of Aluminum Alloy Extrusions

    Science.gov (United States)

    Zhu, Hanliang

    2014-11-01

    The etching treatment is an important process step in influencing the surface quality of anodized aluminum alloy extrusions. The aim of etching is to produce a homogeneously matte surface. However, in the etching process, further surface imperfections can be generated on the extrusion surface due to uneven materials loss from different microstructural components. These surface imperfections formed prior to anodizing can significantly influence the surface quality of the final anodized extrusion products. In this article, various factors that influence the materials loss during alkaline etching of aluminum alloy extrusions are investigated. The influencing variables considered include etching process parameters, Fe-rich particles, Mg-Si precipitates, and extrusion profiles. This study provides a basis for improving the surface quality in industrial extrusion products by optimizing various process parameters.

  18. Effect of Phosphoric Acid Pre-etching on Fatigue Limits of Self-etching Adhesives.

    Science.gov (United States)

    Takamizawa, T; Barkmeier, W W; Tsujimoto, A; Scheidel, D D; Erickson, R L; Latta, M A; Miyazaki, M

    2015-01-01

    The purpose of this study was to use shear bond strength (SBS) and shear fatigue limit (SFL) testing to determine the effect of phosphoric acid pre-etching of enamel and dentin prior to application of self-etch adhesives for bonding resin composite to these substrates. Three self-etch adhesives--1) G- ænial Bond (GC Corporation, Tokyo, Japan); 2) OptiBond XTR (Kerr Corp, Orange, CA, USA); and 3) Scotchbond Universal (3M ESPE Dental Products, St Paul, MN, USA)--were used to bond Z100 Restorative resin composite to enamel and dentin surfaces. A stainless-steel metal ring with an inner diameter of 2.4 mm was used to bond the resin composite to flat-ground (4000 grit) tooth surfaces for determination of both SBS and SFL. Fifteen specimens each were used to determine initial SBS to human enamel/dentin, with and without pre-etching with a 35% phosphoric acid (Ultra-Etch, Ultradent Products Inc, South Jordan, UT, USA) for 15 seconds prior to the application of the adhesives. A staircase method of fatigue testing (25 specimens for each test) was then used to determine the SFL of resin composite bonded to enamel/dentin using a frequency of 10 Hz for 50,000 cycles or until failure occurred. A two-way analysis of variance and Tukey post hoc test were used for analysis of SBS data, and a modified t-test with Bonferroni correction was used for the SFL data. Scanning electron microscopy was used to examine the area of the bonded restorative/tooth interface. For all three adhesive systems, phosphoric acid pre-etching of enamel demonstrated significantly higher (padhesives clearly demonstrated different tendencies between enamel and dentin. The effect of using phosphoric acid, prior to the application of the self-etching adhesives, on SBS and SFL was dependent on the adhesive material and tooth substrate and should be carefully considered in clinical situations.

  19. O2 Plasma Etching and Antistatic Gun Surface Modifications for CNT Yarn Microelectrode Improve Sensitivity and Antifouling Properties.

    Science.gov (United States)

    Yang, Cheng; Wang, Ying; Jacobs, Christopher B; Ivanov, Ilia N; Venton, B Jill

    2017-05-16

    Carbon nanotube (CNT) based microelectrodes exhibit rapid and selective detection of neurotransmitters. While different fabrication strategies and geometries of CNT microelectrodes have been characterized, relatively little research has investigated ways to selectively enhance their electrochemical properties. In this work, we introduce two simple, reproducible, low-cost, and efficient surface modification methods for carbon nanotube yarn microelectrodes (CNTYMEs): O 2 plasma etching and antistatic gun treatment. O 2 plasma etching was performed by a microwave plasma system with oxygen gas flow and the optimized time for treatment was 1 min. The antistatic gun treatment flows ions by the electrode surface; two triggers of the antistatic gun was the optimized number on the CNTYME surface. Current for dopamine at CNTYMEs increased 3-fold after O 2 plasma etching and 4-fold after antistatic gun treatment. When the two treatments were combined, the current increased 12-fold, showing the two effects are due to independent mechanisms that tune the surface properties. O 2 plasma etching increased the sensitivity due to increased surface oxygen content but did not affect surface roughness while the antistatic gun treatment increased surface roughness but not oxygen content. The effect of tissue fouling on CNT yarns was studied for the first time, and the relatively hydrophilic surface after O 2 plasma etching provided better resistance to fouling than unmodified or antistatic gun treated CNTYMEs. Overall, O 2 plasma etching and antistatic gun treatment improve the sensitivity of CNTYMEs by different mechanisms, providing the possibility to tune the CNTYME surface and enhance sensitivity.

  20. Aqueous bromine etching of InP: a specific surface chemistry

    Energy Technology Data Exchange (ETDEWEB)

    Causier, A.; Bouttemy, M.; Gerard, I.; Aureau, D.; Vigneron, J.; Etcheberry, A. [Institut Lavoisier de Versailles, Versailles-Saint-Quentin University, UMR CNRS 8180, 45 Av. des Etats-Unis, 78035 Versailles (France)

    2012-06-15

    The n -InP behaviour in HBr (0.1-1.0 M)/Br{sub 2} (1.25 x 10{sup -2}M) aqueous solutions is studied by AAS, XPS and SEM-FEG. Indium AAS-titrations of the HBr/Br{sub 2} solutions demonstrate that InP undergoes an etching mechanism whatever the HBr/Br{sub 2} formulation. The etching process is always linear with time but its rate depends on the HBr concentration. XPS analyses permit to link the apparent slow-down of the dissolution process when decreasing the HBr molarity from 1.0 M to 0.1 M to the presence of a mixed (In,P){sub ox} oxide layer on the surface. Therefore, the dissolution process of InP in HBr/Br{sub 2} solution appears to be ruled by the surface chemical state (copyright 2012 WILEY-VCH Verlag GmbH and Co. KGaA, Weinheim) (orig.)

  1. Influence of duration of phosphoric acid pre-etching on bond durability of universal adhesives and surface free-energy characteristics of enamel.

    Science.gov (United States)

    Tsujimoto, Akimasa; Barkmeier, Wayne W; Takamizawa, Toshiki; Watanabe, Hidehiko; Johnson, William W; Latta, Mark A; Miyazaki, Masashi

    2016-08-01

    The purpose of this study was to evaluate the influence of duration of phosphoric acid pre-etching on the bond durability of universal adhesives and the surface free-energy characteristics of enamel. Three universal adhesives and extracted human molars were used. Two no-pre-etching groups were prepared: ground enamel; and enamel after ultrasonic cleaning with distilled water for 30 s to remove the smear layer. Four pre-etching groups were prepared: enamel pre-etched with phosphoric acid for 3, 5, 10, and 15 s. Shear bond strength (SBS) values of universal adhesive after no thermal cycling and after 30,000 or 60,000 thermal cycles, and surface free-energy values of enamel surfaces, calculated from contact angle measurements, were determined. The specimens that had been pre-etched showed significantly higher SBS and surface free-energy values than the specimens that had not been pre-etched, regardless of the aging condition and adhesive type. The SBS and surface free-energy values did not increase for pre-etching times of longer than 3 s. There were no significant differences in SBS values and surface free-energy characteristics between the specimens with and without a smear layer. The results of this study suggest that phosphoric acid pre-etching of enamel improves the bond durability of universal adhesives and the surface free-energy characteristics of enamel, but these bonding properties do not increase for phosphoric acid pre-etching times of longer than 3 s. © 2016 Eur J Oral Sci.

  2. Vapor-Liquid-Solid Etch of Semiconductor Surface Channels by Running Gold Nanodroplets.

    Science.gov (United States)

    Nikoobakht, Babak; Herzing, Andrew; Muramoto, Shin; Tersoff, Jerry

    2015-12-09

    We show that Au nanoparticles spontaneously move across the (001) surface of InP, InAs, and GaP when heated in the presence of water vapor. As they move, the particles etch crystallographically aligned grooves into the surface. We show that this process is a negative analogue of the vapor-liquid-solid (VLS) growth of semiconductor nanowires: the semiconductor dissolves into the catalyst and reacts with water vapor at the catalyst surface to create volatile oxides, depleting the dissolved cations and anions and thus sustaining the dissolution process. This VLS etching process provides a new tool for directed assembly of structures with sublithographic dimensions, as small as a few nanometers in diameter. Au particles above 100 nm in size do not exhibit this process but remain stationary, with oxide accumulating around the particles.

  3. Synchrotron photoemission spectroscopy study of ammonium hydroxide etching to prepare well-ordered GaAs(1 0 0) surfaces

    International Nuclear Information System (INIS)

    Lebedev, Mikhail V.; Ensling, David; Hunger, Ralf; Mayer, Thomas; Jaegermann, Wolfram

    2004-01-01

    Synchrotron-induced photoelectron spectroscopy was used to investigate the native-oxide-covered GaAs(1 0 0) surface and changes induced by etching with aqueous ammonia solution and by annealing in vacuum. The etching step removes arsenic and gallium oxides from the surface and the surface gets covered by elemental arsenic and tiny amounts of gallium suboxide. The surface oxygen content is reduced by an order of magnitude after etching, whereas the surface carbon content is somewhat increased. Annealing of this surface at 450 deg. C results in the disappearance of elemental arsenic and a considerable decrease in surface carbon and oxygen contents. The valence band spectra exhibit clear features typical for As-terminated GaAs(1 0 0) surfaces, as also obtained after As decapping

  4. Etch Defect Characterization and Reduction in Hard-Mask-Based Al Interconnect Etching

    International Nuclear Information System (INIS)

    Lee, H.J.; Hung, C.L.; Leng, C.H.; Lian, N.T.; Young, L.W.

    2009-01-01

    This paper identifies the defect adders, for example, post hard-mask etch residue, post metal etch residue, and blocked etch metal island and investigates the removal characteristics of these defects within the oxide-masked Al etching process sequence. Post hard-mask etch residue containing C atom is related to the hardening of photoresist after the conventional post-RIE ashing at 275 degree C. An in situ O 2 -based plasma ashing on RIE etcher was developed to prevent the photoresist hardening from the high-ashing temperature; followed wet stripping could successfully eliminate such hardened polymeric residue. Post metal etch residue was caused from the attack of the Al sidewall by Cl atoms, and too much CHF 3 addition in the Al main etch step passivated the surface of Al resulting in poor capability to remove the Al-containing residue. The lower addition of CHF 3 in the Al main etch step would benefit from the residue removal. One possibility of blocked etch metal island creating was due to the micro masking formed on the opening of Ti N during the hard-mask patterning. We report that an additional Ti N surface pretreatment with the Ar/CHF 3 /N 2 plasmas could reduce the impact of the micro masking residues on blocked metal etch.

  5. Acid Etching as Surface Treatment Method for Luting of Glass-Ceramic Restorations, part 1: Acids, Application Protocol and Etching Effectiveness

    Directory of Open Access Journals (Sweden)

    Emilija Barjaktarova-Valjakova

    2018-03-01

    CONCLUSION: Acid etching of the bonding surface of glass - ceramic restorations is considered as the most effective treatment method that provides a reliable bond with composite cement. Selective removing of the glassy matrix of silicate ceramics results in a micromorphological three-dimensional porous surface that allows micromechanical interlocking of the luting composite.

  6. Comparison of Self-Etch Primers with Conventional Acid Etching System on Orthodontic Brackets

    Science.gov (United States)

    Zope, Amit; Zope-Khalekar, Yogita; Chitko, Shrikant S.; Kerudi, Veerendra V.; Patil, Harshal Ashok; Jaltare, Pratik; Dolas, Siddhesh G

    2016-01-01

    Introduction The self-etching primer system consists of etchant and primer dispersed in a single unit. The etching and priming are merged as a single step leading to fewer stages in bonding procedure and reduction in the number of steps that also reduces the chance of introduction of error, resulting in saving time for the clinician. It also results in smaller extent of enamel decalcification. Aim To compare the Shear Bond Strength (SBS) of orthodontic bracket bonded with Self-Etch Primers (SEP) and conventional acid etching system and to study the surface appearance of teeth after debonding; etching with conventional acid etch and self-etch priming, using stereomicroscope. Materials and Methods Five Groups (n=20) were created randomly from a total of 100 extracted premolars. In a control Group A, etching of enamel was done with 37% phosphoric acid and bonding of stainless steel brackets with Transbond XT (3M Unitek, Monrovia, California). Enamel conditioning in left over four Groups was done with self-etching primers and adhesives as follows: Group B-Transbond Plus (3M Unitek), Group C Xeno V+ (Dentsply), Group D-G-Bond (GC), Group E-One-Coat (Coltene). The Adhesive Remnant Index (ARI) score was also evaluated. Additionally, the surface roughness using profilometer were observed. Results Mean SBS of Group A was 18.26±7.5MPa, Group B was 10.93±4.02MPa, Group C was 6.88±2.91MPa while of Group D was 7.78±4.13MPa and Group E was 10.39±5.22MPa respectively. In conventional group ARI scores shows that over half of the adhesive was remaining on the surface of tooth (score 1 to 3). In self-etching primer groups ARI scores show that there was no or minor amount of adhesive remaining on the surface of tooth (score 4 and 5). SEP produces a lesser surface roughness on the enamel than conventional etching. However, statistical analysis shows significant correlation (pbracket bonding after enamel conditioning with any of the SEPs tested. The SEPs used in Groups C (Xeno V

  7. Effect of Cl2- and HBr-based inductively coupled plasma etching on InP surface composition analyzed using in situ x-ray photoelectron spectroscopy

    International Nuclear Information System (INIS)

    Bouchoule, S.; Vallier, L.; Patriarche, G.; Chevolleau, T.; Cardinaud, C.

    2012-01-01

    A Cl 2 -HBr-O 2 /Ar inductively coupled plasma (ICP) etching process has been adapted for the processing of InP-based heterostructures in a 300-mm diameter CMOS etching tool. Smooth and anisotropic InP etching is obtained at moderate etch rate (∼600 nm/min). Ex situ x-ray energy dispersive analysis of the etched sidewalls shows that the etching anisotropy is obtained through a SiO x passivation mechanism. The stoichiometry of the etched surface is analyzed in situ using angle-resolved x-ray photoelectron spectroscopy. It is observed that Cl 2 -based ICP etching results in a significantly P-rich surface. The phosphorous layer identified on the top surface is estimated to be ∼1-1.3-nm thick. On the other hand InP etching in HBr/Ar plasma results in a more stoichiometric surface. In contrast to the etched sidewalls, the etched surface is free from oxides with negligible traces of silicon. Exposure to ambient air of the samples submitted to Cl 2 -based chemistry results in the complete oxidation of the P-rich top layer. It is concluded that a post-etch treatment or a pure HBr plasma step may be necessary after Cl 2 -based ICP etching for the recovery of the InP material.

  8. Torque Analysis of a Triple Acid-Etched Titanium Implant Surface

    Directory of Open Access Journals (Sweden)

    Ana Emília Farias Pontes

    2015-01-01

    Full Text Available The present study aimed to evaluate the removal torque of titanium implants treated with triple acid etching. Twenty-one rats were used in this study. For all animals, the tibia was prepared with a 2 mm drill, and a titanium implant (2 × 4 mm was inserted after treatment using the subtraction method of triple acid etching. The flaps were sutured. Seven animals were killed 14, 28, and 63 days after implant installation, and the load necessary for removing the implant from the bone was evaluated by using a torque meter. The torque values were as follows: 3.3 ± 1.7 Ncm (14 days, 2.2 ± 1.3 Ncm (28 days, and 6.7 ± 1.4 Ncm (63 days. The torque value at the final healing period (63 days was statistically significantly different from that at other time points tested (ANOVA, p=0.0002. This preliminary study revealed that treatment with triple acid etching can create a promising and efficient surface for the process of osseointegration.

  9. Surface roughness of etched composite resin in light of composite repair

    NARCIS (Netherlands)

    Loomans, B.A.C.; Cardoso, M.V.; Opdam, N.J.M.; Roeters, F.J.M.; Munck, J. De; Huysmans, M.C.D.N.J.M.; Meerbeek, B. Van

    2011-01-01

    OBJECTIVES: In search for clinically effective composite repair protocols, the effect of various etching protocols on the surface roughness of composite resins with different filler composition were investigated. METHODS: Of two composite resins (hybrid-filled Clearfil AP-X; nano-filled Filtek

  10. In vitro bonding effectiveness of three different one-step self-etch adhesives with additional enamel etching.

    Science.gov (United States)

    Batra, Charu; Nagpal, Rajni; Tyagi, Shashi Prabha; Singh, Udai Pratap; Manuja, Naveen

    2014-08-01

    To evaluate the effect of additional enamel etching on the shear bond strength of three self-etch adhesives. Class II box type cavities were made on extracted human molars. Teeth were randomly divided into one control group of etch and rinse adhesive and three test groups of self-etch adhesives (Clearfil S3 Bond, Futurabond NR, Xeno V). The teeth in the control group (n = 10) were treated with Adper™ Single Bond 2. The three test groups were further divided into two subgroups (n = 10): (i) self-etch adhesive was applied as per the manufacturer's instructions; (ii) additional etching of enamel surfaces was done prior to the application of self-etch adhesives. All cavities were restored with Filtek Z250. After thermocycling, shear bond strength was evaluated using a Universal testing machine. Data were analyzed using anova independent sample's 't' test and Dunnett's test. The failure modes were evaluated with a stereomicroscope at a magnification of 10×. Additional phosphoric acid etching of the enamel surface prior to the application of the adhesive system significantly increased the shear bond strength of all the examined self-etch adhesives. Additional phosphoric acid etching of enamel surface significantly improved the shear bond strength. © 2013 Wiley Publishing Asia Pty Ltd.

  11. Ultrastructure of the surface of dental enamel with molar incisor hypomineralization (MIH) with and without acid etching.

    Science.gov (United States)

    Bozal, Carola B; Kaplan, Andrea; Ortolani, Andrea; Cortese, Silvina G; Biondi, Ana M

    2015-01-01

    The aim of the present work was to analyze the ultrastructure and mineral composition of the surface of the enamel on a molar with MIH, with and without acid etching. A permanent tooth without clinical MIH lesions (control) and a tooth with clinical diagnosis of mild and moderate MIH, with indication for extraction, were processed with and without acid etching (H3PO4 37%, 20") for observation with scanning electron microscope (SEM) ZEISS (Supra 40) and mineral composition analysis with an EDS detector (Oxford Instruments). The control enamel showed normal prismatic surface and etching pattern. The clinically healthy enamel on the tooth with MIH revealed partial loss of prismatic pattern. The mild lesion was porous with occasional cracks. The moderate lesion was more porous, with larger cracks and many scales. The mineral composition of the affected surfaces had lower Ca and P content and higher O and C. On the tooth with MIH, even on normal looking enamel, the demineralization does not correspond to an etching pattern, and exhibits exposure of crystals with rods with rounded ends and less demineralization in the inter-prismatic spaces. Acid etching increased the presence of cracks and deep pores in the adamantine structure of the enamel with lesion. In moderate lesions, the mineral composition had higher content of Ca, P and Cl. Enamel with MIH, even on clinically intact adamantine surfaces, shows severe alterations in the ultrastructure and changes in ionic composition, which affect the acid etching pattern and may interfere with adhesion.

  12. Reactive ion beam etching for microcavity surface emitting laser fabrication: technology and damage characterization

    International Nuclear Information System (INIS)

    Matsutani, A.; Tadokoro, T.; Koyama, F.; Iga, K.

    1993-01-01

    Reactive ion beam etching (RIBE) is an effective dry etching technique for the fabrication of micro-sized surface emitting (SE) lasers and optoelectronic devices. In this chapter, some etching characteristics for GaAs, InP and GaInAsP with a Cl 2 gas using an RIBE system are discussed. Micro-sized circular mesas including GaInAsP/InP multilayers with vertical sidewalls were fabricated. RIBE-induced damage in InP substrates was estimated by C-V and PL measurement. In addition, the removal of the induced damage by the second RIBE with different conditions for the InP wafer was proposed. The sidewall damage is characterized by photoluminescence emitted from the etched sidewall of a GaInAsP/InP DH wafer. (orig.)

  13. Redeposition of etch products on sidewalls during SiO2 etching in a fluorocarbon plasma. I. Effect of particle emission from the bottom surface in a CF4 plasma

    International Nuclear Information System (INIS)

    Min, Jae-Ho; Hwang, Sung-Wook; Lee, Gyeo-Re; Moon, Sang Heup

    2002-01-01

    The effect of etch-product redeposition on sidewall properties during the etching of step-shaped SiO 2 patterns in a CF 4 plasma was examined using a Faraday cage located in a transformer coupled plasma etcher. Sidewall properties were observed for two cases: with and without particles emitted from the bottom surface in normal contact with the sidewall. Particles sputtered from the bottom surface were redeposited on the sidewall, which contributes to the formation of a passivation layer on the surface of the latter. The passivation layer consisted of silicon oxide, Si x O y , and fluorocarbon, C x F y , the latter comprising the major species. Ar plasma experiments confirmed that C x F y or a fluorocarbon polymer must be present on the sidewall in order for the Si x O y species to be deposited on the surface. The redeposited particles, which were largely F-deficient fluorocarbon species, as evidenced by x-ray photoelectron spectroscopy analyses, functioned as precursors for fluorocarbon polymerization, resulting in a rough sidewall surface. The chemical etch rates of SiO 2 were retarded by the redeposition of particles, which eventually formed a thick layer, eventually covering the bulk SiO 2 . Auger electron spectroscopy analyses of the sidewall surface affected by the emission from the bottom suggest that the surface consists of three distinct layers: a surface-carbon layer, a redeposition-etch combined layer, and bulk SiO 2

  14. On the long standing question of nuclear track etch induction time: Surface-cap model

    International Nuclear Information System (INIS)

    Rana, Mukhtar Ahmed

    2008-01-01

    Using a systematic set of experiments, nuclear track etch induction time measurements in a widely used CR-39 detector were completed for accessible track-forming particles (fission fragments, 5.2 MeV alpha particles and 5.9 MeV antiprotons). Results of the present work are compared with appropriately selected published results. The possibility of the use of etch induction time for charged particle identification is evaluated. Analysis of experimental results along with the use of well-established theoretical concepts yielded a model about delay in the start of chemical etching of nuclear tracks. The suggested model proposes the formation of a surface-cap (top segment) in each nuclear track consisting of chemically modified material with almost same or even higher resistance to chemical etching compared with bulk material of the track detector. Existing track formation models are reviewed very briefly, which provide one of the two bases of the proposed model. The other basis of the model is the general behavior of hot or energised material having a connection with an environment containing a number of species like ordinary air. Another reason for the delay in the start of etching is suggested as the absence of localization of etching atoms/molecules, which is present during etching at depth along the latent track

  15. Model polymer etching and surface modification by a time modulated RF plasma jet: role of atomic oxygen and water vapor

    Science.gov (United States)

    Luan, P.; Knoll, A. J.; Wang, H.; Kondeti, V. S. S. K.; Bruggeman, P. J.; Oehrlein, G. S.

    2017-01-01

    The surface interaction of a well-characterized time modulated radio frequency (RF) plasma jet with polystyrene, poly(methyl methacrylate) and poly(vinyl alcohol) as model polymers is investigated. The RF plasma jet shows fast polymer etching but mild chemical modification with a characteristic carbonate ester and NO formation on the etched surface. By varying the plasma treatment conditions including feed gas composition, environment gaseous composition, and treatment distance, we find that short lived species, especially atomic O for Ar/1% O2 and 1% air plasma and OH for Ar/1% H2O plasma, play an essential role for polymer etching. For O2 containing plasma, we find that atomic O initiates polymer etching and the etching depth mirrors the measured decay of O atoms in the gas phase as the nozzle-surface distance increases. The etching reaction probability of an O atom ranging from 10-4 to 10-3 is consistent with low pressure plasma research. We also find that adding O2 and H2O simultaneously into Ar feed gas quenches polymer etching compared to adding them separately which suggests the reduction of O and OH density in Ar/O2/H2O plasma.

  16. Bond strength with various etching times on young permanent teeth

    Energy Technology Data Exchange (ETDEWEB)

    Wang, W.N.; Lu, T.C. (School of Dentistry, National Defense Medical Center, Taipei, Taiwan (China))

    1991-07-01

    Tensile bond strengths of an orthodontic resin cement were compared for 15-, 30-, 60-, 90-, or 120-second etching times, with a 37% phosphoric acid solution on the enamel surfaces of young permanent teeth. Fifty extracted premolars from 9- to 16-year-old children were used for testing. An orthodontic composite resin was used to bond the bracket directly onto the buccal surface of the enamel. The tensile bond strengths were tested with an Instron machine. Bond failure interfaces between bracket bases and teeth surfaces were examined with a scanning electron microscope and calculated with mapping of energy-dispersive x-ray spectrometry. The results of tensile bond strength for 15-, 30-, 60-, or 90-second etching times were not statistically different. For the 120-second etching time, the decrease was significant. Of the bond failures, 43%-49% occurred between bracket and resin interface, 12% to 24% within the resin itself, 32%-40% between resin and tooth interface, and 0% to 4% contained enamel fragments. There was no statistical difference in percentage of bond failure interface distribution between bracket base and resin, resin and enamel, or the enamel detachment. Cohesive failure within the resin itself at the 120-second etching time was less than at other etching times, with a statistical significance. To achieve good retention, to decrease enamel loss, and to reduce moisture contamination in the clinic, as well as to save chairside time, a 15-second etching time is suggested for teenage orthodontic patients.

  17. Future developments in etched track detectors for neutron dosimetry

    International Nuclear Information System (INIS)

    Tommasino, L.

    1987-01-01

    Many laboratories engaged in the field of personal neutron dosimetry are interested in developing better etching processes and improving the CR-39 detecting materials. To know how much effort must still be devoted to the development of etch track dosimetry, it is necessary to understand the advantages. limitations and degree of exploitation of the currently available techniques. So much has been learned about the chemical and electrochemical etching processes that an optimised combination of etching processes could make possible the elimination of many of the existing shortcomings. Limitations of etched track detectors for neutron dosimetry arise mainly because the registration occurs only on the detector surface. These damage type detectors are based on radiation induced chain scission processes in polymers, which result in hole-type tracks in solids. The converse approach, yet to be discovered, would be the development of cure-track detectors, where radiation induced cross linking between organic polymer chains could result in solid tracks in liquids. (author)

  18. Development of etched nuclear tracks

    International Nuclear Information System (INIS)

    Somogyi, G.

    1980-01-01

    The theoretical description of the evolution of etched tracks in solid state nuclear track detectors is considered for different initial conditions, for the cases of constant and varying track etch rates, isotropic and anisotropic bulk etching as well as for thick and thin detectors. It is summarized how one can calculate the main parameters of etch-pit geometry, the track length, the axes of a surface track opening, track profile and track contour. The application of the theory of etch-track evolution is demonstrated with selected practical problems. Attention is paid to certain questions related to the determination of unknown track parameters and calculation of surface track sizes. Finally, the theory is extended to the description of the perforation and etch-hole evolution process in thin detectors, which is of particular interest for track radiography and nuclear filter production. (orig.)

  19. Development of etched nuclear tracks

    International Nuclear Information System (INIS)

    Somogyi, G.

    1979-01-01

    The theoretical description of the evolution of etched tracks in solid state nuclear track detectors is considered for different initial conditions, for the cases of constant and varying track etch rates, isotopic and unisotropic bulk etching as well as for thick and thin detectors. It is summarized how the main parameters of etch-pit geometry, the track length, the axes of a surface track opening, the track profile and the track contour can be calculated. The application of the theory of etch-track evolution is demonstrated with selected practical problems. Attention is paid to certain questions related to the determination of unknown track parameters and calculation of surface track sizes. Finally, the theory is extended to the description of the perforation and etch-hole evolution process in thin detectors, which is of particular interest for track radiography and nuclear filter production. (author)

  20. Inductively coupled plasma etching of III-V antimonides in BCl3/SiCl4 etch chemistry

    International Nuclear Information System (INIS)

    Swaminathan, K.; Janardhanan, P.E.; Sulima, O.V.

    2008-01-01

    Inductively coupled plasma etching of GaSb using BCl 3 /SiCl 4 etch chemistry has been investigated. The etch rates were studied as a function of bias power, inductively coupled plasma source power, plasma chemistry and chamber pressure. The etched surfaces remain smooth and stoichiometric over the entire range of plasma conditions investigated. The knowledge gained in etching GaSb was applied to etching AlGaAsSb and InGaAsSb in order to fabricate heterojunction phototransistors. As expected, InGaAsSb etch rate was much lower compared to the corresponding value for GaSb, mainly due to the relatively low volatility of indium chlorides. For a wide range of plasma conditions, the selectivity between GaSb and AlGaAsSb was close to unity, which is desirable for fabricating etched mirrors and gratings for Sb-based mid-infrared laser diodes. The surface roughness and the etch profile were examined for the etched GaSb, AlGaAsSb and InGaAsSb samples using scanning electron microscope. The high etch rates achieved (∼ 4 μm/min) facilitated deep etching of GaSb. A single layer, soft mask (AZ-4903 photoresist) was used to etch GaSb, with etch depth ∼ 90 μm. The deep dry etching of GaSb has many important applications including etching substrate windows for backside-illuminated photodetectors for the mid-infrared wavelength range

  1. Device fabrication by plasma etching

    International Nuclear Information System (INIS)

    Mogab, C.J.

    1980-01-01

    Plasma etching as applied to many of the materials encountered in the fabrication of LSI's is complicated by loading effect-the dependence of etch rate on the integrated surface area to be etched. This problem is alleviated by appropriate choice of etchant and etching conditions. Appropriate choice of system parameters, generally most concerned with the inherent lifetime of etchant species, may also result in improvement of etch rate uniformity on a wafer-by-wafer basis

  2. Ripple formation on Si surfaces during plasma etching in Cl2

    Science.gov (United States)

    Nakazaki, Nobuya; Matsumoto, Haruka; Sonobe, Soma; Hatsuse, Takumi; Tsuda, Hirotaka; Takao, Yoshinori; Eriguchi, Koji; Ono, Kouichi

    2018-05-01

    Nanoscale surface roughening and ripple formation in response to ion incidence angle has been investigated during inductively coupled plasma etching of Si in Cl2, using sheath control plates to achieve the off-normal ion incidence on blank substrate surfaces. The sheath control plate consisted of an array of inclined trenches, being set into place on the rf-biased electrode, where their widths and depths were chosen in such a way that the sheath edge was pushed out of the trenches. The distortion of potential distributions and the consequent deflection of ion trajectories above and in the trenches were then analyzed based on electrostatic particle-in-cell simulations of the plasma sheath, to evaluate the angular distributions of ion fluxes incident on substrates pasted on sidewalls and/or at the bottom of the trenches. Experiments showed well-defined periodic sawtooth-like ripples with their wave vector oriented parallel to the direction of ion incidence at intermediate off-normal angles, while relatively weak corrugations or ripplelike structures with the wave vector perpendicular to it at high off-normal angles. Possible mechanisms for the formation of surface ripples during plasma etching are discussed with the help of Monte Carlo simulations of plasma-surface interactions and feature profile evolution. The results indicate the possibility of providing an alternative to ion beam sputtering for self-organized formation of ordered surface nanostructures.

  3. Particle precipitation in connection with KOH etching of silicon

    DEFF Research Database (Denmark)

    Nielsen, Christian Bergenstof; Christensen, Carsten; Pedersen, Casper

    2004-01-01

    This paper considers the precipitation of iron oxide particles in connection with the KOH etching of cavities in silicon wafers. The findings presented in this paper suggest that the source to the particles is the KOH pellets used for making the etching solution. Experiments show that the precipi......This paper considers the precipitation of iron oxide particles in connection with the KOH etching of cavities in silicon wafers. The findings presented in this paper suggest that the source to the particles is the KOH pellets used for making the etching solution. Experiments show...... that the precipitation is independent of KOH etching time, but that the amount of deposited material varies with dopant type and dopant concentration. The experiments also suggest that the precipitation occurs when the silicon wafers are removed from the KOH etching solution and not during the etching procedure. When...... not removed, the iron oxide particles cause etch pits on the Si surface when later processed and exposed to phosphoric acid. It has been found that the particles can be removed in an HCl solution, but not completely in an H2SO4- H2O2 solution. The paper discusses the involved precipitation mechanism in terms...

  4. Carrier-lifetime-controlled selective etching process for semiconductors using photochemical etching

    International Nuclear Information System (INIS)

    Ashby, C.I.H.; Myers, D.R.

    1992-01-01

    This patent describes a process for selectively photochemically etching a semiconductor material. It comprises introducing at least one impurity into at least one selected region of a semiconductor material to be etched to increase a local impurity concentration in the at least one selected region relative to an impurity concentration in regions of the semiconductor material adjacent thereto, for reducing minority carrier lifetimes within the at least one selected region relative to the adjacent regions for thereby providing a photochemical etch-inhibiting mask at the at least one selected region; and etching the semiconductor material by subjecting the surface of the semiconductor material to a carrier-driven photochemical etching reaction for selectively etching the regions of the semiconductor material adjacent the at least one selected region having the increase impurity concentration; wherein the step of introducing at least one impurity is performed so as not to produce damage to the at least one selected region before any etching is performed

  5. Model polymer etching and surface modification by a time modulated RF plasma jet: role of atomic oxygen and water vapor

    International Nuclear Information System (INIS)

    Luan, P; Knoll, A J; Wang, H; Oehrlein, G S; Kondeti, V S S K; Bruggeman, P J

    2017-01-01

    The surface interaction of a well-characterized time modulated radio frequency (RF) plasma jet with polystyrene, poly(methyl methacrylate) and poly(vinyl alcohol) as model polymers is investigated. The RF plasma jet shows fast polymer etching but mild chemical modification with a characteristic carbonate ester and NO formation on the etched surface. By varying the plasma treatment conditions including feed gas composition, environment gaseous composition, and treatment distance, we find that short lived species, especially atomic O for Ar/1% O 2 and 1% air plasma and OH for Ar/1% H 2 O plasma, play an essential role for polymer etching. For O 2 containing plasma, we find that atomic O initiates polymer etching and the etching depth mirrors the measured decay of O atoms in the gas phase as the nozzle-surface distance increases. The etching reaction probability of an O atom ranging from 10 −4 to 10 −3 is consistent with low pressure plasma research. We also find that adding O 2 and H 2 O simultaneously into Ar feed gas quenches polymer etching compared to adding them separately which suggests the reduction of O and OH density in Ar/O 2 /H 2 O plasma. (letter)

  6. Surface changes of biopolymers PHB and PLLA induced by Ar+ plasma treatment and wet etching

    Science.gov (United States)

    Slepičková Kasálková, N.; Slepička, P.; Sajdl, P.; Švorčík, V.

    2014-08-01

    Polymers, especially group of biopolymers find potential application in a wide range of disciplines due to their biodegradability. In biomedical applications these materials can be used as a scaffold or matrix. In this work, the influence of the Ar+ plasma treatment and subsequent wet etching (acetone/water) on the surface properties of polymers were studied. Two biopolymers - polyhydroxybutyrate with 8% polyhydroxyvalerate (PHB) and poly-L-lactic acid (PLLA) were used in these experiments. Modified surface layers were analyzed by different methods. Surface wettability was characterized by determination of water contact angle. Changes in elemental composition of modified surfaces were performed by X-ray Photoelectron Spectroscopy (XPS). Surface morphology and roughness was examined using Atomic Force Microscopy (AFM). Gravimetry method was used to study the mass loss. It was found that the modification from both with plasma and wet etching leads to dramatic changes of surface properties (surface chemistry, morphology and roughness). Rate of changes of these features strongly depends on the modification parameters.

  7. Evaluation of surface topography of zirconia ceramic after Er:YAG laser etching.

    Science.gov (United States)

    Turp, Volkan; Akgungor, Gokhan; Sen, Deniz; Tuncelli, Betul

    2014-10-01

    The aim of this study is to evaluate the effect of Erbium: yttrium-aluminum-garnet (Er:YAG) laser with different pulse lengths on the surface roughness of zirconia ceramic and airborne particle abrasion. Er:YAG laser treatment is expected to be an alternative surface treatment method for zirconia ceramics; however, the parameters and success of the application are not clear. One hundred and forty zirconia discs (diameter, 10 mm; thickness, 1.2 mm) were prepared by a computer-aided design and computer-aided manufacturing (CAD/CAM) system according to the manufacturer's instructions. Specimens were divided into 14 groups (n=10). One group was left as polished control, one group was air-particle abraded with Al2O3 particles. For the laser treatment groups, laser irradiation was applied at three different pulse energy levels (100, 200, and 300 mJ) and for each energy level at four different pulse lengths; 50, 100, 300, and 600 μs. Surface roughness was evaluated with an optical profilometer and specimens were evaluated with scanning electron microscopy (SEM). Data was analyzed with one way ANOVA and Tukey multiple comparison tests (α=0.05). For the 100 and 200 mJ laser etching groups, 50 and 100 μs laser duration resulted in significantly higher surface roughness compared with air-particle abrasion (p0.05). For the 300 mJ laser etching groups; there was no statistically significant difference among the Ra values of 50 μs, 100 μs, 300 μs, 600 μs, and air-particle abrasion groups (p>0.05). In order to increase surface roughness and promote better bonding to resin luting agents, Er:YAG laser etching may be an alternative to air-particle abrasion for zirconia ceramics. However, high levels of pulse energy and longer pulse length may have an adverse effect on micromechanical locking properties, because of a decrease in surface roughness.

  8. Surface Roughening of Polystyrene and Poly(methyl methacrylate in Ar/O2 Plasma Etching

    Directory of Open Access Journals (Sweden)

    Amy E. Wendt

    2010-12-01

    Full Text Available Selectively plasma-etched polystyrene-block-poly(methyl methacrylate (PS-b-PMMA diblock copolymer masks present a promising alternative for subsequent nanoscale patterning of underlying films. Because mask roughness can be detrimental to pattern transfer, this study examines roughness formation, with a focus on the role of cross-linking, during plasma etching of PS and PMMA. Variables include ion bombardment energy, polymer molecular weight and etch gas mixture. Roughness data support a proposed model in which surface roughness is attributed to polymer aggregation associated with cross-linking induced by energetic ion bombardment. In this model, RMS roughness peaks when cross-linking rates are comparable to chain scissioning rates, and drop to negligible levels for either very low or very high rates of cross-linking. Aggregation is minimal for very low rates of cross-linking, while very high rates produce a continuous cross-linked surface layer with low roughness. Molecular weight shows a negligible effect on roughness, while the introduction of H and F atoms suppresses roughness, apparently by terminating dangling bonds. For PS etched in Ar/O2 plasmas, roughness decreases with increasing ion energy are tentatively attributed to the formation of a continuous cross-linked layer, while roughness increases with ion energy for PMMA are attributed to increases in cross-linking from negligible to moderate levels.

  9. Dry Phosphorus silicate glass etching and surface conditioning and cleaning for multi-crystalline silicon solar cell processing

    International Nuclear Information System (INIS)

    Kagilik, Ahmed S.

    2014-01-01

    As an alternative to the wet chemical etching method, dry chemical etching processes for Phosphorus silicate glass [PSG} layer removal using Trifluormethane/Sulfur Hexafluoride (CHF 3 / SF 6 ) gas mixture in commercial silicon-nitride plasma enhanced chemical vapour deposition (SiN-PECVD) system is applied. The dependence of the solar cell performance on the etching temperature is investigated and optimized. It is found that the SiN-PECVD system temperature variation has a significant impact on the whole solar cell characteristics. A dry plasma cleaning treatment of the Si wafer surface after the PSG removal step is also investigated and developed. The cleaning step is used to remove the polymer film which is formed during the PSG etching using both oxygen and hydrogen gases. By applying an additional cleaning step, the polymer film deposited on the silicon wafer surface after PSG etching is eliminated. The effect of different plasma cleaning conditions on solar cell performance is investigated. After optimization of the plasma operating conditions, the performance of the solar cell is improved and the overall gain in efficiency of 0.6% absolute is yielded compared to a cell without any further cleaning step. On the other hand, the best solar cell characteristics can reach values close to that achieved by the conventional wet chemical etching processes demonstrating the effectiveness of the additional O 2 /H 2 post cleaning treatment.(author)

  10. Selective photochemical dry etching of compound semiconductors

    International Nuclear Information System (INIS)

    Ashby, C.I.H.

    1988-01-01

    When laser-driven etching of a semiconductor requires direct participation of photogenerated carriers, the etching quantum yield will be sensitive to the electronic properties of a specific semiconductor material. The band-gap energy of the semiconductor determines the minimum photon energy needed for carrier-driven etching since sub-gap photons do not generate free carriers. However, only those free carriers that reach the reacting surface contribute to etching and the ultimate carrier flux to the surface is controlled by more subtle electronic properties than the lowest-energy band gap. For example, the initial depth of carrier generation and the probability of carrier recombination between the point of generation and the surface profoundly influence the etching quantum yield. Appropriate manipulation of process parameters can provide additional reaction control based on such secondary electronic properties. Applications to selective dry etching of GaAs and related materials are discussed

  11. Inductively coupled plasma etching of III-V antimonides in BCl{sub 3}/SiCl{sub 4} etch chemistry

    Energy Technology Data Exchange (ETDEWEB)

    Swaminathan, K. [Department of Electrical and Computer Engineering, University of Delaware, Newark, Delaware 19716 (United States)], E-mail: swaminak@ece.osu.edu; Janardhanan, P.E.; Sulima, O.V. [Department of Electrical and Computer Engineering, University of Delaware, Newark, Delaware 19716 (United States)

    2008-10-01

    Inductively coupled plasma etching of GaSb using BCl{sub 3}/SiCl{sub 4} etch chemistry has been investigated. The etch rates were studied as a function of bias power, inductively coupled plasma source power, plasma chemistry and chamber pressure. The etched surfaces remain smooth and stoichiometric over the entire range of plasma conditions investigated. The knowledge gained in etching GaSb was applied to etching AlGaAsSb and InGaAsSb in order to fabricate heterojunction phototransistors. As expected, InGaAsSb etch rate was much lower compared to the corresponding value for GaSb, mainly due to the relatively low volatility of indium chlorides. For a wide range of plasma conditions, the selectivity between GaSb and AlGaAsSb was close to unity, which is desirable for fabricating etched mirrors and gratings for Sb-based mid-infrared laser diodes. The surface roughness and the etch profile were examined for the etched GaSb, AlGaAsSb and InGaAsSb samples using scanning electron microscope. The high etch rates achieved ({approx} 4 {mu}m/min) facilitated deep etching of GaSb. A single layer, soft mask (AZ-4903 photoresist) was used to etch GaSb, with etch depth {approx} 90 {mu}m. The deep dry etching of GaSb has many important applications including etching substrate windows for backside-illuminated photodetectors for the mid-infrared wavelength range.

  12. Enhanced performance of solar cells with optimized surface recombination and efficient photon capturing via anisotropic-etching of black silicon

    International Nuclear Information System (INIS)

    Chen, H. Y.; Peng, Y.; Hong, M.; Zhang, Y. B.; Cai, Bin; Zhu, Y. M.; Yuan, G. D.; Zhang, Y.; Liu, Z. Q.; Wang, J. X.; Li, J. M.

    2014-01-01

    We report an enhanced conversion efficiency of femtosecond-laser treated silicon solar cells by surface modification of anisotropic-etching. The etching improves minority carrier lifetime inside modified black silicon area substantially; moreover, after the etching, an inverted pyramids/upright pyramids mixed texture surface is obtained, which shows better photon capturing capability than that of conventional pyramid texture. Combing of these two merits, the reformed solar cells show higher conversion efficiency than that of conventional pyramid textured cells. This work presents a way for fabricating high performance silicon solar cells, which can be easily applied to mass-production

  13. Improved surface quality of anisotropically etched silicon {111} planes for mm-scale optics

    International Nuclear Information System (INIS)

    Cotter, J P; Hinds, E A; Zeimpekis, I; Kraft, M

    2013-01-01

    We have studied the surface quality of millimetre-scale optical mirrors produced by etching CZ and FZ silicon wafers in potassium hydroxide to expose the {111} planes. We find that the FZ surfaces have four times lower noise power at spatial frequencies up to 500 mm −1 . We conclude that mirrors made using FZ wafers have higher optical quality. (technical note)

  14. Silicon surface damage caused by reactive ion etching in fluorocarbon gas mixtures containing hydrogen

    International Nuclear Information System (INIS)

    Norstroem, H.; Blom, H.; Ostling, M.; Nylandsted Larsen, A.; Keinonen, J.; Berg, S.

    1991-01-01

    For selective etching of SiO 2 on silicon, gases or gas mixtures containing hydrogen are often used. Hydrogen from the glow discharge promotes the formation of a thin film polymer layer responsible for the selectivity of the etching process. The reactive ion etch (RIE) process is known to create damage in the silicon substrate. The influence of hydrogen on the damage and deactivation of dopants is investigated in the present work. The distribution of hydrogen in silicon, after different etching and annealing conditions have been studied. The influence of the RIE process on the charge carrier concentration in silicon has been investigated. Various analytical techniques like contact resistivity measurements, four point probe measurements, and Hall measurements have been used to determine the influence of the RIE process on the electrical properties of processed silicon wafers. The hydrogen profile in as-etched and post annealed wafers was determined by the 1 H( 15 N,αγ) 12 C nuclear reaction. The depth of the deactivated surface layer is discussed in terms of the impinging hydrogen ion energy, i.e., the possibility of H + ions to pick up an energy equal to the peak-to-peak voltage of the rf signal

  15. Surface changes of biopolymers PHB and PLLA induced by Ar{sup +} plasma treatment and wet etching

    Energy Technology Data Exchange (ETDEWEB)

    Slepičková Kasálková, N. [Department of Solid State Engineering, Institute of Chemical Technology, 166 28 Prague (Czech Republic); Slepička, P., E-mail: petr.slepicka@vscht.cz [Department of Solid State Engineering, Institute of Chemical Technology, 166 28 Prague (Czech Republic); Sajdl, P. [Department of Power Engineering, Institute of Chemical Technology, 166 28 Prague (Czech Republic); Švorčík, V. [Department of Solid State Engineering, Institute of Chemical Technology, 166 28 Prague (Czech Republic)

    2014-08-01

    Polymers, especially group of biopolymers find potential application in a wide range of disciplines due to their biodegradability. In biomedical applications these materials can be used as a scaffold or matrix. In this work, the influence of the Ar{sup +} plasma treatment and subsequent wet etching (acetone/water) on the surface properties of polymers were studied. Two biopolymers – polyhydroxybutyrate with 8% polyhydroxyvalerate (PHB) and poly-L-lactic acid (PLLA) were used in these experiments. Modified surface layers were analyzed by different methods. Surface wettability was characterized by determination of water contact angle. Changes in elemental composition of modified surfaces were performed by X-ray Photoelectron Spectroscopy (XPS). Surface morphology and roughness was examined using Atomic Force Microscopy (AFM). Gravimetry method was used to study the mass loss. It was found that the modification from both with plasma and wet etching leads to dramatic changes of surface properties (surface chemistry, morphology and roughness). Rate of changes of these features strongly depends on the modification parameters.

  16. Etching of fused silica fiber by metallic laser-induced backside wet etching technique

    Energy Technology Data Exchange (ETDEWEB)

    Vass, Cs., E-mail: vasscsaba@physx.u-szeged.hu [Department of Optics and Quantum Electronics, University of Szeged, H-6720 Szeged, Dóm tér 9 (Hungary); Kiss, B.; Kopniczky, J.; Hopp, B. [Department of Optics and Quantum Electronics, University of Szeged, H-6720 Szeged, Dóm tér 9 (Hungary)

    2013-08-01

    The tip of multimode fused silica fiber (core diameter: 550 μm) was etched by metallic laser-induced backside wet etching (M-LIBWE) method. Frequency doubled, Q-switched Nd:YAG laser (λ = 532 nm; τ{sub FWHM} = 8 ns) was used as laser source. The laser beam was coupled into the fiber by a fused silica lens with a focal length of 1500 mm. The other tip of the fiber was dipped into liquid gallium metallic absorber. The etching threshold fluence was measured to be 475 mJ/cm{sup 2}, while the highest fluence, which resulted etching without breaking the fiber, was 1060 mJ/cm{sup 2}. The progress of etching was followed by optical microscopy, and the etch rate was measured to be between 20 and 37 nm/pulse depending on the applied laser energy. The surface morphologies of the etched tips were studied by scanning electron microscopy. A possible application of the structured fibers was also tested.

  17. Etching of fused silica fiber by metallic laser-induced backside wet etching technique

    International Nuclear Information System (INIS)

    Vass, Cs.; Kiss, B.; Kopniczky, J.; Hopp, B.

    2013-01-01

    The tip of multimode fused silica fiber (core diameter: 550 μm) was etched by metallic laser-induced backside wet etching (M-LIBWE) method. Frequency doubled, Q-switched Nd:YAG laser (λ = 532 nm; τ FWHM = 8 ns) was used as laser source. The laser beam was coupled into the fiber by a fused silica lens with a focal length of 1500 mm. The other tip of the fiber was dipped into liquid gallium metallic absorber. The etching threshold fluence was measured to be 475 mJ/cm 2 , while the highest fluence, which resulted etching without breaking the fiber, was 1060 mJ/cm 2 . The progress of etching was followed by optical microscopy, and the etch rate was measured to be between 20 and 37 nm/pulse depending on the applied laser energy. The surface morphologies of the etched tips were studied by scanning electron microscopy. A possible application of the structured fibers was also tested.

  18. Selective dry etching of silicon containing anti-reflective coating

    Science.gov (United States)

    Sridhar, Shyam; Nolan, Andrew; Wang, Li; Karakas, Erdinc; Voronin, Sergey; Biolsi, Peter; Ranjan, Alok

    2018-03-01

    Multi-layer patterning schemes involve the use of Silicon containing Anti-Reflective Coating (SiARC) films for their anti-reflective properties. Patterning transfer completion requires complete and selective removal of SiARC which is very difficult due to its high silicon content (>40%). Typically, SiARC removal is accomplished through a non-selective etch during the pattern transfer process using fluorine containing plasmas, or an ex-situ wet etch process using hydrofluoric acid is employed to remove the residual SiARC, post pattern transfer. Using a non-selective etch may result in profile distortion or wiggling, due to distortion of the underlying organic layer. The drawbacks of using wet etch process for SiARC removal are increased overall processing time and the need for additional equipment. Many applications may involve patterning of active structures in a poly-Si layer with an underlying oxide stopping layer. In such applications, SiARC removal selective to oxide using a wet process may prove futile. Removing SiARC selectively to SiO2 using a dry etch process is also challenging, due to similarity in the nature of chemical bonds (Si - O) in the two materials. In this work, we present highly selective etching of SiARC, in a plasma driven by a surface wave radial line slot antenna. The first step in the process involves an in-situ modification of the SiARC layer in O2 plasma followed by selective etching in a NF3/H2 plasma. Surface treatment in O2 plasma resulted in enhanced etching of the SiARC layer. For the right processing conditions, in-situ NF3/H2 dry etch process demonstrated selectivity values greater than 15:1 with respect to SiO2. The etching chemistry, however, was sensitive to NF3:H2 gas ratio. For dilute NF3 in H2, no SiARC etching was observed. Presumably, this is due to the deposition of ammonium fluorosilicate layer that occurs for dilute NF3/H2 plasmas. Additionally, challenges involved in selective SiARC removal (selective to SiO2, organic

  19. Correlation between grain orientation and the shade of color etching

    International Nuclear Information System (INIS)

    Szabo, Peter J.; Kardos, I.

    2010-01-01

    Color etching is an extremely effective metallographic technique not only for making grains well visible, but also for making them distinguishable for automated image analyzers. During color etching, a thin film is formed on the surface of the specimen. The thickness of this layer is in the order of magnitude of the visible light and since both the metal-film boundary and the film surface reflect light, an interference occurs. A wavelength-component of the white line is eliminated and its complementary color will be seen on the surface. As the thickness changes, the colors also change grain by grain. The thickness of the film is dependent on several factors, mostly on the type of the phase. However, different color shades can be observed on the surfaces of single phase materials, which phenomenon is caused by the different crystallographic orientations of the grains. This paper shows a combined color etching electron backscatter diffraction (EBSD) investigation of cast iron. An area of the surface of a gray cast iron specimen was etched. Colors were characterized by their luminescence and their red, green and blue intensity. An EBSD orientation map was taken from the same area and the orientations of the individual grains were determined. Results showed that a strong correlation was found between the luminescence and the R, G, B intensity of the color and the angle between the specimen normal and the direction, while such correlation was not observed between the color parameters and the and directions, respectively. This indicates that film thickness is sensitive to the direction of the crystal.

  20. Plasma etching of electrospun polymeric nanofibres

    Energy Technology Data Exchange (ETDEWEB)

    Verdonck, Patrick [LSI-PSI-EPUSP, Av. Prof. Luciano Gualberto trav 3, 158, 05508-900 Sao Paulo, SP (Brazil)]. E-mail: verdonck@imec.be; Braga Caliope, Priscila [LSI-PSI-EPUSP, Av. Prof. Luciano Gualberto trav 3, 158, 05508-900 Sao Paulo, SP (Brazil); Moral Hernandez, Emilio del [LSI-PSI-EPUSP, Av. Prof. Luciano Gualberto trav 3, 158, 05508-900 Sao Paulo, SP (Brazil); Silva, Ana Neilde R. da [LSI-PSI-EPUSP, Av. Prof. Luciano Gualberto trav 3, 158, 05508-900 Sao Paulo, SP (Brazil); FATEC-SP, Pca Fernando Prestes, 30 Sao Paulo, SP (Brazil)

    2006-10-25

    Electrospun polymeric nanofibres have several applications because of their high surface area to volume and high length to diameter ratios. This paper investigates the influence of plasma etching on these fibres and the etching mechanisms. For the characterization, SEM analysis was performed to determine the forms and shapes of the fibres and SEM photos were analysed by the technique of mathematical morphology, in order to determine the area on the sample occupied by the fibres and the frequency distribution of the nanofibre diameters. The results showed that the oxygen plasma etches the nanofibres much faster when ion bombardment is present. The form of the fibres is not altered by the etching, indicating the possibility of transport of oxygen atoms over the fibre surface. The most frequent diameter, somewhat surprisingly, is not significantly dependent on the etching process, and remains of the order of 80 nm, indicating that fibres with smaller diameters are etched at high rates.

  1. Surface reactions during low-k etching using H2/N2 plasma

    International Nuclear Information System (INIS)

    Fukasawa, Masanaga; Tatsumi, Tetsuya; Oshima, Keiji; Nagahata, Kazunori; Uchida, Saburo; Takashima, Seigo; Hori, Masaru; Kamide, Yukihiro

    2008-01-01

    We investigated the relationship between the hard mask faceting that occurs during organic low-k etching and the ion energy distribution function of a capacitively coupled plasma reactor. We minimized the hard mask faceting by precisely controlling the ion energy. This precise control was obtained by selecting the optimum bottom frequency and bias power. We measured the amount of damage done to a SiOCH film exposed to H 2 /N 2 plasma in order to find the H 2 /N 2 ratio at which the plasma caused the least damage. The amount of moisture uptake by the damaged SiOCH film is the dominant factor controlling the dielectric constant increase (Δk). To suppress Δk, the incident ion species and ion energies have to be precisely controlled. This reduces the number of adsorption sites in the bulk SiOCH and maintains the hydrophobic surface that suppresses water permeation during air exposure

  2. A study on decontamination of TRU, Co, and Mo using plasma surface etching technique

    International Nuclear Information System (INIS)

    Seo, Y.D.; Kim, Y.S.; Paek, S.H.; Lee, K.H.; Jung, C.H.; Oh, W.Z.

    2001-01-01

    Recently dry decontamination/surface-cleaning technology using plasma etching has been focused in the nuclear industry. In this study, the applicability and the effectiveness of this new dry processing technique are experimentally investigated by examining the etching reaction of UO 2 , Co, and Mo in r.f. plasma with the etchant gas of CF 4 /O 2 mixture. UO 2 is chosen as a representing material for uranium and TRU (TRans-Uranic) compounds and metallic Co and Mo are selected because they are the principal contaminants in the spent nuclear components such as valves and pipes made of stainless steel or INCONEL. Results show that in all cases maximum etching rate is achieved when the mole fraction of O 2 to CF 4 /O 2 mixture gas is 20 %, regardless of temperature and r.f. power. (author)

  3. Influence of Etching Mode on Enamel Bond Durability of Universal Adhesive Systems.

    Science.gov (United States)

    Suzuki, T; Takamizawa, T; Barkmeier, W W; Tsujimoto, A; Endo, H; Erickson, R L; Latta, M A; Miyazaki, M

    2016-01-01

    The purpose of this study was to determine the enamel bond durability of three universal adhesives in different etching modes through fatigue testing. The three universal adhesives used were Scotchbond Universal, Prime&Bond Elect universal dental adhesive, and All-Bond Universal light-cured dental adhesive. A single-step self-etch adhesive, Clearfil S 3 Bond Plus was used as a control. The shear bond strength (SBS) and shear fatigue strength (SFS) to human enamel were evaluated in total-etch mode and self-etch mode. A stainless steel metal ring with an internal diameter of 2.4 mm was used to bond the resin composite to the flat-ground (4000-grit) tooth surfaces for determination of both SBS and SFS. For each enamel surface treatment, 15 specimens were prepared for SBS and 30 specimens for SFS. The staircase method for fatigue testing was then used to determine the SFS of the resin composite bonded to the enamel using 10-Hz frequencies for 50,000 cycles or until failure occurred. Scanning electron microscopy was used to observe representative debonded specimen surfaces and the resin-enamel interfaces. A two-way analysis of variance and the Tukey post hoc test were used for analysis of the SBS data, whereas a modified t-test with Bonferroni correction was used for the SFS data. All adhesives in total-etch mode showed significantly higher SBS and SFS values than those in self-etch mode. Although All-Bond Universal in self-etch mode showed a significantly lower SBS value than the other adhesives, there was no significant difference in SFS values among the adhesives in this mode. All adhesives showed higher SFS:SBS ratios in total-etch mode than in self-etch mode. With regard to the adhesive systems used in this study, universal adhesives showed higher enamel bond strengths in total-etch mode. Although the influence of different etching modes on the enamel-bonding performance of universal adhesives was found to be dependent on the adhesive material, total-etch mode

  4. Self-etching adhesive on intact enamel, with and without pre-etching.

    Science.gov (United States)

    Devarasa, G M; Subba Reddy, V V; Chaitra, N L; Swarna, Y M

    2012-05-01

    Bond strengths of composite resin to enamel using self-etch adhesive (SEA) Clearfil SE bond system on intact enamel and enamel pre-etched with phosphoric acid were compared. The objective was to determine if the pre-etching would increase the bond strengths of the SEA systems to intact enamel and to evaluate the effect of pre-etching on bond formation of self-etch adhesives on intact enamel. Labial surfaces of 40 caries free permanent upper central and lateral incisors were cleaned, sectioned of their roots. All specimens were mounted on acrylic block and divided randomly into four groups. In two groups the application of self-etch adhesive, Clearfil SE bond was carried as per manufacturer's instructions, composite cylinders were built, whereas in the other two groups, 37% phosphoric acid etching was done before the application of self-etching adhesives. Then the resin tags were analyzed using scanning electron microscope and shear bond strength was measured using Instron universal testing machine. When phosphoric acid was used, there was significant increase in the depth of penetration of resin tags and in the Shear Bond Strength of composite to enamel. The results indicate that out of both treatment groups, pre-etching the intact enamel with 37% phosphoric acid resulted in formation of longer resin tags and higher depth of penetration of resin tags of the Clearfil SE bond, and attaining higher bond strength of the Clearfil SE bond to intact enamel. Copyright © 2011 Wiley Periodicals, Inc.

  5. Bonding effectiveness of self-etch adhesives to dentin after 24 h water storage.

    Science.gov (United States)

    Sarr, Mouhamed; Benoist, Fatou Leye; Bane, Khaly; Aidara, Adjaratou Wakha; Seck, Anta; Toure, Babacar

    2018-01-01

    This study evaluated the immediate bonding effectiveness of five self-etch adhesive systems bonded to dentin. The microtensile bond strength of five self-etch adhesives systems, including one two-step and four one-step self-etch adhesives to dentin, was measured. Human third molars had their superficial dentin surface exposed, after which a standardized smear layer was produced using a medium-grit diamond bur. The selected adhesives were applied according to their respective manufacturer's instructions for μTBS measurement after storage in water at 37°C for 24 h. The μTBS varied from 11.1 to 44.3 MPa; the highest bond strength was obtained with the two-step self-etch adhesive Clearfil SE Bond and the lowest with the one-step self-etch adhesive Adper Prompt L-Pop. Pretesting failures mainly occurring during sectioning with the slow-speed diamond saw were observed only with the one-step self-etch adhesive Adper Prompt L-Pop (4 out of 18). When bonded to dentin, the self-etch adhesives with simplified application procedures (one-step self-etch adhesives) still underperform as compared to the two-step self-etch adhesive Clearfil SE Bond.

  6. SU-8 etching in inductively coupled oxygen plasma

    DEFF Research Database (Denmark)

    Rasmussen, Kristian Hagsted; Keller, Stephan Sylvest; Jensen, Flemming

    2013-01-01

    Structuring or removal of the epoxy based, photo sensitive polymer SU-8 by inductively coupled plasma reactive ion etching (ICP-RIE) was investigated as a function of plasma chemistry, bias power, temperature, and pressure. In a pure oxygen plasma, surface accumulation of antimony from the photo......-initiator introduced severe roughness and reduced etch rate significantly. Addition of SF6 to the plasma chemistry reduced the antimony surface concentration with lower roughness and higher etch rate as an outcome. Furthermore the etch anisotropy could be tuned by controlling the bias power. Etch rates up to 800 nm...

  7. Guiding gate-etch process development using 3D surface reaction modeling for 7nm and beyond

    Science.gov (United States)

    Dunn, Derren; Sporre, John R.; Deshpande, Vaibhav; Oulmane, Mohamed; Gull, Ronald; Ventzek, Peter; Ranjan, Alok

    2017-03-01

    Increasingly, advanced process nodes such as 7nm (N7) are fundamentally 3D and require stringent control of critical dimensions over high aspect ratio features. Process integration in these nodes requires a deep understanding of complex physical mechanisms to control critical dimensions from lithography through final etch. Polysilicon gate etch processes are critical steps in several device architectures for advanced nodes that rely on self-aligned patterning approaches to gate definition. These processes are required to meet several key metrics: (a) vertical etch profiles over high aspect ratios; (b) clean gate sidewalls free of etch process residue; (c) minimal erosion of liner oxide films protecting key architectural elements such as fins; and (e) residue free corners at gate interfaces with critical device elements. In this study, we explore how hybrid modeling approaches can be used to model a multi-step finFET polysilicon gate etch process. Initial parts of the patterning process through hardmask assembly are modeled using process emulation. Important aspects of gate definition are then modeled using a particle Monte Carlo (PMC) feature scale model that incorporates surface chemical reactions.1 When necessary, species and energy flux inputs to the PMC model are derived from simulations of the etch chamber. The modeled polysilicon gate etch process consists of several steps including a hard mask breakthrough step (BT), main feature etch steps (ME), and over-etch steps (OE) that control gate profiles at the gate fin interface. An additional constraint on this etch flow is that fin spacer oxides are left intact after final profile tuning steps. A natural optimization required from these processes is to maximize vertical gate profiles while minimizing erosion of fin spacer films.2

  8. Plasma etching to enhance the surface insulating stability of alumina for fusion applications

    Directory of Open Access Journals (Sweden)

    M. Malo

    2016-12-01

    Full Text Available A significant increase in the surface electrical conductivity of alumina, considered one of the most promising insulating materials for numerous applications in fusion devices, has been observed during ion bombardment in vacuum due to oxygen loss by preferential sputtering. Although this is expected to cause serious limitations to insulating components functionality, recent studies showed it is possible to restore the damaged lattice by oxygen reincorporation during thermal treatments in air. These studies also revealed a correlation between conductivity and ion beam induced luminescence, which is being used to monitor surface electrical conductivity degradation and help qualify the post irradiation recovery. Work now carried out for Wesgo alumina considers oxygen implantation and plasma etching as additional methods to improve recovered layer depth and quality. Both conductivity and luminescence results indicate the potential use of plasma etching not only for damage recovery, but also as a pre-treatment to enhance material stability during irradiation.

  9. Facile fabrication of superhydrophobic surfaces from austenitic stainless steel (AISI 304) by chemical etching

    Science.gov (United States)

    Kim, Jae-Hun; Mirzaei, Ali; Kim, Hyoun Woo; Kim, Sang Sub

    2018-05-01

    Stainless steels are among the most common engineering materials and are used extensively in humid areas. Therefore, it is important that these materials must be robust to humidity and corrosion. This paper reports the fabrication of superhydrophobic surfaces from austenitic stainless steel (type AISI 304) using a facile two-step chemical etching method. In the first step, the stainless steel plates were etched in a HF solution, followed by a fluorination process, where they showed a water contact angle (WCA) of 166° and a sliding angle of 5° under the optimal conditions. To further enhance the superhydrophobicity, in the second step, they were dipped in a 0.1 wt.% NaCl solution at 100 °C, where the WCA was increased to 168° and the sliding angle was decreased to ∼2°. The long-term durability of the fabricated superhydrophobic samples for 1 month storage in air and water was investigated. The potential applicability of the fabricated samples was demonstrated by the excellent superhydrophobicity after 1 month. In addition, the self-cleaning properties of the fabricated superhydrophobic surface were also demonstrated. This paper outlines a facile, low-cost and scalable chemical etching method that can be adopted easily for large-scale purposes.

  10. Self-formation of a nanonet of fluorinated carbon nanowires on the Si surface by combined etching in fluorine-containing plasma

    Science.gov (United States)

    Amirov, I. I.; Gorlachev, E. S.; Mazaletskiy, L. A.; Izyumov, M. O.; Alov, N. V.

    2018-03-01

    In this work, we report a technique of the self-formation of a nanonet of fluorinated carbon nanowires on the Si surface using a combined etching in fluorine-containing C4F8/Ar and SF6 plasmas. Using scanning electron microscopy, atomic force microscopy and x-ray photoelectron spectroscopy, we show that after the etching of Si in the C4F8/Ar plasma, a fluorinated carbon film of nanometer-scale thickness is formed on its surface and its formation accelerates at elevated temperatures. After a subsequent short-term etching in the SF6 plasma, the film is modified into a nanonet of self-formed fluorinated carbon nanowires.

  11. Fluorocarbon based atomic layer etching of Si_3N_4 and etching selectivity of SiO_2 over Si_3N_4

    International Nuclear Information System (INIS)

    Li, Chen; Metzler, Dominik; Oehrlein, Gottlieb S.; Lai, Chiukin Steven; Hudson, Eric A.

    2016-01-01

    Angstrom-level plasma etching precision is required for semiconductor manufacturing of sub-10 nm critical dimension features. Atomic layer etching (ALE), achieved by a series of self-limited cycles, can precisely control etching depths by limiting the amount of chemical reactant available at the surface. Recently, SiO_2 ALE has been achieved by deposition of a thin (several Angstroms) reactive fluorocarbon (FC) layer on the material surface using controlled FC precursor flow and subsequent low energy Ar"+ ion bombardment in a cyclic fashion. Low energy ion bombardment is used to remove the FC layer along with a limited amount of SiO_2 from the surface. In the present article, the authors describe controlled etching of Si_3N_4 and SiO_2 layers of one to several Angstroms using this cyclic ALE approach. Si_3N_4 etching and etching selectivity of SiO_2 over Si_3N_4 were studied and evaluated with regard to the dependence on maximum ion energy, etching step length (ESL), FC surface coverage, and precursor selection. Surface chemistries of Si_3N_4 were investigated by x-ray photoelectron spectroscopy (XPS) after vacuum transfer at each stage of the ALE process. Since Si_3N_4 has a lower physical sputtering energy threshold than SiO_2, Si_3N_4 physical sputtering can take place after removal of chemical etchant at the end of each cycle for relatively high ion energies. Si_3N_4 to SiO_2 ALE etching selectivity was observed for these FC depleted conditions. By optimization of the ALE process parameters, e.g., low ion energies, short ESLs, and/or high FC film deposition per cycle, highly selective SiO_2 to Si_3N_4 etching can be achieved for FC accumulation conditions, where FC can be selectively accumulated on Si_3N_4 surfaces. This highly selective etching is explained by a lower carbon consumption of Si_3N_4 as compared to SiO_2. The comparison of C_4F_8 and CHF_3 only showed a difference in etching selectivity for FC depleted conditions. For FC accumulation conditions

  12. Performance of a universal adhesive on etched and non-etched surfaces: Do the results match the expectations?

    Energy Technology Data Exchange (ETDEWEB)

    Grégoire, Geneviève, E-mail: genevieve.gregoire@univ-tlse3.fr [Department of Biomaterials, Faculty of Odontology, University Toulouse III, 31062 Toulouse (France); Sharrock, Patrick, E-mail: patrick.sharrock@gmail.com [CNRS UMR 5302, University Toulouse III, Mines-Albi, 81013 Albi (France); Prigent, Yann, E-mail: prigent@chimie.ups-tlse.fr [Institut de Chimie de Toulouse (ICT) – FR 2599, Faculté des Sciences et de l' Ingénierie, University Toulouse III, 31062 Toulouse (France)

    2016-09-01

    A universal adhesive was applied to human dentin in both the etched and rinsed state and the normal non etched state, to compare the resulting properties and detect any significant differences. The study focused on observations of the hybrid layer by scanning electron microscopy and on fluid permeation measurements as a function of time. Spectroscopic characterizations included infrared and differential calorimetric curves of the samples. The results obtained show non-statistically significant fluid permeability between the two sample types. Both the etched and rinsed samples and the non-etched ones showed similar homogeneous hybrid layers that reduced the fluid flow, and corresponded to well spread polymer coatings. The infrared results illustrated the spectra obtained on going from the outside adhesive layer to the inside portion of the dentin-polymer interface and did not reveal any intermediate zone resembling demineralized collagen that would be water saturated and not infiltrated with adhesive. The Differential Scanning Calorimetry (DSC) curves corresponded to the curves obtained with ethanol wet bonding in that free water (melting at 0 °C) was removed by the universal adhesive, and that no collagen melting was observed for the non-etched samples. The Diffusion-Ordered Spectroscopy Nuclear Magnetic Resonance (DOSY NMR) spectrum of the virgin adhesive showed the presence of water and ethanol solvents and indicated that several monomer or prepolymer molecules were present with multiple acrylic functional groups with diffusion coefficients related to molecular weights. Overall, the results show that universal adhesive can be used in the milder self-etch mode and that more aggressive etch and rinse procedure can be reserved for the occasions with sclerotic dentin or enamel regions more difficult to treat.

  13. Performance of a universal adhesive on etched and non-etched surfaces: Do the results match the expectations?

    International Nuclear Information System (INIS)

    Grégoire, Geneviève; Sharrock, Patrick; Prigent, Yann

    2016-01-01

    A universal adhesive was applied to human dentin in both the etched and rinsed state and the normal non etched state, to compare the resulting properties and detect any significant differences. The study focused on observations of the hybrid layer by scanning electron microscopy and on fluid permeation measurements as a function of time. Spectroscopic characterizations included infrared and differential calorimetric curves of the samples. The results obtained show non-statistically significant fluid permeability between the two sample types. Both the etched and rinsed samples and the non-etched ones showed similar homogeneous hybrid layers that reduced the fluid flow, and corresponded to well spread polymer coatings. The infrared results illustrated the spectra obtained on going from the outside adhesive layer to the inside portion of the dentin-polymer interface and did not reveal any intermediate zone resembling demineralized collagen that would be water saturated and not infiltrated with adhesive. The Differential Scanning Calorimetry (DSC) curves corresponded to the curves obtained with ethanol wet bonding in that free water (melting at 0 °C) was removed by the universal adhesive, and that no collagen melting was observed for the non-etched samples. The Diffusion-Ordered Spectroscopy Nuclear Magnetic Resonance (DOSY NMR) spectrum of the virgin adhesive showed the presence of water and ethanol solvents and indicated that several monomer or prepolymer molecules were present with multiple acrylic functional groups with diffusion coefficients related to molecular weights. Overall, the results show that universal adhesive can be used in the milder self-etch mode and that more aggressive etch and rinse procedure can be reserved for the occasions with sclerotic dentin or enamel regions more difficult to treat.

  14. Electronegativity-dependent tin etching from thin films

    Energy Technology Data Exchange (ETDEWEB)

    Pachecka, M., E-mail: m.pachecka@utwente.nl; Sturm, J. M.; Kruijs, R. W. E. van de; Lee, C. J.; Bijkerk, F. [Industrial Focus Group XUV Optics, MESA+ Institute for Nanotechnology, University of Twente, Drienerlolaan 5, Enschede (Netherlands)

    2016-07-15

    The influence of a thin film substrate material on the etching of a thin layer of deposited tin (Sn) by hydrogen radicals was studied. The amount of remaining Sn was quantified for materials that cover a range of electronegativities. We show that, for metals, etching depends on the relative electronegativity of the surface material and Sn. Tin is chemically etched from surfaces with an electronegativity smaller than Sn, while incomplete Sn etching is observed for materials with an electronegativity larger than Sn. Furthermore, the amount of remaining Sn increases as the electronegativity of the surface material increases. We speculate, that, due to Fermi level differences in the material’s electronic structure, the energy of the two conduction bands shift such that the availability of electrons for binding with hydrogen is significantly reduced.

  15. Wafer Surface Charge Reversal as a Method of Simplifying Nanosphere Lithography for Reactive Ion Etch Texturing of Solar Cells

    Directory of Open Access Journals (Sweden)

    Daniel Inns

    2007-01-01

    Full Text Available A simplified nanosphere lithography process has been developed which allows fast and low-waste maskings of Si surfaces for subsequent reactive ion etching (RIE texturing. Initially, a positive surface charge is applied to a wafer surface by dipping in a solution of aluminum nitrate. Dipping the positive-coated wafer into a solution of negatively charged silica beads (nanospheres results in the spheres becoming electrostatically attracted to the wafer surface. These nanospheres form an etch mask for RIE. After RIE texturing, the reflection of the surface is reduced as effectively as any other nanosphere lithography method, while this batch process used for masking is much faster, making it more industrially relevant.

  16. Design of an oval-form cathode for the precision etching process of e-paper surface

    International Nuclear Information System (INIS)

    Pa, P.S.

    2009-01-01

    A newly designed oval-form cathode using electroetching for indium-tin-oxide (ITO) microstructure removal from the surface of e-paper polymer PET films is presented. Through ultra-precise microstructural etching, the semiconductor industry can effectively reclaim defective products, thereby reducing production costs. The design features for the ITO removal process and the tool design of oval-form cathodes are of significant interest. A smaller oval-form cathode minor axis, a higher cathode rotational speed, a higher concentration, or a higher electrolyte temperature corresponds to a higher ITO etching rate.

  17. Influence of pH, bleaching agents, and acid etching on surface wear of bovine enamel

    Science.gov (United States)

    Soares, Ana Flávia; Bombonatti, Juliana Fraga Soares; Alencar, Marina Studart; Consolmagno, Elaine Cristina; Honório, Heitor Marques; Mondelli, Rafael Francisco Lia

    2016-01-01

    ABSTRACT Development of new materials for tooth bleaching justifies the need for studies to evaluate the changes in the enamel surface caused by different bleaching protocols. Objective The aim of this study was to evaluate the bovine dental enamel wear in function of different bleaching gel protocols, acid etching and pH variation. Material and Methods Sixty fragments of bovine teeth were cut, obtaining a control and test areas. In the test area, one half received etching followed by a bleaching gel application, and the other half, only the bleaching gel. The fragments were randomly divided into six groups (n=10), each one received one bleaching session with five hydrogen peroxide gel applications of 8 min, activated with hybrid light, diode laser/blue LED (HL) or diode laser/violet LED (VHL) (experimental): Control (C); 35% Total Blanc Office (TBO35HL); 35% Lase Peroxide Sensy (LPS35HL); 25% Lase Peroxide Sensy II (LPS25HL); 15% Lase Peroxide Lite (LPL15HL); and 10% hydrogen peroxide (experimental) (EXP10VHL). pH values were determined by a pHmeter at the initial and final time periods. Specimens were stored, subjected to simulated brushing cycles, and the superficial wear was determined (μm). ANOVA and Tukey´s tests were applied (α=0.05). Results The pH showed a slight decrease, except for Group LPL15HL. Group LPS25HL showed the highest degree of wear, with and without etching. Conclusion There was a decrease from the initial to the final pH. Different bleaching gels were able to increase the surface wear values after simulated brushing. Acid etching before bleaching increased surface wear values in all groups. PMID:27008254

  18. Unveiling the wet chemical etching characteristics of polydimethylsiloxane film for soft micromachining applications

    International Nuclear Information System (INIS)

    Kakati, A; Maji, D; Das, S

    2017-01-01

    Micromachining of a polydimethylsiloxane (PDMS) microstructure by wet chemical etching is explored for microelectromechanical systems (MEMS) and microfluidic applications. A 100 µ m thick PDMS film was patterned with different microstructure designs by wet chemical etching using a N-methyl-2-pyrrolidone (C 16 H 36 FN) and tetra-n-butylammonium fluoride (C 5 H 9 NO) mixture solution with 3:1 volume ratio after lithography for studying etching characteristics. The patterning parameters, such as etch rate, surface roughness, pH of etchant solution with time, were thoroughly investigated. A detailed study of surface morphology with etching time revealed nonlinear behaviour of the PDMS surface roughness and etch rate. A maximum rate of 1.45 µ m min −1 for 10 min etching with surface roughness of 360 nm was achieved. A new approach of wet chemical etching with pH controlled doped etchant was introduced for lower surface roughness of etched microstructures, and a constant etch rate during etching. Variation of the etching rate and surface roughness by pH controlled etching was performed by doping 5–15 gm l −1 of silicic acid (SiO 2xH2 O) into the traditional etchant solution. PDMS etching by silicic acid doped etchant solution showed a reduction in surface roughness from 400 nm to 220 nm for the same 15 µ m etching. This study is beneficial for micromachining of various MEMS and microfluidic structures such as micropillars, microchannels, and other PDMS microstructures. (paper)

  19. Molecular-beam epitaxial growth of insulating AlN on surface-controlled 6H-SiC substrate by HCl gas etching

    International Nuclear Information System (INIS)

    Onojima, Norio; Suda, Jun; Matsunami, Hiroyuki

    2002-01-01

    Insulating AlN layers were grown on surface-controlled 6H-SiC subtrates by molecular-beam epitaxy (MBE) using elemental Al and rf plasma-excited nitrogen (N*). HCl gas etching was introduced as an effective pretreatment method of substrate for MBE growth of AlN. 6H-SiC substrates pretreated by HCl gas etching had no surface polishing scratches and an atomically flat surface. In addition, evident ( 3 √x 3 √)R30 deg. surface reconstruction was observed even before thermal cleaning. AlN layers grown on this substrate had no defects related to surface polishing scratches and excellent insulating characteristics

  20. Effect of chemical etching on the surface roughness of CdZnTe and CdMnTe gamma radiation detectors

    International Nuclear Information System (INIS)

    Hossain, A.; Babalola, S.; Bolotnikov, A.E.; Camarda, G.S.; Cui, Y.; Yang, G.; Guo, M.; Kochanowska, D.; Mycielski, A.; Burger, A.; James, R.B.

    2008-01-01

    Generally, mechanical polishing is performed to diminish the cutting damage followed by chemical etching to remove the remaining damage on crystal surfaces. In this paper, we detail the findings from our study of the effects of various chemical treatments on the roughness of crystal surfaces. We prepared several CdZnTe (CZT) and CdMnTe (CMT) crystals by mechanical polishing with 5 (micro)m and/or lower grits of Al 2 O 3 abrasive papers including final polishing with 0.05-(micro)m particle size alumina powder and then etched them for different periods with a 2%, 5% Bromine-Methanol (B-M) solution, and also with an E-solution (HNO 3 :H 2 O:Cr 2 O 7 ). The material removal rate (etching rate) from the crystals was found to be 10 (micro)m, 30 (micro)m, and 15 (micro)m per minute, respectively. The roughness of the resulting surfaces was determined by the Atomic Force Microscopy (AFM) to identify the most efficient surface processing method by combining mechanical and chemical polishing

  1. Crystal growth vs. conventional acid etching: A comparative evaluation of etch patterns, penetration depths, and bond strengths

    Directory of Open Access Journals (Sweden)

    Devanna Raghu

    2008-01-01

    Full Text Available The present study was undertaken to investigate the effect on enamel surface, penetration depth, and bond strength produced by 37% phosphoric acid and 20% sulfated polyacrylic acid as etching agents for direct bonding. Eighty teeth were used to study the efficacy of the etching agents on the enamel surface, penetration depth, and tensile bond strength. It was determined from the present study that a 30 sec application of 20% sulfated polyacrylic acid produced comparable etching topography with that of 37% phosphoric acid applied for 30 sec. The 37% phosphoric acid dissolves enamel to a greater extent than does the 20% sulfated polyacrylic acid. Instron Universal testing machine was used to evaluate the bond strengths of the two etching agents. Twenty percent sulfated polyacrylic acid provided adequate tensile bond strength. It was ascertained that crystal growth can be an alternative to conventional phosphoric acid etching as it dissolves lesser enamel and provides adequate tensile bond strength.

  2. Optical and structural properties of porous zinc oxide fabricated via electrochemical etching method

    International Nuclear Information System (INIS)

    Ching, C.G.; Lee, S.C.; Ooi, P.K.; Ng, S.S.; Hassan, Z.; Hassan, H. Abu; Abdullah, M.J.

    2013-01-01

    Highlights: • Hillock like porous structure zinc oxide was obtained via electrochemical etching. • Anisotropic dominance etching process by KOH etchant. • Reststrahlen features are sensitive to multilayer porous structure. • Determination of porosity from IR reflectance spectrum. -- Abstract: We investigated the optical and structural properties of porous zinc oxide (ZnO) thin film fabricated by ultraviolet light-assisted electrochemical etching. This fabrication process used 10 wt% potassium hydroxide solution as an electrolyte. Hillock-like porous ZnO films were successfully fabricated according to the field emission scanning electron microscopy results. The cross-sectional study of the sample indicated that anisotropic-dominated etching process occurred. However, the atomic force microscopic results showed an increase in surface roughness of the sample after electrochemical etching. A resonance hump induced by the porous structure was observed in the infrared reflectance spectrum. Using theoretical modeling technique, ZnO porosification was verified, and the porosity of the sample was determined

  3. Modeling of the angular dependence of plasma etching

    International Nuclear Information System (INIS)

    Guo Wei; Sawin, Herbert H.

    2009-01-01

    An understanding of the angular dependence of etching yield is essential to investigate the origins of sidewall roughness during plasma etching. In this article the angular dependence of polysilicon etching in Cl 2 plasma was modeled as a combination of individual angular-dependent etching yields for ion-initiated processes including physical sputtering, ion-induced etching, vacancy generation, and removal. The modeled etching yield exhibited a maximum at ∼60 degree sign off-normal ion angle at low flux ratio, indicative of physical sputtering. It transformed to the angular dependence of ion-induced etching with the increase in the neutral-to-ion flux ratio. Good agreement between the modeling and the experiments was achieved for various flux ratios and ion energies. The variation of etching yield in response to the ion angle was incorporated in the three-dimensional profile simulation and qualitative agreement was obtained. The surface composition was calculated and compared to x-ray photoelectron spectroscopy (XPS) analysis. The modeling indicated a Cl areal density of 3x10 15 atoms/cm 2 on the surface that is close to the value determined by the XPS analysis. The response of Cl fraction to ion energy and flux ratio was modeled and correlated with the etching yields. The complete mixing-layer kinetics model with the angular dependence effect will be used for quantitative surface roughening analysis using a profile simulator in future work.

  4. Kinetic-limited etching of magnesium doping nitrogen polar GaN in potassium hydroxide solution

    Science.gov (United States)

    Jiang, Junyan; Zhang, Yuantao; Chi, Chen; Yang, Fan; Li, Pengchong; Zhao, Degang; Zhang, Baolin; Du, Guotong

    2016-01-01

    KOH based wet etchings were performed on both undoped and Mg-doped N-polar GaN films grown by metal-organic chemical vapor deposition. It is found that the etching rate for Mg-doped N-polar GaN gets slow obviously compared with undoped N-polar GaN. X-ray photoelectron spectroscopy analysis proved that Mg oxide formed on N-polar GaN surface is insoluble in KOH solution so that kinetic-limited etching occurs as the etching process goes on. The etching process model of Mg-doped N-polar GaN in KOH solution is tentatively purposed using a simplified ideal atomic configuration. Raman spectroscopy analysis reveals that Mg doping can induce tensile strain in N-polar GaN films. Meanwhile, p-type N-polar GaN film with a hole concentration of 2.4 ÿ 1017 cm⿿3 was obtained by optimizing bis-cyclopentadienyl magnesium flow rates.

  5. Advanced dry etching studies for micro- and nano-systems

    DEFF Research Database (Denmark)

    Rasmussen, Kristian Hagsted

    and even contaminate the surface with metal flakes after resist removal. Ion beam etching has also been used for etching of steel without any problems with redeposition. For steel the etch rate was low which reduced the selectivity to the photo resist. Sapphire, a crystal of aluminum oxide, has a very low....... However, just generating an oxygen plasma does not result in a controllable etch and may give rise to a poor surface for later use. It may be necessary to introduce other gases such as SF6 to reduce surface roughness. Roughness can also be introduced by the mask in the form of redeposition of material...

  6. Effects of various etching protocols on the flexural properties and surface topography of fiber-reinforced composite dental posts.

    Science.gov (United States)

    Aksornmuang, Juthatip; Chuenarrom, Chanya; Chittithaworn, Natjira

    2017-09-26

    The purpose of this study was to evaluate the flexural properties and surface topography of fiber posts surface-treated with various etching protocols. Seventy each of three types of fiber posts: RelyX Fiber Post, Tenax Fiber Trans, and D.T. Light-Post Illusion X-Ro, were randomly divided into 7 groups: no surface treatment, surface treated with hydrofluoric acid (HF) 4.5% for 60 s, HF 4.5% for 120 s, HF 9.6% for 15 s, HF 9.6% for 60 s, HF 9.6% for 120 s, and treated with H 2 O 2 24% for 10 min. The specimens were then subjected to a three-point bending test. Surface topographies of the posts were observed using a SEM. The results indicate that fiber post surface pretreatments had no adverse effects on the flexural properties. However, the fiber posts treated with high HF concentrations or long etching times seemed to have more surface irregularities.

  7. The mechanism of selective corrugation removal by KOH anisotropic wet etching

    International Nuclear Information System (INIS)

    Shikida, M; Inagaki, N; Sasaki, H; Amakawa, H; Fukuzawa, K; Sato, K

    2010-01-01

    The mechanism of selective corrugation removal by anisotropic wet etching—which reduces a periodic corrugation, called 'scalloping', formed on the sidewalls of microstructures by the Bosch process in deep reactive-ion etching (D-RIE)—was investigated. In particular, the corrugation-removal mechanism was analyzed by using the etching rate distribution pattern, and two equations for predicting the corrugation-removal time by the etching were derived. A Si{1 0 0} wafer was first etched by D-RIE at a depth of 29.4 µm (60 cycles) to form the corrugation on the sidewall surface. The height and pitch of the corrugation were 196 and 494 nm, respectively. Selective removal of the corrugation by using 50% KOH (40 °C) was experimentally tried. The corrugation formed on Si{1 0 0} sidewall surfaces was gradually reduced in size as the etching progressed, and it was completely removed after 5 min of etching. Similarly, the corrugation formed on a Si{1 1 0} sidewall surface was also selectively removed by KOH etching (etching time: 3 min). The roughness value of the sidewall surface was reduced from 17.6 nm to a few nanometers by the etching. These results confirm that the corrugation-removal mechanism using anisotropic wet etching can be explained in terms of the distribution pattern of etching rate

  8. Reactive ion etching of microphotonic structures

    International Nuclear Information System (INIS)

    Du, J.; Glasscock, J.; Vanajek, J.; Savvides, N.

    2004-01-01

    Full text: Fabrication of microphotonic structures such as planar waveguides and other periodic structures based on silicon technology has become increasingly important due to the potential for integration of planar optical devices. We have fabricated various periodic microstructures on silicon wafers using standard optical lithography and reactive ion etching (RIE). For optical applications the surface roughness and the sidewall angle or steepness of microstructures are the most critical factors. In particular, sidewall roughness of the etched waveguide core accounts for most of the optical propagation loss. We show that by varying the main RIE parameters such as gas pressure, RF power and CF 4 /Ar/O 2 gas composition it is possible to produce microstructures with near-vertical sidewalls and very smooth surfaces. In addition to plasma etching conditions, poor edge quality of the mask often causes sidewall roughness. We employed Ni/Cr metal masks in these experiments for deep etching, and used Ar + ion milling instead of wet chemical etching to open the mask. This improves the edge quality of the mask and ultimately results in smooth sidewalls

  9. Enhanced photoluminescence from porous silicon by hydrogen-plasma etching

    International Nuclear Information System (INIS)

    Wang, Q.; Gu, C.Z.; Li, J.J.; Wang, Z.L.; Shi, C.Y.; Xu, P.; Zhu, K.; Liu, Y.L.

    2005-01-01

    Porous silicon (PS) was etched by hydrogen plasma. On the surface a large number of silicon nanocone arrays and nanocrystallites were formed. It is found that the photoluminescence of the H-etched porous silicon is highly enhanced. Correspondingly, three emission centers including red, green, and blue emissions are shown to contribute to the enhanced photoluminescence of the H-etched PS, which originate from the recombination of trapped electrons with free holes due to Si=O bonding at the surface of the silicon nanocrystallites, the quantum size confinement effect, and oxygen vacancy in the surface SiO 2 layer, respectively. In particular, the increase of SiO x (x<2) formed on the surface of the H-etched porous silicon plays a very important role in enhancing the photoluminescence properties

  10. Determination of the Dissolution Slowness Surface by Study of Etched Shapes: II. Comparison of 2D Experimental and Theoretical Etching Shapes

    Science.gov (United States)

    Leblois, T.; Tellier, C. R.; Messaoudi, T.

    1997-03-01

    The anisotropic etching behavior of quartz crystal in concentrated ammonium bifluoride solution is studied and analyzed in the framework of a tensorial model. This model allows to simulate bi- or three-dimensional etching shapes from the equation for the representative surface of the dissolution slowness. In this paper, we present experimental results such as surface profile and initially circular cross-sectional profiles of differently singly- or doubly-rotated cuts. The polar diagrams of the dissolution slowness vector in several planes are deduced from experimental data. The comparison between predicted surface and cross-sectional profiles and experimental results is detailed and shows a good agreement. In particular, several examples give evidence that the final etched shapes are correlated to the extrema of the dissolution slowness. However, in several cases, experimental shapes cannot be simply correlated to the presence of extrema. Simulation gives effectively evidence for an important role played by more progressive changes in the curvature of the slowness surface. Consequently, analysis of data merits to be treated carefully. Nous nous proposons d'étudier et d'analyser à l'aide du modèle tensoriel de la dissolution l'attaque chimique anisotrope du cristal de quartz dans une solution concentrée de bifluorure d'ammonium. Ce modèle permet de simuler des formes usinées à deux ou trois dimensions à partir de l'équation de la surface représentative de la lenteur de dissolution du cristal de quartz. Dans cet article, nous présentons des résultats expérimentaux concernant des profils de surface et des sections initialement cylindriques de coupes à simple et double rotation. Les diagrammes polaires du vecteur lenteur de dissolution dans différents plans sont déduits de données expérimentales. La comparaison entre les profils de surface et de section théoriques et les résultats expérimentaux est détaillée et montre un bon accord. En

  11. Extreme wettability of nanostructured glass fabricated by non-lithographic, anisotropic etching

    Science.gov (United States)

    Yu, Eusun; Kim, Seul-Cham; Lee, Heon Ju; Oh, Kyu Hwan; Moon, Myoung-Woon

    2015-01-01

    Functional glass surfaces with the properties of superhydrophobicity/or superhydrohydrophilicity, anti-condensation or low reflectance require nano- or micro-scale roughness, which is difficult to fabricate directly on glass surfaces. Here, we report a novel non-lithographic method for the fabrication of nanostructures on glass; this method introduces a sacrificial SiO2 layer for anisotropic plasma etching. The first step was to form nanopillars on SiO2 layer-coated glass by using preferential CF4 plasma etching. With continuous plasma etching, the SiO2 pillars become etch-resistant masks on the glass; thus, the glass regions covered by the SiO2 pillars are etched slowly, and the regions with no SiO2 pillars are etched rapidly, resulting in nanopatterned glass. The glass surface that is etched with CF4 plasma becomes superhydrophilic because of its high surface energy, as well as its nano-scale roughness and high aspect ratio. Upon applying a subsequent hydrophobic coating to the nanostructured glass, a superhydrophobic surface was achieved. The light transmission of the glass was relatively unaffected by the nanostructures, whereas the reflectance was significantly reduced by the increase in nanopattern roughness on the glass. PMID:25791414

  12. Formation of Micro- and Nanostructures on the Nanotitanium Surface by Chemical Etching and Deposition of Titania Films by Atomic Layer Deposition (ALD

    Directory of Open Access Journals (Sweden)

    Denis V. Nazarov

    2015-12-01

    Full Text Available In this study, an integrated approach was used for the preparation of a nanotitanium-based bioactive material. The integrated approach included three methods: severe plastic deformation (SPD, chemical etching and atomic layer deposition (ALD. For the first time, it was experimentally shown that the nature of the etching medium (acidic or basic Piranha solutions and the etching time have a significant qualitative impact on the nanotitanium surface structure both at the nano- and microscale. The etched samples were coated with crystalline biocompatible TiO2 films with a thickness of 20 nm by Atomic Layer Deposition (ALD. Comparative study of the adhesive and spreading properties of human osteoblasts MG-63 has demonstrated that presence of nano- and microscale structures and crystalline titanium oxide on the surface of nanotitanium improve bioactive properties of the material.

  13. Bond strength of composite to dentin: effect of acid etching and laser irradiation through an uncured self-etch adhesive system

    International Nuclear Information System (INIS)

    Castro, F L A; Carvalho, J G; Andrade, M F; Saad, J R C; Hebling, J; Lizarelli, R F Z

    2014-01-01

    This study evaluated the effect on micro-tensile bond strength (µ-TBS) of laser irradiation of etched/unetched dentin through an uncured self-etching adhesive. Dentinal surfaces were treated with Clearfil SE Bond Adhesive (CSE) either according to the manufacturer’s instructions (CSE) or without applying the primer (CSE/NP). The dentin was irradiated through the uncured adhesive, using an Nd:YAG laser at 0.75 or 1 W power settings. The adhesive was cured, composite crowns were built up, and the teeth were sectioned into beams (0.49 mm 2 ) to be stressed under tension. Data were analyzed using one-way ANOVA and Tukey statistics (α = 5%). Dentin of the fractured specimens and the interfaces of untested beams were observed under scanning electron microscopy (SEM). The results showed that non-etched irradiated surfaces presented higher µ-TBS than etched and irradiated surfaces (p < 0.05). Laser irradiation alone did not lead to differences in µ-TBS (p > 0.05). SEM showed solidification globules on the surfaces of the specimens. The interfaces were similar on irradiated and non-irradiated surfaces. Laser irradiation of dentin through the uncured adhesive did not lead to higher µ-TBS when compared to the suggested manufacturer’s technique. However, this treatment brought benefits when performed on unetched dentin, since bond strengths were higher when compared to etched dentin. (paper)

  14. Bulk and track etching of PET studied by spectrophotometer

    International Nuclear Information System (INIS)

    Zhu, Z.Y.; Duan, J.L.; Maekawa, Y.; Koshikawa, H.; Yoshida, M.

    2004-01-01

    UV-VIS spectra of poly(ethylene terephthalate) (PET) solutions formed by etching PET in NaOH solution were analyzed with respect to the etching time. A linear relationship between absorptions centered at 4.45 and 5.11 eV with weight loss of PET in NaOH solution was established. The relation was applied to study the influence of UV light illumination on bulk etching of PET and to evaluate pore size of etched-through tracks. It is found that bulk etching of PET can be greatly enhanced by UV illumination in air in the wavelength range around 313 nm. A surface area of about 350 nm in thickness shows a 23 times increase in bulk-etching rate after illuminated for 6 h. The phenomenon is attributed to the oxygen-assisted photo-degradation through generating of new photo-unstable species. The enhancement in bulk etching was immediately reduced as the etching proceeds below the surface with an exponential decay constant of about 1.5 μm -1 . Etching of Xe ion irradiated PET films gives extra etching products with similar chemical structure as revealed by spectrophotometer measurements. Quantitative analysis of etching products from latent tracks implies that pores of about 14.6 nm in radius are formed after etching in 0.74 N NaOH at 40 deg. C for 35 min, which is in agreement with the conductometric measurement

  15. Ion-beam etching of ramps in thin film heterostructures

    International Nuclear Information System (INIS)

    Mozhaev, P. B.; Mozhaeva, Ju. E.; Komissinskii, P. V.

    2002-01-01

    Ion-beam patterning of thin films and heterostructures is one of the most common processes of fabrication of thin film devices and structures. 'Directed' nature of ion-beam etching provides a possibility to form certain profiles on the films surface, like shallow ramps, when etching is performed at some inclination angle. A simple geometrical model is presented, describing the formation of a ramp as a shadow of the mask on the film surface. Good agreement with the experiment can be obtained if the mask etching is taken into account. The etching at the opposite direction ('high-angle etching') also can be satisfactory described by the model. The profile of the slope - positive or negative curvature, pits near the end of the ramp - is discussed as a function of the etch rate dependence on the incidence angle. Such etch rate dependences for some often used materials were measured. An area of instability of the resulting ramp shape is found for the 'high-angle etching'. The model is compared with the experimental data reported by other groups. Finally ion-beam etching of a rotating sample at non-normal incidence is discussed, the results are compared with experimental data. (Authors)

  16. Determination of etching parameters for pulsed XeF2 etching of silicon using chamber pressure data

    Science.gov (United States)

    Sarkar, Dipta; Baboly, M. G.; Elahi, M. M.; Abbas, K.; Butner, J.; Piñon, D.; Ward, T. L.; Hieber, Tyler; Schuberth, Austin; Leseman, Z. C.

    2018-04-01

    A technique is presented for determination of the depletion of the etchant, etched depth, and instantaneous etch rate for Si etching with XeF2 in a pulsed etching system in real time. The only experimental data required is the pressure data collected temporally. Coupling the pressure data with the knowledge of the chemical reactions allows for the determination of the etching parameters of interest. Using this technique, it is revealed that pulsed etching processes are nonlinear, with the initial etch rate being the highest and monotonically decreasing as the etchant is depleted. With the pulsed etching system introduced in this paper, the highest instantaneous etch rate of silicon was recorded to be 19.5 µm min-1 for an initial pressure of 1.2 Torr for XeF2. Additionally, the same data is used to determine the rate constant for the reaction of XeF2 with Si; the reaction is determined to be second order in nature. The effect of varying the exposed surface area of Si as well as the effect that pressure has on the instantaneous etch rate as a function of time is shown applying the same technique. As a proof of concept, an AlN resonator is released using XeF2 pulses to remove a sacrificial poly-Si layer.

  17. Effects of polymer corrosion inhibitor on widening etch tunnels of aluminum foil for capacitor

    International Nuclear Information System (INIS)

    Ban, Chaolei; He, Yedong; Shao, Xin; Wang, Zhishen

    2014-01-01

    Highlights: •With PSSA, the exterior surface dissolution of etched Al foil is suppressed. •With PSSA, the interior surface dissolution of etched Al foil is facilitated. •With PSSA, the tunnels are widened along the entire length. •With PSSA, the area and capacitance of etched Al foil are significantly improved. -- Abstract: We investigated the effects of polymeric corrosion inhibitor polystyrene sulfonic acid (PSSA) additive to 3% HNO 3 solution on widening tunnels of pre-etched aluminum foil by electrochemical DC etching for aluminum electrolytic capacitors, using scanning electron microscopy and polarization curves. With trace PSSA, the dissolution of exterior surface of etch tunnels of Al foil is suppressed and the dissolution of interior surface of etch tunnels of Al foil is facilitated, respectively. The tunnels transform from circular cone to circular column in shape and pits-merging on the surface is weakened, leading to significant increase in the surface area and specific capacitance of the Al foil. The amounts of reduced thickness and weight of Al foil during the widening process of etch tunnels can be decreased if PSSA is employed

  18. Simple method to transfer graphene from metallic catalytic substrates to flexible surfaces without chemical etching

    International Nuclear Information System (INIS)

    Ko, P J; Takahashi, H; Sakai, H; Thu, T V; Okada, H; Sandhu, A; Koide, S

    2013-01-01

    Graphene shows promise for applications in flexible electronics. Here, we describe our procedure to transfer graphene grown on copper substrates by chemical vapor deposition to polydimethylsiloxane (PDMS) and SiO 2 /Si surfaces. The transfer of graphene was achieved by a simple, etching-free method onto flexible PDMS substrates.

  19. A survey of some metallographic etching reagents for restoration of obliterated engraved marks on aluminium-silicon alloy surfaces.

    Science.gov (United States)

    Uli, Norjaidi; Kuppuswamy, R; Amran, Mohd Firdaus Che

    2011-05-20

    A brief survey to assess the sensitivity and efficacy of some common etching reagents for revealing obliterated engraved marks on Al-Si alloy surfaces is presented. Experimental observations have recommended use of alternate swabbing of 10% NaOH and 10% HNO(3) on the obliterated surfaces for obtaining the desired results. The NaOH etchant responsible for bringing back the original marks resulted in the deposition of some dark coating that has masked the recovered marks. The coating had been well removed by dissolving it in HNO(3) containing 10-20% acid. However, the above etching procedure was not effective on aluminium (99% purity) and Al-Zn-Mg-Cu alloy surfaces. Also the two reagents (i) immersion in 10% aq. phosphoric acid and (ii) alternate swabbing of 60% HCl and 40% NaOH suggested earlier for high strength Al-Zn-Mg-Cu alloys [23] were quite ineffective on Al-Si alloys. Thus different aluminium alloys needed different etching treatments for successfully restoring the obliterated marks. Al-Si alloys used in casting find wide applications especially in the manufacture of engine blocks of motor vehicles. Hence, the results presented in this paper are of much relevance in serial number restoration problems involving this alloy. Copyright © 2010 Elsevier Ireland Ltd. All rights reserved.

  20. Etching and oxidation of InAs in planar inductively coupled plasma

    Energy Technology Data Exchange (ETDEWEB)

    Dultsev, F.N., E-mail: fdultsev@thermo.isp.nsc.ru [Institute of Semiconductor Physics SB RAS, Lavrentiev av. 13, Novosibirsk 630090 (Russian Federation); Kesler, V.G. [Institute of Semiconductor Physics SB RAS, Lavrentiev av. 13, Novosibirsk 630090 (Russian Federation)

    2009-10-15

    The surface of InAs (1 1 1)A was investigated under plasmachemical etching in the gas mixture CH{sub 4}/H{sub 2}/Ar. Etching was performed using the RF (13.56 MHz) and ICP plasma with the power 30-150 and 50-300 W, respectively; gas pressure in the reactor was 3-10 mTorr. It was demonstrated that the composition of the subsurface layer less than 5 nm thick changes during plasmachemical etching. A method of deep etching of InAs involving ICP plasma and hydrocarbon based chemistry providing the conservation of the surface relief is proposed. Optimal conditions and the composition of the gas phase for plasmachemical etching ensuring acceptable etch rates were selected.

  1. Etching and oxidation of InAs in planar inductively coupled plasma

    Science.gov (United States)

    Dultsev, F. N.; Kesler, V. G.

    2009-10-01

    The surface of InAs (1 1 1)A was investigated under plasmachemical etching in the gas mixture CH 4/H 2/Ar. Etching was performed using the RF (13.56 MHz) and ICP plasma with the power 30-150 and 50-300 W, respectively; gas pressure in the reactor was 3-10 mTorr. It was demonstrated that the composition of the subsurface layer less than 5 nm thick changes during plasmachemical etching. A method of deep etching of InAs involving ICP plasma and hydrocarbon based chemistry providing the conservation of the surface relief is proposed. Optimal conditions and the composition of the gas phase for plasmachemical etching ensuring acceptable etch rates were selected.

  2. Etching and oxidation of InAs in planar inductively coupled plasma

    International Nuclear Information System (INIS)

    Dultsev, F.N.; Kesler, V.G.

    2009-01-01

    The surface of InAs (1 1 1)A was investigated under plasmachemical etching in the gas mixture CH 4 /H 2 /Ar. Etching was performed using the RF (13.56 MHz) and ICP plasma with the power 30-150 and 50-300 W, respectively; gas pressure in the reactor was 3-10 mTorr. It was demonstrated that the composition of the subsurface layer less than 5 nm thick changes during plasmachemical etching. A method of deep etching of InAs involving ICP plasma and hydrocarbon based chemistry providing the conservation of the surface relief is proposed. Optimal conditions and the composition of the gas phase for plasmachemical etching ensuring acceptable etch rates were selected.

  3. Surface kinetics of Bi4-xLaxTi3O12 films etched in a CF4/Ar gas chemistry

    International Nuclear Information System (INIS)

    Kim, Dong-Pyo; Kim, Kyoung-Tae; Efremov, A. M.; Kim, Chang-Il

    2004-01-01

    The surface reactions and the etch rate of Bi 4-x La x Ti 3 O 12 (BLT) films in a CF 4 /Ar plasma were investigated in an inductively coupled plasma (ICP) reactor in terms of the gas mixing ratio. The variation of relative volume densities for the F and the Ar atoms were measured with optical emission spectroscopy (OES). The maximum etch rate of 803 A/min was obtained in a CF 4 (20 %)/Ar(80 %) plasma. The presence of a maximum in the BLT etch rate at CF 4 (20 %)/Ar(80 %) may be explained by the concurrence of two etching mechanisms, physical sputtering and chemical reaction. Ar-ion bombardment played roles of destroying the metal (Bi, La, Ti)-O bonds and assisting the chemical reaction between metal and fluorine atoms. The chemical states of BLT were investigated using X-ray photoelectron spectroscopy (XPS), which confirmed the existence of nonvolatile etch byproducts (La-fluorides).

  4. Optical and electrical properties of porous silicon layer formed on the textured surface by electrochemical etching

    Science.gov (United States)

    Weiying, Ou; Lei, Zhao; Hongwei, Diao; Jun, Zhang; Wenjing, Wang

    2011-05-01

    Porous silicon (PS) layers were formed on textured crystalline silicon by electrochemical etching in HF-based electrolyte. Optical and electrical properties of the TMAH textured surfaces with PS formation are studied. Moreover, the influences of the initial structures and the anodizing time on the optical and electrical properties of the surfaces after PS formation are investigated. The results show that the TMAH textured surfaces with PS formation present a dramatic decrease in reflectance. The longer the anodizing time is, the lower the reflectance. Moreover, an initial surface with bigger pyramids achieved lower reflectance in a short wavelength range. A minimum reflectance of 3.86% at 460 nm is achieved for a short anodizing time of 2 min. Furthermore, the reflectance spectrum of the sample, which was etched in 3 vol.% TMAH for 25 min and then anodized for 20 min, is extremely flat and lies between 3.67% and 6.15% in the wavelength range from 400 to 1040 nm. In addition, for a short anodizing time, a slight increase in the effective carrier lifetime is observed. Our results indicate that PS layers formed on a TMAH textured surface for a short anodization treatment can be used as both broadband antireflection coatings and passivation layers for the application in solar cells.

  5. Optical and electrical properties of porous silicon layer formed on the textured surface by electrochemical etching

    Energy Technology Data Exchange (ETDEWEB)

    Ou Weiying; Zhao Lei; Diao Hongwei; Zhang Jun; Wang Wenjing, E-mail: wjwangwj@126.com [Key Laboratory of Solar Thermal Energy and Photovoltaic System, Institute of Electrical Engineering, Chinese Academy of Sciences, Beijing 100190 (China)

    2011-05-15

    Porous silicon (PS) layers were formed on textured crystalline silicon by electrochemical etching in HF-based electrolyte. Optical and electrical properties of the TMAH textured surfaces with PS formation are studied. Moreover, the influences of the initial structures and the anodizing time on the optical and electrical properties of the surfaces after PS formation are investigated. The results show that the TMAH textured surfaces with PS formation present a dramatic decrease in reflectance. The longer the anodizing time is, the lower the reflectance. Moreover, an initial surface with bigger pyramids achieved lower reflectance in a short wavelength range. A minimum reflectance of 3.86% at 460 nm is achieved for a short anodizing time of 2 min. Furthermore, the reflectance spectrum of the sample, which was etched in 3 vol.% TMAH for 25 min and then anodized for 20 min, is extremely flat and lies between 3.67% and 6.15% in the wavelength range from 400 to 1040 nm. In addition, for a short anodizing time, a slight increase in the effective carrier lifetime is observed. Our results indicate that PS layers formed on a TMAH textured surface for a short anodization treatment can be used as both broadband antireflection coatings and passivation layers for the application in solar cells. (semiconductor technology)

  6. In situ reflectivity investigations of solid/liquid interface during laser backside etching

    International Nuclear Information System (INIS)

    Boehme, R.; Otto, T.; Zimmer, K.

    2006-01-01

    In situ reflectivity measurements of the solid/liquid interface with a pump-probe setup were performed during laser-induced backside wet etching (LIBWE) of fused silica with KrF excimer laser using toluene as absorbing liquid. The intensity, the temporal shape, and the duration of the reflected light measured in dependence on the laser fluence are discussed referring to the surface modification and the bubble formation. The vaporisation of the superheated liquid at the solid interface causes a considerable increase of the reflectivity and gives information about the bubble lifetime. The alterations of the reflectivity after bubbles collapse can be explained with the changed optical properties due to surface modifications of the solid surface. Comparative studies of the reflectivity at different times and the etch rate behaviour in dependence on the laser fluence show that the in situ measured surface modification begins just at the etch threshold fluence and correlates further with etch rate behaviour and the etched surface appearance. The already observed surface modification at LIBWE due to a carbon deposition and structural changes of the near surface region are approved by the changes of the interface reflectivity and emphasizes the importance of the modified surface region in the laser-induced backside wet etching process

  7. Acid-catalyzed kinetics of indium tin oxide etching

    Energy Technology Data Exchange (ETDEWEB)

    Choi, Jae-Hyeok; Kim, Seong-Oh; Hilton, Diana L. [School of Materials Science and Engineering, Nanyang Technological University, 50 Nanyang Avenue, 639798 (Singapore); Centre for Biomimetic Sensor Science, Nanyang Technological University, 50 Nanyang Drive, 637553 (Singapore); Cho, Nam-Joon, E-mail: njcho@ntu.edu.sg [School of Materials Science and Engineering, Nanyang Technological University, 50 Nanyang Avenue, 639798 (Singapore); Centre for Biomimetic Sensor Science, Nanyang Technological University, 50 Nanyang Drive, 637553 (Singapore); School of Chemical and Biomedical Engineering, Nanyang Technological University, 62 Nanyang Drive, 637459 (Singapore)

    2014-08-28

    We report the kinetic characterization of indium tin oxide (ITO) film etching by chemical treatment in acidic and basic electrolytes. It was observed that film etching increased under more acidic conditions, whereas basic conditions led to minimal etching on the time scale of the experiments. Quartz crystal microbalance was employed in order to track the reaction kinetics as a function of the concentration of hydrochloric acid and accordingly solution pH. Contact angle measurements and atomic force microscopy experiments determined that acid treatment increases surface hydrophilicity and porosity. X-ray photoelectron spectroscopy experiments identified that film etching is primarily caused by dissolution of indium species. A kinetic model was developed to explain the acid-catalyzed dissolution of ITO surfaces, and showed a logarithmic relationship between the rate of dissolution and the concentration of undisassociated hydrochloric acid molecules. Taken together, the findings presented in this work verify the acid-catalyzed kinetics of ITO film dissolution by chemical treatment, and support that the corresponding chemical reactions should be accounted for in ITO film processing applications. - Highlights: • Acidic conditions promoted indium tin oxide (ITO) film etching via dissolution. • Logarithm of the dissolution rate depended linearly on the solution pH. • Acid treatment increased ITO surface hydrophilicity and porosity. • ITO film etching led to preferential dissolution of indium species over tin species.

  8. Etching of germanium-tin using ammonia peroxide mixture

    Energy Technology Data Exchange (ETDEWEB)

    Dong, Yuan; Ong, Bin Leong; Wang, Wei; Gong, Xiao; Liang, Gengchiau; Yeo, Yee-Chia, E-mail: yeo@ieee.org [Department of Electrical and Computer Engineering, National University of Singapore, Singapore 117576 (Singapore); Zhang, Zheng; Pan, Jisheng [Institute of Material Research and Engineering, A*STAR (Agency for Science, Technology and Research), 2 Fusionopolis Way, #08-03, Innovis, Singapore 138634 (Singapore); Tok, Eng-Soon [Department of Physics, National University of Singapore, Singapore 117551 (Singapore)

    2015-12-28

    The wet etching of germanium-tin (Ge{sub 1-x}Sn{sub x}) alloys (4.2% < x < 16.0%) in ammonia peroxide mixture (APM) is investigated. Empirical fitting of the data points indicates that the etch depth of Ge{sub 1-x}Sn{sub x} is proportional to the square root of the etch time t and decreases exponentially with increasing x for a given t. In addition, X-ray photoelectron spectroscopy results show that increasing t increases the intensity of the Sn oxide peak, whereas no obvious change is observed for the Ge oxide peak. This indicates that an accumulation of Sn oxide on the Ge{sub 1-x}Sn{sub x} surface decreases the amount of Ge atoms exposed to the etchant, which accounts for the decrease in etch rate with increasing etch time. Atomic force microscopy was used to examine the surface morphologies of the Ge{sub 0.918}Sn{sub 0.082} samples. Both root-mean-square roughness and undulation periods of the Ge{sub 1-x}Sn{sub x} surface were observed to increase with increasing t. This work provides further understanding of the wet etching of Ge{sub 1-x}Sn{sub x} using APM and may be used for the fabrication of Ge{sub 1-x}Sn{sub x}-based electronic and photonic devices.

  9. Dry etching of thin chalcogenide films

    Energy Technology Data Exchange (ETDEWEB)

    Petkov, Kiril [Acad. J. Malinowski Central Laboratory of Photoprocesses, Bulgarian Academy of Sciences, Acad. G. Bonchev Str., Bl. 109, 1113 Sofia (Bulgaria); Vassilev, Gergo; Vassilev, Venceslav, E-mail: kpetkov@clf.bas.b [Department of Semiconductors, University of Chemical Technology and Metallurgy, 8 Kl. Ohridsky Blvd., 1756 Sofia (Bulgaria)

    2010-04-01

    Fluorocarbon plasmas (pure and mixtures with Ar) were used to investigate the changes in the etching rate depending on the chalcogenide glasses composition and light exposure. The experiments were performed on modified commercial HZM-4 vacuum equipment in a diode electrode configuration. The surface microstructure of thin chalcogenide layers and its change after etching in CCl{sub 2}F{sub 2} and CF{sub 4} plasmas were studied by SEM. The dependence of the composition of As-S-Ge, As-Se and multicomponent Ge-Se-Sb-Ag-I layers on the etching rate was discussed. The selective etching of some glasses observed after light exposure opens opportunities for deep structure processing applications.

  10. Effect of Hydrofluoric Acid Etching Time on Titanium Topography, Chemistry, Wettability, and Cell Adhesion.

    Directory of Open Access Journals (Sweden)

    R Zahran

    Full Text Available Titanium implant surface etching has proven an effective method to enhance cell attachment. Despite the frequent use of hydrofluoric (HF acid, many questions remain unresolved, including the optimal etching time and its effect on surface and biological properties. The objective of this study was to investigate the effect of HF acid etching time on Ti topography, surface chemistry, wettability, and cell adhesion. These data are useful to design improved acid treatment and obtain an improved cell response. The surface topography, chemistry, dynamic wetting, and cell adhesiveness of polished Ti surfaces were evaluated after treatment with HF acid solution for 0, 2; 3, 5, 7, or 10 min, revealing a time-dependent effect of HF acid on their topography, chemistry, and wetting. Roughness and wetting increased with longer etching time except at 10 min, when roughness increased but wetness decreased. Skewness became negative after etching and kurtosis tended to 3 with longer etching time. Highest cell adhesion was achieved after 5-7 min of etching time. Wetting and cell adhesion were reduced on the highly rough surfaces obtained after 10-min etching time.

  11. The fabrication and hydrophobic property of micro-nano patterned surface on magnesium alloy using combined sparking sculpture and etching route

    Science.gov (United States)

    Wu, Yunfeng; Wang, Yaming; Liu, Hao; Liu, Yan; Guo, Lixin; Jia, Dechang; Ouyang, Jiahu; Zhou, Yu

    2016-12-01

    Magnesium alloy with micro-nano structure roughness surface, can serve as the loading reservoirs of medicine capsule and industrial lubricating oil, or mimic 'lotus leaf' hydrophobic surface, having the potential applications in medical implants, automobile, aerospace and electronic products, etc. Herein, we propose a novel strategy to design a micro-nano structure roughness surface on magnesium alloy using combined microarc sparking sculpture and etching in CrO3 aqueous solution. A hydrophobic surface (as an applied example) was further fabricated by chemical decorating on the obtained patterned magnesium alloy surface to enhance the corrosion resistance. The results show that the combined micro-nano structure of 7-9 μm diameter big pores insetting with nano-scale fine pores was duplicated after etched the sparking sculptured 'over growth' oxide regions towards the magnesium substrate. The micro-nano structure surface was chemically decorated using AgNO3 and stearic acid, which enables the contact angle increased from 60° to 146.8°. The increasing contact angle is mainly attributed to the micro-nano structure and the chemical composition. The hydrophobic surface of magnesium alloy improved the corrosion potential from -1.521 V of the bare magnesium to -1.274 V. Generally, the sparking sculpture and then etching route demonstrates a low-cost, high-efficacy method to fabricate a micro-nano structure hydrophobic surface on magnesium alloy. Furthermore, our research on the creating of micro-nano structure roughness surface and the hydrophobic treatment can be easily extended to the other metal materials.

  12. Optimum inductively coupled plasma etching of fused silica to remove subsurface damage layer

    Energy Technology Data Exchange (ETDEWEB)

    Jiang, Xiaolong; Liu, Ying, E-mail: liuychch@ustc.edu.cn; Liu, Zhengkun; Qiu, Keqiang; Xu, Xiangdong; Hong, Yilin; Fu, Shaojun

    2015-11-15

    Highlights: • SSD layer of fused silica is removed by ICP etch with surface roughness of 0.23 nm. • Metal contamination is successfully avoided by employing an isolation device. • Unique low-density plasma induced pitting damage is discovered and eliminated. • Lateral etching of SSD is avoided due to the improvement of etching anisotropy. - Abstract: In this work, we introduce an optimum ICP etching technique that successfully removes the subsurface damage (SSD) layer of fused silica without causing plasma induced surface damage (PISD) or lateral etching of SSD. As one of the commonest PISD initiators, metal contamination from reactor chamber is prevented by employing a simple isolation device. Based on this device, a unique low-density pitting damage is discovered and subsequently eliminated by optimizing the etching parameters. Meanwhile etching anisotropy also improves a lot, thus preventing the lateral etching of SSD. Using this proposed technique, SSD layer of fused silica is successfully removed with a surface roughness of 0.23 nm.

  13. Understanding and controlling the step bunching instability in aqueous silicon etching

    Science.gov (United States)

    Bao, Hailing

    Chemical etching of silicon has been widely used for more than half a century in the semiconductor industry. It not only forms the basis for current wafer cleaning processes, it also serves as a powerful tool to create a variety of surface morphologies for different applications. Its potential for controlling surface morphology at the atomic scale over micron-size regions is especially appealing. In spite of its wide usage, the chemistry of silicon etching is poorly understood. Many seemingly simple but fundamental questions have not been answered. As a result, the development of new etchants and new etching protocols are based on expensive and tedious trial-and-error experiments. A better understanding of the etching mechanism would direct the rational formulation of new etchants that produce controlled etch morphologies. Particularly, micron-scale step bunches spontaneously develop on the vicinal Si(111) surface etched in KOH or other anisotropic aqueous etchants. The ability to control the size, orientation, density and regularity of these surface features would greatly improve the performance of microelectromechanical devices. This study is directed towards understanding the chemistry and step bunching instability in aqueous anisotropic etching of silicon through a combination of experimental techniques and theoretical simulations. To reveal the cause of step-bunching instability, kinetic Monte Carlo simulations were constructed based on an atomistic model of the silicon lattice and a modified kinematic wave theory. The simulations showed that inhomogeneity was the origin of step-bunching, which was confirmed through STM studies of etch morphologies created under controlled flow conditions. To quantify the size of the inhomogeneities in different etchants and to clarify their effects, a five-parallel-trench pattern was fabricated. This pattern used a nitride mask to protect most regions of the wafer; five evenly spaced etch windows were opened to the Si(110

  14. An evaluation of shear bond strength of self-etch adhesive on pre-etched enamel: an in vitro study.

    Science.gov (United States)

    Rao, Bhadra; Reddy, Satti Narayana; Mujeeb, Abdul; Mehta, Kanchan; Saritha, G

    2013-11-01

    To determine the shear bond strength of self-etch adhesive G-bond on pre-etched enamel. Thirty caries free human mandibular premolars extracted for orthodontic purpose were used for the study. Occlusal surfaces of all the teeth were flattened with diamond bur and a silicon carbide paper was used for surface smoothening. The thirty samples were randomly grouped into three groups. Three different etch systems were used for the composite build up: group 1 (G-bond self-etch adhesive system), group 2 (G-bond) and group 3 (Adper single bond). Light cured was applied for 10 seconds with a LED unit for composite buildup on the occlusal surface of each tooth with 8 millimeters (mm) in diameter and 3 mm in thickness. The specimens in each group were tested in shear mode using a knife-edge testing apparatus in a universal testing machine across head speed of 1 mm/ minute. Shear bond strength values in Mpa were calculated from the peak load at failure divided by the specimen surface area. The mean shear bond strength of all the groups were calculated and statistical analysis was carried out using one-way Analysis of Variance (ANOVA). The mean bond strength of group 1 is 15.5 Mpa, group 2 is 19.5 Mpa and group 3 is 20.1 Mpa. Statistical analysis was carried out between the groups using one-way ANOVA. Group 1 showed statistically significant lower bond strength when compared to groups 2 and 3. No statistical significant difference between groups 2 and 3 (p adhesive G-bond showed increase in shear bond strength on pre-etched enamel.

  15. Studies on surface structures and etch patterns on habit faces of gel-grown crystals of iodates of barium, strontium, and calcium

    International Nuclear Information System (INIS)

    Joshi, M.S.; Trivedi, S.G.

    1986-01-01

    Microtopographical studies on habit faces of gel grown crystals (of different habits) of iodates of Ba, Sr, and Ca are illustrated and described. Etch patterns on these faces are illustrated and correlated to the observed growth patterns on the respective faces. Growth mechanism of the crystals is explained in light of the observed surface structures and etch pits suitably produced. (author)

  16. Preparation of composite micro/nano structure on the silicon surface by reactive ion etching: Enhanced anti-reflective and hydrophobic properties

    Science.gov (United States)

    Zeng, Yu; Fan, Xiaoli; Chen, Jiajia; He, Siyu; Yi, Zao; Ye, Xin; Yi, Yougen

    2018-05-01

    A silicon substrate with micro-pyramid structure (black silicon) is prepared by wet chemical etching and then subjected to reactive ion etching (RIE) in the mixed gas condition of SF6, CHF3 and He. We systematically study the impacts of flow rates of SF6, CHF3 and He, the etching pressure and the etching time on the surface morphology and reflectivity through various characterizations. Meanwhile, we explore and obtain the optimal combination of parameters for the preparation of composite structure that match the RIE process based on the basis of micro-pyramid silicon substrate. The composite sample prepared under the optimum parameters exhibits excellent anti-reflective performance, hydrophobic, self-cleaning and anti-corrosive properties. Based on the above characteristics, the composite micro/nano structure can be applied to solar cells, photodetectors, LEDs, outdoor devices and other important fields.

  17. Intercalation-etching of graphene on Pt(111) in H2 and O2 observed by in-situ low energy electron microscopy

    Institute of Scientific and Technical Information of China (English)

    Wei; Wei; Caixia; Meng; Qiang; Fu; Xinhe; Bao

    2017-01-01

    Graphene layers are often exposed to gaseous environments in their synthesis and application processes, and interactions of graphene surfaces with molecules particularly H2 and O2 are of great importance in their physico-chemical properties. In this work, etching of graphene overlayers on Pt(111) in H2 and O2 atmospheres were investigated by in-situ low energy electron microscopy. Significant graphene etching was observed in 10-5 Torr H2 above 1023 K, which occurs simultaneously at graphene island edges and interiors with a determined reaction barrier at 5.7 eV. The similar etching phenomena were found in 10.7 Torr O2 above 973 K, while only island edges were reacted between 823 and 923 K. We suggest that etching of graphene edges is facilitated by Pt-aided hydrogenation or oxidation of edge carbon atoms while intercalation-etching is attributed to etching at the interiors at high temperatures. The different findings with etching in O2 and H2 depend on competitive adsorption, desorption, and diffusion processes of O and H atoms on Pt surface, as well as intercalation at the graphene/Pt interface.

  18. Fluorocarbon based atomic layer etching of Si{sub 3}N{sub 4} and etching selectivity of SiO{sub 2} over Si{sub 3}N{sub 4}

    Energy Technology Data Exchange (ETDEWEB)

    Li, Chen [Department of Physics, and Institute for Research in Electronics and Applied Physics, University of Maryland, College Park, Maryland 20742 (United States); Metzler, Dominik; Oehrlein, Gottlieb S., E-mail: oehrlein@umd.edu [Department of Materials Science and Engineering, and Institute for Research in Electronics and Applied Physics, University of Maryland, College Park, Maryland 20742 (United States); Lai, Chiukin Steven; Hudson, Eric A. [Lam Research Corporation, 4400 Cushing Parkway, Fremont, California 94538 (United States)

    2016-07-15

    Angstrom-level plasma etching precision is required for semiconductor manufacturing of sub-10 nm critical dimension features. Atomic layer etching (ALE), achieved by a series of self-limited cycles, can precisely control etching depths by limiting the amount of chemical reactant available at the surface. Recently, SiO{sub 2} ALE has been achieved by deposition of a thin (several Angstroms) reactive fluorocarbon (FC) layer on the material surface using controlled FC precursor flow and subsequent low energy Ar{sup +} ion bombardment in a cyclic fashion. Low energy ion bombardment is used to remove the FC layer along with a limited amount of SiO{sub 2} from the surface. In the present article, the authors describe controlled etching of Si{sub 3}N{sub 4} and SiO{sub 2} layers of one to several Angstroms using this cyclic ALE approach. Si{sub 3}N{sub 4} etching and etching selectivity of SiO{sub 2} over Si{sub 3}N{sub 4} were studied and evaluated with regard to the dependence on maximum ion energy, etching step length (ESL), FC surface coverage, and precursor selection. Surface chemistries of Si{sub 3}N{sub 4} were investigated by x-ray photoelectron spectroscopy (XPS) after vacuum transfer at each stage of the ALE process. Since Si{sub 3}N{sub 4} has a lower physical sputtering energy threshold than SiO{sub 2}, Si{sub 3}N{sub 4} physical sputtering can take place after removal of chemical etchant at the end of each cycle for relatively high ion energies. Si{sub 3}N{sub 4} to SiO{sub 2} ALE etching selectivity was observed for these FC depleted conditions. By optimization of the ALE process parameters, e.g., low ion energies, short ESLs, and/or high FC film deposition per cycle, highly selective SiO{sub 2} to Si{sub 3}N{sub 4} etching can be achieved for FC accumulation conditions, where FC can be selectively accumulated on Si{sub 3}N{sub 4} surfaces. This highly selective etching is explained by a lower carbon consumption of Si{sub 3}N{sub 4} as compared to Si

  19. Site-controlled fabrication of silicon nanotips by indentation-induced selective etching

    Science.gov (United States)

    Jin, Chenning; Yu, Bingjun; Liu, Xiaoxiao; Xiao, Chen; Wang, Hongbo; Jiang, Shulan; Wu, Jiang; Liu, Huiyun; Qian, Linmao

    2017-12-01

    In the present study, the indentation-induced selective etching approach is proposed to fabricate site-controlled pyramidal nanotips on Si(100) surface. Without any masks, the site-controlled nanofabrication can be realized by nanoindentation and post etching in potassium hydroxide (KOH) solution. The effect of indentation force and etching time on the formation of pyramidal nanotips was investigated. It is found that the height and radius of the pyramidal nanotips increase with the indentation force or etching time, while long-time etching can lead to the collapse of the tips. The formation of pyramidal tips is ascribed to the anisotropic etching of silicon and etching stop of (111) crystal planes in KOH aqueous solution. The capability of this fabrication method was further demonstrated by producing various tip arrays on silicon surface by selective etching of the site-controlled indent patterns, and the maximum height difference of these tips is less than 10 nm. The indentation-induced selective etching provides a new strategy to fabricate well site-controlled tip arrays for multi-probe SPM system, Si nanostructure-based sensors and high-quality information storage.

  20. Metal-assisted etch combined with regularizing etch

    Energy Technology Data Exchange (ETDEWEB)

    Yim, Joanne; Miller, Jeff; Jura, Michael; Black, Marcie R.; Forziati, Joanne; Murphy, Brian; Magliozzi, Lauren

    2018-03-06

    In an aspect of the disclosure, a process for forming nanostructuring on a silicon-containing substrate is provided. The process comprises (a) performing metal-assisted chemical etching on the substrate, (b) performing a clean, including partial or total removal of the metal used to assist the chemical etch, and (c) performing an isotropic or substantially isotropic chemical etch subsequently to the metal-assisted chemical etch of step (a). In an alternative aspect of the disclosure, the process comprises (a) performing metal-assisted chemical etching on the substrate, (b) cleaning the substrate, including removal of some or all of the assisting metal, and (c) performing a chemical etch which results in regularized openings in the silicon substrate.

  1. Development of Functional Surfaces on High-Density Polyethylene (HDPE) via Gas-Assisted Etching (GAE) Using Focused Ion Beams.

    Science.gov (United States)

    Sezen, Meltem; Bakan, Feray

    2015-12-01

    Irradiation damage, caused by the use of beams in electron and ion microscopes, leads to undesired physical/chemical material property changes or uncontrollable modification of structures. Particularly, soft matter such as polymers or biological materials is highly susceptible and very much prone to react on electron/ion beam irradiation. Nevertheless, it is possible to turn degradation-dependent physical/chemical changes from negative to positive use when materials are intentionally exposed to beams. Especially, controllable surface modification allows tuning of surface properties for targeted purposes and thus provides the use of ultimate materials and their systems at the micro/nanoscale for creating functional surfaces. In this work, XeF2 and I2 gases were used in the focused ion beam scanning electron microscope instrument in combination with gallium ion etching of high-density polyethylene surfaces with different beam currents and accordingly different gas exposure times resulting at the same ion dose to optimize and develop new polymer surface properties and to create functional polymer surfaces. Alterations in the surface morphologies and surface chemistry due to gas-assisted etching-based nanostructuring with various processing parameters were tracked using high-resolution SEM imaging, complementary energy-dispersive spectroscopic analyses, and atomic force microscopic investigations.

  2. Stain-etched porous silicon nanostructures for multicrystalline silicon-based solar cells

    Science.gov (United States)

    Ben Rabha, M.; Hajji, M.; Belhadj Mohamed, S.; Hajjaji, A.; Gaidi, M.; Ezzaouia, H.; Bessais, B.

    2012-02-01

    In this paper, we study the optical, optoelectronic and photoluminescence properties of stain-etched porous silicon nanostructures obtained with different etching times. Special attention is given to the use of the stain-etched PS as an antireflection coating as well as for surface passivating capabilities. The surface morphology has been analyzed by scanning electron microscopy. The evolution of the Si-O and Si-H absorption bands was analyzed by Fourier transform infrared spectrometry before and after PS treatment. Results show that stain etching of the silicon surface drops the total reflectivity to about 7% in the 400-1100 nm wavelength range and the minority carrier lifetime enhances to about 48 μs.

  3. Surfactant-enhanced control of track-etch pore morphology

    International Nuclear Information System (INIS)

    Apel', P.Yu.; Blonskaya, I.V.; Didyk, A.Yu.; Dmitriev, S.N.; Orelovich, O.L.; Samojlova, L.I.; Vutsadakis, V.A.; Root, D.

    2000-01-01

    The influence of surfactants on the process of chemical development of ion tracks in polymers is studied. Based on the experimental data, a mechanism of the surfactant effect on the track-etch pore morphology is proposed. In the beginning of etching the surfactant is adsorbed on the surface and creates a layer that is quasi-solid and partially protects the surface from the etching agent. However, some etchant molecules diffuse through the barrier and react with the polymer surface. This results in the formation of a small hole at the entrance to the ion track. After the hole has attained a few annometers in diameter, the surfactant molecules penetrate into the track and cover its walls. Further diffusion of the surfactant into the growing pore is hindered. The adsorbed surfactant layer is not permeable for large molecules. In contrast, small alkali molecules and water molecules diffuse into the track and provide the etching process enlarging the pore. At this stage the transport of the surfactant into the pore channel can proceed only due to the lateral diffusion in the adsorbed layer. The volume inside the pore is free of surfactant molecules and grows at a higher rate than pore entrance. After a more prolonged etching the bottle-like (or 'cigar-like') pore channels are formed. The bottle-like shape of the pore channels depends on the etching conditions such as alkali and surfactant concentration, temperature, and type of the surfactant. The use of surfactants enables one to produce track-etch membranes with improved flow rate characteristics compared with those having cylindrical pores with the same nominal pore diameters

  4. Metal-assisted chemical etch porous silicon formation method

    Science.gov (United States)

    Li, Xiuling; Bohn, Paul W.; Sweedler, Jonathan V.

    2004-09-14

    A thin discontinuous layer of metal such as Au, Pt, or Au/Pd is deposited on a silicon surface. The surface is then etched in a solution including HF and an oxidant for a brief period, as little as a couple seconds to one hour. A preferred oxidant is H.sub.2 O.sub.2. Morphology and light emitting properties of porous silicon can be selectively controlled as a function of the type of metal deposited, Si doping type, silicon doping level, and/or etch time. Electrical assistance is unnecessary during the chemical etching of the invention, which may be conducted in the presence or absence of illumination.

  5. Effects of the Addictives on Etching Characteristics of Aluminum Foil

    Energy Technology Data Exchange (ETDEWEB)

    Kim, S.K.; Jang, J.M.; Chi, C.S. [Kookmin University, Seoul (Korea); Shin, D.C. [Sungnam Polytechnic, Sungnam (Korea); Lee, J.H.; Oh, H.J. [Hanseo University, Seosan (Korea)

    2001-01-01

    The effects of additives in the HCI etching solution on etching behaviors of aluminium foil as dielectric film for electrolytic capacitors were investigated. The etch pits formed in 1M hydrochloric acid containing ethylene glycol as an additive contain more fine and homogeneous etch tunnels compared to thoese in 1 M hydrochloric acid only, which led to the increase in the effective internal surface area of aluminum foil. After anodizing of aluminum foil etched in etching solutions, the LCR meter results have shown that the capacitance of dielectric film etched in hydrochloric acid with ethylene glycol was increased remarkably compared to that etched in hydrochloric acid only. (author). 21 refs., 10 figs.

  6. Kinetic-limited etching of magnesium doping nitrogen polar GaN in potassium hydroxide solution

    International Nuclear Information System (INIS)

    Jiang, Junyan; Zhang, Yuantao; Chi, Chen; Yang, Fan; Li, Pengchong; Zhao, Degang; Zhang, Baolin; Du, Guotong

    2016-01-01

    Graphical abstract: - Highlights: • Effects of Mg doping on wet etching of N-polar GaN are illustrated and analysed. • Etching process model of Mg-doped N-polar GaN in KOH solution is purposed. • It is found that Mg doping can induce tensile strain in N-polar GaN film. • N-polar p-GaN film with a hole concentration of 2.4 × 10"1"7 cm"−"3 is obtained. - Abstract: KOH based wet etchings were performed on both undoped and Mg-doped N-polar GaN films grown by metal-organic chemical vapor deposition. It is found that the etching rate for Mg-doped N-polar GaN gets slow obviously compared with undoped N-polar GaN. X-ray photoelectron spectroscopy analysis proved that Mg oxide formed on N-polar GaN surface is insoluble in KOH solution so that kinetic-limited etching occurs as the etching process goes on. The etching process model of Mg-doped N-polar GaN in KOH solution is tentatively purposed using a simplified ideal atomic configuration. Raman spectroscopy analysis reveals that Mg doping can induce tensile strain in N-polar GaN films. Meanwhile, p-type N-polar GaN film with a hole concentration of 2.4 × 10"1"7 cm"−"3 was obtained by optimizing bis-cyclopentadienyl magnesium flow rates.

  7. Kinetic-limited etching of magnesium doping nitrogen polar GaN in potassium hydroxide solution

    Energy Technology Data Exchange (ETDEWEB)

    Jiang, Junyan; Zhang, Yuantao; Chi, Chen; Yang, Fan; Li, Pengchong [State Key Laboratory on Integrated Optoelectronics, College of Electronic Science and Engineering, Jilin University, Qianjin Street 2699, Changchun 130012 (China); Zhao, Degang [State Key Laboratory on Integrated Optoelectronics, Institute of Semiconductors, Chinese Academy of Science, PO Box 912, Beijing 100083 (China); Zhang, Baolin; Du, Guotong [State Key Laboratory on Integrated Optoelectronics, College of Electronic Science and Engineering, Jilin University, Qianjin Street 2699, Changchun 130012 (China)

    2016-01-01

    Graphical abstract: - Highlights: • Effects of Mg doping on wet etching of N-polar GaN are illustrated and analysed. • Etching process model of Mg-doped N-polar GaN in KOH solution is purposed. • It is found that Mg doping can induce tensile strain in N-polar GaN film. • N-polar p-GaN film with a hole concentration of 2.4 × 10{sup 17} cm{sup −3} is obtained. - Abstract: KOH based wet etchings were performed on both undoped and Mg-doped N-polar GaN films grown by metal-organic chemical vapor deposition. It is found that the etching rate for Mg-doped N-polar GaN gets slow obviously compared with undoped N-polar GaN. X-ray photoelectron spectroscopy analysis proved that Mg oxide formed on N-polar GaN surface is insoluble in KOH solution so that kinetic-limited etching occurs as the etching process goes on. The etching process model of Mg-doped N-polar GaN in KOH solution is tentatively purposed using a simplified ideal atomic configuration. Raman spectroscopy analysis reveals that Mg doping can induce tensile strain in N-polar GaN films. Meanwhile, p-type N-polar GaN film with a hole concentration of 2.4 × 10{sup 17} cm{sup −3} was obtained by optimizing bis-cyclopentadienyl magnesium flow rates.

  8. Optimization of silver-assisted nano-pillar etching process in silicon

    Science.gov (United States)

    Azhari, Ayu Wazira; Sopian, Kamaruzzaman; Desa, Mohd Khairunaz Mat; Zaidi, Saleem H.

    2015-12-01

    In this study, a respond surface methodology (RSM) model is developed using three-level Box-Behnken experimental design (BBD) technique. This model is developed to investigate the influence of metal-assisted chemical etching (MACE) process variables on the nanopillars profiles created in single crystalline silicon (Si) substrate. Design-Expert® software (version 7.1) is employed in formulating the RSM model based on five critical process variables: (A) concentration of silver (Ag), (B) concentration of hydrofluoric acid (HF), (C) concentration of hydrogen peroxide (H2O2), (D) deposition time, and (E) etching time. This model is supported by data from 46 experimental configurations. Etched profiles as a function of lateral etching rate, vertical etching rate, height, size and separation between the Si trenches and etching uniformity are characterized using field emission scanning electron microscope (FE-SEM). A quadratic regression model is developed to correlate critical process variables and is validated using the analysis of variance (ANOVA) methodology. The model exhibits near-linear dependence of lateral and vertical etching rates on both the H2O2 concentration and etching time. The predicted model is in good agreement with the experimental data where R2 is equal to 0.80 and 0.67 for the etching rate and lateral etching respectively. The optimized result shows minimum lateral etching with the average pore size of about 69 nm while the maximum etching rate is estimated at around 360 nm/min. The model demonstrates that the etching process uniformity is not influenced by either the etchant concentration or the etching time. This lack of uniformity could be attributed to the surface condition of the wafer. Optimization of the process parameters show adequate accuracy of the model with acceptable percentage errors of 6%, 59%, 1.8%, 38% and 61% for determination of the height, separation, size, the pore size and the etching rate respectively.

  9. Bond efficacy and interface morphology of self-etching adhesives to ground enamel.

    Science.gov (United States)

    Abdalla, Ali I; El Zohairy, Ahmed A; Abdel Mohsen, Mohamed M; Feilzer, Albert J

    2010-02-01

    This study compared the microshear bond strengths to ground enamel of three one-step self-etching adhesive systems, a self-etching primer system and an etch-and-rinse adhesive system. Three self-etching adhesives, Futurabond DC (Voco), Clearfil S Tri Bond (Kuraray) and Hybrid bond (Sun-Medical), a self-etching primer, Clearfil SE Bond (Kuraray), and an etch-and-rinse system, Admira Bond (Voco), were selected. Thirty human molars were used. The root of each tooth was removed and the crown was sectioned into halves. The convex enamel surfaces were reduced by polishing on silicone paper to prepare a flat surface. The bonding systems were applied on this surface. Prior to adhesive curing, a hollow cylinder (2.0 mm height/0.75 mm internal diameter) was placed on the treated surfaces. A resin composite was then inserted into the tube and cured. After water storage for 24 h, the tube was removed and shear bond strength was determined in a universal testing machine at a crosshead speed of 0.5 mm/min. The results were analyzed with ANOVA and the Tukey.-Kramer test at a 59 degrees confidence level. The enamel of five additional teeth was ground, and the etching component of each adhesive was applied and removed with absolute ethanol instead of being light cured. These teeth and selected fractured surfaces were examined by SEM. Adhesion to ground enamel of the Futurabond DC (25 +/- 3.5 MPa) and Clearfil SE Bond (23 +/- 2.9 MPa) self-etching systems was not significantly different from the etch-and-rinse system Admira Bond (27 +/- 2.3 MPa). The two self-etching adhesives Clearfil S Tri bond and Hybrid Bond demonstrated significantly lower bond strengths (14 +/- 1.4 MPa; 11 +/- 1.9 MPa) with no significant differences between them (p adhesive systems are dependent on the type of adhesive system. Some of the new adhesive systems showed bond strength values comparable to that of etch-and-rinse systems. There was no correlation between bond strength and morphological changes in

  10. The influence of diffusion of fluorine compounds for silicon lateral etching

    Energy Technology Data Exchange (ETDEWEB)

    Verdonck, Patrick; Goodyear, Alec; Braithwaite, Nicholas St.John

    2004-07-01

    In an earlier study, it was proposed that long-range surface transport of fluorine atoms could precede the eventual binding to a silicon atom. The rate of binding increases if the silicon is bombarded with high energy ions. In this study, the lateral etching of a silicon layer, sandwiched between two silicon dioxide layers, was studied in order to investigate and extend these hypotheses. The under etching of the silicon layer was higher for wafers which suffered ion bombardment, showing that this mechanism is important even for horizontal etching. At the same time, the thickness of the silicon layer was varied. In all cases, the thinner silicon layer etched much faster then the thicker layer, indicating that fluorine surface transport is much more important than re-emission for these processes. The etch rate increase with ion bombardment can be explained by the fact that part of the energy of the incoming ions is transferred to the fluorine compounds which are on the horizontal surfaces and that ion bombardment enhances the fluorine surface transport.

  11. Wafer scale oblique angle plasma etching

    Science.gov (United States)

    Burckel, David Bruce; Jarecki, Jr., Robert L.; Finnegan, Patrick Sean

    2017-05-23

    Wafer scale oblique angle etching of a semiconductor substrate is performed in a conventional plasma etch chamber by using a fixture that supports a multiple number of separate Faraday cages. Each cage is formed to include an angled grid surface and is positioned such that it will be positioned over a separate one of the die locations on the wafer surface when the fixture is placed over the wafer. The presence of the Faraday cages influences the local electric field surrounding each wafer die, re-shaping the local field to be disposed in alignment with the angled grid surface. The re-shaped plasma causes the reactive ions to follow a linear trajectory through the plasma sheath and angled grid surface, ultimately impinging the wafer surface at an angle. The selected geometry of the Faraday cage angled grid surface thus determines the angle at with the reactive ions will impinge the wafer.

  12. Large scale, highly dense nanoholes on metal surfaces by underwater laser assisted hydrogen etching near nanocrystalline boundary

    Energy Technology Data Exchange (ETDEWEB)

    Lin Dong; Zhang, Martin Yi; Ye Chang; Liu Zhikun; Liu, C. Richard [School of Industrial Engineering and Birck Nanotechnology Center, Purdue University, West Lafayette, IN 47906 (United States); Cheng, Gary J., E-mail: gjcheng@purdue.edu [School of Industrial Engineering and Birck Nanotechnology Center, Purdue University, West Lafayette, IN 47906 (United States)

    2012-03-01

    A new method to generate large scale and highly dense nanoholes is presented in this paper. By the pulsed laser irradiation under water, the hydrogen etching is introduced to form high density nanoholes on the surfaces of AISI 4140 steel and Ti. In order to achieve higher nanohole density, laser shock peening (LSP) followed by recrystallization is used for grain refinement. It is found that the nanohole density does not increase until recrystallization of the substructures after laser shock peening. The mechanism of nanohole generation is studied in detail. This method can be also applied to generate nanoholes on other materials with hydrogen etching effect.

  13. Large scale, highly dense nanoholes on metal surfaces by underwater laser assisted hydrogen etching near nanocrystalline boundary

    International Nuclear Information System (INIS)

    Lin Dong; Zhang, Martin Yi; Ye Chang; Liu Zhikun; Liu, C. Richard; Cheng, Gary J.

    2012-01-01

    A new method to generate large scale and highly dense nanoholes is presented in this paper. By the pulsed laser irradiation under water, the hydrogen etching is introduced to form high density nanoholes on the surfaces of AISI 4140 steel and Ti. In order to achieve higher nanohole density, laser shock peening (LSP) followed by recrystallization is used for grain refinement. It is found that the nanohole density does not increase until recrystallization of the substructures after laser shock peening. The mechanism of nanohole generation is studied in detail. This method can be also applied to generate nanoholes on other materials with hydrogen etching effect.

  14. Impact of recess etching and surface treatments on ohmic contacts regrown by molecular-beam epitaxy for AlGaN/GaN high electron mobility transistors

    Energy Technology Data Exchange (ETDEWEB)

    Joglekar, S.; Azize, M.; Palacios, T. [Microsystems Technology Laboratories, Massachusetts Institute of Technology, 77 Massachusetts Avenue, Cambridge, Massachusetts 02139 (United States); Beeler, M.; Monroy, E. [Université Grenoble-Alpes, 38000 Grenoble (France); CEA Grenoble, INAC-PHELIQS, 38000 Grenoble (France)

    2016-07-25

    Ohmic contacts fabricated by regrowth of n{sup +} GaN are favorable alternatives to metal-stack-based alloyed contacts in GaN-based high electron mobility transistors. In this paper, the influence of reactive ion dry etching prior to regrowth on the contact resistance in AlGaN/GaN devices is discussed. We demonstrate that the dry etch conditions modify the surface band bending, dangling bond density, and the sidewall depletion width, which influences the contact resistance of regrown contacts. The impact of chemical surface treatments performed prior to regrowth is also investigated. The sensitivity of the contact resistance to the surface treatments is found to depend upon the dangling bond density of the sidewall facets exposed after dry etching. A theoretical model has been developed in order to explain the observed trends.

  15. Effects of etching time on enamel bond strengths.

    Science.gov (United States)

    Triolo, P T; Swift, E J; Mudgil, A; Levine, A

    1993-12-01

    This study evaluated the effects of etching time on bond strengths of composite to enamel. Proximal surfaces of extracted molars were etched with either a conventional etchant (35% phosphoric acid) or one of two dentin/enamel conditioners, 10% maleic acid (Scotchbond Multi-Purpose Etchant), or a solution of oxalic acid, aluminum nitrate, and glycine (Gluma 1 & 2 Conditioner). Each agent was applied for 15, 30, or 60 seconds. Specimens etched with 35% phosphoric acid had the highest mean bond strengths at each etching time. At the manufacturer's recommended application times, the other two agents gave significantly lower shear bond strengths than phosphoric acid.

  16. Enhanced Etching, Surface Damage Recovery, and Submicron Patterning of Hybrid Perovskites using a Chemically Gas-Assisted Focused-Ion Beam for Subwavelength Grating Photonic Applications

    KAUST Repository

    Alias, Mohd Sharizal

    2015-12-22

    The high optical gain and absorption of organic–inorganic hybrid perovskites have attracted attention for photonic device applications. However, owing to the sensitivity of organic moieties to solvents and temperature, device processing is challenging, particularly for patterning. Here, we report the direct patterning of perovskites using chemically gas-assisted focused-ion beam (GAFIB) etching with XeF2 and I2 precursors. We demonstrate etching enhancement in addition to controllability and marginal surface damage compared to focused-ion beam (FIB) etching without precursors. Utilizing the GAFIB etching, we fabricated a uniform and periodic submicron perovskite subwavelength grating (SWG) absorber with broadband absorption and nanoscale precision. Our results demonstrate the use of FIB as a submicron patterning tool and a means of providing surface treatment (after FIB patterning to minimize optical loss) for perovskite photonic nanostructures. The SWG absorber can be patterned on perovskite solar cells to enhance the device efficiency through increasing light trapping and absorption.

  17. [Evaluation of shear bond strengths of self-etching and total-etching dental adhesives to enamel and dentin].

    Science.gov (United States)

    Yu, Ling; Liu, Jing-Ming; Wang, Xiao-Yan; Gao, Xue-Jun

    2009-03-01

    To evaluate the shear bond strengths of four dental adhesives in vitro. The facial surfaces of 20 human maxillary incisors were prepared to expose fresh enamel and randomly divided into four groups, in each group 5 teeth were bonded with one adhesives: group A (Clearfil Protect Bond, self-etching two steps), group B (Adper( Prompt, self-etching one step), group C (SwissTEC SL Bond, total-etching two steps), group D (Single Bond, total-etching two steps). Shear bond strengths were determined using an universal testing machine after being stored in distilled water for 24 h at 37 degrees C. The bond strengths to enamel and dentin were (25.33 +/- 2.84) and (26.07 +/- 5.56) MPa in group A, (17.08 +/- 5.13) and (17.93 +/- 4.70) MPa in group B, (33.14 +/- 6.05) and (41.92 +/- 6.25) MPa in group C, (22.51 +/- 6.25) and (21.45 +/- 7.34) MPa in group D. Group C showed the highest and group B the lowest shear bond strength to enamel and dentin among the four groups. The two-step self-etching adhesive showed comparable shear bond strength to some of the total-etching adhesives and higher shear bond strength than one-step self-etching adhesive.

  18. Nanorods on surface of GaN-based thin-film LEDs deposited by post-annealing after photo-assisted chemical etching

    Science.gov (United States)

    Chen, Lung-Chien; Lin, Wun-Wei; Liu, Te-Yu

    2017-01-01

    This study investigates the optoelectronic characteristics of gallium nitride (GaN)-based thin-film light-emitting diodes (TF-LEDs) that are formed by a two-step transfer process that involves wet etching and post-annealing. In the two-step transfer process, GaN LEDs were stripped from sapphire substrates by the laser lift-off (LLO) method using a KrF laser and then transferred onto ceramic substrates. Ga-K nanorods were formed on the surface of the GaN-based TF-LEDs following photo-assisted chemical etching and photo-enhanced post-annealing at 100 °C for 1 min. As a result, the light output power of GaN-based TF-LEDs with wet etching and post-annealing was over 72% more than that of LEDs that did not undergo these treatments.

  19. Anisotropic etching of polycrystalline silicon with a hot Cl2 molecular beam

    International Nuclear Information System (INIS)

    Suzuki, K.; Hiraoka, S.; Nishimatsu, S.

    1988-01-01

    A hot Cl 2 molecular (Cl/sup */ 2 ) beam was successfully applied to achieve highly anisotropic, highly selective, and almost damage-free etching of polycrystalline Si. The anisotropy, the ratio of etch rates in vertical and horizontal directions, was larger than 25. The selectivity, the ratio of polycrystalline Si and SiO 2 etch rates, was larger than 1000. The Cl/sup */ 2 beam was produced by free jet expansion of a Cl 2 gas heated in a graphite furnace. The furnace temperature was 830 0 C. The substrate temperature was 180 0 C. The average total energy (0.38 eV) of a Cl/sup */ 2 molecule impinging on a substrate surface is much lower than the critical energy (approximately 10 eV) to displace the atoms of the etched material and to cause surface damage. This is the essential reason why this highly selective and almost damage-free etching has been achieved. The highly anisotropic etching mechanism is explained by a model taking into account the directional incidence of Cl/sup */ 2 molecules to the surface, and the deactivation process of the Cl/sup */ 2 molecules on a cold surface

  20. High rate dry etching of InGaZnO by BCl3/O2 plasma

    Science.gov (United States)

    Park, Wanjae; Whang, Ki-Woong; Gwang Yoon, Young; Hwan Kim, Jeong; Rha, Sang-Ho; Seong Hwang, Cheol

    2011-08-01

    This paper reports the results of the high-rate dry etching of indium gallium zinc oxide (IGZO) at room temperature using BCl3/O2 plasma. We achieved an etch rate of 250 nm/min. We inferred from the x-ray photoelectron spectroscopy analysis that BOx or BOClx radicals generated from BCl3/O2 plasma cause the etching of the IGZO material. O2 initiates the etching of IGZO, and Ar removes nonvolatile byproducts from the surface during the etching process. Consequently, a smooth etched surface results when these gases are added to the etch gas.

  1. Power ultrasound irradiation during the alkaline etching process of the 2024 aluminum alloy

    Energy Technology Data Exchange (ETDEWEB)

    Moutarlier, V.; Viennet, R.; Rolet, J.; Gigandet, M.P.; Hihn, J.Y., E-mail: jean-yves.hihn@univ-fcomte.fr

    2015-11-15

    Graphical abstract: Result of an etching step in ultrasound presence on intermetallic particles on a 2024 aluminum alloy. - Highlights: • Etching step prior to anodization on 2024 aluminum alloy. • Etching rate measurement and hydroxide film characterization by GDOES and SEM. • Various etching parameters (temperature, presence or absence of ultrasound). • Improvement of corrosion resistance show by electrochemical tests. - Abstract: Prior to any surface treatment on an aluminum alloy, a surface preparation is necessary. This commonly consists in performing an alkaline etching followed by acid deoxidizing. In this work, the use of power ultrasound irradiation during the etching step on the 2024 aluminum alloy was studied. The etching rate was estimated by weight loss, and the alkaline film formed during the etching step was characterized by glow discharge optical emission spectrometry (GDOES) and scanning electron microscope (SEM). The benefit of power ultrasound during the etching step was confirmed by pitting potential measurement in NaCl solution after a post-treatment (anodizing).

  2. Power ultrasound irradiation during the alkaline etching process of the 2024 aluminum alloy

    International Nuclear Information System (INIS)

    Moutarlier, V.; Viennet, R.; Rolet, J.; Gigandet, M.P.; Hihn, J.Y.

    2015-01-01

    Graphical abstract: Result of an etching step in ultrasound presence on intermetallic particles on a 2024 aluminum alloy. - Highlights: • Etching step prior to anodization on 2024 aluminum alloy. • Etching rate measurement and hydroxide film characterization by GDOES and SEM. • Various etching parameters (temperature, presence or absence of ultrasound). • Improvement of corrosion resistance show by electrochemical tests. - Abstract: Prior to any surface treatment on an aluminum alloy, a surface preparation is necessary. This commonly consists in performing an alkaline etching followed by acid deoxidizing. In this work, the use of power ultrasound irradiation during the etching step on the 2024 aluminum alloy was studied. The etching rate was estimated by weight loss, and the alkaline film formed during the etching step was characterized by glow discharge optical emission spectrometry (GDOES) and scanning electron microscope (SEM). The benefit of power ultrasound during the etching step was confirmed by pitting potential measurement in NaCl solution after a post-treatment (anodizing).

  3. Power ultrasound irradiation during the alkaline etching process of the 2024 aluminum alloy

    Science.gov (United States)

    Moutarlier, V.; Viennet, R.; Rolet, J.; Gigandet, M. P.; Hihn, J. Y.

    2015-11-01

    Prior to any surface treatment on an aluminum alloy, a surface preparation is necessary. This commonly consists in performing an alkaline etching followed by acid deoxidizing. In this work, the use of power ultrasound irradiation during the etching step on the 2024 aluminum alloy was studied. The etching rate was estimated by weight loss, and the alkaline film formed during the etching step was characterized by glow discharge optical emission spectrometry (GDOES) and scanning electron microscope (SEM). The benefit of power ultrasound during the etching step was confirmed by pitting potential measurement in NaCl solution after a post-treatment (anodizing).

  4. Etching method employing radiation

    International Nuclear Information System (INIS)

    Chapman, B.N.; Winters, H.F.

    1982-01-01

    This invention provides a method for etching a silicon oxide, carbide, nitride, or oxynitride surface using an electron or ion beam in the presence of a xenon or krypton fluoride. No additional steps are required after exposure to radiation

  5. Etching of enamel for direct bonding with a thulium fiber laser

    Science.gov (United States)

    Kabaş Sarp, Ayşe S.; Gülsoy, Murat

    2011-03-01

    Background: Laser etching of enamel for direct bonding can decrease the risk of surface enamel loss and demineralization which are the adverse effects of acid etching technique. However, in excess of +5.5°C can cause irreversible pulpal responses. In this study, a 1940- nm Thulium Fiber Laser in CW mode was used for laser etching. Aim: Determination of the suitable Laser parameters of enamel surface etching for direct bonding of ceramic brackets and keeping that intrapulpal temperature changes below the threshold value. Material and Method: Polycrystalline ceramic orthodontic brackets were bonded on bovine teeth by using 2 different kinds of etching techniques: Acid and Laser Etching. In addition to these 3 etched groups, there was also a group which was bonded without etching. Brackets were debonded with a material testing machine. Breaking time and the load at the breaking point were measured. Intrapulpal temperature changes were recorded by a K-type Thermocouple. For all laser groups, intrapulpal temperature rise was below the threshold value of 5.5°C. Results and Conclusion: Acid-etched group ( 11.73 MPa) significantly required more debonding force than 3- second- irradiated ( 5.03 MPa) and non-etched groups ( 3.4 MPa) but the results of acid etched group and 4- second- irradiated group (7.5 MPa) showed no significant difference. Moreover, 4- second irradiated group was over the minimum acceptable value for clinical use. Also, 3- second lasing caused a significant reduction in time according to acid-etch group. As a result, 1940- nm laser irradiation is a promising method for laser etching.

  6. Optimization of permanganic etching of polyethylenes for scanning electron microscopy

    International Nuclear Information System (INIS)

    Naylor, K.L.; Phillips, P.J.

    1983-01-01

    The permanganic etching technique has been studied as a function of time, temperature, and concentration for a series of polyethylenes. Kinetic studies show that a film of reaction products builds up on the surface, impeding further etching, an effect which is greatest for the lowest-crystallinity polymers. SEM studies combined with EDS show that the film contains sulfur, potassium and some manganese. An artifact is produced by the etching process which is impossible to remove by washing procedures if certain limits of time, temperature, and concentration are exceeded. For lower-crystallinity polyethylenes multiple etching and washing steps were required for optimal resolution. Plastic deformation during specimen preparation, whether from scratches or freeze fracturing, enhances artifact formation. When appropriate procedures are used, virtually artifact-free surfaces can be produced allowing a combination of permanganic etching and scanning electron microscopy to give a rapid method for detailed morphological characterization of bulk specimens

  7. Effect of ferric sulfate contamination on the bonding effectiveness of etch-and-rinse and self-etch adhesives to superficial dentin

    OpenAIRE

    Shahram Farzin Ebrahimi; Niloofar Shadman; Arezoo Abrishami

    2013-01-01

    Aim: This study investigated the effect of one hemostatic agent on the shear bond strength of self-etch and etch-and-rinse adhesive systems. Materials and Methods: Sixty extracted third molars were selected. After preparing a flat surface of superficial dentin, they were randomly divided into six groups. Adhesives were Tetric N-Bond, AdheSE, and AdheSE One F. Before applying adhesives, surfaces were contaminated with ViscoStat for 60 s in three groups and rinsed. Then composite were attached ...

  8. Inverse metal-assisted chemical etching produces smooth high aspect ratio InP nanostructures.

    Science.gov (United States)

    Kim, Seung Hyun; Mohseni, Parsian K; Song, Yi; Ishihara, Tatsumi; Li, Xiuling

    2015-01-14

    Creating high aspect ratio (AR) nanostructures by top-down fabrication without surface damage remains challenging for III-V semiconductors. Here, we demonstrate uniform, array-based InP nanostructures with lateral dimensions as small as sub-20 nm and AR > 35 using inverse metal-assisted chemical etching (I-MacEtch) in hydrogen peroxide (H2O2) and sulfuric acid (H2SO4), a purely solution-based yet anisotropic etching method. The mechanism of I-MacEtch, in contrast to regular MacEtch, is explored through surface characterization. Unique to I-MacEtch, the sidewall etching profile is remarkably smooth, independent of metal pattern edge roughness. The capability of this simple method to create various InP nanostructures, including high AR fins, can potentially enable the aggressive scaling of InP based transistors and optoelectronic devices with better performance and at lower cost than conventional etching methods.

  9. Fabrication of high quality GaN nanopillar arrays by dry and wet chemical etching

    OpenAIRE

    Paramanik, Dipak; Motayed, Abhishek; King, Matthew; Ha, Jong-Yoon; Kryluk, Sergi; Davydov, Albert V.; Talin, Alec

    2013-01-01

    We study strain relaxation and surface damage of GaN nanopillar arrays fabricated using inductively coupled plasma (ICP) etching and post etch wet chemical treatment. We controlled the shape and surface damage of such nanopillar structures through selection of etching parameters. We compared different substrate temperatures and different chlorine-based etch chemistries to fabricate high quality GaN nanopillars. Room temperature photoluminescence and Raman scattering measurements were carried ...

  10. Introducing etch kernels for efficient pattern sampling and etch bias prediction

    Science.gov (United States)

    Weisbuch, François; Lutich, Andrey; Schatz, Jirka

    2018-01-01

    Successful patterning requires good control of the photolithography and etch processes. While compact litho models, mainly based on rigorous physics, can predict very well the contours printed in photoresist, pure empirical etch models are less accurate and more unstable. Compact etch models are based on geometrical kernels to compute the litho-etch biases that measure the distance between litho and etch contours. The definition of the kernels, as well as the choice of calibration patterns, is critical to get a robust etch model. This work proposes to define a set of independent and anisotropic etch kernels-"internal, external, curvature, Gaussian, z_profile"-designed to represent the finest details of the resist geometry to characterize precisely the etch bias at any point along a resist contour. By evaluating the etch kernels on various structures, it is possible to map their etch signatures in a multidimensional space and analyze them to find an optimal sampling of structures. The etch kernels evaluated on these structures were combined with experimental etch bias derived from scanning electron microscope contours to train artificial neural networks to predict etch bias. The method applied to contact and line/space layers shows an improvement in etch model prediction accuracy over standard etch model. This work emphasizes the importance of the etch kernel definition to characterize and predict complex etch effects.

  11. Si etching with reactive neutral beams of very low energy

    Energy Technology Data Exchange (ETDEWEB)

    Hara, Yasuhiro [Organization for Research and Development of Innovative Science and Technology, Kansai University, 3-3-35 Yamate-chou, Suita, Osaka 565-0871 (Japan); Hamagaki, Manabu; Mise, Takaya [RIKEN, 2-1, Hirosawa, Wako, Saitama 351-0198 (Japan); Iwata, Naotaka; Hara, Tamio [Toyota Technological Institute, 2-12-1 Hisakata, Tenpaku-ku, Nagoya 468-8511 (Japan)

    2014-12-14

    A Si etching process has been investigated with reactive neutral beams (NBs) extracted using a low acceleration voltage of less than 100 V from CF{sub 4} and Ar mixed plasmas. The etched Si profile shows that the etching process is predominantly anisotropic. The reactive NB has a constant Si etching rate in the acceleration voltage range from 20 V to 80 V. It is considered that low-energy NBs can trigger Si etching because F radicals adsorb onto the Si surface and weaken Si–Si bonds. The etching rate per unit beam flux is 33 times higher than that with Ar NB. These results show that the low-energy reactive NB is useful for damage-free high speed Si etching.

  12. Masking considerations in chemically assisted ion beam etching of GaAs/AlGaAs laser structures

    International Nuclear Information System (INIS)

    Behfar-Rad, A.; Wong, S.S.; Davis, R.J.; Wolf, E.D.; Cornell Univ., Ithaca, NY

    1989-01-01

    The use of photoresist, Cr, and SiO 2 as etch masks for GaAs/AlGaAs structures in chemically assisted ion beam etching is reported. The optimized etch with a photoresist mask results in a high degree of anisotropy and smooth sidewalls. However, the etched surface contains undesirable features. The etch with a Cr mask is also highly anisotropic, and the etched surface is free of features. The drawback with Cr masks is that the sidewalls are rough. Vertical and smooth sidewalls as well as a featureless surface are obtained with a SiO 2 mask. The SiO 2 mask has been employed to etch the facets of monolithic GaAs/AlGaAs-based laser structures

  13. A tunable sub-100 nm silicon nanopore array with an AAO membrane mask: reducing unwanted surface etching by introducing a PMMA interlayer

    Science.gov (United States)

    Lim, Namsoo; Pak, Yusin; Kim, Jin Tae; Hwang, Youngkyu; Lee, Ryeri; Kumaresan, Yogeenth; Myoung, Nosoung; Ko, Heung Cho; Jung, Gun Young

    2015-08-01

    Highly ordered silicon (Si) nanopores with a tunable sub-100 nm diameter were fabricated by a CF4 plasma etching process using an anodic aluminum oxide (AAO) membrane as an etching mask. To enhance the conformal contact of the AAO membrane mask to the underlying Si substrate, poly(methyl methacrylate) (PMMA) was spin-coated on top of the Si substrate prior to the transfer of the AAO membrane. The AAO membrane mask was fabricated by two-step anodization and subsequent removal of the aluminum support and the barrier layer, which was then transferred to the PMMA-coated Si substrate. Contact printing was performed on the sample with a pressure of 50 psi and a temperature of 120 °C to make a conformal contact of the AAO membrane mask to the Si substrate. The CF4 plasma etching was conducted to transfer nanopores onto the Si substrate through the PMMA interlayer. The introduced PMMA interlayer prevented unwanted surface etching of the Si substrate by eliminating the etching ions and radicals bouncing at the gap between the mask and the substrate, resulting in a smooth Si nanopore array.Highly ordered silicon (Si) nanopores with a tunable sub-100 nm diameter were fabricated by a CF4 plasma etching process using an anodic aluminum oxide (AAO) membrane as an etching mask. To enhance the conformal contact of the AAO membrane mask to the underlying Si substrate, poly(methyl methacrylate) (PMMA) was spin-coated on top of the Si substrate prior to the transfer of the AAO membrane. The AAO membrane mask was fabricated by two-step anodization and subsequent removal of the aluminum support and the barrier layer, which was then transferred to the PMMA-coated Si substrate. Contact printing was performed on the sample with a pressure of 50 psi and a temperature of 120 °C to make a conformal contact of the AAO membrane mask to the Si substrate. The CF4 plasma etching was conducted to transfer nanopores onto the Si substrate through the PMMA interlayer. The introduced PMMA interlayer

  14. Antireflective surface structures in glass by self-assembly of SiO2 nanoparticles and wet etching.

    Science.gov (United States)

    Maier, Thomas; Bach, David; Müllner, Paul; Hainberger, Rainer; Brückl, Hubert

    2013-08-26

    We describe the fabrication of an antireflective surface structure with sub-wavelength dimensions on a glass surface using scalable low-cost techniques involving sol-gel coating, thermal annealing, and wet chemical etching. The glass surface structure consists of sand dune like protrusions with 250 nm periodicity and a maximum peak-to-valley height of 120 nm. The antireflective structure increases the transmission of the glass up to 0.9% at 700 nm, and the transmission remains enhanced over a wide spectral range and for a wide range of incident angles. Our measurements reveal a strong polarization dependence of the transmission change.

  15. Optimization of silver-assisted nano-pillar etching process in silicon

    International Nuclear Information System (INIS)

    Azhari, Ayu Wazira; Sopian, Kamaruzzaman; Desa, Mohd Khairunaz Mat; Zaidi, Saleem H.

    2015-01-01

    Graphical abstract: - Highlights: • Statistical analysis for synthesis of nano-pillar in crystalline Si substrates is presented. • Model is in good agreement with experimental for the etching rate and lateral etching respectively. • Optimum values for all parameters in fabrication of nanostructured Si are attained. - Abstract: In this study, a respond surface methodology (RSM) model is developed using three-level Box–Behnken experimental design (BBD) technique. This model is developed to investigate the influence of metal-assisted chemical etching (MACE) process variables on the nanopillars profiles created in single crystalline silicon (Si) substrate. Design-Expert ® software (version 7.1) is employed in formulating the RSM model based on five critical process variables: (A) concentration of silver (Ag), (B) concentration of hydrofluoric acid (HF), (C) concentration of hydrogen peroxide (H 2 O 2 ), (D) deposition time, and (E) etching time. This model is supported by data from 46 experimental configurations. Etched profiles as a function of lateral etching rate, vertical etching rate, height, size and separation between the Si trenches and etching uniformity are characterized using field emission scanning electron microscope (FE-SEM). A quadratic regression model is developed to correlate critical process variables and is validated using the analysis of variance (ANOVA) methodology. The model exhibits near-linear dependence of lateral and vertical etching rates on both the H 2 O 2 concentration and etching time. The predicted model is in good agreement with the experimental data where R 2 is equal to 0.80 and 0.67 for the etching rate and lateral etching respectively. The optimized result shows minimum lateral etching with the average pore size of about 69 nm while the maximum etching rate is estimated at around 360 nm/min. The model demonstrates that the etching process uniformity is not influenced by either the etchant concentration or the etching time

  16. Optimization of silver-assisted nano-pillar etching process in silicon

    Energy Technology Data Exchange (ETDEWEB)

    Azhari, Ayu Wazira, E-mail: ayuwazira@unimap.edu.my [Solar Energy Research Institute, Universiti Kebangsaan Malaysia, Bangi, Selangor 43650 (Malaysia); School of Environmental Engineering, Universiti Malaysia Perlis, 01000, Kangar, Perlis (Malaysia); Sopian, Kamaruzzaman [Solar Energy Research Institute, Universiti Kebangsaan Malaysia, Bangi, Selangor 43650 (Malaysia); Desa, Mohd Khairunaz Mat [School of Electrical and Electronic Engineering, Universiti Sains Malaysia, Nibong Tebal, Pulau Pinang, 14300 (Malaysia); Zaidi, Saleem H. [Solar Energy Research Institute, Universiti Kebangsaan Malaysia, Bangi, Selangor 43650 (Malaysia)

    2015-12-01

    Graphical abstract: - Highlights: • Statistical analysis for synthesis of nano-pillar in crystalline Si substrates is presented. • Model is in good agreement with experimental for the etching rate and lateral etching respectively. • Optimum values for all parameters in fabrication of nanostructured Si are attained. - Abstract: In this study, a respond surface methodology (RSM) model is developed using three-level Box–Behnken experimental design (BBD) technique. This model is developed to investigate the influence of metal-assisted chemical etching (MACE) process variables on the nanopillars profiles created in single crystalline silicon (Si) substrate. Design-Expert{sup ®} software (version 7.1) is employed in formulating the RSM model based on five critical process variables: (A) concentration of silver (Ag), (B) concentration of hydrofluoric acid (HF), (C) concentration of hydrogen peroxide (H{sub 2}O{sub 2}), (D) deposition time, and (E) etching time. This model is supported by data from 46 experimental configurations. Etched profiles as a function of lateral etching rate, vertical etching rate, height, size and separation between the Si trenches and etching uniformity are characterized using field emission scanning electron microscope (FE-SEM). A quadratic regression model is developed to correlate critical process variables and is validated using the analysis of variance (ANOVA) methodology. The model exhibits near-linear dependence of lateral and vertical etching rates on both the H{sub 2}O{sub 2} concentration and etching time. The predicted model is in good agreement with the experimental data where R{sup 2} is equal to 0.80 and 0.67 for the etching rate and lateral etching respectively. The optimized result shows minimum lateral etching with the average pore size of about 69 nm while the maximum etching rate is estimated at around 360 nm/min. The model demonstrates that the etching process uniformity is not influenced by either the etchant

  17. The fabrication and hydrophobic property of micro-nano patterned surface on magnesium alloy using combined sparking sculpture and etching route

    Energy Technology Data Exchange (ETDEWEB)

    Wu, Yunfeng [Institute for Advanced Ceramics, Harbin Institute of Technology, Harbin 150001 (China); Wang, Yaming, E-mail: wangyaming@hit.edu.cn [Institute for Advanced Ceramics, Harbin Institute of Technology, Harbin 150001 (China); Liu, Hao [Institute for Advanced Ceramics, Harbin Institute of Technology, Harbin 150001 (China); Liu, Yan [Key Laboratory of Bionic Engineering (Ministry of Education), Jilin University, Changchun 130022 (China); Guo, Lixin; Jia, Dechang; Ouyang, Jiahu; Zhou, Yu [Institute for Advanced Ceramics, Harbin Institute of Technology, Harbin 150001 (China)

    2016-12-15

    Highlights: • A hydrophobic micro-nano roughness surface on magnesium was fabricated. • Micro-nano structure derives from duplicating ‘over growth’ regions by MAO. • 7–9 μm micro-scale big pores insetting with nano-scale fine pores were fabricated. • Hydrophobicity of micro-nano surface was improved by chemical decoration and stearic treatment. - Abstract: Magnesium alloy with micro-nano structure roughness surface, can serve as the loading reservoirs of medicine capsule and industrial lubricating oil, or mimic ‘lotus leaf’ hydrophobic surface, having the potential applications in medical implants, automobile, aerospace and electronic products, etc. Herein, we propose a novel strategy to design a micro-nano structure roughness surface on magnesium alloy using combined microarc sparking sculpture and etching in CrO{sub 3} aqueous solution. A hydrophobic surface (as an applied example) was further fabricated by chemical decorating on the obtained patterned magnesium alloy surface to enhance the corrosion resistance. The results show that the combined micro-nano structure of 7–9 μm diameter big pores insetting with nano-scale fine pores was duplicated after etched the sparking sculptured ‘over growth’ oxide regions towards the magnesium substrate. The micro-nano structure surface was chemically decorated using AgNO{sub 3} and stearic acid, which enables the contact angle increased from 60° to 146.8°. The increasing contact angle is mainly attributed to the micro-nano structure and the chemical composition. The hydrophobic surface of magnesium alloy improved the corrosion potential from −1.521 V of the bare magnesium to −1.274 V. Generally, the sparking sculpture and then etching route demonstrates a low-cost, high-efficacy method to fabricate a micro-nano structure hydrophobic surface on magnesium alloy. Furthermore, our research on the creating of micro-nano structure roughness surface and the hydrophobic treatment can be easily

  18. The fabrication and hydrophobic property of micro-nano patterned surface on magnesium alloy using combined sparking sculpture and etching route

    International Nuclear Information System (INIS)

    Wu, Yunfeng; Wang, Yaming; Liu, Hao; Liu, Yan; Guo, Lixin; Jia, Dechang; Ouyang, Jiahu; Zhou, Yu

    2016-01-01

    Highlights: • A hydrophobic micro-nano roughness surface on magnesium was fabricated. • Micro-nano structure derives from duplicating ‘over growth’ regions by MAO. • 7–9 μm micro-scale big pores insetting with nano-scale fine pores were fabricated. • Hydrophobicity of micro-nano surface was improved by chemical decoration and stearic treatment. - Abstract: Magnesium alloy with micro-nano structure roughness surface, can serve as the loading reservoirs of medicine capsule and industrial lubricating oil, or mimic ‘lotus leaf’ hydrophobic surface, having the potential applications in medical implants, automobile, aerospace and electronic products, etc. Herein, we propose a novel strategy to design a micro-nano structure roughness surface on magnesium alloy using combined microarc sparking sculpture and etching in CrO_3 aqueous solution. A hydrophobic surface (as an applied example) was further fabricated by chemical decorating on the obtained patterned magnesium alloy surface to enhance the corrosion resistance. The results show that the combined micro-nano structure of 7–9 μm diameter big pores insetting with nano-scale fine pores was duplicated after etched the sparking sculptured ‘over growth’ oxide regions towards the magnesium substrate. The micro-nano structure surface was chemically decorated using AgNO_3 and stearic acid, which enables the contact angle increased from 60° to 146.8°. The increasing contact angle is mainly attributed to the micro-nano structure and the chemical composition. The hydrophobic surface of magnesium alloy improved the corrosion potential from −1.521 V of the bare magnesium to −1.274 V. Generally, the sparking sculpture and then etching route demonstrates a low-cost, high-efficacy method to fabricate a micro-nano structure hydrophobic surface on magnesium alloy. Furthermore, our research on the creating of micro-nano structure roughness surface and the hydrophobic treatment can be easily extended to the

  19. Photonic jet μ-etching: from static to dynamic process

    Science.gov (United States)

    Abdurrochman, A.; Lecler, S.; Zelgowski, J.; Mermet, F.; Fontaine, J.; Tumbelaka, B. Y.

    2017-05-01

    Photonic jet etching is a direct-laser etching method applying photonic jet phenomenon to concentrate the laser beam onto the proceeded material. We call photonic jet the phenomenon of the localized sub-wavelength propagative beam generated at the shadow-side surfaces of micro-scale dielectric cylinders or spheres, when they are illuminated by an electromagnetic plane-wave or laser beam. This concentration has made possible the laser to yield sub-μ etching marks, despite the laser was a near-infrared with nano-second pulses sources. We will present these achievements from the beginning when some spherical glasses were used for static etching to dynamic etching using an optical fiber with a semi-elliptical tip.

  20. Preliminary quantification of a shape model for etch-pits formed during natural weathering of olivine

    International Nuclear Information System (INIS)

    Nowicki, M. Anna; Velbel, Michael A.

    2011-01-01

    Many etch-pits on olivine grains occur as a pair of cone-shaped pits sharing a base, which consequently appear as diamond-shaped etch-pits in cross-section. Quantitative image analysis of back-scattered electron images establishes empirical dimensions of olivine etch-pits in naturally weathered samples from Hawaii and North Carolina. Images of naturally etched olivine were acquired from polished thin-sections by scanning electron microscopy. An average cone-radius-to-height ratio (r:h) of 1.78 was determined for diamond-shaped cross-sections of etch-pits occurring in naturally weathered olivine grains, largely consistent with previous qualitative results. Olivine etch-pit shape as represented by r:h varies from slightly more than half the average value to slightly more than twice the average. Etch-pit shape does not appear to vary systematically with etch-pit size.

  1. Etching patterns on the micro‐ and nanoscale

    DEFF Research Database (Denmark)

    Michael-Lindhard, Jonas; Herstrøm, Berit; Stöhr, Frederik

    2014-01-01

    ‐ray beam down to a spot size of some 100 nm, the sidewalls of the cavities etched down to 300 μm into a silicon wafer must be perfectly straight and normal to the surface and have minimum roughness.The range of possible applications of the silicon etches is greatly extended if combined with electroplating...... and polymer injection molding. High precision patterns of, for instance microfluidic devices, are etched intosilicon which is then electroplated with nickel that will serve as a stamp in the polymer injection molding tool where thousands of devices may be replicated. In addition to silicon and its derived...

  2. Self-etch and etch-and-rinse adhesive systems in clinical dentistry.

    Science.gov (United States)

    Ozer, Fusun; Blatz, Markus B

    2013-01-01

    Current adhesive systems follow either an "etch-and-rinse" or "self-etch" approach, which differ in how they interact with natural tooth structures. Etch-and-rinse systems comprise phosphoric acid to pretreat the dental hard tissues before rinsing and subsequent application of an adhesive. Self-etch adhesives contain acidic monomers, which etch and prime the tooth simultaneously. Etch-and-rinse adhesives are offered as two- or three-step systems, depending on whether primer and bonding are separate or combined in a single bottle. Similarly, self-etch adhesives are available as one- or two-step systems. Both etch-and-rinse and self-etch systems form a hybrid layer as a result of resins impregnating the porous enamel or dentin. Despite current trends toward fewer and simpler clinical application steps, one-step dentin bonding systems exhibit bonding agent lower bond strengths and seem less predictable than multi-step etch-and-rinse and self-etch systems. The varying evidence available today suggests that the choice between etch-and-rinse and self-etch systems is often a matter of personal preference. In general, however, phosphoric acid creates a more pronounced and retentive etching pattern in enamel. Therefore, etch-and-rinse bonding systems are often preferred for indirect restorations and when large areas of enamel are still present. Conversely, self-etch adhesives provide superior and more predictable bond strength to dentin and are, consequently, recommended for direct composite resin restorations, especially when predominantly supported by dentin.

  3. Texture-Etched SnO2 Glasses Applied to Silicon Thin-Film Solar Cells

    Directory of Open Access Journals (Sweden)

    Bing-Rui Wu

    2014-01-01

    Full Text Available Transparent electrodes of tin dioxide (SnO2 on glasses were further wet-etched in the diluted HCl:Cr solution to obtain larger surface roughness and better light-scattering characteristic for thin-film solar cell applications. The process parameters in terms of HCl/Cr mixture ratio, etching temperature, and etching time have been investigated. After etching process, the surface roughness, transmission haze, and sheet resistance of SnO2 glasses were measured. It was found that the etching rate was increased with the additions in etchant concentration of Cr and etching temperature. The optimum texture-etching parameters were 0.15 wt.% Cr in 49% HCl, temperature of 90°C, and time of 30 sec. Moreover, silicon thin-film solar cells with the p-i-n structure were fabricated on the textured SnO2 glasses using hot-wire chemical vapor deposition. By optimizing the texture-etching process, the cell efficiency was increased from 4.04% to 4.39%, resulting from the increment of short-circuit current density from 14.14 to 15.58 mA/cm2. This improvement in cell performances can be ascribed to the light-scattering effect induced by surface texturization of SnO2.

  4. Method of plasma etching Ga-based compound semiconductors

    Science.gov (United States)

    Qiu, Weibin; Goddard, Lynford L.

    2012-12-25

    A method of plasma etching Ga-based compound semiconductors includes providing a process chamber and a source electrode adjacent to the process chamber. The process chamber contains a sample comprising a Ga-based compound semiconductor. The sample is in contact with a platen which is electrically connected to a first power supply, and the source electrode is electrically connected to a second power supply. The method includes flowing SiCl.sub.4 gas into the chamber, flowing Ar gas into the chamber, and flowing H.sub.2 gas into the chamber. RF power is supplied independently to the source electrode and the platen. A plasma is generated based on the gases in the process chamber, and regions of a surface of the sample adjacent to one or more masked portions of the surface are etched to create a substantially smooth etched surface including features having substantially vertical walls beneath the masked portions.

  5. Morphological Evaluation of the Adhesive/Enamel interfaces of Two-step Self-etching Adhesives and Multimode One-bottle Self-etching Adhesives.

    Science.gov (United States)

    Sato, Takaaki; Takagaki, Tomohiro; Matsui, Naoko; Hamba, Hidenori; Sadr, Alireza; Nikaido, Toru; Tagami, Junji

    To evaluate the acid-base resistant zone (ABRZ) at the adhesive/enamel interface of self-etching adhesives with or without prior phosphoric acid etching. Four adhesives were used in 8 groups: Clearfil SE Bond (SEB), Optibond XTR (XTR), Scotchbond Universal Adhesive (SBU), and Clearfil BOND SE ONE (ONE) without prior phosphoric-acid etching, and each adhesive with phosphoric acid etching for 10 s (P-SEB, P-XTR, P-SBU and P-ONE, respectively). After application of self-etching adhesives on ground enamel surfaces of human teeth, a flowable composite was placed. For observation of the acid-base resistant zone (ABRZ), the bonded interface was exposed to demineralizing solution (pH 4.5) for 4.5 h, followed by 5% NaOCl with ultrasonication for 20 min. After the acid-base challenge, morphological attributes of the interface were observed using SEM. ABRZ formation was confirmed in all groups. The funnel-shaped erosion beneath the interface was present in SBU and ONE, where nearly 10 to 15 μm of enamel was dissolved. With phosphoric acid etching, the ABRZs were obviously thicker compared with no phosphoric acid etching. Enamel beneath the bonding interface was more susceptible to acid dissolution in SBU and ONE. In the case of the one-bottle self-etching adhesives and universal adhesives that intrinsically have higher pH values, enamel etching should be recommended to improve the interfacial quality.

  6. Fabrication of micromechanical structures on substrates selectively etched using a micropatterned ion-implantation method

    International Nuclear Information System (INIS)

    Nakano, Shizuka; Nakagawa, Sachiko; Ishikawa, Haruo; Ogiso, Hisato

    2001-01-01

    An advanced micromachining technique using ion implantation to modify materials was studied. Gold ion implantation into silicon decreased the etching rate when the silicon was etched in potassium hydroxide solution after the ion implantation; the implanted region remained, thus forming the microstructure. Observation of the cross-section of the resulting etched structure by transmission electron microscopy showed that the structure was made only from the ion-implanted region, and that gold was precipitated on the surface. To clarify the mechanism involved in the decrease in the etching rate, we varied the etching conditions. Our results show that precipitation of implanted gold on the surface decreased the etching rate, because solubility of gold is lower

  7. Dry etching characteristics of GaN for blue/green light-emitting diode fabrication

    International Nuclear Information System (INIS)

    Baik, K.H.; Pearton, S.J.

    2009-01-01

    The etch rates, surface morphology and sidewall profiles of features formed in GaN/InGaN/AlGaN multiple quantum well light-emitting diodes by Cl 2 -based dry etching are reported. The chlorine provides an enhancement in etch rate of over a factor of 40 relative to the physical etching provided by Ar and the etching is reactant-limited until chlorine gas flow rates of at least 50 standard cubic centimeters per minute. Mesa sidewall profile angle control is possible using a combination of Cl 2 /Ar plasma chemistry and SiO 2 mask. N-face GaN is found to etch faster than Ga-face surfaces under the same conditions. Patterning of the sapphire substrate for improved light extraction is also possible using the same plasma chemistry

  8. Sputtering yields and surface chemical modification of tin-doped indium oxide in hydrocarbon-based plasma etching

    Energy Technology Data Exchange (ETDEWEB)

    Li, Hu; Karahashi, Kazuhiro; Hamaguchi, Satoshi, E-mail: hamaguch@ppl.eng.osaka-u.ac.jp [Center for Atomic and Molecular Technologies, Osaka University, Yamadaoka 2-1, Suita 565-0871 (Japan); Fukasawa, Masanaga; Nagahata, Kazunori; Tatsumi, Tetsuya [Device and Material R& D Group, RDS Platform, Sony Corporation, Kanagawa 243-0014 (Japan)

    2015-11-15

    Sputtering yields and surface chemical compositions of tin-doped indium oxide (or indium tin oxide, ITO) by CH{sup +}, CH{sub 3}{sup +}, and inert-gas ion (He{sup +}, Ne{sup +}, and Ar{sup +}) incidence have been obtained experimentally with the use of a mass-selected ion beam system and in-situ x-ray photoelectron spectroscopy. It has been found that etching of ITO is chemically enhanced by energetic incidence of hydrocarbon (CH{sub x}{sup +}) ions. At high incident energy incidence, it appears that carbon of incident ions predominantly reduce indium (In) of ITO and the ITO sputtering yields by CH{sup +} and CH{sub 3}{sup +} ions are found to be essentially equal. At lower incident energy (less than 500 eV or so), however, a hydrogen effect on ITO reduction is more pronounced and the ITO surface is more reduced by CH{sub 3}{sup +} ions than CH{sup +} ions. Although the surface is covered more with metallic In by low-energy incident CH{sub 3}{sup +} ions than CH{sup +} ions and metallic In is in general less resistant against physical sputtering than its oxide, the ITO sputtering yield by incident CH{sub 3}{sup +} ions is found to be lower than that by incident CH{sup +} ions in this energy range. A postulation to account for the relation between the observed sputtering yield and reduction of the ITO surface is also presented. The results presented here offer a better understanding of elementary surface reactions observed in reactive ion etching processes of ITO by hydrocarbon plasmas.

  9. A study on the fabrication of superhydrophobic iron surfaces by chemical etching and galvanic replacement methods and their anti-icing properties

    Energy Technology Data Exchange (ETDEWEB)

    Li, Kunquan, E-mail: likunquan1987@gmail.com; Zeng, Xingrong, E-mail: psxrzeng@gmail.com; Li, Hongqiang, E-mail: hqli1979@gmail.com; Lai, Xuejun, E-mail: msxjlai@scut.edu.cn

    2015-08-15

    Graphical abstract: - Highlights: • Superhydrophobic iron surfaces were prepared by etching and replacement method. • The fabrication process was simple, time-saving and inexpensive. • Galvanic replacement method was more favorable to create roughness on iron surface. • The superhydrophobic iron surface showed excellent anti-icing properties. - Abstract: Hierarchical structures on iron surfaces were constructed by means of chemical etching by hydrochloric acid (HCl) solution or the galvanic replacement by silver nitrate (AgNO{sub 3}) solution. The superhydrophobic iron surfaces were successfully prepared by subsequent hydrophobic modification with stearic acid. The superhydrophobic iron surfaces were characterized by Fourier transform infrared spectroscopy (FTIR), scanning electron microscopy (SEM), energy dispersive X-ray spectroscopy (EDS) and water contact angle (WCA). The effects of reactive concentration and time on the microstructure and the wetting behavior were investigated. In addition, the anti-icing properties of the superhydrophobic iron surfaces were also studied. The FTIR study showed that the stearic acid was chemically bonded onto the iron surface. With the HCl concentration increase from 4 mol/L to 8 mol/L, the iron surface became rougher with a WCA ranging from 127° to 152°. The AgNO{sub 3} concentration had little effect on the wetting behavior, but a high AgNO{sub 3} concentration caused Ag particle aggregates to transform from flower-like formations into dendritic crystals, owing to the preferential growth direction of the Ag particles. Compared with the etching method, the galvanic replacement method on the iron surface more favorably created roughness required for achieving superhydrophobicity. The superhydrophobic iron surface showed excellent anti-icing properties in comparison with the untreated iron. The icing time of water droplets on the superhydrophobic surface was delayed to 500 s, which was longer than that of 295 s for

  10. AFM and SEM study of the effects of etching on IPS-Empress 2 TM dental ceramic

    Science.gov (United States)

    Luo, X.-P.; Silikas, N.; Allaf, M.; Wilson, N. H. F.; Watts, D. C.

    2001-10-01

    The aim of this study was to investigate the effects of increasing etching time on the surface of the new dental material, IPS-Empress 2 TM glass ceramic. Twenty one IPS-Empress 2 TM glass ceramic samples were made from IPS-Empress 2 TM ingots through lost-wax, hot-pressed ceramic fabrication technology. All samples were highly polished and cleaned ultrasonically for 5 min in acetone before and after etching with 9.6% hydrofluoric acid gel. The etching times were 0, 10, 20, 30, 60, 90 and 120 s respectively. Microstructure was analysed by scanning electron microscopy (SEM) and atomic force microscopy (AFM) was used to evaluate the surface roughness and topography. Observations with SEM showed that etching with hydrofluoric acid resulted in preferential dissolution of glass matrix, and that partially supported crystals within the glass matrix were lost with increasing etching time. AFM measurements indicated that etching increased the surface roughness of the glass-ceramic. A simple least-squares linear regression was used to establish a relationship between surface roughness parameters ( Ra, RMS), and etching time, for which r2>0.94. This study demonstrates the benefits of combining two microscopic methods for a better understanding of the surface. SEM showed the mode of action of hydrofluoric acid on the ceramic and AFM provided valuable data regarding the extent of surface degradation relative to etching time.

  11. Etching kinetics of swift heavy ion irradiated silicone rubber with insoluble additives or reaction products

    International Nuclear Information System (INIS)

    Fink, D.; Mueller, M.; Petrov, A.; Farenzena, L.; Behar, M.; Papaleo, R.P.

    2003-01-01

    It is normally understood as a basic precondition of the etching of swift heavy ion tracks in polymers that both the additives and etching products are soluble in the etchant. If this is not given, the polymer surface may be gradually blocked by the deposition of the insoluble material that acts as a diffusion barrier for the penetration of fresh etchant into the tracks, and therefore the effective track etching speed will gradually be reduced. The etching kinetics is developed for that case, and the theory is compared with first experimental findings. For that purpose we have taken commercial silicone rubber foils as test materials, that were irradiated with GeV heavy ions through a mask at a fluence that corresponds to the onset of track overlapping. After etching with NaOH, the corresponding etching speed was recorded via the reduction of the foil thickness. The etching speed is seen to decrease with exposure time, in parallel to the development of an insoluble surface layer. It is discussed how to prevent that surface blocking, to maintain a high etching speed

  12. An In Vitro Evaluation of Leakage of Two Etch and Rinse and Two Self-Etch Adhesives after Thermocycling

    Science.gov (United States)

    Geerts, Sabine; Bolette, Amandine; Seidel, Laurence; Guéders, Audrey

    2012-01-01

    Our experiment evaluated the microleakage in resin composite restorations bonded to dental tissues with different adhesive systems. 40 class V cavities were prepared on the facial and lingual surfaces of each tooth with coronal margins in enamel and apical margins in cementum (root dentin). The teeth were restored with Z100 resin composite bonded with different adhesive systems: Scotchbond Multipurpose (SBMP), a 3-step Etch and Rinse adhesive, Adper Scotchbond 1 XT (SB1), a 2-step Etch and Rinse adhesive, AdheSE One (ADSE-1), a 1-step Self-Etch adhesive, and AdheSE (ADSE), a 2-step Self-Etch adhesive. Teeth were thermocycled and immersed in 50% silver nitrate solution. When both interfaces were considered, SBMP has exhibited significantly less microleakage than other adhesive systems (resp., for SB1, ADSE-1 and ADSE, P = 0.0007, P adhesives, microleakage was found greater at enamel than at dentin interfaces (for ADSE, P = 0.024 and for ADSE-1, P adhesive systems, there was no significant difference between enamel and dentin interfaces; (3) SBMP was found significantly better than other adhesives both at enamel and dentin interfaces. In our experiment Etch and Rinse adhesives remain better than Self-Etch adhesives at enamel interface. In addition, there was no statistical difference between 1-step (ADSE-1) and 2-step (ADSE) Self-Etch adhesives. PMID:22675358

  13. Thinning of N-face GaN (0001) samples by inductively coupled plasma etching and chemomechanical polishing

    International Nuclear Information System (INIS)

    Rizzi, F.; Gu, E.; Dawson, M. D.; Watson, I. M.; Martin, R. W.; Kang, X. N.; Zhang, G. Y.

    2007-01-01

    The processing of N-polar GaN (0001) samples has been studied, motivated by applications in which extensive back side thinning of freestanding GaN (FS-GaN) substrates is required. Experiments were conducted on FS-GaN from two commercial sources, in addition to epitaxial GaN with the N-face exposed by a laser lift-off process. The different types of samples produced equivalent results. Surface morphologies were examined over relatively large areas, using scanning electron microscopy and stylus profiling. The main focus of this study was on inductively coupled plasma (ICP) etch processes, employing Cl 2 /Ar or Cl 2 /BCl 3 Ar gas mixtures. Application of a standard etch recipe, optimized for feature etching of Ga-polar GaN (0001) surfaces, caused severe roughening of N-polar samples and confirmed the necessity for specific optimization of etch conditions for N-face material. A series of recipes with a reduced physical (sputter-based) contribution to etching allowed average surface roughness values to be consistently reduced to below 3 nm. Maximum N-face etch rates of 370-390 nm/min have been obtained in recipes examined to date. These are typically faster than etch rates obtained on Ga-face samples under the same conditions and adequate for the process flows of interest. Mechanistic aspects of the ICP etch process and possible factors contributing to residual surface roughness are discussed. This study also included work on chemomechanical polishing (CMP). The optimized CMP process had stock removal rates of ∼500 nm/h on the GaN N face. This was much slower than the ICP etching but showed the important capability of recovering smooth surfaces on samples roughened in previous processing. In one example, a surface roughened by nonoptimized ICP etching was smoothed to give an average surface roughness of ∼2 nm

  14. Electrochemical etching of a niobium foil in methanolic HF for electrolytic capacitor

    International Nuclear Information System (INIS)

    Kim, Kyungmin; Park, Jiyoung; Cha, Gihoon; Yoo, Jeong Eun; Choi, Jinsub

    2013-01-01

    Electrochemical etching of niobium foil in order to enlarge the surface area for the application in electrolytic capacitor was carried out in a methanolic electrolyte. We found that the pit density and depth are not linearly proportional to concentration of HF and applied potential: there is the optimal concentration of HF at each applied potential. The optimal etching condition was obtained at 50 V in 0.99 vol.% HF, which exhibited the capacitance of 350 μF cm −2 . Pit density and depth of pits on electrochemical etched Nb foil under different conditions were counted from SEM images and electrochemical impedance spectroscopy (EIS) of the etched Nb foils was carried out for the capacitance measurement. Equivalent circuit model showing less than 5% error was suggested for applying to the etched niobium foil. - Highlights: • Surface enlargement of Nb foil can be achieved by electrochemical etching in methanolic HF. • Electrolytic capacitor of etched niobium foil exhibits a capacitance of 350 μF cm −2 . • The method provides a way of developing commercially viable process

  15. High electron mobility recovery in AlGaN/GaN 2DEG channels regrown on etched surfaces

    International Nuclear Information System (INIS)

    Chan, Silvia H; DenBaars, Steven P; Keller, Stacia; Tahhan, Maher; Li, Haoran; Romanczyk, Brian; Mishra, Umesh K

    2016-01-01

    This paper reports high two-dimensional electron gas mobility attained from the regrowth of the AlGaN gating layer on ex situ GaN surfaces. To repair etch-damaged GaN surfaces, various pretreatments were conducted via metalorganic chemical vapor deposition, followed by a regrown AlGaN/GaN mobility test structure to evaluate the extent of recovery. The developed treatment process that was shown to significantly improve the electron mobility consisted of a N 2  + NH 3 pre-anneal plus an insertion of a 4 nm or thicker GaN interlayer prior to deposition of the AlGaN gating layer. Using the optimized process, a high electron mobility transistor (HEMT) device was fabricated which exhibited a high mobility of 1450 cm 2 V −1 s −1 (R sh  = 574 ohm/sq) and low dispersion characteristics. The additional inclusion of an in situ Al 2 O 3 dielectric into the regrowth process for MOS-HEMTs still preserved the transport properties near etch-impacted areas. (paper)

  16. Dry etch challenges for CD shrinkage in memory process

    Science.gov (United States)

    Matsushita, Takaya; Matsumoto, Takanori; Mukai, Hidefumi; Kyoh, Suigen; Hashimoto, Kohji

    2015-03-01

    Line pattern collapse attracts attention as a new problem of the L&S formation in sub-20nm H.P feature. Line pattern collapse that occurs in a slight non-uniformity of adjacent CD (Critical dimension) space using double patterning process has been studied with focus on micro-loading effect in Si etching. Bias RF pulsing plasma etching process using low duty cycle helped increase of selectivity Si to SiO2. In addition to the effect of Bias RF pulsing process, the thin mask obtained from improvement of selectivity has greatly suppressed micro-loading in Si etching. However it was found that micro-loading effect worsen again in sub-20nm space width. It has been confirmed that by using cycle etch process to remove deposition with CFx based etching micro-loading effect could be suppressed. Finally, Si etching process condition using combination of results above could provide finer line and space without "line pattern collapse" in sub-20nm.

  17. Etch bias inversion during EUV mask ARC etch

    Science.gov (United States)

    Lajn, Alexander; Rolff, Haiko; Wistrom, Richard

    2017-07-01

    The introduction of EUV lithography to high volume manufacturing is now within reach for 7nm technology node and beyond (1), at least for some steps. The scheduling is in transition from long to mid-term. Thus, all contributors need to focus their efforts on the production requirements. For the photo mask industry, these requirements include the control of defectivity, CD performance and lifetime of their masks. The mask CD performance including CD uniformity, CD targeting, and CD linearity/ resolution, is predominantly determined by the photo resist performance and by the litho and etch processes. State-of-the-art chemically amplified resists exhibit an asymmetric resolution for directly and indirectly written features, which usually results in a similarly asymmetric resolution performance on the mask. This resolution gap may reach as high as multiple tens of nanometers on the mask level in dependence of the chosen processes. Depending on the printing requirements of the wafer process, a reduction or even an increase of this gap may be required. A potential way of tuning via the etch process, is to control the lateral CD contribution during etch. Aside from process tuning knobs like pressure, RF powers and gases, which usually also affect CD linearity and CD uniformity, the simplest knob is the etch time itself. An increased over etch time results in an increased CD contribution in the normal case. , We found that the etch CD contribution of ARC layer etch on EUV photo masks is reduced by longer over etch times. Moreover, this effect can be demonstrated to be present for different etch chambers and photo resists.

  18. Nonpolar III-nitride vertical-cavity surface-emitting laser with a photoelectrochemically etched air-gap aperture

    Energy Technology Data Exchange (ETDEWEB)

    Leonard, J. T., E-mail: jtleona01@gmail.com; Yonkee, B. P.; Cohen, D. A.; Megalini, L.; Speck, J. S. [Materials Department, University of California, Santa Barbara, California 93106 (United States); Lee, S. [Department of Electrical and Computer Engineering, University of California, Santa Barbara, California 93106 (United States); DenBaars, S. P.; Nakamura, S. [Materials Department, University of California, Santa Barbara, California 93106 (United States); Department of Electrical and Computer Engineering, University of California, Santa Barbara, California 93106 (United States)

    2016-01-18

    We demonstrate a III-nitride nonpolar vertical-cavity surface-emitting laser (VCSEL) with a photoelectrochemically (PEC) etched aperture. The PEC lateral undercut etch is used to selectively remove the multi-quantum well (MQW) region outside the aperture area, defined by an opaque metal mask. This PEC aperture (PECA) creates an air-gap in the passive area of the device, allowing one to achieve efficient electrical confinement within the aperture, while simultaneously achieving a large index contrast between core of the device (the MQW within the aperture) and the lateral cladding of the device (the air-gap formed by the PEC etch), leading to strong lateral confinement. Scanning electron microscopy and focused ion-beam analysis is used to investigate the precision of the PEC etch technique in defining the aperture. The fabricated single mode PECA VCSEL shows a threshold current density of ∼22 kA/cm{sup 2} (25 mA), with a peak output power of ∼180 μW, at an emission wavelength of 417 nm. The near-field emission profile shows a clearly defined single linearly polarized (LP) mode profile (LP{sub 12,1}), which is in contrast to the filamentary lasing that is often observed in III-nitride VCSELs. 2D mode profile simulations, carried out using COMSOL, give insight into the different mode profiles that one would expect to be displayed in such a device. The experimentally observed single mode operation is proposed to be predominantly a result of poor current spreading in the device. This non-uniform current spreading results in a higher injected current at the periphery of the aperture, which favors LP modes with high intensities near the edge of the aperture.

  19. Qualification of a new defect revealing etch for CdTe using cathodoluminescence microscopy

    Energy Technology Data Exchange (ETDEWEB)

    Watson, C.C.R.; Durose, K. (Dept. of Physics, Univ. of Durham (United Kingdom)); Banister, A.J. (Dept. of Chemistry, Univ. of Durham (United Kingdom)); O' Keefe, E.; Bains, S.K. (Philips Infrared Defence Components, Southampton (United Kingdom))

    1993-01-30

    The action of a new defect revealing etch comprising a saturated FeCl[sub 3] solution has been investigated. The etch was found suitable for use on (111)A, (anti 1anti 1anti 1)B and other surface orientations of CdTe, and (111)A and (anti 1anti 1anti 1)B surfaces of Cd[sub 0.96]Zn[sub 0.04] Te. Direct correlations with cathodoluminescence and infra-red microscopy have shown the etch to successfully reveal twin boundaries, precipitates and dislocations. A background etch rate of approximately 2 [mu]m min[sup -1] has been measured. (orig.).

  20. What's new in dentine bonding? Self-etch adhesives.

    Science.gov (United States)

    Burke, F J Trevor

    2004-12-01

    Bonding to dentine is an integral part of contemporary restorative dentistry, but early systems were not user-friendly. The introduction of new systems which have a reduced number of steps--the self-etch adhesives--could therefore be an advantage to clinicians, provided that they are as effective as previous adhesives. These new self-etch materials appear to form hybrid layers as did the previous generation of materials. However, there is a need for further clinical research on these new materials. Advantages of self-etch systems include, no need to etch and rinse, reduced post-operative sensitivity and low technique sensitivity. Disadvantages include, the inhibition of set of self- or dual-cure resin materials and the need to roughen untreated enamel surfaces prior to bonding.

  1. Influence of Application Time and Etching Mode of Universal Adhesives on Enamel Adhesion.

    Science.gov (United States)

    Sai, Keiichi; Takamizawa, Toshiki; Imai, Arisa; Tsujimoto, Akimasa; Ishii, Ryo; Barkmeier, Wayne W; Latta, Mark A; Miyazaki, Masashi

    2018-01-01

    To investigate the influence of application time and etching mode of universal adhesives on enamel adhesion. Five universal adhesives, Adhese Universal, Bondmer Lightless, Clearfil Universal Bond Quick, G-Premio Bond, and Scotchbond Universal, were used. Bovine incisors were prepared and divided into four groups of ten teeth each. SBS, Ra, and SFE were determined after the following procedures: 1. self-etch mode with immediate air blowing after application (IA); 2. self-etch mode with prolonged application time (PA); 3. etch-and-rinse mode with IA; 4. etch-and-rinse mode with PA. After 24-h water storage, the bonded assemblies were subjected to shear bond strength (SBS) tests. For surface roughness (Ra) and surface free energy (SFE) measurements, the adhesives were simply applied to the enamel and rinsed with acetone and water before the measurements were carried out. Significantly higher SBS and Ra values were obtained with etch-and-rinse mode than with self-etch mode regardless of the application time or type of adhesive. Although most adhesives showed decreased SFE values with increased application time in self-etch mode, SFE values in etch-and-rinse mode were dependent on the adhesive type and application time. Etching mode, application time, and type of adhesive significantly influenced the SBS, Ra, and SFE values.

  2. Shear bond strength of self-etch and total-etch bonding systems at different dentin depths

    Directory of Open Access Journals (Sweden)

    Ana Carolina Maito Villela-Rosa

    2011-04-01

    Full Text Available The purpose of this study was to evaluate the dentin shear bond strength of four adhesive systems (Adper Single Bond 2, Adper Prompt L-Pop, Magic Bond DE and Self Etch Bond in regards to buccal and lingual surfaces and dentin depth. Forty extracted third molars had roots removed and crowns bisected in the mesiodistal direction. The buccal and lingual surfaces were fixed in a PVC/acrylic resin ring and were divided into buccal and lingual groups assigned to each selected adhesive. The same specimens prepared for the evaluation of superficial dentin shear resistance were used to evaluate the different depths of dentin. The specimens were identified and abraded at depths of 0.5, 1.0, 1.5 and 2.0 mm. Each depth was evaluated by ISO TR 11405 using an EMIC-2000 machine regulated at 0.5 mm/min with a 200 Kgf load cell. We performed statistical analyses on the results (ANOVA, Tukey and Scheffé tests. Data revealed statistical differences (p < 0.01 in the adhesive and depth variation as well as adhesive/depth interactions. The Adper Single Bond 2 demonstrated the highest mean values of shear bond strength. The Prompt L-Pop product, a self-etching adhesive, revealed higher mean values compared with Magic Bond DE and Self Etch Bond adhesives, a total and self-etching adhesive respectively. It may be concluded that the shear bond strength of dentin is dependent on material (adhesive system, substrate depth and adhesive/depth interaction.

  3. Cyclic etching of tin-doped indium oxide using hydrogen-induced modified layer

    Science.gov (United States)

    Hirata, Akiko; Fukasawa, Masanaga; Nagahata, Kazunori; Li, Hu; Karahashi, Kazuhiro; Hamaguchi, Satoshi; Tatsumi, Tetsuya

    2018-06-01

    The rate of etching of tin-doped indium oxide (ITO) and the effects of a hydrogen-induced modified layer on cyclic, multistep thin-layer etching were investigated. It was found that ITO cyclic etching is possible by precisely controlling the hydrogen-induced modified layer. Highly selective etching of ITO/SiO2 was also investigated, and it was suggested that cyclic etching by selective surface adsorption of Si can precisely control the etch rates of ITO and SiO2, resulting in an almost infinite selectivity for ITO over SiO2 and in improved profile controllability.

  4. Damage-Free Smooth-Sidewall InGaAs Nanopillar Array by Metal-Assisted Chemical Etching.

    Science.gov (United States)

    Kong, Lingyu; Song, Yi; Kim, Jeong Dong; Yu, Lan; Wasserman, Daniel; Chim, Wai Kin; Chiam, Sing Yang; Li, Xiuling

    2017-10-24

    Producing densely packed high aspect ratio In 0.53 Ga 0.47 As nanostructures without surface damage is critical for beyond Si-CMOS nanoelectronic and optoelectronic devices. However, conventional dry etching methods are known to produce irreversible damage to III-V compound semiconductors because of the inherent high-energy ion-driven process. In this work, we demonstrate the realization of ordered, uniform, array-based In 0.53 Ga 0.47 As pillars with diameters as small as 200 nm using the damage-free metal-assisted chemical etching (MacEtch) technology combined with the post-MacEtch digital etching smoothing. The etching mechanism of In x Ga 1-x As is explored through the characterization of pillar morphology and porosity as a function of etching condition and indium composition. The etching behavior of In 0.53 Ga 0.47 As, in contrast to higher bandgap semiconductors (e.g., Si or GaAs), can be interpreted by a Schottky barrier height model that dictates the etching mechanism constantly in the mass transport limited regime because of the low barrier height. A broader impact of this work relates to the complete elimination of surface roughness or porosity related defects, which can be prevalent byproducts of MacEtch, by post-MacEtch digital etching. Side-by-side comparison of the midgap interface state density and flat-band capacitance hysteresis of both the unprocessed planar and MacEtched pillar In 0.53 Ga 0.47 As metal-oxide-semiconductor capacitors further confirms that the surface of the resultant pillars is as smooth and defect-free as before etching. MacEtch combined with digital etching offers a simple, room-temperature, and low-cost method for the formation of high-quality In 0.53 Ga 0.47 As nanostructures that will potentially enable large-volume production of In 0.53 Ga 0.47 As-based devices including three-dimensional transistors and high-efficiency infrared photodetectors.

  5. A study on etching of UO2, Co, and Mo surface with R.F. plasma using CF4 and O2

    International Nuclear Information System (INIS)

    Kim, Yong Soo; Seo, Yong Dae

    2003-01-01

    Recently dry decontamination/surface-cleaning technology using plasma etching has been focused in the nuclear industry. In this study, the applicability of this new dry processing technique are experimentally investigated by examining the etching reaction of UO 2 , Co, and Mo in r.f. plasma with the etchant gas of CF 4 /O 2 mixture. UO 2 is chosen as a representing material for uranium and TRU (TRans-Uranic) compounds while metallic Co and Mo are selected because they are the principal contaminants in the used metallic nuclear components such as valves and pipes made of stainless steel or Inconel. Results show that in all cases maximum etching rate is achieved when the mole fraction of O 2 in CF 4 /O 2 mixture gas is 20%, regardless of temperature and r.f. power. In case of UO 2 , the highest etching reaction rate is greater than 1000 monolayers/min. at 370 .deg. C under 150 W r.f. power which is equivalent to 0.4 μm/min. As for Co, etching reaction begins to take place significantly when the temperature exceeds 350 .deg. C. Maximum etching rate achieved at 380 .deg. C is 0.06 μm/min. Mo etching reaction takes place vigorously even at relatively low temperature and the reaction rate increases drastically with increasing temperature. Highest etching rate at 380 .deg. C is 1.9 μm /min. According to OES (Optical Emission Spectroscopy) and AES (Auger Electron Spectroscopy) analysis, primary reaction seems to be a fluorination reaction, but carbonyl compound formation reaction may assist the dominant reaction, especially in case of Co and Mo. Through this basic study, the feasibility and the applicability of plasma decontamination technique are demonstrated

  6. Chlorhexidine controlled-release profile after EDTA root surface etching: an in vivo study.

    Science.gov (United States)

    Gamal, Ahmed Y; Kumper, Radi M; Sadek, Hesham S; El Destawy, Mahmoud T

    2011-05-01

    The main objective of the present study was to quantify chlorhexidine (CHX) release after the use of CHX-EDTA root surface treatment as a local-delivery antimicrobial vehicle. Twenty non-smoking patients clinically diagnosed as having moderate-to-severe chronic periodontitis were selected to participate in this study. After cause-related therapy, one site in every patient received defect overfill with CHX gel 2% (20 sites). In addition, twenty contralateral sites received defect fill of CHX gel after 3 minutes of 24% EDTA gel root surface etching (20 sites). Gingival crevicular fluid samples were collected at 1, 3, 7, and 14 days post-therapy. The CHX-EDTA group showed statistically significantly higher levels of CHX than those of the control group at 1, 3, and 7 days. At 14 days, the CHX-EDTA group showed 0.8 mg/mL values. The use of CHX-EDTA root surface treatment as a local-delivery antimicrobial improves CHX substantivity.

  7. Etch characteristics of BCB film using inductively coupled plasma

    International Nuclear Information System (INIS)

    Kang, Pil Seung; Kim, Dong Pyo; Kim, Kyoung Tae; Kim, Chang Il; Kim, Sang Gi

    2003-01-01

    The etching characteristics and mechanism of BCB thin films were investigated as a function of CF 4 /O 2 mixing ratio in ICP system. Maximum etch rate of 830 nm/min is obtained at the mixture of O 2 /CF 4 (=80%/20%). OES actinometry results showed that volume density of oxygen atoms fallows the same extreme behavior with the BCB etch rate, while the density of fluorine atoms changes monotonously. Therefore chemical destruction of BCB by oxygen atoms was proposed as the dominant etch mechanism. XPS analysis showed that the addition of CF 4 to O 2 helps to volatilize silicon atoms containing in BCB but leads to the formation of F-containing polymer layer. The profile of etched BCB film was close to 90 .deg. and the surface was clean

  8. ATMS software: Fuzzy Hough Transform in a hybrid algorithm for counting the overlapped etched tracks and orientation recognition

    International Nuclear Information System (INIS)

    Khayat, O.; Ghergherehchi, M.; Afarideh, H.; Durrani, S.A.; Pouyan, Ali A.; Kim, Y.S.

    2013-01-01

    A computer program named ATMS written in MATLAB and running with a friendly interface has been developed for recognition and parametric measurements of etched tracks in images captured from the surface of Solid State Nuclear Track Detectors. The program, using image analysis tools, counts the number of etched tracks and depending on the current working mode classifies them according to their radii (small object removal) or their axis (non-perpendicular or non-circular etched tracks), their mean intensity value and their orientation through the minor and major axes. Images of the detectors' surfaces are input to the code, which generates text and figure files as output, including the number of counted etched tracks with the associated track parameters, histograms and a figure showing edge and center of detected etched tracks. ATMS code is running hierarchically as calibration, testing and measurement modes to demonstrate the reliability, repeatability and adaptability. Fuzzy Hough Transform is used for the estimation of the number of etched tracks and their parameters, providing results even in cases that overlapping and orientation occur. ATMS code is finally converted to a standalone file which makes it able to run out of MATLAB environment. - Highlights: ► Presenting a novel code named ATMS for nuclear track measurements. ► Execution in three modes for generality, adaptability and reliability. ► Using Fuzzy Hough Transform for overlapping detection and orientation recognition. ► Using DFT as a filter for noise removal process in track images. ► Processing the noisy track images and demonstration of the presented code

  9. Neutron-induced modifications on Hostaphan and Makrofol wettability and etching behaviors

    International Nuclear Information System (INIS)

    El-Sayed, D.; El-Saftawy, A.A.; Abd El Aal, S.A.; Fayez-Hassan, M.; Al-Abyad, M.; Mansour, N.A.; Seddik, U.

    2017-01-01

    Understanding the nature of polymers used as nuclear detectors is crucial to enhance their behaviors. In this work, the induced modifications in wettability and etching properties of Hostaphan and Makrofol polymers irradiated by different fluences of thermal neutrons are investigated. The wetting properties are studied by contact angle technique which showed the spread out of various liquids over the irradiated polymers surfaces (wettability enhanced). This wetting behavior is attributed to the induced changes in surface free energy (SFE), morphology, roughness, structure, hardness, and chemistry. SFE values are calculated by three different models and found to increase after neutrons irradiation associated with differences depending on the used model. These differences result from the intermolecular interactions in the liquid/polymer system. Surface morphology and roughness of both polymers showed drastic changes after irradiation. Additionally, surface structure and hardness of pristine and irradiated polymers were discussed and correlated to the surface wettability improvements. The changes in surface chemistry are examined by Fourier transform infrared spectroscopy (FTIR), which indicate an increase in surface polarity due to the formation of polar groups. The irradiated polymers etching characteristics and activation energies are discussed as well. Lastly, it is evident that thermal neutrons show efficiency in improving surface wettability and etching properties of Hostaphan and Makrofol in a controlled way. - Highlights: • Neutrons radiation used to modify Hostaphan and Makrofol polymer wetting behavior. • Tailoring surface structure, topography and chemistry control its wettability. • Bulk etching rate and activation energy improved after neutrons irradiation.

  10. Surface passivation of mixed-halide perovskite CsPb(BrxI1-x)3 nanocrystals by selective etching for improved stability.

    Science.gov (United States)

    Jing, Qiang; Zhang, Mian; Huang, Xiang; Ren, Xiaoming; Wang, Peng; Lu, Zhenda

    2017-06-08

    In recent years, there has been an unprecedented rise in the research of halide perovskites because of their important optoelectronic applications, including photovoltaic cells, light-emitting diodes, photodetectors and lasers. The most pressing question concerns the stability of these materials. Here faster degradation and PL quenching are observed at higher iodine content for mixed-halide perovskite CsPb(Br x I 1-x ) 3 nanocrystals, and a simple yet effective method is reported to significantly enhance their stability. After selective etching with acetone, surface iodine is partially etched away to form a bromine-rich surface passivation layer on mixed-halide perovskite nanocrystals. This passivation layer remarkably stabilizes the nanocrystals, making their PL intensity improved by almost three orders of magnitude. It is expected that a similar passivation layer can also be applied to various other kinds of perovskite materials with poor stability issues.

  11. Preliminary surface analysis of etched, bleached, and normal bovine enamel

    International Nuclear Information System (INIS)

    Ruse, N.D.; Smith, D.C.; Torneck, C.D.; Titley, K.C.

    1990-01-01

    X-ray photoelectron spectroscopic (XPS) and secondary ion-mass spectroscopic (SIMS) analyses were performed on unground un-pumiced, unground pumiced, and ground labial enamel surfaces of young bovine incisors exposed to four different treatments: (1) immersion in 35% H2O2 for 60 min; (2) immersion in 37% H3PO4 for 60 s; (3) immersion in 35% H2O2 for 60 min, in distilled water for two min, and in 37% H3PO4 for 60 s; (4) immersion in 37% H3PO4 for 60 s, in distilled water for two min, and in 35% H2O2 for 60 min. Untreated unground un-pumiced, unground pumiced, and ground enamel surfaces, as well as synthetic hydroxyapatite surfaces, served as controls for intra-tooth evaluations of the effects of different treatments. The analyses indicated that exposure to 35% H2O2 alone, besides increasing the nitrogen content, produced no other significant change in the elemental composition of any of the enamel surfaces investigated. Exposure to 37% H3PO4, however, produced a marked decrease in calcium (Ca) and phosphorus (P) concentrations and an increase in carbon (C) and nitrogen (N) concentrations in unground un-pumiced specimens only, and a decrease in C concentration in ground specimens. These results suggest that the reported decrease in the adhesive bond strength of resin to 35% H2O2-treated enamel is not caused by a change in the elemental composition of treated enamel surfaces. They also suggest that an organic-rich layer, unaffected by acid-etching, may be present on the unground un-pumiced surface of young bovine incisors. This layer can be removed by thorough pumicing or by grinding. An awareness of its presence is important when young bovine teeth are used in a model system for evaluation of resin adhesiveness

  12. Effect of input power and gas pressure on the roughening and selective etching of SiO2/Si surfaces in reactive plasmas

    International Nuclear Information System (INIS)

    Zhong, X. X.; Huang, X. Z.; Tam, E.; Ostrikov, K.; Colpo, P.; Rossi, F.

    2010-01-01

    We report on the application low-temperature plasmas for roughening Si surfaces which is becoming increasingly important for a number of applications ranging from Si quantum dots to cell and protein attachment for devices such as 'laboratory on a chip' and sensors. It is a requirement that Si surface roughening is scalable and is a single-step process. It is shown that the removal of naturally forming SiO 2 can be used to assist in the roughening of the surface using a low-temperature plasma-based etching approach, similar to the commonly used in semiconductor micromanufacturing. It is demonstrated that the selectivity of SiO 2 /Si etching can be easily controlled by tuning the plasma power, working gas pressure, and other discharge parameters. The achieved selectivity ranges from 0.4 to 25.2 thus providing an effective means for the control of surface roughness of Si during the oxide layer removal, which is required for many advance applications in bio- and nanotechnology.

  13. Enamel microhardness and bond strengths of self-etching primer adhesives.

    Science.gov (United States)

    Adebayo, Olabisi A; Burrow, Michael F; Tyas, Martin J; Adams, Geoffrey G; Collins, Marnie L

    2010-04-01

    The aim of this study was to determine the relationship between enamel surface microhardness and microshear bond strength (microSBS). Buccal and lingual mid-coronal enamel sections were prepared from 22 permanent human molars and divided into two groups, each comprising the buccal and lingual enamel from 11 teeth, to analyze two self-etching primer adhesives (Clearfil SE Bond and Tokuyama Bond Force). One-half of each enamel surface was tested using the Vickers hardness test with 10 indentations at 1 N and a 15-s dwell time. A hybrid resin composite was bonded to the other half of the enamel surface with the adhesive system assigned to the group. After 24 h of water storage of specimens at 37 degrees C, the microSBS test was carried out on a universal testing machine at a crosshead speed of 1 mm min(-1) until bond failure occurred. The mean microSBS was regressed on the mean Vickers hardness number (VHN) using a weighted regression analysis in order to explore the relationship between enamel hardness and microSBS. The weights used were the inverse of the variance of the microSBS means. Neither separate correlation analyses for each adhesive nor combined regression analyses showed a significant correlation between the VHN and the microSBS. These results suggest that the microSBS of the self-etch adhesive systems are not influenced by enamel surface microhardness.

  14. Chemical etching of Tungsten thin films for high-temperature surface acoustic wave-based sensor devices

    Energy Technology Data Exchange (ETDEWEB)

    Spindler, M., E-mail: m.spindler@ifw-dresden.de [IFW Dresden, SAWLab Saxony, P.O. Box 270116, D-01171 Dresden (Germany); Herold, S.; Acker, J. [BTU Cottbus – Senftenberg, Faculty of Sciences, P.O. Box 101548, 01968 Senftenberg (Germany); Brachmann, E.; Oswald, S.; Menzel, S.; Rane, G. [IFW Dresden, SAWLab Saxony, P.O. Box 270116, D-01171 Dresden (Germany)

    2016-08-01

    Surface acoustic wave devices are widely used as wireless sensors in different application fields. Recent developments aimed to utilize those devices as temperature sensors even in the high temperature range (T > 300 °C) and in harsh environmental conditions. Therefore, conventional materials, which are used for the substrate and for the interdigital transducer finger electrodes such as multilayers or alloys based on Al or Cu have to be exchanged by materials, which fulfill some important criteria regarding temperature related effects. Electron beam evaporation as a standard fabrication method is not well applicable for depositing high temperature stable electrode materials because of their very high melting points. Magnetron sputtering is an alternative deposition process but is also not applicable for lift-off structuring without any further improvement of the structuring process. Due to a relatively high Ar gas pressure of about 10{sup −1} Pa, the sidewalls of the photoresist line structures are also covered by the metallization, which subsequently prevents a successful lift-off process. In this study, we investigate the chemical etching of thin tungsten films as an intermediate step between magnetron sputtering deposition of thin tungsten finger electrodes and the lift-off process to remove sidewall covering for a successful patterning process of interdigital transducers. - Highlights: • We fabricated Tungsten SAW Electrodes by magnetron sputtering technology. • An etching process removes sidewall covering of photoresist, which allows lift-off. • Tungsten etching rates based on a hydrogen peroxide solutions were determined.

  15. Etch pit investigation of free electron concentration controlled 4H-SiC

    Science.gov (United States)

    Kim, Hong-Yeol; Shin, Yun Ji; Kim, Jung Gon; Harima, Hiroshi; Kim, Jihyun; Bahng, Wook

    2013-04-01

    Etch pits were investigated using the molten KOH selective etching method to examine dependence of etch pit shape and size on free electron concentration. The free electron concentrations of highly doped 4H-silicon carbide (SiC) were controlled by proton irradiation and thermal annealing, which was confirmed by a frequency shift in the LO-phonon-plasmon-coupled (LOPC) mode on micro-Raman spectroscopy. The proton irradiated sample with 5×1015 cm-2 fluence and an intrinsic semi-insulating sample showed clearly classified etch pits but different ratios of threading screw dislocation (TSD) and threading edge dislocation (TED) sizes. Easily classified TEDs and TSDs on proton irradiated 4H-SiC were restored as highly doped 4H-SiC after thermal annealing due to the recovered carrier concentrations. The etched surface of proton irradiated 4H-SiC and boron implanted SiC showed different surface conditions after activation.

  16. Etching mechanism of niobium in coaxial Ar/Cl2 radio frequency plasma

    International Nuclear Information System (INIS)

    Upadhyay, J.; Im, Do; Popović, S.; Vušković, L.; Valente-Feliciano, A.-M.; Phillips, L.

    2015-01-01

    The understanding of the Ar/Cl 2 plasma etching mechanism is crucial for the desired modification of inner surface of the three dimensional niobium (Nb) superconductive radio frequency cavities. Uniform mass removal in cylindrical shaped structures is a challenging task because the etch rate varies along the direction of gas flow. The study is performed in the asymmetric coaxial radio-frequency (rf) discharge with two identical Nb rings acting as a part of the outer electrode. The dependence of etch rate uniformity on pressure, rf power, dc bias, Cl 2 concentration, diameter of the inner electrode, temperature of the outer cylinder, and position of the samples in the structure is determined. To understand the plasma etching mechanisms, we have studied several factors that have important influence on the etch rate and uniformity, which include the plasma sheath potential, Nb surface temperature, and the gas flow rate

  17. Etching Effect of an Atmospheric DC Arc Plasmatron

    International Nuclear Information System (INIS)

    Chun, Se Min; Kim, Ji Hun; Kang, In Je; Lee, Heon Ju

    2010-01-01

    Thermal plasmas (especially arc plasma) were extensively industrialized, principally by aeronautic sector. Cold plasma technologies have been developed in the microelectronics but their vacuum equipment limits their implantation. Plasmas used in dry etching, thin film deposition and surface treatment for display or semiconductor industries are operating at low pressures in very costly due to the use of vacuum equipment and vacuum components. Use of DC arc plasmatrons in welding, soldering, and cutting of metals is well known. A DC-arc plasmatron with high durability was reported to be a suitable device for etching silicon and photo-resist surfaces

  18. Surfactant-controlled etching of ion track nanopores and its practical applications in membrane technology

    International Nuclear Information System (INIS)

    Apel, P.Yu.; Blonskaya, I.V.; Dmitriev, S.N.; Mamonova, T.I.; Orelovitch, O.L.; Sartowska, B.; Yamauchi, Yu.

    2008-01-01

    The effect of surfactants on chemical development of ion tracks in polymers has been studied. It has been shown that surface-active agents added to an alkaline etching solution adsorb on the polymer surface at the pore entrances. This reduces the etch rate, which leads to the formation of pores tapered toward the surface. Self-assembly of surfactant molecules at the pore entrance creates a barrier for their penetration into the etched-out nanopores, whereas hydroxide ions diffuse freely. Due to this, the internal pore volume grows faster than the pore surface diameter. The ability to control pore shape is demonstrated with the fabrication of profiled nano- and micropores in polyethylene terephthalate, polycarbonate. Some earlier published data on small track-etched pores in polycarbonate (in particular, the pore diameter vs. etching time curves measured conductometrically) have been revised in light of the above findings. Adding surfactants to chemical etchants makes it possible to optimize the structure of track membranes, thus improving their retention and permeation properties. Asymmetric membranes with thin skin retention layers have been produced and their performance studied

  19. An In Vitro Evaluation of Leakage of Two Etch and Rinse and Two Self-Etch Adhesives after Thermocycling

    OpenAIRE

    Geerts, Sabine; Bolette, Amandine; Seidel, Laurence; Guéders, Audrey

    2012-01-01

    Our experiment evaluated the microleakage in resin composite restorations bonded to dental tissues with different adhesive systems. 40 class V cavities were prepared on the facial and lingual surfaces of each tooth with coronal margins in enamel and apical margins in cementum (root dentin). The teeth were restored with Z100 resin composite bonded with different adhesive systems: Scotchbond Multipurpose (SBMP), a 3-step Etch and Rinse adhesive, Adper Scotchbond 1 XT (SB1), a 2-step Etch and Ri...

  20. Laser etching as an alternative

    International Nuclear Information System (INIS)

    Dreyfus, R.W.; Kelly, R.

    1989-01-01

    Atoms and molecules are removed from surfaces by intense laser beams. This fact has been known almost since the discovery of the laser. Within the present overall area of interest, namely understanding ion-beam-induced sputtering, it is equally important both to contrast laser etching to ion sputtering and to understand the underlying physics taking place during laser etching. Beyond some initial broad observations, the specific discussion is limited to, and aimed at, two areas: (i) short wavelength, UV, laser-pulse effects and (ii) energy fluences sufficiently small that only monolayers (and not microns) of material are removed per pulse. 38 refs.; 13 figs.; 5 tabs

  1. Gold core@silver semishell Janus nanoparticles prepared by interfacial etching

    Science.gov (United States)

    Chen, Limei; Deming, Christopher P.; Peng, Yi; Hu, Peiguang; Stofan, Jake; Chen, Shaowei

    2016-07-01

    Gold core@silver semishell Janus nanoparticles were prepared by chemical etching of Au@Ag core-shell nanoparticles at the air/water interface. Au@Ag core-shell nanoparticles were synthesized by chemical deposition of a silver shell onto gold seed colloids followed by the self-assembly of 1-dodecanethiol onto the nanoparticle surface. The nanoparticles then formed a monolayer on the water surface of a Langmuir-Blodgett trough, and part of the silver shell was selectively etched away by the mixture of hydrogen peroxide and ammonia in the water subphase, where the etching was limited to the side of the nanoparticles that was in direct contact with water. The resulting Janus nanoparticles exhibited an asymmetrical distribution of silver on the surface of the gold cores, as manifested in transmission electron microscopy, UV-vis absorption, and X-ray photoelectron spectroscopy measurements. Interestingly, the Au@Ag semishell Janus nanoparticles exhibited enhanced electrocatalytic activity in oxygen reduction reactions, as compared to their Au@Ag and Ag@Au core-shell counterparts, likely due to a synergistic effect between the gold cores and silver semishells that optimized oxygen binding to the nanoparticle surface.Gold core@silver semishell Janus nanoparticles were prepared by chemical etching of Au@Ag core-shell nanoparticles at the air/water interface. Au@Ag core-shell nanoparticles were synthesized by chemical deposition of a silver shell onto gold seed colloids followed by the self-assembly of 1-dodecanethiol onto the nanoparticle surface. The nanoparticles then formed a monolayer on the water surface of a Langmuir-Blodgett trough, and part of the silver shell was selectively etched away by the mixture of hydrogen peroxide and ammonia in the water subphase, where the etching was limited to the side of the nanoparticles that was in direct contact with water. The resulting Janus nanoparticles exhibited an asymmetrical distribution of silver on the surface of the gold

  2. Characterization of the CH4/H2/Ar high density plasma etching process for ZnSe

    Science.gov (United States)

    Eddy, C. R.; Leonhardt, D.; Shamamian, V. A.; Butler, J. E.

    2001-05-01

    High density plasma etching of zinc selenide using CH4/H2/Ar plasma chemistries is investigated. Mass spectrometry, using through-the-platen sampling, is used to identify and monitor etch products evolving from the surface during etching. The identifiable primary etch products are Zn, Se, ZnH2, SeH2, Zn(CH3)2, and Se(CH3)2. Their concentrations are monitored as ion and neutral fluxes (both in intensity and composition), ion energy, and substrate temperature are varied. General insights about the surface chemistry mechanisms of the etch process are given from these observations. Regions of process parameter space best suited for moderate rate, anisotropic, and low damage etching of ZnSe are proposed.

  3. Angular dependence of the redeposition rates during SiO2 etching in a CF4 plasma

    International Nuclear Information System (INIS)

    Cho, Byeong-Ok; Hwang, Sung-Wook; Lee, Gyeo-Re; Moon, Sang Heup

    2001-01-01

    The angular dependence of the redeposition rates during SiO 2 etching in a CF 4 plasma was studied using three types of Faraday cages located in a transformer coupled plasma etcher. The SiO 2 substrates were fixed on sample holder slopes that have different angles to the cathode. The substrate was subjected to one of three processes depending on the design of the Faraday cage, i.e., redeposition of sputtered particles from the SiO 2 bottom surface (case I), substrate etching by incident ions (case II), or simultaneous etching and redeposition (case III). Both the redeposition and the etch rates were measured by changing the substrate-surface angle and the self-bias voltage in the range of -100 to -800 V. The redeposition-only rates (case I) at -450 and -800 V closely followed the quadratic curve of the angle whereas the rates at -100 V followed the cubic curve, indicating different mechanisms of the bottom SiO 2 etching depending on the energy regimes. The steep increase of the redeposition rate with the angle was attributed to three factors: the substrate-bottom distance, the angular distribution of emitted particles from the bottom surface, and the particle incident angle on the substrate surface. The etch-only rate curves (case II) closely followed the cosine of the surface angle. The etch-rate curve changed into a reverse-S shape when the substrate was subjected to simultaneous etching and redeposition (case III). The net etch rate for case III decreased drastically above 60 deg. , showing a negative value, i.e., a net redeposition, beyond 75 deg. . The drastic decrease in the net etch rate coincided with the steep increase in the redeposition rate, implying the significant effect of redeposition

  4. Technique for etching monolayer and multilayer materials

    Science.gov (United States)

    Bouet, Nathalie C. D.; Conley, Raymond P.; Divan, Ralu; Macrander, Albert

    2015-10-06

    A process is disclosed for sectioning by etching of monolayers and multilayers using an RIE technique with fluorine-based chemistry. In one embodiment, the process uses Reactive Ion Etching (RIE) alone or in combination with Inductively Coupled Plasma (ICP) using fluorine-based chemistry alone and using sufficient power to provide high ion energy to increase the etching rate and to obtain deeper anisotropic etching. In a second embodiment, a process is provided for sectioning of WSi.sub.2/Si multilayers using RIE in combination with ICP using a combination of fluorine-based and chlorine-based chemistries and using RF power and ICP power. According to the second embodiment, a high level of vertical anisotropy is achieved by a ratio of three gases; namely, CHF.sub.3, Cl.sub.2, and O.sub.2 with RF and ICP. Additionally, in conjunction with the second embodiment, a passivation layer can be formed on the surface of the multilayer which aids in anisotropic profile generation.

  5. A comparison of orthodontic bracket shear bond strength on enamel deproteinized by 5.25% sodium hypochlorite using total etch and self-etch primer

    Science.gov (United States)

    Ongkowidjaja, F.; Soegiharto, B. M.; Purbiati, M.

    2017-08-01

    The shear bond strength (SBS) can be increased by removing protein pellicles from the enamel surface by deproteinization using 5.25% sodium hypochlorite (NaOCl). The SBS of a self-etch primer is lower than that of a total etch primer; nonetheless, it prevents white spot lesions. This study aimed to assess the SBS of the Anyetch (AE) total etch primer and FL-Bond II Shofu (FL) self-etch primer after enamel deproteinization using 5.25% NaOCl. Forty eight human maxillary first premolars were extracted, cleaned, and divided into four groups. In group A, brackets were bonded to the enamel without deproteinization before etching (A1: 10 teeth using total etch primer (AE); A2: 10 teeth using self-etch primer (FL)). In group B, brackets were bonded to the enamel after deproteinization with 5.25% NaOCl before etching (B1: 10 teeth using total etch primer (AE); B2: 10 teeth using self-etch primer (FL)). Brackets were bonded using Transbond XT, stored in artificial saliva for 24 h at 37°C, mounted on acrylic cylinders, and debonded using a Shimadzu AG-5000 universal testing machine. There were no significant differences in SBS between the total etch (AE) groups (p > 0.05) and between the self-etch (FL) groups (p > 0.05). There were significant differences in SBS between groups A and B. The mean SBS for groups A1, A2, B1, and B2 was 12.91±3.99, 4.46±2.47, 13.06±3.66, and 3.62±2.36 MPa, respectively. Deproteinization using NaOCl did not affect the SBS of the total etch primer (AE) group; it reduced the SBS of the self-etch primer (FL) group, but not with a statistically significant difference.

  6. STUDY ON THE EFFECTS OF ACID ETCHING ON AFFECTED ENAMEL

    Directory of Open Access Journals (Sweden)

    Simona Stoleriu

    2011-12-01

    Full Text Available The purpose of the study was to establish and compare the effects of ortophosphoric and hydrochloric acids on the enamel affected by incipient carious lesions with different evolution. Materials and method. 20 teeth with acute and chronic non-cavitary carious lesions were considered for the study. The teeth were sectioned in two halves through the middle of the non-cavitary lesions. The halves of 5 white spot-type lesions and of 5 brown spot-type ones were analyzed as to their surface roughness, on an atomic force microscope (AFM. 5 halves with white spot-type lesions and 5 halves with brown spot-type ones were subjected to acid etching with 37% ortophosphoric acid (Scotchbond etchant gel, 3M ESPE, and an equal number of samples was subjected to the action of 15% hydrochloric acid (ICON-etch, DMG Dental Products Ltd for 2 min, then washed with water and analyzed by AFM. Results. The initial surface roughness of the enamel was higher in the white spot–type carious lesions, comparatively with the brown spot-type ones. For both types of carious non-cavitary lesions, acid etching with phosphoric and hydrochloric acid significantly increased the surface roughness of the enamel, comparatively with the status of the enamel surface prior to etching. The hydrochloric acid led to a surface roughness significantly higher than in the case of ortophosphoric acid, in both acute and chronic non-cavitary carious lesions. The roughness values obtained through etching with ortophosphoric and hydrochloric acid were higher in the white spot-type carious lesions, comparatively with the brown spot-type ones. Conclusions. Both the 37% ortophosphoric acid and the 15% hydrochloric acid determined a significantly higher surface roughness of the enamel affected by acute and chronic non-cavitary carious lesions. The surface condition of the brown spot-type carious lesions was less significantly modified, comparatively with that of the white spot-type lesions, by the

  7. Analysis of Etched CdZnTe Substrates

    Science.gov (United States)

    Benson, J. D.; Bubulac, L. O.; Jaime-Vasquez, M.; Lennon, C. M.; Arias, J. M.; Smith, P. J.; Jacobs, R. N.; Markunas, J. K.; Almeida, L. A.; Stoltz, A.; Wijewarnasuriya, P. S.; Peterson, J.; Reddy, M.; Jones, K.; Johnson, S. M.; Lofgreen, D. D.

    2016-09-01

    State-of-the-art as-received (112)B CdZnTe substrates have been examined for surface impurity contamination and polishing residue. Two 4 cm × 4 cm and one 6 cm × 6 cm (112)B state-of-the-art as-received CdZnTe wafers were analyzed. A maximum surface impurity concentration of Al = 1.7 × 1015 atoms cm-2, Si = 3.7 × 1013 atoms cm-2, Cl = 3.12 × 1015 atoms cm-2, S = 1.7 × 1014 atoms cm-2, P = 1.1 × 1014 atoms cm-2, Fe = 1.0 × 1013 atoms cm-2, Br = 1.2 × 1014 atoms cm-2, and Cu = 4 × 1012 atoms cm-2 was observed on the as-received CdZnTe wafers. CdZnTe particulates and residual SiO2 polishing grit were observed on the surface of the as-received (112)B CdZnTe substrates. The polishing grit/CdZnTe particulate density on CdZnTe wafers was observed to vary across a 6 cm × 6 cm wafer from ˜4 × 107 cm-2 to 2.5 × 108 cm-2. The surface impurity and damage layer of the (112)B CdZnTe wafers dictate that a molecular beam epitaxy (MBE) preparation etch is required. The contamination for one 4 cm × 4 cm and one 6 cm × 6 cm CdZnTe wafer after a standard MBE Br:methanol preparation etch procedure was also analyzed. A maximum surface impurity concentration of Al = 2.4 × 1015 atoms cm-2, Si = 4.0 × 1013 atoms cm-2, Cl = 7.5 × 1013 atoms cm-2, S = 4.4 × 1013 atoms cm-2, P = 9.8 × 1013 atoms cm-2, Fe = 1.0 × 1013 atoms cm-2, Br = 2.9 × 1014 atoms cm-2, and Cu = 5.2 × 1012 atoms cm-2 was observed on the MBE preparation-etched CdZnTe wafers. The MBE preparation-etched surface contamination consists of Cd(Zn)Te particles/flakes. No residual SiO2 polishing grit was observed on the (112)B surface.

  8. Highly roughened polycaprolactone surfaces using oxygen plasma-etching and in vitro mineralization for bone tissue regeneration: fabrication, characterization, and cellular activities.

    Science.gov (United States)

    Kim, YongBok; Kim, GeunHyung

    2015-01-01

    Herein, poly(ɛ-caprolactone) (PCL) surfaces were treated to form various roughness values (R(a)=290-445 nm) and polar functional groups on the surfaces using a plasma-etching process, followed by immersion into simulated body fluid (SBF) for apatite formation. The surface morphology, chemical composition, and mean roughness of the plasma-etched PCL surfaces were measured, and various physical and morphological properties (water contact angles, protein absorption ability, and crystallite size of the apatite layer) of the in vitro mineralized PCL surfaces were evaluated. The roughened PCL surface P-3, which was treated with a sufficient plasma exposure time (4 h), achieved homogeneously distributed apatite formation after soaking in SBF for 7 days, as compared with other surfaces that were untreated or plasma-treated for 30 min or 2 h. Furthermore, to demonstrate their feasibility as a biomimetic surface, pre-osteoblast cells (MC3T3-E1) were cultured on the mineralized PCL surfaces, and cell viability, DAPI-phalloidin fluorescence assay, and alizarin red-staining of the P-3 surface were highly improved compared to the P-1 surface treated with a 30-min plasma exposure time; compared to untreated mineralized PCL surface (N-P), P-3 showed even greater improvements in cell viability and DAPI-phalloidin fluorescence assay. Based on these results, we found that the mineralized PCL surface supplemented with the appropriate plasma treatment can be implicitly helpful to achieve rapid hard tissue regeneration. Copyright © 2014 Elsevier B.V. All rights reserved.

  9. The Investigation of Intermediate Stage of Template Etching with Metal Droplets by Wetting Angle Analysis on (001 GaAs Surface

    Directory of Open Access Journals (Sweden)

    Lyamkina AA

    2011-01-01

    Full Text Available Abstract In this work, we study metal droplets on a semiconductor surface that are the initial stage for both droplet epitaxy and local droplet etching. The distributions of droplet geometrical parameters such as height, radius and volume help to understand the droplet formation that strongly influences subsequent nanohole etching. To investigate the etching and intermixing processes, we offer a new method of wetting angle analysis. The aspect ratio that is defined as the ratio of the height to radius was used as an estimation of wetting angle which depends on the droplet material. The investigation of the wetting angle and the estimation of indium content revealed significant materials intermixing during the deposition time. AFM measurements reveal the presence of two droplet groups that is in agreement with nanohole investigations. To explain this observation, we consider arsenic evaporation and consequent change in the initial substrate. On the basis of our analysis, we suggest the model of droplet evolution and the formation of two droplet groups.

  10. Hydrogen iodide-based dry etching of GaAs, InP, and related compounds

    International Nuclear Information System (INIS)

    Pearton, S.J.; Chakrabarti, U.K.; Hobson, W.S.; Abernathy, C.R.; Katz, A.; Ren, F.; Fullowan, T.R.; Perley, A.P.

    1992-01-01

    In this paper HI/H 2 /Ar discharges are shown to be universal etchants for III-V semiconductors, giving rise to highly anisotropic features with smooth surface morphologies. At low dc Self bias (-V) and low pressure (1 mTorr), etch rates for all III-V materials of >2000 Angstrom · min -1 are possible for high HI percentages in the discharges, whereas rates greater than 1 μm · min -1 are obtained at higher pressures and dc biases. These etch rates are approximately an order of magnitude faster than for CH 4 /H 2 Ar mixtures under the same conditions and there is no polymer deposition on the mask or within the reactor chamber with HI/H 2 /Ar. Auger electron spectroscopy reveals residue-free, stoichiometric surfaces after dry etching in this mixture. As a result, photoluminescent intensities from dry etched samples remain high with little apparent damage introduction. Changes in the near-surface carrier concentration due to hydrogen passivation effects are also negligible with HI-based mixtures in comparison to CH 4 -based dry etching

  11. Development and application of the electrochemical etching technique. Annual progress report

    International Nuclear Information System (INIS)

    1979-08-01

    This report documents advances in the development and application of the electrochemical etching technique for thermal and epithermal neutron dosimetry as well as track geometry determinations. The bulk and track etching rates were studied by evaluating the track geometry during electrochemical etching. The foil surface removed versus etching time for two different etchants at 1000 V, 2 kHz, and 22 0 C were studied. Results indicated that the bulk etching rates were constant for the two etchants, i.e. 45% KOH and 45% KOH mixed with an equal volume of C 2 H 5 OH 5 and were equal to 0.20 +- 0.14 μm/hr and 2.7 +- 0.27 μm/hr from each side of the foil. The track etching rate (as contrasted with the bulk etching rate) can be determined by the microscope focus at various depths. The increase of track depth values as a function of etching time for the two etchants are plotted. The track cone angles were determined and found to be much larger for electrochemically etched polycarbonate foils than for most plastics etched with passive chemical techniques

  12. Two-year Randomized Clinical Trial of Self-etching Adhesives and Selective Enamel Etching.

    Science.gov (United States)

    Pena, C E; Rodrigues, J A; Ely, C; Giannini, M; Reis, A F

    2016-01-01

    The aim of this randomized, controlled prospective clinical trial was to evaluate the clinical effectiveness of restoring noncarious cervical lesions with two self-etching adhesive systems applied with or without selective enamel etching. A one-step self-etching adhesive (Xeno V(+)) and a two-step self-etching system (Clearfil SE Bond) were used. The effectiveness of phosphoric acid selective etching of enamel margins was also evaluated. Fifty-six cavities were restored with each adhesive system and divided into two subgroups (n=28; etch and non-etch). All 112 cavities were restored with the nanohybrid composite Esthet.X HD. The clinical effectiveness of restorations was recorded in terms of retention, marginal integrity, marginal staining, caries recurrence, and postoperative sensitivity after 3, 6, 12, 18, and 24 months (modified United States Public Health Service). The Friedman test detected significant differences only after 18 months for marginal staining in the groups Clearfil SE non-etch (p=0.009) and Xeno V(+) etch (p=0.004). One restoration was lost during the trial (Xeno V(+) etch; p>0.05). Although an increase in marginal staining was recorded for groups Clearfil SE non-etch and Xeno V(+) etch, the clinical effectiveness of restorations was considered acceptable for the single-step and two-step self-etching systems with or without selective enamel etching in this 24-month clinical trial.

  13. Etching radical controlled gas chopped deep reactive ion etching

    Science.gov (United States)

    Olynick, Deidre; Rangelow, Ivo; Chao, Weilun

    2013-10-01

    A method for silicon micromachining techniques based on high aspect ratio reactive ion etching with gas chopping has been developed capable of producing essentially scallop-free, smooth, sidewall surfaces. The method uses precisely controlled, alternated (or chopped) gas flow of the etching and deposition gas precursors to produce a controllable sidewall passivation capable of high anisotropy. The dynamic control of sidewall passivation is achieved by carefully controlling fluorine radical presence with moderator gasses, such as CH.sub.4 and controlling the passivation rate and stoichiometry using a CF.sub.2 source. In this manner, sidewall polymer deposition thicknesses are very well controlled, reducing sidewall ripples to very small levels. By combining inductively coupled plasmas with controlled fluorocarbon chemistry, good control of vertical structures with very low sidewall roughness may be produced. Results show silicon features with an aspect ratio of 20:1 for 10 nm features with applicability to nano-applications in the sub-50 nm regime. By comparison, previous traditional gas chopping techniques have produced rippled or scalloped sidewalls in a range of 50 to 100 nm roughness.

  14. Characterization of graphite etched with potassium hydroxide and its application in fast-rechargeable lithium ion batteries

    Science.gov (United States)

    Shim, Jae-Hyun; Lee, Sanghun

    2016-08-01

    Surface-modified graphite for application as an anode material in lithium ion batteries was obtained by etching with KOH under mild conditions without high-temperature annealing. The surface of the etched graphite is covered with many nano-sized pores that act as entrances for lithium ions during the charging process. As compared with pristine graphite and other references such as pitch-coated or etched graphite samples with annealing, our non-annealed etched graphite exhibits excellent electrochemical properties, particularly at fast charging rates of over 2.5 C. While avoidance of the trade-off between increase of irreversible capacity and good rate capability has previously been a main concern in highly porous carbonaceous materials, we show that the slightly larger surface area created by the etching does not induce a significant increase of irreversible capacity. This study shows that it is important to limit the size of pores to the nanometer scale for excellent battery performance, which is possible by etching under relatively mild conditions.

  15. In vivo effect of a self-etching primer on dentin.

    Science.gov (United States)

    Milia, E; Lallai, M R; García-Godoy, F

    1999-08-01

    To determine the ultrastructural aspects of the dentin collagen area in the cavity preparation floor produced in vivo after phosphoric acid acid-etching or after using Clearfil Liner Bond 2 self-etching primer (LB2 Primer). Twenty-four non-carious third molars scheduled for extraction from young adult patients (16-30 years old) were used. Conventional Class I cavities (+/- 2 mm deep) were prepared on the occlusal surfaces of all teeth using a cylindrical diamond bur on a high-speed handpiece with copious water spray. To avoid dehydration of the dentin, the smear layer-covered dentin was briefly air-dried for 2 seconds. Cavities were assigned at random to the following groups: Group A: Dentin etched for 15 seconds with 34% phosphoric acid, rinsed for 20 seconds and then briefly air-dried for 2 seconds with oil-free compressed air leaving the surfaces slightly moist. Group B: LB2 Primer was applied to the cavity surfaces for 30 seconds and then briefly air-dried to remove the solvent. Group C: The untreated dentin smear layer was used as a control. In all three groups, the cavities were filled incrementally with a resin-based composite (APX), light curing every increment for 40 seconds. After 30 minutes, the teeth were extracted atraumatically and the samples immediately prepared for evaluation with the transmission electron microscope. The use of a self-etching primer did not produce significant morphological changes in the moist dentin substrate. Adverse morphological conditions where observed when there was an excess water on the dentin surface. Phosphoric acid altered the collagen more severely than the self-etching primer.

  16. Room temperature inductively coupled plasma etching of InAs/InSb in BCl 3/Cl 2/Ar

    KAUST Repository

    Sun, Jian

    2012-10-01

    Inductively coupled plasma (ICP) etching of InAs and InSb at room temperature has been investigated using BCl 3/Cl 2/Ar plasma. Specifically, the etch rate and post-etching surface morphology were investigated as functions of the gas composition, ICP power, process pressure, and RF chuck power. An optimized process has been developed, yielding anisotropic etching and very smooth surfaces with roughnesses of 0.25 nm for InAs, and 0.57 nm for InSb, which is comparable with the surface of epi-ready polished wafers. The process provides moderate etching rates of 820 /min for InAs and 2800 /min for InSb, and the micro-masking effect is largely avoided. © 2012 Elsevier B.V. All rights reserved.

  17. GPU based 3D feature profile simulation of high-aspect ratio contact hole etch process under fluorocarbon plasmas

    Science.gov (United States)

    Chun, Poo-Reum; Lee, Se-Ah; Yook, Yeong-Geun; Choi, Kwang-Sung; Cho, Deog-Geun; Yu, Dong-Hun; Chang, Won-Seok; Kwon, Deuk-Chul; Im, Yeon-Ho

    2013-09-01

    Although plasma etch profile simulation has been attracted much interest for developing reliable plasma etching, there still exist big gaps between current research status and predictable modeling due to the inherent complexity of plasma process. As an effort to address this issue, we present 3D feature profile simulation coupled with well-defined plasma-surface kinetic model for silicon dioxide etching process under fluorocarbon plasmas. To capture the realistic plasma surface reaction behaviors, a polymer layer based surface kinetic model was proposed to consider the simultaneous polymer deposition and oxide etching. Finally, the realistic plasma surface model was used for calculation of speed function for 3D topology simulation, which consists of multiple level set based moving algorithm, and ballistic transport module. In addition, the time consumable computations in the ballistic transport calculation were improved drastically by GPU based numerical computation, leading to the real time computation. Finally, we demonstrated that the surface kinetic model could be coupled successfully for 3D etch profile simulations in high-aspect ratio contact hole plasma etching.

  18. Shear bond strength of orthodontic brackets after acid-etched and erbium-doped yttrium aluminum garnet laser-etched

    Directory of Open Access Journals (Sweden)

    Shiva Alavi

    2014-01-01

    Full Text Available Background: Laser ablation has been suggested as an alternative method to acid etching; however, previous studies have obtained contrasting results. The purpose of this study was to compare the shear bond strength (SBS and fracture mode of orthodontic brackets that are bonded to enamel etched with acid and erbium-doped yttrium aluminum garnet (Er:YAG laser. Materials and Methods: In this experimental in vitro study, buccal surfaces of 15 non-carious human premolars were divided into mesial and distal regions. Randomly, one of the regions was etched with 37% phosphoric acid for 15 s and another region irradiated with Er:YAG laser at 100 mJ energy and 20 Hz frequency for 20 s. Stainless steel brackets were then bonded using Transbond XT, following which all the samples were stored in distilled water for 24 h and then subjected to 500 thermal cycles. SBS was tested by a chisel edge, mounted on the crosshead of universal testing machine. After debonding, the teeth were examined under Χ10 magnification and adhesive remnant index (ARI score determined. SBS and ARI scores of the two groups were then compared using t-test and Mann-Whitney U test. Significant level was set at P < 0.05. Results: The mean SBS of the laser group (16.61 ± 7.7 MPa was not significantly different from that of the acid-etched group (18.86 ± 6.09 MPa (P = 0.41. There was no significant difference in the ARI scores between two groups (P = 0.08. However, in the laser group, more adhesive remained on the brackets, which is not suitable for orthodontic purposes. Conclusion: Laser etching at 100 mJ energy produced bond strength similar to acid etching. Therefore, Er:YAG laser may be an alternative method for conventional acid-etching.

  19. Predicting synergy in atomic layer etching

    Energy Technology Data Exchange (ETDEWEB)

    Kanarik, Keren J. [Lam Research Corp., Fremont, CA (United States); Tan, Samantha [Lam Research Corp., Fremont, CA (United States); Yang, Wenbing [Lam Research Corp., Fremont, CA (United States); Kim, Taeseung [Lam Research Corp., Fremont, CA (United States); Lill, Thorsten [Lam Research Corp., Fremont, CA (United States); Kabansky, Alexander [Lam Research Corp., Fremont, CA (United States); Hudson, Eric A. [Lam Research Corp., Fremont, CA (United States); Ohba, Tomihito [Lam Research Corp., Fremont, CA (United States); Nojiri, Kazuo [Lam Research Corp., Fremont, CA (United States); Yu, Jengyi [Lam Research Corp., Fremont, CA (United States); Wise, Rich [Lam Research Corp., Fremont, CA (United States); Berry, Ivan L. [Lam Research Corp., Fremont, CA (United States); Pan, Yang [Lam Research Corp., Fremont, CA (United States); Marks, Jeffrey [Lam Research Corp., Fremont, CA (United States); Gottscho, Richard A. [Lam Research Corp., Fremont, CA (United States)

    2017-03-27

    Atomic layer etching (ALE) is a multistep process used today in manufacturing for removing ultrathin layers of material. In this article, the authors report on ALE of Si, Ge, C, W, GaN, and SiO2 using a directional (anisotropic) plasma-enhanced approach. The authors analyze these systems by defining an “ALE synergy” parameter which quantifies the degree to which a process approaches the ideal ALE regime. This parameter is inspired by the ion-neutral synergy concept introduced in the 1979 paper by Coburn and Winters. ALE synergy is related to the energetics of underlying surface interactions and is understood in terms of energy criteria for the energy barriers involved in the reactions. Synergistic behavior is observed for all of the systems studied, with each exhibiting behavior unique to the reactant–material combination. By systematically studying atomic layer etching of a group of materials, the authors show that ALE synergy scales with the surface binding energy of the bulk material. This insight explains why some materials are more or less amenable to the directional ALE approach. Furthermore, they conclude that ALE is both simpler to understand than conventional plasma etch processing and is applicable to metals, semiconductors, and dielectrics.

  20. Roughness generation during Si etching in Cl{sub 2} pulsed plasma

    Energy Technology Data Exchange (ETDEWEB)

    Mourey, Odile; Petit-Etienne, Camille; Cunge, Gilles, E-mail: gilles.cunge@cea.fr; Darnon, Maxime; Despiau-Pujo, Emilie; Brichon, Paulin; Lattu-Romain, Eddy; Pons, Michel; Joubert, Olivier [Univ. Grenoble Alpes, CNRS, CEA-Leti Minatec, LTM, F-38054 Grenoble Cedex (France)

    2016-07-15

    Pulsed plasmas are promising candidates to go beyond limitations of continuous waves' plasma. However, their interaction with surfaces remains poorly understood. The authors investigated the silicon etching mechanism in inductively coupled plasma (ICP) Cl{sub 2} operated either in an ICP-pulsed mode or in a bias-pulsed mode (in which only the bias power is pulsed). The authors observed systematically the development of an important surface roughness at a low duty cycle. By using plasma diagnostics, they show that the roughness is correlated to an anomalously large (Cl atoms flux)/(energetic ion flux) ratio in the pulsed mode. The rational is that the Cl atom flux is not modulated on the timescale of the plasma pulses although the ion fluxes and energy are modulated. As a result, a very strong surface chlorination occurs during the OFF period when the surface is not exposed to energetic ions. Therefore, each energetic ion in the ON period will bombard a heavily chlorinated silicon surface, leading to anomalously high etching yield. In the ICP pulsed mode (in which the ion energy is high), the authors report yields as high as 40, which mean that each individual ion impacts will generate a “crater” of about 2 nm depth at the surface. Since the ion flux is very small in the pulsed ICP mode, this process is stochastic and is responsible for the roughness initiation. The roughness expansion can then be attributed partly to the ion channeling effect and is probably enhanced by the formation of a SiClx reactive layer with nonhomogeneous thickness over the topography of the surface. This phenomenon could be a serious limitation of pulsed plasma processes.

  1. Surface Modification of Ti-35Nb-10Ta-1.5Fe by the Double Acid-Etching Process

    OpenAIRE

    Joan Lario; Angélica Amigó; Francisco Segovia; Vicente Amigó

    2018-01-01

    Surface topography and composition influence the osteoblastic proliferation and osseointegration rates, which favor the biomechanical stability of bone anchoring and implants. In recent years, beta titanium alloys have been developed, and are composed of biocompatible elements, have low elastic modulus, high corrosion resistance, and mechanical properties to improve the long performance behavior of biomaterials. In the present research, the influence of the acid-etching process was studied in...

  2. Influence of water storage on fatigue strength of self-etch adhesives.

    Science.gov (United States)

    Takamizawa, Toshiki; Barkmeier, Wayne W; Tsujimoto, Akimasa; Scheidel, Donal D; Watanabe, Hidehiko; Erickson, Robert L; Latta, Mark A; Miyazaki, Masashi

    2015-12-01

    The purpose of this study was to determine enamel and dentin bond durability after long-term water storage using self-etch adhesives. Two single step self-etch adhesives (SU, Scotchbond Universal and GB, G-ӕnial Bond) and a two-step self-etch adhesive (OX, OptiBond XTR) were used. The shear bond strength (SBS) and shear fatigue strength (FS) of the enamel and dentin were obtained with and without phosphoric acid pre-etching prior to application of the adhesives. The specimens were stored in distilled water at 37 °C for 24 h, 6 months, and one year. A staircase method was used to determine the FS using a frequency of 10 Hz for 50,000 cycles or until failure occurred. The SBS and FS of enamel bonds were significantly higher with pre-etching, when compared to no pre-etching for the same water storage period. The FS of dentin bonds with pre-etching tended to decrease relative to no pre-etching at the same storage period. For the one year storage period, SU and GB with pre-etching showed significantly lower FS values than the groups without pre-etching. The influence of water storage on FS of the self-etch adhesives was dependent on the adhesive material, storage period and phosphoric acid pre-etching of the bonding site. Phosphoric acid pre-etching of enamel improves the effectiveness of self-etch adhesive systems. Inadvertent contact of phosphoric acid on dentin appears to reduce the ability of self-etch adhesives to effectively bond resin composite materials. Copyright © 2015 Elsevier Ltd. All rights reserved.

  3. Oxygen and nitrogen plasma etching of three-dimensional hydroxyapatite/chitosan scaffolds fabricated by additive manufacturing

    Science.gov (United States)

    Myung, Sung-Woon; Kim, Byung-Hoon

    2016-01-01

    Three-dimensional (3D) chitosan and hydroxyapatite (HAp)/chitosan (CH) scaffolds were fabricated by additive manufacturing, then their surfaces were etched with oxygen (O2) and nitrogen (N2) plasma. O2 and N2 plasma etching was performed to increase surface properties such as hydrophilicity, roughness, and surface chemistry on the scaffolds. After etching, hydroxyapatite was exposed on the surface of 3D HAp/CH scaffolds. The surface morphology and chemical properties were characterized by contact angle measurement, scanning electron microscopy, X-ray diffraction, and attenuated total reflection Fourier infrared spectroscopy. The cell viability of 3D chitosan scaffolds was examined by 3-(4,5-dimethylthiazol-2-yl)-2,5-diphenyltetrazolium bromide assay. The differentiation of preosteoblast cells was evaluated by alkaline phosphatase assay. The cell viability was improved by O2 and N2 plasma etching of 3D chitosan scaffolds. The present fabrication process for 3D scaffolds might be applied to a potential tool for preparing biocompatible scaffolds.

  4. Using an energized oxygen micro-jet for improved graphene etching by focused electron beam

    Energy Technology Data Exchange (ETDEWEB)

    Kim, Songkil; Henry, Mathias [George W. Woodruff School of Mechanical Engineering, Georgia Institute of Technology, Atlanta, Georgia 30332 (United States); Fedorov, Andrei G., E-mail: agf@gatech.edu [George W. Woodruff School of Mechanical Engineering, Georgia Institute of Technology, Atlanta, Georgia 30332 (United States); Parker H. Petit Institute for Bioengineering and Bioscience, Georgia Institute of Technology, Atlanta, Georgia 30332 (United States)

    2015-12-07

    We report on an improved Focused Electron Beam Induced Etching (FEBIE) process, which exploits heated oxygen delivery via a continuous supersonic micro-jet resulting in faster graphene patterning and better etch feature definition. Positioning a micro-jet in close proximity to a graphene surface with minimal jet spreading due to a continuous regime of gas flow at the exit of the 10 μm inner diameter capillary allows for focused exposure of the surface to reactive oxygen at high mass flux and impingement energy of a supersonic gas stream localized to a small etching area exposed to electron beam. These unique benefits of focused supersonic oxygen delivery to the surface enable a dramatic increase in the etch rate of graphene with no parasitic carbon “halo” deposition due to secondary electrons from backscattered electrons (BSE) in the area surrounding the etched regions. Increase of jet temperature via local nozzle heating provides means for enhancing kinetic energy of impinging oxygen molecules, which further speed up the etch, thus minimizing the beam exposure time and required electron dose, before parasitic carbon film deposition due to BSE mediated decomposition of adsorbed hydrocarbon contaminants has a measurable impact on quality of graphene etched features. Interplay of different physical mechanisms underlying an oxygen micro-jet assisted FEBIE process is discussed with support from experimental observations.

  5. Using an energized oxygen micro-jet for improved graphene etching by focused electron beam

    International Nuclear Information System (INIS)

    Kim, Songkil; Henry, Mathias; Fedorov, Andrei G.

    2015-01-01

    We report on an improved Focused Electron Beam Induced Etching (FEBIE) process, which exploits heated oxygen delivery via a continuous supersonic micro-jet resulting in faster graphene patterning and better etch feature definition. Positioning a micro-jet in close proximity to a graphene surface with minimal jet spreading due to a continuous regime of gas flow at the exit of the 10 μm inner diameter capillary allows for focused exposure of the surface to reactive oxygen at high mass flux and impingement energy of a supersonic gas stream localized to a small etching area exposed to electron beam. These unique benefits of focused supersonic oxygen delivery to the surface enable a dramatic increase in the etch rate of graphene with no parasitic carbon “halo” deposition due to secondary electrons from backscattered electrons (BSE) in the area surrounding the etched regions. Increase of jet temperature via local nozzle heating provides means for enhancing kinetic energy of impinging oxygen molecules, which further speed up the etch, thus minimizing the beam exposure time and required electron dose, before parasitic carbon film deposition due to BSE mediated decomposition of adsorbed hydrocarbon contaminants has a measurable impact on quality of graphene etched features. Interplay of different physical mechanisms underlying an oxygen micro-jet assisted FEBIE process is discussed with support from experimental observations

  6. Using an energized oxygen micro-jet for improved graphene etching by focused electron beam

    Science.gov (United States)

    Kim, Songkil; Henry, Mathias; Fedorov, Andrei G.

    2015-12-01

    We report on an improved Focused Electron Beam Induced Etching (FEBIE) process, which exploits heated oxygen delivery via a continuous supersonic micro-jet resulting in faster graphene patterning and better etch feature definition. Positioning a micro-jet in close proximity to a graphene surface with minimal jet spreading due to a continuous regime of gas flow at the exit of the 10 μm inner diameter capillary allows for focused exposure of the surface to reactive oxygen at high mass flux and impingement energy of a supersonic gas stream localized to a small etching area exposed to electron beam. These unique benefits of focused supersonic oxygen delivery to the surface enable a dramatic increase in the etch rate of graphene with no parasitic carbon "halo" deposition due to secondary electrons from backscattered electrons (BSE) in the area surrounding the etched regions. Increase of jet temperature via local nozzle heating provides means for enhancing kinetic energy of impinging oxygen molecules, which further speed up the etch, thus minimizing the beam exposure time and required electron dose, before parasitic carbon film deposition due to BSE mediated decomposition of adsorbed hydrocarbon contaminants has a measurable impact on quality of graphene etched features. Interplay of different physical mechanisms underlying an oxygen micro-jet assisted FEBIE process is discussed with support from experimental observations.

  7. The etching property of the surface of CR-39 and the track core radius of fission fragment

    CERN Document Server

    Mineyama, D; Yamauchi, T; Oda, K; El-Rahman, A

    2002-01-01

    The etch pits of fission fragments in CR-39 detector have been observed carefully using an atomic force microscope (AFM) after extremely short chemical etching in stirred 6N KOH solution kept at 70degC. It was found that there existed a thin layer where the bulk etch rate is relativity from large the etch-pit growth curve for the etching duration between 10 and 1800 seconds. The track core radius of fission fragment was evaluated to be about 6 nm from the extrapolation of the growth curve in a thinner region. (author)

  8. Femtosecond laser etching of dental enamel for bracket bonding.

    Science.gov (United States)

    Kabas, Ayse Sena; Ersoy, Tansu; Gülsoy, Murat; Akturk, Selcuk

    2013-09-01

    The aim is to investigate femtosecond laser ablation as an alternative method for enamel etching used before bonding orthodontic brackets. A focused laser beam is scanned over enamel within the area of bonding in a saw tooth pattern with a varying number of lines. After patterning, ceramic brackets are bonded and bonding quality of the proposed technique is measured by a universal testing machine. The results are compared to the conventional acid etching method. Results show that bonding strength is a function of laser average power and the density of the ablated lines. Intrapulpal temperature changes are also recorded and observed minimal effects are observed. Enamel surface of the samples is investigated microscopically and no signs of damage or cracking are observed. In conclusion, femtosecond laser exposure on enamel surface yields controllable patterns that provide efficient bonding strength with less removal of dental tissue than conventional acid-etching technique.

  9. High-aspect ratio micro- and nanostructures enabled by photo-electrochemical etching for sensing and energy harvesting applications

    Science.gov (United States)

    Alhalaili, Badriyah; Dryden, Daniel M.; Vidu, Ruxandra; Ghandiparsi, Soroush; Cansizoglu, Hilal; Gao, Yang; Saif Islam, M.

    2018-03-01

    Photo-electrochemical (PEC) etching can produce high-aspect ratio features, such as pillars and holes, with high anisotropy and selectivity, while avoiding the surface and sidewall damage caused by traditional deep reactive ion etching (DRIE) or inductively coupled plasma (ICP) RIE. Plasma-based techniques lead to the formation of dangling bonds, surface traps, carrier leakage paths, and recombination centers. In pursuit of effective PEC etching, we demonstrate an optical system using long wavelength (λ = 975 nm) infra-red (IR) illumination from a high-power laser (1-10 W) to control the PEC etching process in n-type silicon. The silicon wafer surface was patterned with notches through a lithography process and KOH etching. Then, PEC etching was introduced by illuminating the backside of the silicon wafer to enhance depth, resulting in high-aspect ratio structures. The effect of the PEC etching process was optimized by varying light intensities and electrolyte concentrations. This work was focused on determining and optimizing this PEC etching technique on silicon, with the goal of expanding the method to a variety of materials including GaN and SiC that are used in designing optoelectronic and electronic devices, sensors and energy harvesting devices.

  10. Shear Bond Strength of Saliva Contaminated and Re-etched All-in-One Adhesive to Enamel

    Directory of Open Access Journals (Sweden)

    M. Khoroushi

    2008-12-01

    Full Text Available Objective: The aim of this study was to investigate the effect of phosphoric acid re-etching of an enamel surface treated via a one-bottle adhesive system on shear bond strength between resin composite and the enamelsurface in different stages of adhesive application.Materials and Methods: Extracted intact premolars (n=84 were divided into sevengroups (n=12. In the control group 1, the adhesive i-Bond was used according to the manufacturer's instructions, with nocontamination. In groups 2 to 4, the conditioned and saliva, contaminated enamel was blot dried only, rinsed,and blot dried, rinsed blot dried and re-etched, respectively. In groups 5, 6and 7 cured adhesive was contaminated with saliva and then rinsed and blot-dried, blot dried only and rinsed, blot-dried and re-etched respectively. In groups 3, 4, 6 and 7 the adhesive was reapplied. Afterward, Z100 compos-ite cylinders were bonded to the enamel surfaces. The samples were thermocycled (5°C and 55°C, 30 s, dwelling time: 10 s, 500 cycles. Finally, the samples were sheared using Dartec testing machine and shear bond strength data were subjected to one-way ANOVA analysis and Tukey's HSD test.Results: There were statistically significant differences among groups 1 and 5-7. The samples in groups 1 and 4 demonstrated higher bond strengths than those in the other groups.Conclusion: Using phosphoric acid etching may be effective, only where contamination occurs prior to curing of the adhesive. After curing of the adhesive, none of the methods in this study would be preferred.

  11. Enhanced optical performance of electrochemically etched porous silicon carbide

    International Nuclear Information System (INIS)

    Naderi, N; Hashim, M R; Saron, K M A; Rouhi, J

    2013-01-01

    Porous silicon carbide (PSC) was successfully synthesized via electrochemical etching of an n-type hexagonal silicon carbide (6H-SiC) substrate using various current densities. The cyclic voltammograms of SiC dissolution show that illumination is required for the accumulation of carriers at the surface, followed by surface oxidation and dissolution of the solid. The morphological and optical characterizations of PSC were reported. Scanning electron microscopy results demonstrated that the current density can be considered an important etching parameter that controls the porosity and uniformity of PSC; hence, it can be used to optimize the optical properties of the porous samples. (paper)

  12. A novel approach to the use of doxycycline-loaded biodegradable membrane and EDTA root surface etching in chronic periodontitis: a randomized clinical trial.

    Science.gov (United States)

    Gamal, Ahmed Y; Kumper, Radi M

    2012-09-01

    The release profile of 25% doxycycline (DOX) gel loaded on a biodegradable collagen membrane (COL) after 24% EDTA root surface etching was evaluated. Thirty systemically healthy patients, each with at least one pair of contralateral interproximal intrabony defects ≥4 mm deep, along with an interproximal probing depth ≥6 mm and clinical attachment loss ≥4 mm, were randomized into two groups. Group 1 consisted of sites treated with open-flap debridement followed by placement of DOX gel-loaded COL (DOX-COL), whereas group 2 sites were treated with flap surgery followed by the placement of DOX-COL after EDTA etching of the exposed root surfaces (DOX-COL + EDTA). Samples of gingival crevicular fluid were obtained 1, 3, 7, 14, and 21 days after surgery. Separation was performed, and quantitative measurements of DOX were taken with a high-performance liquid chromatography. Clinical evaluation and follow-up for 6 months were performed. At 21 days, DOX-COL + EDTA group showed 5.3 μg/mL value. However, no DOX was detected in samples of the DOX-COL group. DOX-COL + EDTA-treated group retained more DOX during the periods of 3, 7, 10, and 14 days than did the DOX-COL group. EDTA root surface etching could enhance DOX availability in the gingival crevicular fluid after its release from the collagen membrane.

  13. Nanostructural effect of acid-etching and fluoride application on human primary and permanent tooth enamels

    International Nuclear Information System (INIS)

    Cheong, Youjin; Choi, Samjin; Kim, So Jung; Park, Hun-Kuk

    2012-01-01

    This study examined the nanostructural effects of fluoride application and the acid-etching time with respect to the time elapsed after fluoride application on the primary and permanent tooth enamel layers using atomic force microscopy (AFM) and scanning electron microscopy (SEM). 192 non-carious teeth were assigned to sixteen experimental groups (n = 12) including primary (1 to 8) and permanent (9 to 16) teeth, based on the timing of acid-etching with 37% phosphoric acid after an acidulated phosphate fluoride (APF) pre-treatment. The APF pre-treatment led to a decrease in surface roughness in both the primary and permanent teeth. After the APF treatment, the roughness in both primary and permanent teeth increased with the time elapsed. An acid-etching time of 40 s led to increased nanostructural changes in the enamel surfaces compared to the conventional acid-etching time of 20 s. This acid-etching process led to a higher roughness changes in the primary teeth than in the permanent teeth. To obtain proper enamel adhesion of a sealant after APF pre-treatment, it is important to apply acid-etching two weeks after pre-treatment. In addition, the acid-etching time should be prolonged to apply etching more quickly than two weeks, regardless of the primary and permanent teeth. Highlights: ► APF pre-treatment led to decreased surface roughness in the enamel. ► After APF treatment, the more roughness increased with increasing time elapsed. ► Acid-etching should be performed two weeks after fluoride application.

  14. Nanostructural effect of acid-etching and fluoride application on human primary and permanent tooth enamels

    Energy Technology Data Exchange (ETDEWEB)

    Cheong, Youjin [Department of Biomedical Engineering and Healthcare Industry Research Institute, College of Medicine, Kyung Hee University, Seoul (Korea, Republic of); Choi, Samjin [Department of Biomedical Engineering and Healthcare Industry Research Institute, College of Medicine, Kyung Hee University, Seoul (Korea, Republic of); Department of Orthodontics, College of Dental Medicine, Kyung Hee University, Seoul (Korea, Republic of); Kim, So Jung [Department of Pediatric Dentistry, College of Dental Medicine, Kyung Hee University, Seoul (Korea, Republic of); Park, Hun-Kuk, E-mail: sigmoidus@khu.ac.kr [Department of Biomedical Engineering and Healthcare Industry Research Institute, College of Medicine, Kyung Hee University, Seoul (Korea, Republic of); Program of Medical Engineering, Kyung Hee University, Seoul (Korea, Republic of)

    2012-07-01

    This study examined the nanostructural effects of fluoride application and the acid-etching time with respect to the time elapsed after fluoride application on the primary and permanent tooth enamel layers using atomic force microscopy (AFM) and scanning electron microscopy (SEM). 192 non-carious teeth were assigned to sixteen experimental groups (n = 12) including primary (1 to 8) and permanent (9 to 16) teeth, based on the timing of acid-etching with 37% phosphoric acid after an acidulated phosphate fluoride (APF) pre-treatment. The APF pre-treatment led to a decrease in surface roughness in both the primary and permanent teeth. After the APF treatment, the roughness in both primary and permanent teeth increased with the time elapsed. An acid-etching time of 40 s led to increased nanostructural changes in the enamel surfaces compared to the conventional acid-etching time of 20 s. This acid-etching process led to a higher roughness changes in the primary teeth than in the permanent teeth. To obtain proper enamel adhesion of a sealant after APF pre-treatment, it is important to apply acid-etching two weeks after pre-treatment. In addition, the acid-etching time should be prolonged to apply etching more quickly than two weeks, regardless of the primary and permanent teeth. Highlights: Black-Right-Pointing-Pointer APF pre-treatment led to decreased surface roughness in the enamel. Black-Right-Pointing-Pointer After APF treatment, the more roughness increased with increasing time elapsed. Black-Right-Pointing-Pointer Acid-etching should be performed two weeks after fluoride application.

  15. Laser etching of enamel for direct bonding - An in vitro study

    Directory of Open Access Journals (Sweden)

    Rajesh K Reddy

    2010-01-01

    Full Text Available The aim of the study was to determine the shear bond strength of mesh shaped stainless steel orthodontic brackets, bonded to acid etched enamel and laser etched enamel and to compare the shear bond strength following acid etching and laser etching. 50 non carious extracted premolar teeth divided in to 5 groups of 10 each were employed in the study. The buccal surfaces of group - I were subjected to conventional etching using 37% phosphoric acid for 30 seconds, while the other four groups were subjected to Nd:YAG laser etching at different power settings of 80mj, 100mj, 150mj and 200mj respectively for 15 seconds. Brackets were later bonded on to these teeth using Ultimate- light curing primer and adhesive. The shear bond strength of each sample was determined using a universal testing machine and the results were evaluated.

  16. Study of surfactant-added TMAH for applications in DRIE and wet etching-based micromachining

    Science.gov (United States)

    Tang, B.; Shikida, M.; Sato, K.; Pal, P.; Amakawa, H.; Hida, H.; Fukuzawa, K.

    2010-06-01

    In this paper, etching anisotropy is evaluated for a number of different crystallographic orientations of silicon in a 0.1 vol% Triton-X-100 added 25 wt% tetramethylammonium hydroxide (TMAH) solution using a silicon hemisphere. The research is primarily aimed at developing advanced applications of wet etching in microelectromechanical systems (MEMS). The etching process is carried out at different temperatures in the range of 61-81 °C. The etching results of silicon hemisphere and different shapes of three-dimensional structures in {1 0 0}- and {1 1 0}-Si surfaces are analyzed. Significantly important anisotropy, different from a traditional etchant (e.g. pure KOH and TMAH), is investigated to extend the applications of the wet etching process in silicon bulk micromachining. The similar etching behavior of exact and vicinal {1 1 0} and {1 1 1} planes in TMAH + Triton is utilized selectively to remove the scalloping from deep reactive-ion etching (DRIE) etched profiles. The direct application of the present research is demonstrated by fabricating a cylindrical lens with highly smooth etched surface finish. The smoothness of a micro-lens at different locations is measured qualitatively by a scanning electron microscope and quantitatively by an atomic force microscope. The present paper provides a simple and effective fabrication method of the silicon micro-lens for optical MEMS applications.

  17. Characterization of Ag-porous silicon nanostructured layer formed by an electrochemical etching of p-type silicon surface for bio-application

    Science.gov (United States)

    Naddaf, M.; Al-Mariri, A.; Haj-Mhmoud, N.

    2017-06-01

    Nanostructured layers composed of silver-porous silicon (Ag-PS) have been formed by an electrochemical etching of p-type (1 1 1) silicon substrate in a AgNO3:HF:C2H5OH solution at different etching times (10 min-30 min). Scanning electron microscopy (SEM) and energy-dispersive x-ray spectroscopy (EDS) results reveal that the produced layers consist of Ag dendrites and a silicon-rich porous structure. The nanostructuring nature of the layer has been confirmed by spatial micro-Raman scattering and x-ray diffraction techniques. The Ag dendrites exhibit a surface-enhanced Raman scattering (SERS) spectrum, while the porous structure shows a typical PS Raman spectrum. Upon increasing the etching time, the average size of silicon nanocrystallite in the PS network decreases, while the average size of Ag nanocrystals is slightly affected. In addition, the immobilization of prokaryote Salmonella typhimurium DNA via physical adsorption onto the Ag-PS layer has been performed to demonstrate its efficiency as a platform for detection of biological molecules using SERS.

  18. Mechanical Stress in InP Structures Etched in an Inductively Coupled Plasma Reactor with Ar/Cl2/CH4 Plasma Chemistry

    Science.gov (United States)

    Landesman, Jean-Pierre; Cassidy, Daniel T.; Fouchier, Marc; Pargon, Erwine; Levallois, Christophe; Mokhtari, Merwan; Jimenez, Juan; Torres, Alfredo

    2018-02-01

    We investigated the crystal lattice deformation that can occur during the etching of structures in bulk InP using SiNx hard masks with Ar/Cl2/CH4 chemistries in an inductively coupled plasma reactor. Two techniques were used: degree of polarization (DOP) of the photo-luminescence, which gives information on the state of mechanical stress present in the structures, and spectrally resolved cathodo-luminescence (CL) mapping. This second technique also provides elements on the mechanical stress in the samples through analysis of the spectral shift of the CL intrinsic emission lines. Preliminary DOP mapping experiments have been conducted on the SiNx hard mask patterns without etching the underlying InP. This preliminary study demonstrated the potential of DOP to map mechanical stress quantitatively in the structures. In a second step, InP patterns with various widths between 1 μm and 20 μm, and various depths between 1 μm and 6 μm, were analyzed by the 2 techniques. DOP measurements were made both on the (100) top surface of the samples and on the (110) cleaved cross section. CL measurements were made only from the (100) surface. We observed that inside the etched features, close to the vertical etched walls, there is always some compressive deformation, while it is tensile just outside the etched features. The magnitude of these effects depends on the lateral and depth dimensions of the etched structures, and on the separation between them (the tensile deformation increases between them due to some kind of proximity effect when separation decreases).

  19. Suboxide/subnitride formation on Ta masks during magnetic material etching by reactive plasmas

    Energy Technology Data Exchange (ETDEWEB)

    Li, Hu; Muraki, Yu; Karahashi, Kazuhiro; Hamaguchi, Satoshi, E-mail: hamaguch@ppl.eng.osaka-u.ac.jp [Center for Atomic and Molecular Technologies, Osaka University, Yamadaoka 2-1, Suita 565-0871 (Japan)

    2015-07-15

    Etching characteristics of tantalum (Ta) masks used in magnetoresistive random-access memory etching processes by carbon monoxide and ammonium (CO/NH{sub 3}) or methanol (CH{sub 3}OH) plasmas have been examined by mass-selected ion beam experiments with in-situ surface analyses. It has been suggested in earlier studies that etching of magnetic materials, i.e., Fe, Ni, Co, and their alloys, by such plasmas is mostly due to physical sputtering and etch selectivity of the process arises from etch resistance (i.e., low-sputtering yield) of the hard mask materials such as Ta. In this study, it is shown that, during Ta etching by energetic CO{sup +} or N{sup +} ions, suboxides or subnitrides are formed on the Ta surface, which reduces the apparent sputtering yield of Ta. It is also shown that the sputtering yield of Ta by energetic CO{sup +} or N{sup +} ions has a strong dependence on the angle of ion incidence, which suggests a correlation between the sputtering yield and the oxidation states of Ta in the suboxide or subnitride; the higher the oxidation state of Ta, the lower is the sputtering yield. These data account for the observed etch selectivity by CO/NH{sub 3} and CH{sub 3}OH plasmas.

  20. Dry etching technology for semiconductors

    CERN Document Server

    Nojiri, Kazuo

    2015-01-01

    This book is a must-have reference to dry etching technology for semiconductors, which will enable engineers to develop new etching processes for further miniaturization and integration of semiconductor integrated circuits.  The author describes the device manufacturing flow, and explains in which part of the flow dry etching is actually used. The content is designed as a practical guide for engineers working at chip makers, equipment suppliers and materials suppliers, and university students studying plasma, focusing on the topics they need most, such as detailed etching processes for each material (Si, SiO2, Metal etc) used in semiconductor devices, etching equipment used in manufacturing fabs, explanation of why a particular plasma source and gas chemistry are used for the etching of each material, and how to develop etching processes.  The latest, key technologies are also described, such as 3D IC Etching, Dual Damascene Etching, Low-k Etching, Hi-k/Metal Gate Etching, FinFET Etching, Double Patterning ...

  1. Influence of laser etching on enamel and dentin bond strength of Silorane System Adhesive.

    Science.gov (United States)

    Ustunkol, Ildem; Yazici, A Ruya; Gorucu, Jale; Dayangac, Berrin

    2015-02-01

    The aim of this in vitro study was to evaluate the shear bond strength (SBS) of Silorane System Adhesive to enamel and dentin surfaces that had been etched with different procedures. Ninety freshly extracted human third molars were used for the study. After the teeth were embedded with buccal surfaces facing up, they were randomly divided into two groups. In group I, specimens were polished with a 600-grit silicon carbide (SiC) paper to obtain flat exposed enamel. In group II, the overlying enamel layer was removed and exposed dentin surfaces were polished with a 600-grit SiC paper. Then, the teeth in each group were randomly divided into three subgroups according to etching procedures: etched with erbium, chromium:yttrium-scandium-gallium-garnet laser (a), etched with 35% phosphoric acid (b), and non-etched (c, control). Silorane System Adhesive was used to bond silorane restorative to both enamel and dentin. After 24-h storage in distilled water at room temperature, a SBS test was performed using a universal testing machine at a crosshead speed of 1 mm/min. The data were analyzed using two-way ANOVA and Bonferroni tests (p enamel and dentin (p > 0.05). The SBS of self-etch adhesive to dentin was not statistically different from enamel (p > 0.05). Phosphoric acid treatment seems the most promising surface treatment for increasing the enamel and dentin bond strength of Silorane System Adhesive.

  2. Micromachining for Si etching using CW CO 2 laser

    International Nuclear Information System (INIS)

    Hawat, Sh.; Naddaf, M.; Al-Sadat, W.; Weiss, Sh.

    2012-08-01

    Many experiments were carried out to achieve etching for silicon samples located on glass substrate (Pyrex or Quartz) using Cw CO 2 laser under treating conditions which were in the case of linear scanning as: the power was 35-47 W, the number of round trips was 10-60 and the linear scanning speed was 17-75 mm/s, and in the case of fixed sample they were as: the power was 40 W and the exposure time was between 2-6 min. The obtained results were different depending on the form of etching and its quality, according to the applied treating conditions on the silicon samples, taking the treated silicon surface attached directly to the glass substrate surface or taking the opposite side of the silicon sample. The etching of the first type was easy to get, but the second one was more difficult to obtain, which requires very strong conditions. The best of these results were recorded using a quartz substrate under treating conditions: the laser power was 42.5 W, the number of round trips was 30, and the scanning speed was 75 mm/s, so the etching was limited to separate spots produced on the surface of the sample. In the all cases, the pictures of spots and lines formed on treated Si samples were taken using scanning electron microscope (SEM) for both sides of the studied samples. (authors)

  3. Micromachining for Si etching using CW CO_2 laser

    International Nuclear Information System (INIS)

    Al-Hawat, Sh.; Naddaf, M.; Al-Sadat, W.; Wiess, Sh.

    2015-01-01

    Many experiments were carried out to achieve etching for silicon samples located on glass substrate (Pyrex or Quartz) using CW CO_2 laser under treating conditions which were in the case of linear scanning as: the power was 35-47 W, the number of round trips was 10-60 and the linear scanning speed was 17-75 mm/s, and in the case of fixed sample they were as: the power was 40 W and the exposure time was between 2-6 min. The obtained results were different depending on the form of etching and its quality, according to the applied treating conditions on the silicon samples, taking the treated silicon surface attached directly to the glass substrate surface or taking the opposite side of the silicon sample. The etching of the first type was easy to get, but the second one was more difficult to obtain, which requires very strong conditions. The best of these results were recorded using a quartz substrate under treating conditions: the laser power was 42.5 W, the number of round trips was 30, and the scanning speed was 75 mm/s, so the etching was limited to separate spots produced on the surface of the sample. In the all cases, the pictures of spots and lines formed on treated Si samples were taken using scanning electron microscope (SEM) for both sides of the studied samples.(author)

  4. Study of Thermal Electrical Modified Etching for Glass and Its Application in Structure Etching

    Directory of Open Access Journals (Sweden)

    Zhan Zhan

    2017-02-01

    Full Text Available In this work, an accelerating etching method for glass named thermal electrical modified etching (TEM etching is investigated. Based on the identification of the effect in anodic bonding, a novel method for glass structure micromachining is proposed using TEM etching. To validate the method, TEM-etched glasses are prepared and their morphology is tested, revealing the feasibility of the new method for micro/nano structure micromachining. Furthermore, two kinds of edge effect in the TEM and etching processes are analyzed. Additionally, a parameter study of TEM etching involving transferred charge, applied pressure, and etching roughness is conducted to evaluate this method. The study shows that TEM etching is a promising manufacture method for glass with low process temperature, three-dimensional self-control ability, and low equipment requirement.

  5. Vapor-phase etching of InP using anhydrous HCl and PH/sub 3/ gas

    International Nuclear Information System (INIS)

    Pak, K.; Koide, Y.; Imai, K.; Yoshida, A.; Nakamura, T.; Yasuda, Y.; Nishinaga, T.

    1986-01-01

    In situ etching of the substrate surface for vapor-phase epitaxy is a useful technique for obtaining a smooth and damage-free surface prior to the growth. Previous work showed that the incorporation of in situ etching of InP substrate with anhydrous HCl gas resulted in a significant improvement in the surface morphologies for MOVPE-grown InGaAs/InP and InP epitaxial layers. However, the experiment on the HCl etching of the InP substrate for a wide temperature range has not been performed as yet. In this note, the authors describe the effect of the substrate temperature on the etching morphology of InP substrate by using the anhydrous HCl and PH/sub 3/ gases. In the experiment, they used a standard MOVPE horizontal system. A quartz reactor tube in a 60 mm ID, 60 cm long, was employed

  6. Nano/micro particle beam for ceramic deposition and mechanical etching

    International Nuclear Information System (INIS)

    Chun, Doo-Man; Kim, Min-Saeng; Kim, Min-Hyeng; Ahn, Sung-Hoon; Yeo, Jun-Cheol; Lee, Caroline Sunyong

    2010-01-01

    Nano/micro particle beam (NPB) is a newly developed ceramic deposition and mechanical etching process. Additive (deposition) and subtractive (mechanical etching) processes can be realized in one manufacturing process using ceramic nano/micro particles. Nano- or micro-sized powders are sprayed through the supersonic nozzle at room temperature and low vacuum conditions. According to the process conditions, the ceramic powder can be deposited on metal substrates without thermal damage, and mechanical etching can be conducted in the same process with a simple change of process conditions and powders. In the present work, ceramic aluminum oxide (Al 2 O 3 ) thin films were deposited on metal substrates. In addition, the glass substrate was etched using a mask to make small channels. Deposited and mechanically etched surface morphology, coating thickness and channel depth were investigated. The test results showed that the NPB provides a feasible additive and subtractive process using ceramic powders.

  7. A Nanoscale Plasma Etching Process for Pole Tip Recession of Perpendicular Recording Magnetic Head

    OpenAIRE

    LIU, Shoubin; HE, Dayao

    2017-01-01

    The pole tip of perpendicular recording head is constructed in a stacked structure with materials of NiCoFe, NiFe, Al2O3 and AlTiC. The surfaces of different materials are set at different heights below the air-bearing surface of slider. This paper presented a plasma dry etching process for Pole Tip Recession (PTR) based on an ion beam etching system. Ar and O2 mixed plasma at small incident angles have a high removal rate to the nonmagnetic material. It was utilised to etch the reference sur...

  8. Performance of a new one-step multi-mode adhesive on etched vs non-etched enamel on bond strength and interfacial morphology.

    Science.gov (United States)

    de Goes, Mario Fernando; Shinohara, Mirela Sanae; Freitas, Marcela Santiago

    2014-06-01

    To compare microtensile bond strength (μTBS) and interfacial morphology of a new one-step multimode adhesive with a two-step self-etching adhesive and two etch-and-rinse adhesives systems on enamel. Thirty human third molars were sectioned to obtain two enamel fragments. For μTBS, 48 enamel surfaces were ground using 600-grit SiC paper and randomly assigned into 6 groups (n = 8): nonetched Scotchbond Universal [SBU]; etched SBU [SBU-et]; non-etched Clearfil SE Bond [CSE]; etched CSE [CSE-et]; Scotchbond Multi-PURPOSE [SBMP]; Excite [EX]. The etched specimens were conditioned with 37% phosphoric acid for 30 s, each adhesive system was applied according to manufacturers' instructions, and composite resin blocks (Filtek Supreme Plus, 3M ESPE) were incrementally built up. Specimens were sectioned into beams with a cross-sectional area of 0.8-mm2 and tested under tension (1 mm/min). The data were analyzed with oneway ANOVA and Fisher's PLSD (α = 0.05). For interface analysis, two samples from each group were embedded in epoxy resin, polished, and then observed using scanning electron microscopy (SEM). The μTBS values (in MPa) and the standard deviations were: SBU = 27.4 (8.5); SBU-et = 33.6 (9.3); CSE = 28.5 (8.3); CSE-et = 34.2 (9.0); SBMP = 30.4 (11.0); EX = 23.3 (8.2). CSE-et and SBU-et presented the highest bond strength values, followed by SBMP, CSE, and SBU which did not differ significantly from each other. EX showed the statistically significantly lowest bond strength values. SEM images of interfaces from etched samples showed long adhesive-resin tags penetrating into demineralized enamel. Preliminary etching of enamel significantly increased bond strength for the new one-step multimode adhesive SBU and two-step self-etching adhesive CSE.

  9. A study on the fabrication of superhydrophobic iron surfaces by chemical etching and galvanic replacement methods and their anti-icing properties

    Science.gov (United States)

    Li, Kunquan; Zeng, Xingrong; Li, Hongqiang; Lai, Xuejun

    2015-08-01

    Hierarchical structures on iron surfaces were constructed by means of chemical etching by hydrochloric acid (HCl) solution or the galvanic replacement by silver nitrate (AgNO3) solution. The superhydrophobic iron surfaces were successfully prepared by subsequent hydrophobic modification with stearic acid. The superhydrophobic iron surfaces were characterized by Fourier transform infrared spectroscopy (FTIR), scanning electron microscopy (SEM), energy dispersive X-ray spectroscopy (EDS) and water contact angle (WCA). The effects of reactive concentration and time on the microstructure and the wetting behavior were investigated. In addition, the anti-icing properties of the superhydrophobic iron surfaces were also studied. The FTIR study showed that the stearic acid was chemically bonded onto the iron surface. With the HCl concentration increase from 4 mol/L to 8 mol/L, the iron surface became rougher with a WCA ranging from 127° to 152°. The AgNO3 concentration had little effect on the wetting behavior, but a high AgNO3 concentration caused Ag particle aggregates to transform from flower-like formations into dendritic crystals, owing to the preferential growth direction of the Ag particles. Compared with the etching method, the galvanic replacement method on the iron surface more favorably created roughness required for achieving superhydrophobicity. The superhydrophobic iron surface showed excellent anti-icing properties in comparison with the untreated iron. The icing time of water droplets on the superhydrophobic surface was delayed to 500 s, which was longer than that of 295 s for untreated iron. Meanwhile, the superhydrophobic iron surface maintained superhydrophobicity after 10 icing and de-icing cycles in cold conditions.

  10. Etching mechanism of niobium in coaxial Ar/Cl2 radio frequency plasma

    Energy Technology Data Exchange (ETDEWEB)

    Upadhyay, Janardan [Old Dominion Univ., Norfolk, VA (United States); Im, Do [Old Dominion Univ., Norfolk, VA (United States); Popovic, Svetozar [Old Dominion Univ., Norfolk, VA (United States); Valente-Feliciano, Anne -Marie [Thomas Jefferson National Accelerator Facility (TJNAF), Newport News, VA (United States); Phillips, H. Larry [Thomas Jefferson National Accelerator Facility (TJNAF), Newport News, VA (United States); Vuskovic, Leposova [Old Dominion Univ., Norfolk, VA (United States)

    2015-03-18

    The understanding of the Ar/Cl2 plasma etching mechanism is crucial for the desired modification of inner surface of the three dimensional niobium (Nb) superconductive radio frequency cavities. Uniform mass removal in cylindrical shaped structures is a challenging task because the etch rate varies along the direction of gas flow. The study is performed in the asymmetric coaxial radio-frequency (rf) discharge with two identical Nb rings acting as a part of the outer electrode. The dependence of etch rate uniformity on pressure, rf power, dc bias, Cl2 concentration, diameter of the inner electrode, temperature of the outer cylinder, and position of the samples in the structure is determined. Furthermore, to understand the plasma etching mechanisms, we have studied several factors that have important influence on the etch rate and uniformity, which include the plasma sheath potential, Nb surface temperature, and the gas flow rate.

  11. Ion track etching revisited: I. Correlations between track parameters in aged polymers

    Science.gov (United States)

    Fink, D.; Muñoz H., G.; García A., H.; Vacik, J.; Hnatowicz, V.; Kiv, A.; Alfonta, L.

    2018-04-01

    Some yet poorly understood problems of etching of pristine and swift heavy ion track-irradiated aged polymers were treated, by applying conductometry across the irradiated foils during etching. The onset times of etchant penetration across pristine foils, and the onset times of the different etched track regimes in irradiated foils were determined for polymers of various proveniences, fluences and ages, as well as their corresponding etching speeds. From the results, correlations of the parameters with each other were deduced. The normalization of these parameters enables one to compare irradiated polymer foils of different origin and treatment with one another. In a number of cases, also polymeric gel formation and swelling occur which influence the track etching behaviour. The polymer degradation during aging influences the track etching parameters, which differ from each other on both sides of the foils. With increasing sample age, these differences increase.

  12. Quadrilateral Micro-Hole Array Machining on Invar Thin Film: Wet Etching and Electrochemical Fusion Machining

    Directory of Open Access Journals (Sweden)

    Woong-Kirl Choi

    2018-01-01

    Full Text Available Ultra-precision products which contain a micro-hole array have recently shown remarkable demand growth in many fields, especially in the semiconductor and display industries. Photoresist etching and electrochemical machining are widely known as precision methods for machining micro-holes with no residual stress and lower surface roughness on the fabricated products. The Invar shadow masks used for organic light-emitting diodes (OLEDs contain numerous micro-holes and are currently machined by a photoresist etching method. However, this method has several problems, such as uncontrollable hole machining accuracy, non-etched areas, and overcutting. To solve these problems, a machining method that combines photoresist etching and electrochemical machining can be applied. In this study, negative photoresist with a quadrilateral hole array pattern was dry coated onto 30-µm-thick Invar thin film, and then exposure and development were carried out. After that, photoresist single-side wet etching and a fusion method of wet etching-electrochemical machining were used to machine micro-holes on the Invar. The hole machining geometry, surface quality, and overcutting characteristics of the methods were studied. Wet etching and electrochemical fusion machining can improve the accuracy and surface quality. The overcutting phenomenon can also be controlled by the fusion machining. Experimental results show that the proposed method is promising for the fabrication of Invar film shadow masks.

  13. The effect of ArF laser irradiation (193 nm) on the photodegradation and etching properties of alpha-irradiated CR-39 detectors

    Energy Technology Data Exchange (ETDEWEB)

    Shakeri Jooybari, B. [Department of Energy Engineering and Physics, Amirkabir University of Technology, P.O. Box 15875-4413, Tehran, Islamic Republic of Iran (Iran, Islamic Republic of); Nuclear Science and Technology Research Institute (NSRT), Tehran, Islamic Republic of Iran (Iran, Islamic Republic of); Ghergherehchi, M. [College of Information and Technology/ school of Electronic and Electrical Engineering, Sungkyunkwan University, Suwon (Korea, Republic of); Afarideh, H., E-mail: hafarideh@aut.ac.ir [Department of Energy Engineering and Physics, Amirkabir University of Technology, P.O. Box 15875-4413, Tehran, Islamic Republic of Iran (Iran, Islamic Republic of); Lamehi-Rachti, M. [Nuclear Science and Technology Research Institute (NSRT), Tehran, Islamic Republic of Iran (Iran, Islamic Republic of)

    2015-01-01

    The effects of ArF laser irradiation (λ=193nm) at various fluences (energy dose or energy density) on the etching properties of pre-exposed (laser + alpha) CR-39 detectors were studied. First, UV–Vis and Fourier transform infrared (FTIR) spectra were acquired for non-laser-irradiated and laser-irradiated samples to detect the influence of the ArF laser on the chemical modification of the CR-39. Changes observed in the spectra indicated that the predominant process that occurred upon ArF laser irradiation was a bond-scission process. Thereafter, the mean track and bulk etching parameters were experimentally measured in ArF-laser-irradiated CR-39 detectors exposed to an alpha source ({sup 241}Am, E = 5.49 MeV). Inhomogeneous regions in the laser-irradiated side of the CR-39 demonstrated a variable etching rate on only the front side of the CR-39 detector. New equations are also presented for the average bulk etching rate for these inhomogeneous regions (front side). The mean bulk and track etching rates and the mean track dimensions increased in a fluence range of 0–37.03 mJ/cm{sup 2} because of photodegradation and the scission of chemical bonds, which are the predominant processes in this range. When the fluence was increased from 37.03 to 123.45 mJ/cm{sup 2}, the bulk and track etching rates and the track dimensions slowly decreased because of the formation of cross-linked structures on the CR-39 surface. The behavior of the bulk and track etching rates and the track dimensions appears to be proportional to the dose absorbed on the detector surface. It was observed that as the etching time was increased, the bulk and track etching rates and the track dimensions of the laser-irradiated samples decreased because of the shallow penetration depth of the 193 nm laser and the reduction in the oxygen penetration depth.

  14. Self-assembled monolayer resists and nanoscale lithography of silicon dioxide thin films by chemically enhanced vapor etching (CEVE)

    Science.gov (United States)

    Pan, M.; Yun, M.; Kozicki, M. N.; Whidden, T. K.

    1996-10-01

    We report on the use of electron-beam exposed monolayers of undecylenic acid in the etch rate enhancement of silicon dioxide films in HF vapor for the formation of nanoscale features in the oxide. Variations of the etching characteristics with electron beam parameters are examined and the results analyzed in terms of proposed models of the etching mechanism. Apparent variations in the relative concentrations of etch initiator with the thermal history of the samples prior to etching provides support for the dominant etch initiator within this system as the carboxylic acid moiety bound at the oxide surface. Other variations in the etching characteristics are discussed in terms of differences in localized concentrations of hydrocarbon crosslinks and the effect that this has upon the etch initiation. The process has been employed in the production of features in silicon dioxide surface masks with sizes down to 50 nm.

  15. Etching of LiNbO/sub 3/ by laser-driven fusion of salts

    International Nuclear Information System (INIS)

    Ashby, C.I.H.; Brannon, P.J.

    1987-01-01

    Lithium niobate exhibits low reactivity with most chemical etchants. Consequently, etching a LiNbO/sub 3/ surface to produce optical structures such as ridge waveguides or grooves for fiber coupling normally requires relatively slow processes such as ion milling. The authors have developed a laser-driven chemical etching process for etching highly unreactive ionic solids based on the fusion of salts in the molten phase and show that the etch rate can be more than 100 times faster than ion milling rates. This process involves spatially localized melting of LiNbO/sub 3/ by high-power density laser pulses with photon energies in excess of the band gap of LiNbO/sub 3/. While molten, LiNbO/sub 3/ undergoes reaction with a surface coating of KF to form niobium oxyfluoride anions by fusion of the salts. The resulting solid is highly water soluble. The insolubility of LiNbO/sub 3/ permits subsequent removal of only the irradiated area by rinsing in water. Surface morphology is determined by laser power density. The process exhibits a wavelength dependence

  16. Selective laser-induced photochemical dry etching of semiconductors controlled by ion-bombardment-induced damage

    International Nuclear Information System (INIS)

    Ashby, C.I.H.; Myers, D.R.; Vook, F.L.

    1987-01-01

    When a photochemical dry etching process requires direct participation of photogenerated carriers in the chemical reaction, it is sensitive to the electronic properties of the semiconductor. For such solid-excitation-based dry etching processes, the balance between reaction and carrier recombination rates determines the practical utility of a particular reaction for device fabrication. The distance from the surface at which the photocarriers are generated by light adsorption is determined by the absorption coefficient. In the absence of an external bias potential, only those carriers formed within a diffusion length of the surface space-charge region will have an opportunity to drive the dry etching reaction. When the absorption coefficient is high, most of the photons generate carriers within a diffusion length from the surface space-charge region, and the etching rate is largely determined by the balance between the rate of the carrier-driven reaction and the surface recombination velocity. When the recombination rate of free carriers in the bulk of the semiconductor is high, the effective diffusion length is reduced and fewer of the carriers generated in the subsurface region ever reach the surface. An important effect of ion bombardment is the creation of many lattice defects that increase the rate of recombination of electrons and holes. When a sufficient number of defects, which act as recombination sites, are formed during ion implantation, the recombination of photogenerated carriers at these defects in the subsurface region can greatly reduce the number of carriers which can reach the surface and drive a photochemical etching reaction

  17. Investigation of plasma etch damage to porous oxycarbosilane ultra low-k dielectric

    International Nuclear Information System (INIS)

    Bruce, R L; Engelmann, S; Purushothaman, S; Volksen, W; Frot, T J; Magbitang, T; Dubois, G; Darnon, M

    2013-01-01

    There has been much interest recently in porous oxycarbosilane (POCS)-based materials as the ultra-low k dielectric (ULK) in back-end-of-line (BEOL) applications due to their superior mechanical properties compared to traditional organosilicate-based ULK materials at equivalent porosity and dielectric constant. While it is well known that plasma etching and strip processes can cause significant damage to ULK materials in general, little has been reported about the effect of plasma damage to POCS as the ULK material. We investigated the effect of changing the gas discharge chemistry and substrate bias in the dielectric trench etch and also the subsequent effect of the cap-open etch on plasma damage to POCS during BEOL integration. Large differences in surface roughness and damage behaviour were observed by changing the fluorocarbon depositing conditions. These damage behaviour trends will be discussed and potential rationalizations offered based on the formation of pits and craters at the etch front that lead to surface roughness and microtrenching. (paper)

  18. Elementary processes in plasma-surface interactions with emphasis on ions

    International Nuclear Information System (INIS)

    Zalm, P.C.

    1985-01-01

    Elementary processes occurring at solid surfaces immersed in low pressure plasmas are reviewed. In particular mechanisms leading to anisotropic or directional etching are discussed. The crucial role of ion bombardment is emphasized. First a brief summary of the interaction of (excited) neutrals, ions and electrons with targets is given. Next various aspects of sputter-etching with noble gas and reactive ions are surveyed. Finally it will be argued that synergistic effects, invoked by ion bombardment of a surface under simultaneous exposure to a reactive gas flux, are foremost important in explaining anisotropic plasma etching. It is shown that the role of the ions is not merely to stimulate the chemical reaction path but rather that the active gas flow chemically enhances the sputtering. (author)

  19. Influence of different pre-etching times on fatigue strength of self-etch adhesives to dentin.

    Science.gov (United States)

    Takamizawa, Toshiki; Barkmeier, Wayne W; Tsujimoto, Akimasa; Suzuki, Takayuki; Scheidel, Donal D; Erickson, Robert L; Latta, Mark A; Miyazaki, Masashi

    2016-04-01

    The purpose of this study was to use shear bond strength (SBS) and shear fatigue strength (SFS) testing to determine the influence on dentin bonding of phosphoric acid pre-etching times before the application of self-etch adhesives. Two single-step self-etch universal adhesives [Prime & Bond Elect (EL) and Scotchbond Universal (SU)], a conventional single-step self-etch adhesive [G-aenial Bond (GB)], and a two-step self-etch adhesive [OptiBond XTR (OX)] were used. The SBS and SFS values were obtained with phosphoric acid pre-etching times of 3, 10, or 15 s before application of the adhesives, and for a control without pre-etching. For groups with 3 s of pre-etching, SU and EL showed higher SBS values than control groups. No significant difference was observed for GB among the 3 s, 10 s, and control groups, but the 15 s pre-etching group showed significantly lower SBS and SFS values than the control group. No significant difference was found for OX among the pre-etching groups. Reducing phosphoric acid pre-etching time can minimize the adverse effect on dentin bonding durability for the conventional self-etch adhesives. Furthermore, a short phosphoric acid pre-etching time enhances the dentin bonding performance of universal adhesives. © 2016 Eur J Oral Sci.

  20. The effect of CF4 addition on Ru etching with inductively coupled plasma

    International Nuclear Information System (INIS)

    Lim, Kyu Tae; Kim, Dong Pyo; Kim, Kyoung Tae; Kim, Chang Il

    2003-01-01

    Ru thin films were etched in CF 4 /O 2 plasma using an ICP (inductively coupled plasma etching) system. The etch rate of Ru thin films was examined as a function of gas mixing ratio. The maximum etch rate of Ru thin films was 168 nm/min at a CF 4 /O 2 gas mixing ratio of 10 %. The selectivity of Ru over SiO 2 was 1.3. From the OES (optical emission spectroscopy), the optical emission intensity of the O radical had a maximum value at 10 % of CF 4 gas concentration and decrease with further addition of CF 4 gas. From XPS (x-ray photoelectron spectroscopy) analysis, Ru-F bonds by the chemical reaction of Ru and F appeared in the surface of the etched Ru thin film in CF 4 /O 2 chemistry. RuF 3-4 compounds were suggested as a surface passivation layer that reduces the chemical reactions between Ru and O radicals. In a FE-SEM (field emission scanning electron microscope) micrograph, we had an almost perpendicular taper angle of 89 .deg.

  1. [Influence of different surface treatments on porcelain surface topography].

    Science.gov (United States)

    Tai, Yinxia; Zhu, Xianchun; Sen, Yan; Liu, Chang; Zhang, Xian; Shi, Xueming

    2013-02-01

    To evaluate the influence of different surface treatments on porcelain surface topography. Metal ceramic prostheses in 6 groups were treated according to the different surface treatment methods, and the surface topography was observed through scanning electron microscope (SEM). Group A was the control one (untreated), group B was etched by 9.6% hydrofluoric acid(HF), group C was deglazed by grinding and then etched by 9.6% HF, group D was treated with Nd: YAG laser irradiation(0.75 W) and HF etching, group E was treated with Nd: YAG laser irradiation (1.05 W) and HF etching, and group F was treated with laser irradiation (1.45 W) and HF etching. Surface topography was different in different groups. A lot of inerratic cracks with the shapes of rhombuses and grid, and crater with a shape of circle were observed on the ceramic surface after treatment with energy parameters of 1.05 W Nd: YAG laser irradiation and 9.6% HF etching (group E). Surface topography showed a lot of concaves on the inner wall of the cracks, and the concaves with diameter of 1-5 microm could be observed on the inner wall of the holes, which had a diameter of 20 microm under SEM. The use of Nd: YAG laser irradiation with the energy parameters of 1.05 W and the HF with a concentration of 9.6% can evenly coarsen the porcelain surface, that is an effective surface treatment method.

  2. Studies of the confinement at laser-induced backside dry etching using infrared nanosecond laser pulses

    Science.gov (United States)

    Ehrhardt, M.; Lorenz, P.; Bayer, L.; Han, B.; Zimmer, K.

    2018-01-01

    In the present study, laser-induced backside etching of SiO2 at an interface to an organic material using laser pulses with a wavelength of λ = 1064 nm and a pulse length of τ = 7 ns have been performed in order to investigate selected processes involved in etching of the SiO2 at confined ablation conditions with wavelengths well below the band gap of SiO2. Therefore, in between the utilized metallic absorber layer and the SiO2 surface, a polymer interlayer with a thickness between 20 nm to 150 nm was placed with the aim, to separate the laser absorption process in the metallic absorber layer from the etching process of the SiO2 surface due to the provided organic interlayer. The influence of the confinement of the backside etching process was analyzed by the deposition of different thick polymer layers on top of the metallic absorber layer. In particular, it was found that the SiO2 etching depth decreases with higher polymer interlayer thickness. However, the etching depth increases with increasing the confinement layer thickness. SEM images of the laser processed areas show that the absorber and confinement layers are ruptured from the sample surface without showing melting, and suggesting a lift off process of these films. The driving force for the layers lift off and the etching of the SiO2 is probably the generated laser-induce plasma from the confined ablation that provides the pressure for lift off, the high temperatures and reactive organic species that can chemically attack the SiO2 surface at these conditions.

  3. Chemical etching and polishing of InP

    International Nuclear Information System (INIS)

    Kurth, E.; Reif, A.; Gottschalch, V.; Finster, J.; Butter, E.

    1988-01-01

    This paper describes possibilities of several chemical preparations for the selective cleaning of InP surfaces. The investigations of the surface states after the chemical treatment were carried out by means of XPS measurements. A pre-etching with (NH 4 ) 2 S 2 O 8 :H 2 SO 4 :H 2 O and a polishing with 1% bromine in methanol produce optically smooth (100)-and (111) P surfaces free of oxides. (author)

  4. Characterization of stain etched p-type silicon in aqueous HF solutions containing HNO{sub 3} or KMnO{sub 4}

    Energy Technology Data Exchange (ETDEWEB)

    Mogoda, A.S., E-mail: awad_mogoda@hotmail.com [Department of Chemistry, Faculty of Science, Cairo University, Giza (Egypt); Ahmad, Y.H.; Badawy, W.A. [Department of Chemistry, Faculty of Science, Cairo University, Giza (Egypt)

    2011-04-15

    Research highlights: {yields} Stain etching of p-Si in aqueous HF solutions containing HNO{sub 3} or KMnO{sub 4} was investigated. {yields} The electrical conductivity of the etched Si surfaces was measured using impedance technique. {yields} Scanning electron microscope and energy disperse X-ray were used to analyze the etched surfaces. {yields} Etching in aqueous HF solution containing HNO{sub 3} led to formation of a porous silicon layer. {yields} The formation of the porous silicon layer in HF/KMnO{sub 4} was accompanied by deposition of K{sub 2}SiF{sub 6} on the pores surfaces. - Abstract: Stain etching of p-type silicon in hydrofluoric acid solutions containing nitric acid or potassium permanganate as an oxidizing agent has been examined. The effects of etching time, oxidizing agent and HF concentrations on the electrochemical behavior of etched silicon surfaces have been investigated by electrochemical impedance spectroscopy (EIS). An electrical equivalent circuit was used for fitting the impedance data. The morphology and the chemical composition of the etched Si surface were studied using scanning electron microscopy (SEM) and energy dispersive X-ray (EDX) techniques, respectively. A porous silicon layer was formed on Si etched in HF solutions containing HNO{sub 3}, while etching in HF solutions containing KMnO{sub 4} led to the formation of a porous layer and simultaneous deposition of K{sub 2}SiF{sub 6} inside the pores. The thickness of K{sub 2}SiF{sub 6} layer increases with increasing the KMnO{sub 4} concentration and decreases as the concentration of HF increases.

  5. Enamel and dentin bond strengths of a new self-etch adhesive system.

    Science.gov (United States)

    Walter, Ricardo; Swift, Edward J; Boushell, Lee W; Braswell, Krista

    2011-12-01

    statement of problem:  Self-etch adhesives typically are mildly acidic and therefore less effective than etch-and-rinse adhesives for bonding to enamel.   The purpose of this study was to evaluate the enamel and dentin shear bond strengths of a new two-step self-etch adhesive system, OptiBond XTR (Kerr Corporation, Orange, CA, USA).   The labial surfaces of 80 bovine teeth were ground to create flat, 600-grit enamel or dentin surfaces. Composite was bonded to enamel or dentin using the new two-step self-etch system or a three-step etch-and-rinse (OptiBond FL, Kerr), two-step self-etch (Clearfil SE Bond, Kuraray America, Houston, TX, USA), or one-step self-etch adhesive (Xeno IV, Dentsply Caulk, Milford, DE, USA). Following storage in water for 24 hours, shear bond strengths were determined using a universal testing machine. The enamel and dentin data sets were subjected to separate analysis of variance and Tukey's tests. Scanning electron microscopy was used to evaluate the effects of each system on enamel.   Mean shear bond strengths to enamel ranged from 18.1 MPa for Xeno IV to 41.0 MPa for OptiBond FL. On dentin, the means ranged from 33.3 MPa for OptiBond FL to 47.1 MPa for Clearfil SE Bond. OptiBond XTR performed as well as Clearfil SE Bond on dentin and as well as OptiBond FL on enamel. Field emission scanning electron microscope revealed that OptiBond XTR produced an enamel etch pattern that was less defined than that of OptiBond FL (37.5% phosphoric acid) but more defined than that of Clearfil SE Bond or Xeno IV.   The new two-step self-etch adhesive system formed excellent bonds to enamel and dentin in vitro. OptiBond XTR, a new two-step self-etch adhesive system, is a promising material for bonding to enamel as well as to dentin. © 2011 Wiley Periodicals, Inc.

  6. Ion track etching revisited: II. Electronic properties of aged tracks in polymers

    Science.gov (United States)

    Fink, D.; Muñoz Hernández, G.; Cruz, S. A.; Garcia-Arellano, H.; Vacik, J.; Hnatowicz, V.; Kiv, A.; Alfonta, L.

    2018-02-01

    We compile here electronic ion track etching effects, such as capacitive-type currents, current spike emission, phase shift, rectification and background currents that eventually emerge upon application of sinusoidal alternating voltages across thin, aged swift heavy ion-irradiated polymer foils during etching. Both capacitive-type currents and current spike emission occur as long as obstacles still prevent a smooth continuous charge carrier passage across the foils. In the case of sufficiently high applied electric fields, these obstacles are overcome by spike emission. These effects vanish upon etchant breakthrough. Subsequent transmitted currents are usually of Ohmic type, but shortly after breakthrough (during the track' core etching) often still exhibit deviations such as strong positive phase shifts. They stem from very slow charge carrier mobility across the etched ion tracks due to retarding trapping/detrapping processes. Upon etching the track's penumbra, one occasionally observes a split-up into two transmitted current components, one with positive and another one with negative phase shifts. Usually, these phase shifts vanish when bulk etching starts. Current rectification upon track etching is a very frequent phenomenon. Rectification uses to inverse when core etching ends and penumbra etching begins. When the latter ends, rectification largely vanishes. Occasionally, some residual rectification remains which we attribute to the aged polymeric bulk itself. Last not least, we still consider background currents which often emerge transiently during track etching. We could assign them clearly to differences in the electrochemical potential of the liquids on both sides of the etched polymer foils. Transient relaxation effects during the track etching cause their eventually chaotic behaviour.

  7. Microtensile bond strength of etch-and-rinse and self-etching adhesives to intrapulpal dentin after endodontic irrigation and setting of root canal sealer.

    Science.gov (United States)

    Wattanawongpitak, Nipaporn; Nakajima, Masatoshi; Ikeda, Masaomi; Foxton, Richard M; Tagami, Junji

    2009-02-01

    To evaluate the effect of endodontic irrigation regimens and calcium hydroxide root canal sealer (Sealapex) on the microtensile bond strengths (muTBS) of dual-curing resin composite (Clearfil DC Core Automix) to the intrapulpal dentin. Forty standardized coronal-half root canal dentin specimens obtained from human premolars were divided into 4 groups: group A, no treatment (control); group B, Sealapex; group C, NaOCl/Sealapex; group D, EDTA/NaOCl/Sealapex. After 7 days of storage in 100% relative humidity, Sealapex was removed. Dentin surfaces were bonded with adhesives, either etch-and-rinse (Single Bond) or self-etching (Clearfil SE Bond), and built up with resin composite. The bonded specimens were trimmed into an hourglass shape with a 1-mm2 cross-sectional area for microtensile testing (n = 20). The muTBS to intrapulpal dentin was analyzed using two-way ANOVA and Dunnett's TC test. Two teeth of each group were prepared for micromorphological analysis of dentin surface. The root canal sealer with or without endodontic irrigation significantly affected the bond strengths of resin composite to intrapulpal dentin compared with the control group (p 0.05). The dentin surface was covered with a mud-like material after sealer application for 7 days. The root canal sealer reduced the muTBS of dual-curing resin composite with etch-and-rinse and self-etching adhesive systems to intrapulpal dentin. Treatment with EDTA followed by NaOCI prior to obturation caused an additional reduction in muTBS of both adhesive systems to intrapulpal dentin.

  8. Performance enhancement of membrane electrode assemblies with plasma etched polymer electrolyte membrane in PEM fuel cell

    Energy Technology Data Exchange (ETDEWEB)

    Cho, Yong-Hun; Yoon, Won-Sub [School of Advanced Materials Engineering, Kookmin University, 861-1 Jeongneung-dong, Seongbuk-gu, Seoul 136-702 (Korea); Bae, Jin Woo; Cho, Yoon-Hwan; Lim, Ju Wan; Ahn, Minjeh; Jho, Jae Young; Sung, Yung-Eun [World Class University (WCU) program of Chemical Convergence for Energy and Environment (C2E2), School of Chemical and Biological Engineering, College of Engineering, Seoul National University (SNU), 599 Gwanak-Ro, Gwanak-gu, Seoul 151-744 (Korea); Kwon, Nak-Hyun [Fuel Cell Vehicle Team 3, Advanced Technology Center, Corporate Research and Development Division, Hyundai-Kia Motors, 104 Mabuk-dong, Giheung-gu, Yongin-si, Gyeonggi-do 446-912 (Korea)

    2010-10-15

    In this work, a surface modified Nafion 212 membrane was fabricated by plasma etching in order to enhance the performance of a membrane electrode assembly (MEA) in a polymer electrolyte membrane fuel cell. Single-cell performance of MEA at 0.7 V was increased by about 19% with membrane that was etched for 10 min compared to that with untreated Nafion 212 membrane. The MEA with membrane etched for 20 min exhibited a current density of 1700 mA cm{sup -2} at 0.35 V, which was 8% higher than that of MEA with untreated membrane (1580 mA cm{sup -2}). The performances of MEAs containing etched membranes were affected by complex factors such as the thickness and surface morphology of the membrane related to etching time. The structural changes and electrochemical properties of the MEAs with etched membranes were characterized by field emission scanning electron microscopy, Fourier transform-infrared spectrometry, electrochemical impedance spectroscopy, and cyclic voltammetry. (author)

  9. Room temperature inductively coupled plasma etching of InAs/InSb in BCl 3/Cl 2/Ar

    KAUST Repository

    Sun, Jian; Kosel, Jü rgen

    2012-01-01

    Inductively coupled plasma (ICP) etching of InAs and InSb at room temperature has been investigated using BCl 3/Cl 2/Ar plasma. Specifically, the etch rate and post-etching surface morphology were investigated as functions of the gas composition

  10. Feedback control of chlorine inductively coupled plasma etch processing

    International Nuclear Information System (INIS)

    Lin Chaung; Leou, K.-C.; Shiao, K.-M.

    2005-01-01

    Feedback control has been applied to poly-Si etch processing using a chlorine inductively coupled plasma. Since the positive ion flux and ion energy incident upon the wafer surface are the key factors that influence the etch rate, the ion current and the root mean square (rms) rf voltage on the wafer stage, which are measured using an impedance meter connected to the wafer stage, are adopted as the controlled variables to enhance etch rate. The actuators are two 13.56 MHz rf power generators, which adjust ion density and ion energy, respectively. The results of closed-loop control show that the advantages of feedback control can be achieved. For example, with feedback control, etch rate variation under the transient chamber wall condition is reduced roughly by a factor of 2 as compared to the open-loop case. In addition, the capability of the disturbance rejection was also investigated. For a gas pressure variation of 20%, the largest etch rate variation is about 2.4% with closed-loop control as compared with as large as about 6% variation using open-loop control. Also the effect of ion current and rms rf voltage on etch rate was studied using 2 2 factorial design whose results were used to derive a model equation. The obtained formula was used to adjust the set point of ion current and rf voltage so that the desired etch rate was obtained

  11. Development of the DC-RF Hybrid Plasma Source and the Application to the Etching and Texturing of the Silicon Surface

    International Nuclear Information System (INIS)

    Kim, Ji Hun

    2011-02-01

    Plasma used in dry etching, thin film deposition and surface treatment for display or semiconductor industries are operating at low pressures in general. However, low pressure processing is very costly due to the use of vacuum equipment and vacuum components. Subsequent wet processing is environmentally undesirable due to the use of a large amount of chemicals. Also, the usage of vacuum processing increases fabrication cost and decreases productivity. If stable atmospheric plasmas can be used, not only the decrease in processing costs but also the increase in productivity could be obtained. New DC arc plasmatron with a hot rod cathode and cold nozzle anode was developed and its V-A (Volt-Ampere) characteristics were investigated. Outlook of the measured V-A characteristics cleared the question of the stability of arc burning in the new DC arc plasmatron. The plasmatron that has a stable operations with distributed anode spot could possibly be applied to plasma chemical processing. And measured value of the erosion rate for copper anode is m Cu 3.6x10 -10 g/C which is better than corresponding data for thermo-ionic emission cathodes made of tungsten mw ∼10 -9 g/C. These facts mean that plasmatron durability reaches ∼10 3 h. The low anode erosion rate is related to the large surface of arc-anode contact due to distributed anode arc spot, which reduces the current density. Unique characteristics of the new plasma source concerning its durability and plasma purity at rather low temperatures make it an interesting tool for technical applications, such as etching/deposition and chemical reaction. To apply a plasma processing, the T-type plasmatron was modified to A-type. The A-type plasmatron was used to activate the CF 4 and SF 6 gases in etching experiments at atmospheric and low pressure. To reduce the recombination rate of the activated gas particles inside a plasmatron and let them preserve their activated state outside, the whole device was installed outside of

  12. Effect of chemical etching on the Cu/Ni metallization of poly (ether ether ketone)/carbon fiber composites

    International Nuclear Information System (INIS)

    Di Lizhi; Liu Bin; Song Jianjing; Shan Dan; Yang Dean

    2011-01-01

    Poly(ether ether ketone)/carbon fiber composites (PEEK/Cf) were chemical etched by Cr 2 O 3 /H 2 SO 4 solution, electroless plated with copper and then electroplated with nickel. The effects of chemical etching time and temperature on the adhesive strength between PEEK/Cf and Cu/Ni layers were studied by thermal shock method. The electrical resistance of some samples was measured. X-ray photoelectron spectroscopy (XPS) was used to analyze the surface composition and functional groups. Scanning electron microscopy (SEM) was performed to observe the surface morphology of the composite, the chemical etched sample, the plated sample and the peeled metal layer. The results indicated that C=O bond increased after chemical etching. With the increasing of etching temperature and time, more and more cracks and partially exposed carbon fibers appeared at the surface of PEEK/Cf composites, and the adhesive strength increased consequently. When the composites were etched at 60 deg. C for 25 min and at 70-80 deg. C for more than 15 min, the Cu/Ni metallization layer could withstand four thermal shock cycles without bubbling, and the electrical resistivity of the metal layer of these samples increased with the increasing of etching temperature and time.

  13. Effect of EDTA and phosphoric Acid pretreatment on the bonding effectiveness of self-etch adhesives to ground enamel.

    Science.gov (United States)

    Ibrahim, Ihab M; Elkassas, Dina W; Yousry, Mai M

    2010-10-01

    This in vitro study determined the effect of enamel pretreatment with phosphoric acid and ethylenediaminetetraacetic acid (EDTA) on the bond strength of strong, intermediary strong, and mild self-etching adhesive systems. Ninety sound human premolars were used. Resin composite cylinders were bonded to flat ground enamel surfaces using three self-etching adhesive systems: strong Adper Prompt L-Pop (pH=0.9-1.0), intermediary strong AdheSE (pH=1.6-1.7), and mild Frog (pH=2). Adhesive systems were applied either according to manufacturer instructions (control) or after pretreatment with either phosphoric acid or EDTA (n=10). After 24 hours, shear bond strength was tested using a universal testing machine at a cross-head speed of 0.5 mm/minute. Ultra-morphological characterization of the surface topography and resin/enamel interfaces as well as representative fractured enamel specimens were examined using scanning electron microscopy (SEM). Neither surface pretreatment statistically increased the mean shear bond strength values of either the strong or the intermediary strong self-etching adhesive systems. However, phosphoric acid pretreatment significantly increased the mean shear bond strength values of the mild self-etching adhesive system. SEM examination of enamel surface topography showed that phosphoric acid pretreatment deepened the same etching pattern of the strong and intermediary strong adhesive systems but converted the irregular etching pattern of the mild self-etching adhesive system to a regular etching pattern. SEM examination of the resin/enamel interface revealed that deepening of the etching pattern was consistent with increase in the length of resin tags. EDTA pretreatment had a negligible effect on ultra-morphological features. Use of phosphoric acid pretreatment can be beneficial with mild self-etching adhesive systems for bonding to enamel.

  14. Plasma etching of patterned tungsten

    International Nuclear Information System (INIS)

    Franssila, S.

    1993-01-01

    Plasma etching of tungsten is discussed from the viewpoint of thin film structure and integrated circuit process engineering. The emphasis is on patterned tungsten etching for silicon device and X-ray mask fabrication. After introducing tungsten etch chemistries and mechanisms, microstructural aspects of tungsten films (crystal structure, grain size, film density, defects, impurities) in relation to etching are discussed. Approaches to etch process optimization are presented, and the current state-of-the-art of patterned tungsten etching is reviewed. (orig.)

  15. A Twice Electrochemical-Etching Method to Fabricate Superhydrophobic-Superhydrophilic Patterns for Biomimetic Fog Harvest.

    Science.gov (United States)

    Yang, Xiaolong; Song, Jinlong; Liu, Junkai; Liu, Xin; Jin, Zhuji

    2017-08-18

    Superhydrophobic-superhydrophilic patterned surfaces have attracted more and more attention due to their great potential applications in the fog harvest process. In this work, we developed a simple and universal electrochemical-etching method to fabricate the superhydrophobic-superhydrophilic patterned surface on metal superhydrophobic substrates. The anti-electrochemical corrosion property of superhydrophobic substrates and the dependence of electrochemical etching potential on the wettability of the fabricated dimples were investigated on Al samples. Results showed that high etching potential was beneficial for efficiently producing a uniform superhydrophilic dimple. Fabrication of long-term superhydrophilic dimples on the Al superhydrophobic substrate was achieved by combining the masked electrochemical etching and boiling-water immersion methods. A long-term wedge-shaped superhydrophilic dimple array was fabricated on a superhydrophobic surface. The fog harvest test showed that the surface with a wedge-shaped pattern array had high water collection efficiency. Condensing water on the pattern was easy to converge and depart due to the internal Laplace pressure gradient of the liquid and the contact angle hysteresis contrast on the surface. The Furmidge equation was applied to explain the droplet departing mechanism and to control the departing volume. The fabrication technique and research of the fog harvest process may guide the design of new water collection devices.

  16. The memory characteristics of submicron feature-size PZT capacitors with PtOx top electrode by using dry-etching

    International Nuclear Information System (INIS)

    Huang, C.-K.; Wang, C.-C.; Wu, T.-B.

    2007-01-01

    Dry etching and its effect on the characteristics of submicron feature-size PbZr 1-x Ti x O 3 (PZT) capacitors with PtO x top electrode were investigated. The photoresist (PR)-masked PtO x films were etched by an Ar/(20%)Cl 2 /O 2 helicon wave plasma. A fence-free pattern with a significantly high etch rate and sidewall slope was obtained by the addition of O 2 into the etching gas mixture, due to the chemical instability of PtO x and the formation of a PtO 2 passivation layer to suppress redeposition of the etch by-products on the etched surface. The patterned PtO x electrode can be further used as a hard mask for etching the PZT film, subsequently, with the gas mixture of Ar, CF 4 and O 2 . A high etching rate of PZT and a good etching selectivity to PtO x can be obtained at 30% O 2 addition into the Ar/(50%)CF 4 plasma. The etched capacitors have a steep, 72 0 , sidewall angle with a clean surface. Moreover, the addition of O 2 into the etching gas can well preserve the properties and the fatigue endurance of PtO x /PZT capacitors

  17. Separated Type Atmospheric Pressure Plasma Microjets Array for Maskless Microscale Etching

    Directory of Open Access Journals (Sweden)

    Yichuan Dai

    2017-06-01

    Full Text Available Maskless etching approaches such as microdischarges and atmospheric pressure plasma jets (APPJs have been studied recently. Nonetheless, a simple, long lifetime, and efficient maskless etching method is still a challenge. In this work, a separated type maskless etching system based on atmospheric pressure He/O2 plasma jet and microfabricated Micro Electro Mechanical Systems (MEMS nozzle have been developed with advantages of simple-structure, flexibility, and parallel processing capacity. The plasma was generated in the glass tube, forming the micron level plasma jet between the nozzle and the surface of polymer. The plasma microjet was capable of removing photoresist without masks since it contains oxygen reactive species verified by spectra measurement. The experimental results illustrated that different features of microholes etched by plasma microjet could be achieved by controlling the distance between the nozzle and the substrate, additive oxygen ratio, and etch time, the result of which is consistent with the analysis result of plasma spectra. In addition, a parallel etching process was also realized by plasma microjets array.

  18. Bond strength of one-step self-etch adhesives and their predecessors to ground versus unground enamel.

    Science.gov (United States)

    Yazici, A Ruya; Yildirim, Zeren; Ertan, Atila; Ozgunaltay, Gül; Dayangac, Berrin; Antonson, Sibel A; Antonson, Donald E

    2012-07-01

    The aim of this study was to compare the shear bond strength of several self-etch adhesives to their two-step predecessors to ground and unground enamel. Seventy-five extracted, non-carious human third molar teeth were selected for this study. The buccal surfaces of each tooth were mechanically ground to obtain flat enamel surfaces (ground enamel), while the lingual surfaces were left intact (unground enamel). The teeth were randomly divided into five groups according to the adhesive systems (n=15): one-step self-etch adhesive - Clearfil S3 Bond, its two-step predecessor - Clearfil SE Bond, one-step self-etch adhesive - AdheSE One, and its two-step predecessor - AdheSE, and a two-step etch-and-rinse adhesive - Adper Single Bond 2(control). After application of the adhesives to the buccal and lingual enamel surfaces of each tooth, a cylindrical capsule filled with a hybrid composite resin (TPH) was seated against the surfaces. The specimens were stored in distilled water at 37°C for 24 hours, followed by thermocy-cling (5°C-55°C/500 cycles). They were subjected to shear bond strength test in a universal testing machine at a crosshead speed of 1.0 mm/minute. The data were compared using a two-way ANOVA, followed by Bonferroni test at Padhesives exhibited statistically similar bond strengths to ground and unground enamel except for the etch-and-rinse adhesive that showed significantly higher bond strengths than the self-etch adhesives (Padhesives tested (P=.17). Similar bond strengths to ground and unground enamel were achieved with one-step self-etch adhesives and their predecessors. Enamel preparation did not influence the bonding performance of the adhesives tested.

  19. Bond strength of one-step self-etch adhesives and their predecessors to ground versus unground enamel

    Science.gov (United States)

    Yazici, A. Ruya; Yildirim, Zeren; Ertan, Atila; Ozgunaltay, Gül; Dayangac, Berrin; Antonson, Sibel A; Antonson, Donald E

    2012-01-01

    Objective The aim of this study was to compare the shear bond strength of several self-etch adhesives to their two-step predecessors to ground and unground enamel. Methods: Seventy-five extracted, non-carious human third molar teeth were selected for this study. The buccal surfaces of each tooth were mechanically ground to obtain flat enamel surfaces (ground enamel), while the lingual surfaces were left intact (unground enamel). The teeth were randomly divided into five groups according to the adhesive systems (n=15): one-step self-etch adhesive - Clearfil S3 Bond, its two-step predecessor - Clearfil SE Bond, one-step self-etch adhesive - AdheSE One, and its two-step predecessor - AdheSE, and a two-step etch-and-rinse adhesive - Adper Single Bond 2(control). After application of the adhesives to the buccal and lingual enamel surfaces of each tooth, a cylindrical capsule filled with a hybrid composite resin (TPH) was seated against the surfaces. The specimens were stored in distilled water at 37°C for 24 hours, followed by thermocy-cling (5°C–55°C/500 cycles). They were subjected to shear bond strength test in a universal testing machine at a crosshead speed of 1.0 mm/minute. The data were compared using a two-way ANOVA, followed by Bonferroni test at Padhesives exhibited statistically similar bond strengths to ground and unground enamel except for the etch-and-rinse adhesive that showed significantly higher bond strengths than the self-etch adhesives (Penamel for any of the adhesives tested (P=.17). Conclusion: Similar bond strengths to ground and unground enamel were achieved with one-step self-etch adhesives and their predecessors. Enamel preparation did not influence the bonding performance of the adhesives tested. PMID:22904656

  20. Influence of external magnetic field on the etching of a steel ball in an aqueous solution of nitric acid

    International Nuclear Information System (INIS)

    Yu, Ilchenko M.; Yu, Gorobets O.; Bondar, I.A.; Gaponov, A.M.

    2010-01-01

    The effect of change of shape of a steel ball was revealed as a result of its etching in an aqueous solution of nitric acid under influence of an external magnetic field. The elongation of a ferromagnetic ball was observed along the direction of an external magnetic field while etching took place uniformly in all the directions without magnetic field application. The steel ball etching in a magnetic field is characterized by formation of three cylindrically symmetric regions with different etching rates and surface structures, divided from each other by clear borders (namely, the pole, equator and transition regions are formed). The non-monotone dependences of etching rate, surface structure of a sample and sample shape after etching on an external magnetic field are observed.

  1. Role of chamber dimension in fluorocarbon based deposition and etching of SiO2 and its effects on gas and surface-phase chemistry

    International Nuclear Information System (INIS)

    Joseph, E. A.; Zhou, B.-S.; Sant, S. P.; Overzet, L. J.; Goeckner, M. J.

    2008-01-01

    It is well understood that chamber geometry is an influential factor governing plasma processing of materials. Simple models suggest that a large fraction of this influence is due to changes in basic plasma properties, namely, density, temperature, and potential. However, while such factors do play an important role, they only partly describe the observed differences in process results. Therefore, to better elucidate the role of chamber geometry in this work, the authors explore the influence of plasma chemistry and its symbiotic effect on plasma processing by decoupling the plasma density, temperature, and potential from the plasma-surface (wall) interactions. Specifically, a plasma system is used with which the authors can vary the chamber dimension so as to vary the plasma-surface interaction directly. By varying chamber wall diameter, 20-66 cm, and source-platen distance, 4-6 cm, the etch behavior of SiO 2 (or the deposition behavior of fluorocarbon polymer) and the resulting gas-phase chemistry change significantly. Results from in situ spectroscopic ellipsometry show significant differences in etch characteristics, with etch rates as high as 350 nm/min and as low as 75 nm/min for the same self-bias voltage. Fluorocarbon deposition rates are also highly dependent on chamber dimension and vary from no net deposition to deposition rates as high as 225 nm/min. Etch yields, however, remain unaffected by the chamber size variations. From Langmuir probe measurements, it is clear that chamber geometry results in significant shifts in plasma properties such as electron and ion densities. Indeed, such measurements show that on-wafer processes are limited at least in part by ion flux for high energy reactive ion etch. However, in situ multipass Fourier transform infrared spectroscopy reveals that the line-averaged COF 2 , SiF 4 , CF 2 , and CF 3 gas-phase densities are also dependent on chamber dimension at high self-bias voltage and also correlate well to the CF x

  2. A preliminary study on the etching behavior of SiO sub 2 aerogel film with CHF sub 3 gas

    CERN Document Server

    Wang, S J; Yeom, G Y

    1998-01-01

    Etching behavior of SiO sub 2 aerogel film has been investigated in order to examine the feasibility of its application to an interlevel dielectric material. Low dielectric property of SiO sub 2 aerogel film is simply originated from its highly porous structure, but interconnected particles are covered with surface chemical bondings (-OH, -OC sub 2 H sub 5 , etc). Etching experiments have been performed with high density inductively coupled CHF sub 3 plasma. The effects of porous structure and surface chemical bondings on the etching of SiO sub 2 aerogel film have been analyzed. The changes of surface morphology were observed using scanning electron microscopy. X-ray photoelectron spectroscopic analyses revealed compositions and chemical bonding states of reaction layer. From the analyses, 3-dimensional etching was not feasible macroscopically in SiO sub 2 aerogel film even with its porous nature because network structure was maintained through the etching process. Internal surface chemicals seemed to act an ...

  3. Etching and anti-etching strategy for sensitive colorimetric sensing of H2O2 and biothiols based on silver/carbon nanomaterial.

    Science.gov (United States)

    Hou, Wenli; Liu, Xiaoying; Lu, Qiujun; Liu, Meiling; Zhang, Youyu; Yao, Shouzhuo

    2018-02-01

    In this paper, the colorimetric sensing of H 2 O 2 related molecules and biothiols based on etching and anti-etching strategy was firstly proposed. Ag/carbon nanocomposite (Ag/C NC) was served as the sensing nanoprobe, which was synthesized via carbon dots (C-dots) as the reductant and stabilizer. The characteristic surface plasmon resonance (SPR) absorbance of Ag nanoparticles (AgNPs) was sensitive to the amount of hydrogen peroxide (H 2 O 2 ). It exhibited strong optical responses to H 2 O 2 with the solution colour changing from yellow to nearly colourless, which is resulted from the etching of Ag by H 2 O 2 . The sensing platform was further extended to detect H 2 O 2 related molecules such as lactate in coupling with the specific catalysis oxidation of L-lactate by lactate oxidase (LOx) and formation of H 2 O 2 . It provides wide linear range for detecting H 2 O 2 in 0.1-80μM and 80-220μM with the detection limit as low as 0.03μM (S/N=3). In the presence of biothiols, the etching from the H 2 O 2 can be hampered. Other biothiols exhibit anti-etching effects well. The strategy works well in detecting of typical biothiols including cysteine (Cys), homocysteine (Hcy) and glutathione (GSH). Thus, a simple colorimetric strategy for sensitive detection of H 2 O 2 and biothiols is proposed. It is believed that the colorimetric sensor based on etching and anti-etching strategy can be applied in other systems in chemical and biosensing areas. Copyright © 2017 Elsevier B.V. All rights reserved.

  4. Adiabatic tapered optical fiber fabrication in two step etching

    Science.gov (United States)

    Chenari, Z.; Latifi, H.; Ghamari, S.; Hashemi, R. S.; Doroodmand, F.

    2016-01-01

    A two-step etching method using HF acid and Buffered HF is proposed to fabricate adiabatic biconical optical fiber tapers. Due to the fact that the etching rate in second step is almost 3 times slower than the previous droplet etching method, terminating the fabrication process is controllable enough to achieve a desirable fiber diameter. By monitoring transmitted spectrum, final diameter and adiabaticity of tapers are deduced. Tapers with losses about 0.3 dB in air and 4.2 dB in water are produced. The biconical fiber taper fabricated using this method is used to excite whispering gallery modes (WGMs) on a microsphere surface in an aquatic environment. So that they are suitable to be used in applications like WGM biosensors.

  5. Dry Etching

    DEFF Research Database (Denmark)

    Stamate, Eugen; Yeom, Geun Young

    2016-01-01

    generation) to 2,200 × 2,500 mm (eighth generation), and the substrate size is expected to increase further within a few years. This chapter aims to present relevant details on dry etching including the phenomenology, materials to be etched with the different recipes, plasma sources fulfilling the dry...

  6. Ga+ focused-ion-beam implantation-induced masking for H2 etching of ZnO films

    International Nuclear Information System (INIS)

    Fang, Hsin-Chiao; Huang, Jun-Han; Chu, Wen-Huei; Liu, Chuan-Pu

    2010-01-01

    Gallium implantation of ZnO by a focused-ion beam is used to create a mask for ZnO dry etching with hydrogen. Effects of Ga + fluence on the etch stop properties and the associated mechanisms are investigated. The fluence of 2.8 x 10 16 cm -2 is determined to be optimum to render the best mask quality. While lower fluences would cause less etching selectivity, higher fluences would cause erosion of the surface and particles to be precipitated on the surface after H 2 treatment at high temperature. In contrast to the commonly adopted gallium oxide formation on Si, transmission electron microscopy analysis reveals that, for the fluences ≤ 2.8 x 10 16 cm -2 , Ga + ions are incorporated as dopants into ZnO without any second phases or precipitates, indicating the Ga-doped ZnO layer behaves as a mask for H 2 etching due to the higher electronegativity of Ga + towards oxygen. However, for the fluences ≥ 4.6 x 10 16 cm -2 , the surface particles are responsible for the etch stop and are identified as ZnGa 2 O 4 . We finally demonstrate a complicated pattern of 'NCKU' on ZnO by using this technique. The study not only helps clarify the related mechanisms, but also suggests a feasible extension of the etch stop process that can be applied to more functional material.

  7. Angular dependence of Si3N4 etch rates and the etch selectivity of SiO2 to Si3N4 at different bias voltages in a high-density C4F8 plasma

    International Nuclear Information System (INIS)

    Lee, Jin-Kwan; Lee, Gyeo-Re; Min, Jae-Ho; Moon, Sang Heup

    2007-01-01

    The dependence of Si 3 N 4 etch rates and the etch selectivity of SiO 2 to Si 3 N 4 on ion-incident angles was studied for different bias voltages in a high-density C 4 F 8 plasma. A Faraday cage and specially designed substrate holders were used to accurately control the angles of incident ions on the substrate surface. The normalized etch yield (NEY), defined as the etch yield obtained at a given ion-incident angle normalized to that obtained on a horizontal surface, was unaffected by the bias voltage in Si 3 N 4 etching, but it increased with the bias voltage in SiO 2 etching in the range of -100 to -300 V. The NEY changed showing a maximum with an increase in the ion-incident angle in the etching of both substrates. In the Si 3 N 4 etching, a maximum NEY of 1.7 was obtained at 70 deg. in the above bias voltage range. However, an increase in the NEY at high ion-incident angles was smaller for SiO 2 than for Si 3 N 4 and, consequently, the etch selectivity of SiO 2 to Si 3 N 4 decreased with an increase in the ion-incident angle. The etch selectivity decreased to a smaller extent at high bias voltage because the NEY of SiO 2 had increased. The characteristic changes in the NEY for different substrates could be correlated with the thickness of a steady-state fluorocarbon (CF x ) film formed on the substrates

  8. Shear bond strength of one-step self-etch adhesives to enamel: effect of acid pretreatment.

    Science.gov (United States)

    Poggio, Claudio; Scribante, Andrea; Della Zoppa, Federica; Colombo, Marco; Beltrami, Riccardo; Chiesa, Marco

    2014-02-01

    The purposes of this study were to evaluate the effect of surface pretreatment with phosphoric acid on the enamel bond strength of four-one-step self-etch adhesives with different pH values. One hundred bovine permanent mandibular incisors were used. The materials used in this study included four-one-step self-etch adhesives with different pH values: Adper(™) Easy Bond Self-Etch Adhesive (ph = 0,8-1), Futurabond NR (ph = 1,4), G-aenial Bond (ph = 1,5), Clearfil(3) S Bond (ph = 2,7). One two-step self-etch adhesive (Clearfil SE Bond/ph = 0,8-1) was used as control. The teeth were assigned into two subgroups according to bonding procedure. In the first subgroup (n = 50), no pretreatment agent was applied. In the second subgroup (n = 50), etching was performed using 37% phosphoric acid for 30 s. After adhesive systems application, a nanohybrid composite resin was inserted into the enamel surface. The specimens were placed in a universal testing machine (Model 3343, Instron Corp., Canton, Mass., USA). After the testing procedure, the fractured surfaces were examined with an optical microscope at a magnification of 10× to determine failure modes. The adhesive remnant index (ARI) was used to assess the amount of adhesive left on the enamel surface. Descriptive statistics of the shear bond strength and frequency distribution of ARI scores were calculated. Enamel pretreatment with phosphoric acid significantly increased bond strength values of all the adhesives tested. No significant differences in bond strength were detected among the four different one-step self-etch adhesives with different pH. Two-step self-etch adhesive showed the highest bond strength. © 2013 John Wiley & Sons A/S.

  9. Effect of collagen fibrils removal on shear bond strength of total etch and self etch adhesive systems

    Directory of Open Access Journals (Sweden)

    Pishevar L.

    2009-12-01

    Full Text Available "nBackground and Aim: Sodium hypochlorite can remove the organic phase of the demineralized dentin and it produces direct resin bonding with hydroxyapatite crystals. Therefore, the hydrolytic degradation of collagen fibrils which might affect the bonding durability is removed. The aim of this study was to evaluate the effect of collagen fibrils removal by 10% NaOCl on dentin shear bond strength of two total etch and self etch adhesive systems."nMaterials and Methods: Sixty extracted human premolar teeth were used in this study. Buccal surface of teeth were grounded until dentin was exposed. Then teeth were divided into four groups. According to dentin surface treatment, experimental groups were as follows: Group I: Single Bond (3M according to manufacture instruction, Group II: 10% NaOCl+Single bond (3M, Group III: Clearfil SE Bond (Kuraray according to manufacture instruction, and Group IV: Clearfil SE Bond primer. After that, the specimens were immersed in 50% acetone solution for removing extra monomer. Then the specimens were rinsed and dried. 10% NaOCl was applied and finally adhesive was used. Then composite was bonded to the treated surfaces using a 4 2 mm cylindrical plastic mold. Specimens were thermocycled for 500 cycles (5-55ºC. A shear load was employed by a universal testing machine with a cross head speed of 1mm/min. The data were analyzed for statistical significance with One-way ANOVA, Two-way ANOVA and Tukey HSD post-hoc tests."nResults: The mean shear bond strengths of groups were as follows: Single Bond=16.8±4.2, Clearfil SE Bond=23.7±4.07, Single Bond+NaOCl=10.5±4.34, Clearfil SE Bond+NaOCl=23.3±3.65 MPa. Statistical analysis revealed that using 10% NaOCl significantly decreased the shear bond strength in Single Bond group (P=0.00, but caused no significant difference in the shear bond strength in Clearfil SE Bond group (P=0.99."nConclusion: Based on the results of this study, NaOCl treatment did not improve the bond

  10. Modeling of block copolymer dry etching for directed self-assembly lithography

    Science.gov (United States)

    Belete, Zelalem; Baer, Eberhard; Erdmann, Andreas

    2018-03-01

    Directed self-assembly (DSA) of block copolymers (BCP) is a promising alternative technology to overcome the limits of patterning for the semiconductor industry. DSA exploits the self-assembling property of BCPs for nano-scale manufacturing and to repair defects in patterns created during photolithography. After self-assembly of BCPs, to transfer the created pattern to the underlying substrate, selective etching of PMMA (poly (methyl methacrylate)) to PS (polystyrene) is required. However, the etch process to transfer the self-assemble "fingerprint" DSA patterns to the underlying layer is still a challenge. Using combined experimental and modelling studies increases understanding of plasma interaction with BCP materials during the etch process and supports the development of selective process that form well-defined patterns. In this paper, a simple model based on a generic surface model has been developed and an investigation to understand the etch behavior of PS-b-PMMA for Ar, and Ar/O2 plasma chemistries has been conducted. The implemented model is calibrated for etch rates and etch profiles with literature data to extract parameters and conduct simulations. In order to understand the effect of the plasma on the block copolymers, first the etch model was calibrated for polystyrene (PS) and poly (methyl methacrylate) (PMMA) homopolymers. After calibration of the model with the homopolymers etch rate, a full Monte-Carlo simulation was conducted and simulation results are compared with the critical-dimension (CD) and selectivity of etch profile measurement. In addition, etch simulations for lamellae pattern have been demonstrated, using the implemented model.

  11. Acid etching and plasma sterilization fail to improve osseointegration of grit blasted titanium implants

    DEFF Research Database (Denmark)

    Mortensen, Mikkel Saksø; Jakobsen, Stig Storgaard; Saksø, Henrik

    2012-01-01

    Interaction between implant surface and surrounding bone influences implant fixation. We attempted to improve the bone-implant interaction by 1) adding surface micro scale topography by acid etching, and 2) removing surface-adherent pro-inflammatory agents by plasma cleaning. Implant fixation...... was evaluated by implant osseointegration and biomechanical fixation.The study consisted of two paired animal sub-studies where 10 skeletally mature Labrador dogs were used. Grit blasted titanium alloy implants were inserted press fit in each proximal tibia. In the first study grit blasted implants were...... compared with acid etched grit blasted implants. In the second study grit blasted implants were compared with acid etched grit blasted implants that were further treated with plasma sterilization. Implant performance was evaluated by histomorphometrical investigation (tissue-to-implant contact, peri-implant...

  12. Nanosecond laser-induced back side wet etching of fused silica with a copper-based absorber liquid

    Science.gov (United States)

    Lorenz, Pierre; Zehnder, Sarah; Ehrhardt, Martin; Frost, Frank; Zimmer, Klaus; Schwaller, Patrick

    2014-03-01

    Cost-efficient machining of dielectric surfaces with high-precision and low-roughness for industrial applications is still challenging if using laser-patterning processes. Laser induced back side wet etching (LIBWE) using UV laser pulses with liquid heavy metals or aromatic hydrocarbons as absorber allows the fabrication of well-defined, nm precise, free-form surfaces with low surface roughness, e.g., needed for optical applications. The copper-sulphatebased absorber CuSO4/K-Na-Tartrate/NaOH/formaldehyde in water is used for laser-induced deposition of copper. If this absorber can also be used as precursor for laser-induced ablation, promising industrial applications combining surface structuring and deposition within the same setup could be possible. The etching results applying a KrF excimer (248 nm, 25 ns) and a Nd:YAG (1064 nm, 20 ns) laser are compared. The topography of the etched surfaces were analyzed by scanning electron microscopy (SEM), white light interferometry (WLI) as well as laser scanning microscopy (LSM). The chemical composition of the irradiated surface was studied by energy-dispersive X-ray spectroscopy (EDX) and Fourier transform infrared spectroscopy (FT-IR). For the discussion of the etching mechanism the laser-induced heating was simulated with finite element method (FEM). The results indicate that the UV and IR radiation allows micro structuring of fused silica with the copper-based absorber where the etching process can be explained by the laser-induced formation of a copper-based absorber layer.

  13. Effect of pre-etching on sealing ability of two current self-etching adhesives

    Directory of Open Access Journals (Sweden)

    K Khosravi

    2005-05-01

    Full Text Available Background: We evaluated the effect of phosphoric acid etching on microleakage of two current self-etching adhesives on enamel margins in comparison to a conventional total- etch system. Methods: Sixty buccal class V cavities were made at the cemento-enamel junction with beveled enamel margins of extracted human premolar teeth and randomly divided into five groups (12 specimens in each group. Group 1 was applying with Clearfil SE bond, Group 2 with 35% phosphoric acid etching of enamel margins plus Clearfil SE bond, Group3 with I bond, Group 4 with 35% phosphoric acid etching of enamel margins plus I bond and Group5 with Scotchbond multi-purpose. All groups restored with a composite resins. After 24 hours storage with 100% humidity, the samples were thermocycled, immersed in a dye solution and sectioned buccoligually and enamel margins microleakage were evaluated on a scale of 0 to 2. Results: The differences between Groups 1 & 3 and Groups 3 & 4 were significant (P<0.05 but no significant differences between Groups1 & 2 or 1 & 5 were observed. Conclusion: The findings suggest that all-in-one adhesive systems need pre-etching enamel margins with phosphoric acid for effectively seal. Key words: Self-Etching Adhesives, Microleakage, Enamel, Total-Etch system

  14. Improvement of Plating Characteristics Between Nickel and PEEK by Plasma Treatment and Chemical Etching

    International Nuclear Information System (INIS)

    Lee, Hye W.; Lee, Jong K.; Park, Ki Y.

    2009-01-01

    Surface of PEEK(poly-ether-ether-ketone) was modified by chemical etching, plasma treatment and mechanical grinding to improve the plating adhesion. The plating characteristics of these samples were studied by the contact angle, plating thickness, gloss and adhesion. Chemical etching and plasma treatment increased wettability, adhesion and gloss. The contact angle of as-received PEEK was 61 .deg. . The contact angles of chemical etched, plasma treated or both were improved to the range of 15∼33 .deg. . In the case of electroless plating, the thickest layer without blister was 1.6 μm. The adhesion strengths by chemical etching, plasma treatment or both chemical etching and plasma treatment were 75 kgf/cm 2 , 102 kgf/cm 2 , 113 kgf/cm 2 , respectively, comparing to the 24 kgf/cm 2 of as-received. In the case of mechanically ground PEEKs, the adhesion strengths were higher than those unground, with the sacrifice of surface gloss. The gloss of untreated PEEK were greater than mechanically ground PEEKs. Plating thickness increased linearly with the plating times

  15. Site-specific Pt deposition and etching on electrically and thermally isolated SiO2 micro-disk surfaces

    International Nuclear Information System (INIS)

    Saraf, Laxmikant V

    2010-01-01

    Electrically and thermally isolated surfaces are crucial for improving the detection sensitivity of microelectronic sensors. The site-specific in situ growth of Pt nano-rods on thermally and electrically isolated SiO 2 micro-disks using wet chemical etching and a focused ion/electron dual beam (FIB-SEM) is demonstrated. Fabrication of an array of micro-cavities on top of a micro-disk is also demonstrated. The FIB source is utilized to fabricate through-holes in the micro-disks. Due to the amorphous nature of SiO 2 micro-disks, the Ga implantation possibly modifies through-hole sidewall surface chemistry rather than affecting its transport properties. Some sensor design concepts based on micro-fabrication of SiO 2 micro-disks utilizing thermally and electrically isolated surfaces are discussed from the viewpoint of applications in photonics and bio-sensing.

  16. Controlled ion track etching

    Science.gov (United States)

    George, J.; Irkens, M.; Neumann, S.; Scherer, U. W.; Srivastava, A.; Sinha, D.; Fink, D.

    2006-03-01

    It is a common practice since long to follow the ion track-etching process in thin foils via conductometry, i.e . by measurement of the electrical current which passes through the etched track, once the track breakthrough condition has been achieved. The major disadvantage of this approach, namely the absence of any major detectable signal before breakthrough, can be avoided by examining the track-etching process capacitively. This method allows one to define precisely not only the breakthrough point before it is reached, but also the length of any non-transient track. Combining both capacitive and conductive etching allows one to control the etching process perfectly. Examples and possible applications are given.

  17. Effects of temperature on the etching properties of Bi4-xLaxTi3O12 thin films

    International Nuclear Information System (INIS)

    Kim, Dong-Pyo; Kim, Kyoung-Tae; Koo, Seong-Mo; Kim, Chang-Il

    2004-01-01

    The etching properties of Bi 4-x La x Ti 3 O 12 (BLT) films etched in an inductively coupled Ar/Cl 2 plasma were investigated in terms of the gas mixing ratio, the rf power, and the substrate temperature. We obtained a high etch rate of 433 A/min at 30 .deg. C and 344 A/min at 80 .deg. C in Ar (15 sccm)/Cl 2 (15 sccm). As the rf power was increased, the ion current density increased, resulting in an increase in the etch rate. To understand the etch mechanism of BLT in a Cl 2 /Ar plasma, we performed the plasma diagnostics using a Langmuir probe (LP). The LP measurement indicated that the maximum ion density decreased with Cl 2 addition, but increased with the rf power. X-ray photoelectron spectroscopy (XPS) narrow scan analysis showed that La-chlorides remained on the etched surface and that the high accumulation of nonvolatile etch byproducts increased at high substrate temperatures. The analysis of surface reactions and the plasma diagnostics in the frameworks of an ion-assisted etching mechanism confirmed the possibility of non-monotonic etch rate behavior due to the concurrence of physical sputtering and chemical etching activated by ion bombardment.

  18. More vertical etch profile using a Faraday cage in plasma etching

    Science.gov (United States)

    Cho, Byeong-Ok; Hwang, Sung-Wook; Ryu, Jung-Hyun; Moon, Sang Heup

    1999-05-01

    Scanning electron microscope images of sidewalls obtained by plasma etching of an SiO2 film with and without a Faraday cage have been compared. When the substrate film is etched in the Faraday cage, faceting is effectively suppressed and the etch profile becomes more vertical regardless of the process conditions. This is because the electric potential in the cage is nearly uniform and therefore distortion of the electric field at the convex corner of a microfeature is prevented. The most vertical etch profile is obtained when the cage is used in fluorocarbon plasmas, where faceting is further suppressed due to the decrease in the chemical sputtering yield and the increase in the radical/ion flux on the substrate.

  19. Thermal history-based etching

    Science.gov (United States)

    Simpson, John T.

    2017-11-28

    A method for adjusting an etchability of a first borosilicate glass by heating the first borosilicate glass; combining the first borosilicate glass with a second borosilicate glass to form a composite; and etching the composite with an etchant. A material having a protrusive phase and a recessive phase, where the protrusive phase protrudes from the recessive phase to form a plurality of nanoscale surface features, and where the protrusive phase and the recessive phase have the same composition.

  20. Anisotropic etching of silicon for application in micro machine using plasma of SF6/CH4/O2/Ar and SF6/CF4/O2/Ar

    International Nuclear Information System (INIS)

    Reyes B, C.; Moshkalyov, S.A.; Swart, J.W.

    2004-01-01

    We investigated the reactive ion etching of silicon using SF 6 /CH 4 (CF 4 )/O 2 /Ar gas mixtures containing fluorine for MEMS applications. Etch rates and anisotropy of etch profiles were examined as a function of gas composition, material of electrode, and RF power. Etch depths were measured using a profilometers, and etch profiles were analyzed by scanning electron microscope. As a mask material, an aluminium film deposited by evaporation, was used. High anisotropy of etching of 0.95 was achieved at etch depths up to 20-30 micrometers and etch rates of approximately 0.3-0.6 μm/min. Highly anisotropic etching is based on a mechanism that enhance the ion bombarding and protects the sidewalls due to polymerization and/or oxidation mechanisms in order to avoid the lateral etch. However, under the anisotropic etching conditions, considerable damages of the etched surfaces (roughness formation), were observed. After etching experiments, wet / dry cleaning procedures were applied to remove surface residues resulting from the reactive ion etching and to improve the etched surface morphology. (Author)

  1. Angular dependence of SiO2 etch rate at various bias voltages in a high density CHF3 plasma

    International Nuclear Information System (INIS)

    Lee, Gyeo-Re; Hwang, Sung-Wook; Min, Jae-Ho; Moon, Sang Heup

    2002-01-01

    The dependence of the SiO 2 etch rate on the angle of ions incident on the substrate surface was studied over a bias voltage range from -20 to -600 V in a high-density CHF 3 plasma using a Faraday cage to control the ion incident angle. The effect of the bottom plane on the sidewall etching was also examined. Differences in the characteristics of the etch rate as a function of the ion angle were observed for different bias voltage regions. When the absolute value of the bias voltage was smaller than 200 V, the normalized etch rate (NER) defined as the etch rate normalized by the rate on the horizontal surface, changed following a cosine curve with respect to the ion incident angle, defined as the angle between the ion direction and the normal of the substrate surface. When the magnitude of the bias voltage was larger than 200 V, the NER was deviated to higher values from those given by a cosine curve at ion angles between 30 deg. and 70 deg. , and then drastically decreased at angles higher than 70 deg. until a net deposition was observed at angles near 90 deg. . The characteristic etch-rate patterns at ion angles below 70 deg. were determined by the ion energy transferred to the surface, which affected the SiO 2 etch rate and, simultaneously, the rate of removal of a fluorocarbon polymer film formed on the substrate surface. At high ion angles, particles emitted from the bottom plane contributed to polymer formation on and affected the etching characteristics of the substrate

  2. Enamel resistance to demineralization following Er:YAG laser etching for bonding orthodontic brackets

    Science.gov (United States)

    Ahrari, Farzaneh; Poosti, Maryam; Motahari, Pourya

    2012-01-01

    Background: Several studies have shown that laser-etching of enamel for bonding orthodontic brackets could be an appropriate alternative for acid conditioning, since a potential advantage of laser could or might be caries prevention. This study compared enamel resistance to demineralization following etching with acid phosphoric or Er:YAG laser for bonding orthodontic brackets. Materials and Methods: Fifty sound human premolars were divided into two equal groups. In the first group, enamel was etched with 37% phosphoric acid for 15 seconds. In the second group, Er:YAG laser (wavelength, 2 940 nm; 300 mJ/pulse, 10 pulses per second, 10 seconds) was used for tooth conditioning. The teeth were subjected to 4-day PH-cycling process to induce caries-like lesions. The teeth were then sectioned and the surface area of the lesion was calculated in each microphotographs and expressed in pixel. The total surface of each specimen was 196 608 pixels. Results: Mean lesion areas were 7 171 and 7532 pixels for Laser-etched and Acid-etched groups, respectively. The two sample t-test showed that there was no significant difference in lesion area between the two groups (P = 0.914). Conclusion: Although Er:YAG laser seems promising for etching enamel before bonding orthodontic brackets, it does not reduce enamel demineralization when exposed to acid challenge. PMID:23162591

  3. Molecular dynamics simulations and thermochemistry of reactive ion etching of silicon by chlorine, chlorine dimer, bromine, and bromine dimer cations

    Energy Technology Data Exchange (ETDEWEB)

    Valone, S.M.; Hanson, D.E.; Kress, J.D.

    1998-05-08

    Simulations of Cl plasma etch of Si surfaces with MD techniques agree reasonably well with the available experimental information on yields and surface morphologies. This information has been supplied to a Monte Carlo etch profile resulting in substantial agreement with comparable inputs provided through controlled experiments. To the extent that more recent measurements of etch rates are more reliable than older ones, preliminary MD simulations using bond-order corrections to the atomic interactions between neighboring Si atoms on the surface improves agreement with experiment through an increase in etch rate and improved agreement with XPS measurements of surface stoichiometry. Thermochemical and geometric analysis of small Si-Br molecules is consistent with the current notions of the effects of including brominated species in etchant gases.

  4. Study of Gallium Arsenide Etching in a DC Discharge in Low-Pressure HCl-Containing Mixtures

    Science.gov (United States)

    Dunaev, A. V.; Murin, D. B.

    2018-04-01

    Halogen-containing plasmas are often used to form topological structures on semiconductor surfaces; therefore, spectral monitoring of the etching process is an important diagnostic tool in modern electronics. In this work, the emission spectra of gas discharges in mixtures of hydrogen chloride with argon, chlorine, and hydrogen in the presence of a semiconducting gallium arsenide plate were studied. Spectral lines and bands of the GaAs etching products appropriate for monitoring the etching rate were determined. It is shown that the emission intensity of the etching products is proportional to the GaAs etching rate in plasmas of HCl mixtures with Ar and Cl2, which makes it possible to monitor the etching process in real time by means of spectral methods.

  5. High density plasma via hole etching in SiC

    International Nuclear Information System (INIS)

    Cho, H.; Lee, K.P.; Leerungnawarat, P.; Chu, S.N.G.; Ren, F.; Pearton, S.J.; Zetterling, C.-M.

    2001-01-01

    Throughwafer vias up to 100 μm deep were formed in 4H-SiC substrates by inductively coupled plasma etching with SF 6 /O 2 at a controlled rate of ∼0.6 μm min-1 and use of Al masks. Selectivities of >50 for SiC over Al were achieved. Electrical (capacitance-voltage: current-voltage) and chemical (Auger electron spectroscopy) analysis techniques showed that the etching produced only minor changes in reverse breakdown voltage, Schottky barrier height, and near surface stoichiometry of the SiC and had high selectivity over common frontside metallization. The SiC etch rate was a strong function of the incident ion energy during plasma exposure. This process is attractive for power SiC transistors intended for high current, high temperature applications and also for SiC micromachining

  6. Optimization of HNA etching parameters to produce high aspect ratio solid silicon microneedles

    International Nuclear Information System (INIS)

    Hamzah, A A; Yeop Majlis, B; Yunas, J; Dee, C F; Abd Aziz, N; Bais, B

    2012-01-01

    High aspect ratio solid silicon microneedles with a concave conic shape were fabricated. Hydrofluoric acid–nitric acid–acetic acid (HNA) etching parameters were characterized and optimized to produce microneedles that have long and narrow bodies with smooth surfaces, suitable for transdermal drug delivery applications. The etching parameters were characterized by varying the HNA composition, the optical mask's window size, the etching temperature and bath agitation. An L9 orthogonal Taguchi experiment with three factors, each having three levels, was utilized to determine the optimal fabrication parameters. Isoetch contours for HNA composition with 0% and 10% acetic acid concentrations were presented and a high nitric acid region was identified to produce microneedles with smooth surfaces. It is observed that an increase in window size indiscriminately increases the etch rate in both the vertical and lateral directions, while an increase in etching temperature beyond 35 °C causes the etching to become rapid and uncontrollable. Bath agitation and sample placement could be manipulated to achieve a higher vertical etch rate compared to its lateral counterpart in order to construct high aspect ratio microneedles. The Taguchi experiment performed suggests that a HNA composition of 2:7:1 (HF:HNO 3 :CH 3 COOH), window size of 500 µm and agitation rate of 450 RPM are optimal. Solid silicon microneedles with an average height of 159.4 µm, an average base width of 110.9 µm, an aspect ratio of 1.44, and a tip angle and diameter of 19.2° and 0.38 µm respectively were successfully fabricated. (paper)

  7. Liquid droplet sensing using twisted optical fiber couplers fabricated by hydrofluoric acid flow etching

    Science.gov (United States)

    Son, Gyeongho; Jung, Youngho; Yu, Kyoungsik

    2017-04-01

    We report a directional-coupler-based refractive index sensor and its cost-effective fabrication method using hydrofluoric acid droplet wet-etching and surface-tension-driven liquid flows. The proposed fiber sensor consists of a pair of twisted tapered optical fibers with low excess losses. The fiber cores in the etched microfiber region are exposed to the surrounding medium for efficient interaction with the guided light. We observe that the etching-based low-loss fiber-optic sensors can measure the water droplet volume by detecting the refractive index changes of the surrounding medium around the etched fiber core region.

  8. Grafting on nuclear tracks using the active sites that remain after the etching process

    International Nuclear Information System (INIS)

    Mazzei, R.; Bermudez, G. Garcia; Chappa, V.C.; Grosso, M.F. del; Fernandez, A.

    2006-01-01

    Poly(propylene) foils were irradiated with Ag ions and then chemically etched to produce samples with structured surfaces. After the etching procedure the active sites that remain on the latent track were used to graft acrylic acid. Nuclear tracks before grafting were visualised using a transmission electron microscope. The grafting yields were determined by weight measurements as a function of ion fluence, etching and grafting time, and were also analysed using Fourier transform infrared spectroscopy. Both measurements suggest that the acrylic acid was grafted on etched tracks using the active sites produced by the swift heavy ion beam

  9. Grafting on nuclear tracks using the active sites that remain after the etching process

    Energy Technology Data Exchange (ETDEWEB)

    Mazzei, R. [Unidad de Aplicaciones Tecnologicas y Agropecuarias, CNEA, 1429 Buenos Aires (Argentina) and Universidad Tecnologica Nacional, Buenos Aires (Argentina)]. E-mail: mazzei@cae.cnea.gov.ar; Bermudez, G. Garcia [U. A. de Fisica, Tandar, CNEA, 1429 Buenos Aires (Argentina); Escuela de Ciencia y Tecnologia, UNSAM, 1653 Buenos Aires (Argentina); Consejo Nacional de Investigaciones Cientificas y Tecnicas (Argentina); Chappa, V.C. [U. A. de Fisica, Tandar, CNEA, 1429 Buenos Aires (Argentina); Grosso, M.F. del [U. A. de Fisica, Tandar, CNEA, 1429 Buenos Aires (Argentina); U. A. de Materiales, CNEA, 1429 Buenos Aires (Argentina); Fernandez, A. [Universidad Tecnologica Nacional, Buenos Aires (Argentina)

    2006-09-15

    Poly(propylene) foils were irradiated with Ag ions and then chemically etched to produce samples with structured surfaces. After the etching procedure the active sites that remain on the latent track were used to graft acrylic acid. Nuclear tracks before grafting were visualised using a transmission electron microscope. The grafting yields were determined by weight measurements as a function of ion fluence, etching and grafting time, and were also analysed using Fourier transform infrared spectroscopy. Both measurements suggest that the acrylic acid was grafted on etched tracks using the active sites produced by the swift heavy ion beam.

  10. Sub-micrometer-scale patterning on Zr-based metallic glass using focused ion beam irradiation and chemical etching

    Energy Technology Data Exchange (ETDEWEB)

    Kawasegi, Noritaka [Graduate School of Science and Engineering, University of Toyama, 3190 Gofuku, Toyama 930-8555 (Japan); Morita, Noboru [Graduate School of Science and Engineering for Research, University of Toyama, 3190 Gofuku, Toyama 930-8555 (Japan); Yamada, Shigeru [Graduate School of Science and Engineering for Research, University of Toyama, 3190 Gofuku, Toyama 930-8555 (Japan); Takano, Noboru [Graduate School of Science and Engineering for Research, University of Toyama, 3190 Gofuku, Toyama 930-8555 (Japan); Oyama, Tatsuo [Department of Mechanical and Intellectual Systems Engineering, University of Toyama, 3190 Gofuku, Toyama 930-8555 (Japan); Ashida, Kiwamu [Advanced Manufacturing Research Institute, National Institute of Advanced Industrial Science and Technology, 1-2-1 Namiki, Tsukuba, Ibaraki 305-8564 (Japan); Momota, Sadao [Department of Intelligent Mechanical Systems Engineering, Kochi University of Technology, 185 Tosayamada, Kochi 782-8502 (Japan); Taniguchi, Jun [Department of Applied Electronics, Tokyo University of Science, 2641 Yamazaki, Noda, Chiba 278-8510 (Japan); Miyamoto, Iwao [Department of Applied Electronics, Tokyo University of Science, 2641 Yamazaki, Noda, Chiba 278-8510 (Japan); Ofune, Hitoshi [YKK Corporation, 200 Yoshida, Kurobe, Toyama 938-8601 (Japan)

    2007-09-19

    This report describes a method of sub-micrometer-scale rapid patterning on a Zr-based metallic glass surface using a combination of focused ion beam irradiation and wet chemical etching. We found that a Zr-based metallic glass surface irradiated with Ga{sup +} ions could be selectively etched; a concave structure with a width and depth of several tens to hundreds of nanometers rapidly formed in the irradiated area. Moreover, we determined that the etching was enhanced by the presence of Ga{sup +} ions rather than a change in the crystal structure, and the structure could be fabricated while the substrate remained amorphous. The shape of the structure was principally a function of the dose and the etch time.

  11. Prevention of sidewall redeposition of etched byproducts in the dry Au etch process

    International Nuclear Information System (INIS)

    Aydemir, A; Akin, T

    2012-01-01

    In this paper we present a new technique of etching thin Au film in a dual frequency inductively coupled plasma (ICP) system on Si substrate to prevent the redeposition of etched Au particles over the sidewall of the masking material known as veils. First, the effect of the lithography step was investigated. Then the effects of etch chemistry and the process parameters on the redeposition of etched Au particles on the sidewall of the masking material were investigated. The redeposition effect was examined by depositing a thin Ti film over the masking material acting as a hard mask. The results showed that depositing a thin Ti film over the masking material prevents the formation of veils after etching Au in plasma environments for submicron size structures. Based on the results of this study, we propose a new technique that completely eliminates formation of veils after etching Au in plasma environments for submicron size structures. (paper)

  12. Method for making a single-step etch mask for 3D monolithic nanostructures

    International Nuclear Information System (INIS)

    Grishina, D A; Harteveld, C A M; Vos, W L; Woldering, L A

    2015-01-01

    Current nanostructure fabrication by etching is usually limited to planar structures as they are defined by a planar mask. The realization of three-dimensional (3D) nanostructures by etching requires technologies beyond planar masks. We present a method for fabricating a 3D mask that allows one to etch three-dimensional monolithic nanostructures using only CMOS-compatible processes. The mask is written in a hard-mask layer that is deposited on two adjacent inclined surfaces of a Si wafer. By projecting in a single step two different 2D patterns within one 3D mask on the two inclined surfaces, the mutual alignment between the patterns is ensured. Thereby after the mask pattern is defined, the etching of deep pores in two oblique directions yields a three-dimensional structure in Si. As a proof of concept we demonstrate 3D mask fabrication for three-dimensional diamond-like photonic band gap crystals in silicon. The fabricated crystals reveal a broad stop gap in optical reflectivity measurements. We propose how 3D nanostructures with five different Bravais lattices can be realized, namely cubic, tetragonal, orthorhombic, monoclinic and hexagonal, and demonstrate a mask for a 3D hexagonal crystal. We also demonstrate the mask for a diamond-structure crystal with a 3D array of cavities. In general, the 2D patterns on the different surfaces can be completely independently structured and still be in perfect mutual alignment. Indeed, we observe an alignment accuracy of better than 3.0 nm between the 2D mask patterns on the inclined surfaces, which permits one to etch well-defined monolithic 3D nanostructures. (paper)

  13. Selective etching of injection molded zirconia-toughened alumina: Towards osseointegrated and antibacterial ceramic implants.

    Science.gov (United States)

    Flamant, Quentin; Caravaca, Carlos; Meille, Sylvain; Gremillard, Laurent; Chevalier, Jérôme; Biotteau-Deheuvels, Katia; Kuntz, Meinhard; Chandrawati, Rona; Herrmann, Inge K; Spicer, Christopher D; Stevens, Molly M; Anglada, Marc

    2016-12-01

    Due to their outstanding mechanical properties and excellent biocompatibility, zirconia-toughened alumina (ZTA) ceramics have become the gold standard in orthopedics for the fabrication of ceramic bearing components over the last decade. However, ZTA is bioinert, which hampers its implantation in direct contact with bone. Furthermore, periprosthetic joint infections are now the leading cause of failure for joint arthroplasty prostheses. To address both issues, an improved surface design is required: a controlled micro- and nano-roughness can promote osseointegration and limit bacterial adhesion whereas surface porosity allows loading and delivery of antibacterial compounds. In this work, we developed an integrated strategy aiming to provide both osseointegrative and antibacterial properties to ZTA surfaces. The micro-topography was controlled by injection molding. Meanwhile a novel process involving the selective dissolution of zirconia (selective etching) was used to produce nano-roughness and interconnected nanoporosity. Potential utilization of the porosity for loading and delivery of antibiotic molecules was demonstrated, and the impact of selective etching on mechanical properties and hydrothermal stability was shown to be limited. The combination of injection molding and selective etching thus appears promising for fabricating a new generation of ZTA components implantable in direct contact with bone. Zirconia-toughened alumina (ZTA) is the current gold standard for the fabrication of orthopedic ceramic components. In the present work, we propose an innovative strategy to provide both osseointegrative and antibacterial properties to ZTA surfaces: we demonstrate that injection molding allows a flexible design of surface micro-topography and can be combined with selective etching, a novel process that induces nano-roughness and surface interconnected porosity without the need for coating, avoiding reliability issues. These surface modifications have the

  14. Characterization of deep wet etching of fused silica glass for single cell and optical sensor deposition

    International Nuclear Information System (INIS)

    Zhu, Haixin; Holl, Mark; Ray, Tathagata; Bhushan, Shivani; Meldrum, Deirdre R

    2009-01-01

    The development of a high-throughput single-cell metabolic rate monitoring system relies on the use of transparent substrate material for a single cell-trapping platform. The high optical transparency, high chemical resistance, improved surface quality and compatibility with the silicon micromachining process of fused silica make it very attractive and desirable for this application. In this paper, we report the results from the development and characterization of a hydrofluoric acid (HF) based deep wet-etch process on fused silica. The pin holes and notching defects of various single-coated masking layers during the etching are characterized and the most suitable masking materials are identified for different etch depths. The dependence of the average etch rate and surface roughness on the etch depth, impurity concentration and HF composition are also examined. The resulting undercut from the deep HF etch using various masking materials is also investigated. The developed and characterized process techniques have been successfully implemented in the fabrication of micro-well arrays for single cell trapping and sensor deposition. Up to 60 µm deep micro-wells have been etched in a fused silica substrate with over 90% process yield and repeatability. To our knowledge, such etch depth has never been achieved in a fused silica substrate by using a non-diluted HF etchant and a single-coated masking layer at room temperature

  15. Low-loss slot waveguides with silicon (111 surfaces realized using anisotropic wet etching

    Directory of Open Access Journals (Sweden)

    Kapil Debnath

    2016-11-01

    Full Text Available We demonstrate low-loss slot waveguides on silicon-on-insulator (SOI platform. Waveguides oriented along the (11-2 direction on the Si (110 plane were first fabricated by a standard e-beam lithography and dry etching process. A TMAH based anisotropic wet etching technique was then used to remove any residual side wall roughness. Using this fabrication technique propagation loss as low as 3.7dB/cm was realized in silicon slot waveguide for wavelengths near 1550nm. We also realized low propagation loss of 1dB/cm for silicon strip waveguides.

  16. Anti-reflection textured structures by wet etching and island lithography for surface-enhanced Raman spectroscopy

    Energy Technology Data Exchange (ETDEWEB)

    Chao, Bo-Kai [Department of Materials Science and Engineering, National Taiwan University, Taipei 10617, Taiwan (China); Cheng, Hsin-Hung [Department of Marine Engineering, Taipei College of Maritime Technology, Taipei 11174, Taiwan (China); Nien, Li-Wei; Chen, Miin-Jang [Department of Materials Science and Engineering, National Taiwan University, Taipei 10617, Taiwan (China); Nagao, Tadaaki [Center for Materials Nanoarchitectonics, National Institute for Materials Science, Tsukuba, Ibaraki 305-0044 (Japan); Li, Jia-Han [Department of Engineering Science and Ocean Engineering, National Taiwan University, Taipei 10617, Taiwan (China); Hsueh, Chun-Hway, E-mail: hsuehc@ntu.edu.tw [Department of Materials Science and Engineering, National Taiwan University, Taipei 10617, Taiwan (China)

    2015-12-01

    Graphical abstract: - Highlights: • We fabricated textured SERS substrate with a high surface area and low reflectance. • Large surface area of substrate contains more gold nanodroplets to absorb analytes. • Low reflectance of textured SERS substrate enabled multiple reflections of incident laser light. • We obtained strong SERS enhancement from nanopillar-on-pyramid SERS substrate. - Abstract: A high surface area and low reflection textured surface-enhanced Raman scattering (SERS) substrate with plasmonic gold nanodroplets fabricated by wet etching and island lithography was reported in the present study. Specifically, four textured substrates, planar, pyramid, nanopillar, and nanopillar-on-pyramid, were fabricated. The fabricated structures were simulated using the finite-difference time-domain method and the results agreed with the reflection and dark-field scattering measurements. Although the SERS signals varied in different measured regions because of the random nanostructure, the SERS substrates with nanopillar-on-pyramid structure always have the stronger enhancement factor than the SERS substrates with only pyramids or nanopillars. Based on the atomic force microscope and reflection measurements, the nanopillar-on-pyramid structure provided a large surface area and multiple reflections for SERS enhancement, which was about 3 orders of magnitude larger than that of the planar substrate. Our results can be applied to fabricate the inexpensive, large surface area, and high SERS enhancement substrates.

  17. Bulk etching characteristics of CR-39 track detectors in hydroxide solutions

    International Nuclear Information System (INIS)

    Fonseca, E.S. da; Knoefel, T.M.J.; Tavares, O.A.P.

    1983-01-01

    A systematic study of the bulk etch rate of CR-39 track detectors in KOH and NaOH aqueous solutions is presented. A number of unirradiated and non-thermally treated CR-39 samples were chemically attacked in KOH and NaOH solutions of concentration and temperature in the range 2-10 N and 50-90 0 C, respectively. From measurements of the thickness of layers removed as a function of the etching time, the bulk etch rate υ β and the induction time T ο for surface removal were obtained for each etching condition. For both NaOH and KOH solution the activation energy of the process was derived as E = 0.76 ± 0.05 eV. It was observed that the induction time decreases both with increasing normality and temperature of the solution. (author) [pt

  18. Etching microscopic defects in polycarbonate due to high dose ArF or KrF laser exposure

    Energy Technology Data Exchange (ETDEWEB)

    Jaleh, B. [Physics Department, Bu- Alisina University, Hamadan (Iran, Islamic Republic of); Parvin, P. [Physics Department, Amirkabir University, P.O. Box 15875-4413, Hafez Ave, Tehran (Iran, Islamic Republic of) and Laser Research Center, Atomic Energy Organization of Iran, AEOI, Tehran (Iran, Islamic Republic of) ]. E-mail: parvin@aut.ac.ir; Katoozi, M. [National Radiation Protection Department, AEOI, Tehran (Iran, Islamic Republic of); Zamani, Z. [Laser Research Center, Atomic Energy Organization of Iran, AEOI, Tehran (Iran, Islamic Republic of); Zare, A. [Laser Research Center, Atomic Energy Organization of Iran, AEOI, Tehran (Iran, Islamic Republic of)

    2005-11-15

    The ArF or KrF excimer laser exposure on the polycarbonate (PC) with corresponding doses higher than {phi}{sub th}5.2J/cm{sup 2}, at 32mJ/cm{sup 2} fluence per pulse and 5Hz pulse repetition rate (PRR), induces regular defects leading to self assembled defect structure following electrochemical etching (ECE). We have observed the conical-like structure for {phi}>{phi}{sub th}, whereas the polymer experiences hardening effect due to crosslinking when {phi}<{phi}{sub th}. Subsequently, conical-like, structure turns into track-like pits developing under ECE multiple treeing. Self assembled defect structure may be seen by naked eye as white spots, despite SEM illustrates a type of periodic pit formation-morphology. The exact explanation of the effect is not well understood yet. It looks like alpha tracks in the polymer surface, however the PC pieces were simply treated by excimer lasers at high doses, and they have not been exposed to the nuclear particles afterwards. We could not observe those effects at 308nm (XeCl laser) or longer wavelengths at 351nm (XeF laser) where UV photoablation does not occur. It indicates that UV ablation establishes surface degradation at shorter wavelengths, leading to laser micro etching. The mean track (defect) density is about one order of magnitude greater than the normal alpha tracks. Increasing UV doses, polymer undergoes a plateau, corresponding to etched defect saturation on PC.

  19. Etching microscopic defects in polycarbonate due to high dose ArF or KrF laser exposure

    International Nuclear Information System (INIS)

    Jaleh, B.; Parvin, P.; Katoozi, M.; Zamani, Z.; Zare, A.

    2005-01-01

    The ArF or KrF excimer laser exposure on the polycarbonate (PC) with corresponding doses higher than φ th 5.2J/cm 2 , at 32mJ/cm 2 fluence per pulse and 5Hz pulse repetition rate (PRR), induces regular defects leading to self assembled defect structure following electrochemical etching (ECE). We have observed the conical-like structure for φ>φ th , whereas the polymer experiences hardening effect due to crosslinking when φ th . Subsequently, conical-like, structure turns into track-like pits developing under ECE multiple treeing. Self assembled defect structure may be seen by naked eye as white spots, despite SEM illustrates a type of periodic pit formation-morphology. The exact explanation of the effect is not well understood yet. It looks like alpha tracks in the polymer surface, however the PC pieces were simply treated by excimer lasers at high doses, and they have not been exposed to the nuclear particles afterwards. We could not observe those effects at 308nm (XeCl laser) or longer wavelengths at 351nm (XeF laser) where UV photoablation does not occur. It indicates that UV ablation establishes surface degradation at shorter wavelengths, leading to laser micro etching. The mean track (defect) density is about one order of magnitude greater than the normal alpha tracks. Increasing UV doses, polymer undergoes a plateau, corresponding to etched defect saturation on PC

  20. Comparison of shear bond strength of self-etch and self-adhesive cements bonded to lithium disilicate, enamel and dentin.

    Science.gov (United States)

    Naranjo, Jennifer; Ali, Mohsin; Belles, Donald

    2015-11-01

    Comparison of shear bond strength of self-etch and self-adhesive cements bonded to lithium disilicate, enamel and dentin. With several self-adhesive resin cements currently available, there is confusion about which product and technique is optimal for bonding ceramic restorations to teeth. The objective of this study was to compare the shear bond strength of lithium disilicate cemented to enamel and dentin using 5 adhesive cements. 100 lithium disilicate rods were pretreated with 5% hydrofluoric acid, silane, and cemented to 50 enamel and 50 dentin surfaces using five test cements: Variolink II (etch-and-rinse) control group, Clearfil Esthetic (two step self-etch), RelyX Unicem, SpeedCEM, and BifixSE (self-adhesive). All specimens were stored (37 degrees C, 100% humidity) for 24 hours before testing their shear bond strength using a universal testing machine (Instron). Debonded surfaces were observed under a low-power microscope to assess the location and type of failure. The highest bond strength for both enamel and dentin were recorded for Variolink II, 15.1MPa and 20.4MPa respectively, and the lowest were recorded for BifixSE, 0.6MPa and 0.9MPa respectively. Generally, higher bond strengths were found for dentin (7.4MPa) than enamel (5.3MPa). Tukey's post hoc test showed no significant difference between Clearfil Esthetic and SpeedCem (p = 0.059), Unicem and SpeedCem (p = 0.88), and Unicem and BifixSE (p = 0.092). All cements bonded better to lithium disilicate than to enamel or dentin, as all bond failures occurred at the tooth/adhesive interface except for Variolink II. Bond strengths recorded for self-adhesive cements were very low compared to the control "etch and rinse" and self-etch systems. Further improvements are apparently needed in self-adhesive cements for them to replace multistep adhesive systems. The use of conventional etch and rinse cements such as Veriolink II should be preferred for cementing all ceramic restorations over self-adhesive cements

  1. Etching of uranium dioxide in nitrogen trifluoride RF plasma glow discharge

    Science.gov (United States)

    Veilleux, John Mark

    1999-10-01

    A series of room temperature, low pressure (10.8 to 40 Pa), low power (25 to 210 W) RF plasma glow discharge experiments with UO2 were conducted to demonstrate that plasma treatment is a viable method for decontaminating UO2 from stainless steel substrates. Experiments were conducted using NF3 gas to decontaminate depleted uranium dioxide from stainless-steel substrates. Results demonstrated that UO2 can be completely removed from stainless-steel substrates after several minutes processing at under 200 W. At 180 W and 32.7 Pa gas pressure, over 99% of all UO2 in the samples was removed in just 17 minutes. The initial etch rate in the experiments ranged from 0.2 to 7.4 mum/min. Etching increased with the plasma absorbed power and feed gas pressure in the range of 10.8 to 40 Pa. A different pressure effect on UO2 etching was also noted below 50 W in which etching increased up to a maximum pressure, ˜23 Pa, then decreased with further increases in pressure. A computer simulation, CHEMKIN, was applied to predict the NF3 plasma species in the experiments. The code was validated first by comparing its predictions of the NF3 plasma species with mass spectroscopy etching experiments of silicon. The code predictions were within +/-5% of the measured species concentrations. The F atom radicals were identified as the primary etchant species, diffusing from the bulk plasma to the UO2 surface and reacting to form a volatile UF6, which desorbed into the gas phase to be pumped away. Ions created in the plasma were too low in concentration to have a major effect on etching, but can enhance the etch rate by removing non-volatile reaction products blocking the reaction of F with UO2. The composition of these non-volatile products were determined based on thermodynamic analysis and the electronic structure of uranium. Analysis identified possible non-volatile products as the uranium fluorides, UF2-5, and certain uranium oxyfluorides UO2F, UO2F2, UOF3, and UOF 4 which form over the

  2. Comprehensive Study of SF_6/O_2 Plasma Etching for Mc-Silicon Solar Cells

    International Nuclear Information System (INIS)

    Li Tao; Zhou Chun-Lan; Wang Wen-Jing

    2016-01-01

    The mask-free SF_6/O_2 plasma etching technique is used to produce surface texturization of mc-silicon solar cells for efficient light trapping in this work. The SEM images and mc-silicon etching rate show the influence of plasma power, SF_6/O_2 flow ratios and etching time on textured surface. With the acidic-texturing samples as a reference, the reflection and IQE spectra are obtained under different experimental conditions. The IQE spectrum measurement shows an evident increase in the visible and infrared responses. By using the optimized plasma power, SF_6/O_2 flow ratios and etching time, the optimal efficiency of 15.7% on 50 × 50 mm"2 reactive ion etching textured mc-silicon silicon solar cells is achieved, mostly due to the improvement in the short-circuit current density. The corresponding open-circuit voltage, short-circuit current density and fill factor are 611 mV, 33.6 mA/cm"2, 76.5%, respectively. It is believed that such a low-cost and high-performance texturization process is promising for large-scale industrial silicon solar cell manufacturing. (paper)

  3. From acid etching treatments to tribocorrosive properties of dental implants: do some experimental results on surface treatments have an influence on the tribocorrosion behaviour of dental implants?

    International Nuclear Information System (INIS)

    Geringer, Jean; Demanget, Nicolas; Pellier, Julie

    2013-01-01

    Surface treatments of dental implants aim at promoting osseointegration, i.e. the anchorage of the metallic part. Titanium-, grade II–V, based material is used as a bulk material for dental implants. For promoting the anchorage of this metallic biomaterial in human jaw, some strategies have been applied for improving the surface state, i.e. roughness, topography and coatings. A case study, experimental study, is described with the method of acid etching on titanium grade 4, CpTi. The main goal is to find the right proportion in a mixture of two acids in order to obtain the best surface state. Finally, a pure theoretical prediction is quite impossible and some experimental investigations are necessary to improve the surface state. The described acid etching is compared with some other acid etching treatments and some coatings available on dental implants. Thus, the discussion is focused on the tribocorrosion behaviour of titanium-based materials. The purpose of the coating is that the lifetime under tribocorrosion is limited. Moreover, the surgery related to the implantation has a huge impact on the stability of dental implants. Thus, the performance of dental implants depends on factors related to surgery (implantation) that are difficult to predict from the biomaterial characteristics. From the tribocorrosion point of view, i.e. during the mastication step, the titanium material is submitted to some deleterious factors that cause the performance of dental implants to decrease. (paper)

  4. Shapes of agglomerates in plasma etching reactors

    International Nuclear Information System (INIS)

    Huang, F.Y.; Kushner, M.J.

    1997-01-01

    Dust particle contamination of wafers in reactive ion etching (RIE) plasma tools is a continuing concern in the microelectronics industry. It is common to find that particles collected on surfaces or downstream of the etch chamber are agglomerates of smaller monodisperse spherical particles. The shapes of the agglomerates vary from compact, high fractal dimension structures to filamentary, low fractal dimension structures. These shapes are important with respect to the transport of particles in RIE tools under the influence electrostatic and ion drag forces, and the possible generation of polarization forces. A molecular dynamics simulation has been developed to investigate the shapes of agglomerates in plasma etching reactors. We find that filamentary, low fractal dimension structures are generally produced by smaller (<100s nm) particles in low powered plasmas where the kinetic energy of primary particles is insufficient to overcome the larger Coulomb repulsion of a compact agglomerate. This is analogous to the diffusive regime in neutral agglomeration. Large particles in high powered plasmas generally produce compact agglomerates of high fractal dimension, analogous to ballistic agglomeration of neutrals. copyright 1997 American Institute of Physics

  5. In-situ photoluminescence imaging for passivation-layer etching process control for photovoltaics

    Science.gov (United States)

    Lee, J. Z.; Michaelson, L.; Munoz, K.; Tyson, T.; Gallegos, A.; Sullivan, J. T.; Buonassisi, T.

    2014-07-01

    Light-induced plating (LIP) of solar-cell metal contacts is a scalable alternative to silver paste. However, LIP requires an additional patterning step to create openings in the silicon nitride (SiNx) antireflection coating (ARC) layer prior to metallization. One approach to pattern the SiNx is masking and wet chemical etching. In-situ real-time photoluminescence imaging (PLI) is demonstrated as a process-monitoring method to determine when SiNx has been fully removed during etching. We demonstrate that the change in PLI signal intensity during etching is caused by a combination of (1) decreasing light absorption from the reduction in SiNx ARC layer thickness and (2) decreasing surface lifetime as the SiNx/Si interface transitions to an etch-solution/Si. Using in-situ PLI to guide the etching process, we demonstrate a full-area plated single-crystalline silicon device. In-situ PLI has the potential to be integrated into a commercial processing line to improve process control and reliability.

  6. The theory of development of surface morphology by sputter erosion processes

    International Nuclear Information System (INIS)

    Carter, G.; Nobes, M.J.

    1984-01-01

    When a surface is bombarded by an energetic ion flux a rich variety of surface structures are observed to develop at the atomic, microscopic and macroscopic scales. Such structures include elevated, with respect to the surrounding surface, features such as mesas or plateaux, ridges, cones and pyramids and depressed features such as etch pits and cavities. These elementary features may be isolated or in profusion and frequently repetitive patterns of coordinated pyramidal structures, etch pits, surface ledges or facets and ripple or wave-like structures occur. The majority of the features arise rather directly from the erosion action of the sputtering process, particularly from differential erosion processes at different surface localities. The authors outline a general approach to sputter erosion induced surface morphology development based on the concept of the surface as an advancing wave. (Auth.)

  7. Color Stability of Enamel following Different Acid Etching and Color Exposure Times

    Directory of Open Access Journals (Sweden)

    Arezoo Jahanbin

    2014-06-01

    Full Text Available Background and aims. The aim of this study was to evaluate the effect of different etching times on enamel color stability after immediate versus delayed exposure to colored artificial saliva (CAS. Materials and methods. Human first premolars were divided into five groups of twenty. A colorimeter was used according to the CIE system on the mid-buccal and mid-lingual surfaces to evaluate initial tooth color. Samples in group A remained unetched. In groups B to E, buccal and lingual surfaces were initially etched with phosphoric acid for 15 and 60 seconds, respectively. Then, the samples in groups A and C were immersed in colored artificial saliva (cola+saliva. In group B, the teeth were immersed in simple artificial saliva (AS. Samples in groups D and E were immersed in AS for 24 and 72 hours, respectively before being immersed in colored AS. The teeth were immersed for one month in each solution before color measurement. During the test period, the teeth were retrieved from the staining solution and stored in AS for five minutes. This was repeated 60 times. Color changes of buccal and lingual surfaces were calculated. Kruskal-Wallis and Wilcoxon tests were used for statistical analysis (α ≤ 0.05. Results. There were no significant differences between the groups in term of ΔE of buccal (P = 0.148 and lingual surfaces (P = 0.73. Conclusion. Extended time of etching did not result in significant enamel color change. Immediate and delayed exposure of etched enamel to staining solutions did not result in clinically detectable tooth color changes.

  8. Thermodynamics of nuclear track chemical etching

    Science.gov (United States)

    Rana, Mukhtar Ahmed

    2018-05-01

    This is a brief paper with new and useful scientific information on nuclear track chemical etching. Nuclear track etching is described here by using basic concepts of thermodynamics. Enthalpy, entropy and free energy parameters are considered for the nuclear track etching. The free energy of etching is determined using etching experiments of fission fragment tracks in CR-39. Relationship between the free energy and the etching temperature is explored and is found to be approximately linear. The above relationship is discussed. A simple enthalpy-entropy model of chemical etching is presented. Experimental and computational results presented here are of fundamental interest in nuclear track detection methodology.

  9. Influence of de/remineralization of enamel on the tensile bond strength of etch-and-rinse and self-etching adhesives.

    Science.gov (United States)

    Farias de Lacerda, Ana Julia; Ferreira Zanatta, Rayssa; Crispim, Bruna; Borges, Alessandra Bühler; Gomes Torres, Carlos Rocha; Tay, Franklin R; Pucci, Cesar Rogério

    2016-10-01

    To evaluate the bonding behavior of resin composite and different adhesives applied to demineralized or remineralized enamel. Bovine tooth crowns were polished to prepare a 5 mm2 enamel bonding area, and divided into five groups (n= 48) according to the surface treatment: CONT (sound enamel control), DEM (demineralized with acid to create white spot lesions), REMS (DEM remineralized with artificial saliva), REMF (DEM remineralized with sodium fluoride) and INF (DEM infiltrated with Icon resin infiltrant). The surface-treated teeth were divided into two subgroups (n= 24) according to adhesive type: ER (etch-and-rinse; Single Bond Universal) and SE (self-etching; Clearfill S3 Bond), and further subdivided into two categories (n= 12) according to aging process: Thermo (thermocycling) and NA (no aging). Composite blocks were made over bonded enamel and sectioned for microtensile bond strength (MTBS) testing. Data were analyzed with three-way ANOVA and post-hoc Tukey's test (α= 0.05). Significant differences were observed for enamel surface treatment (Padhesive type (PUniversal had higher MTBS than Clearfil S3 Bond; thermo-aging resulted in lower MTBS irrespective of adhesive type and surface treatment condition. The predominant failure mode was mixed for all groups. Enamel surface infiltrated with Icon does not interfere with adhesive resin bonding procedures. Treatment of enamel surface containing white spot lesions or cavities with cavosurface margins in partially-demineralized enamel can benefit from infiltration with a low viscosity resin infiltrant prior to adhesive bonding of resin composites.

  10. Angular dependence of etch rates in the etching of poly-Si and fluorocarbon polymer using SF6, C4F8, and O2 plasmas

    International Nuclear Information System (INIS)

    Min, Jae-Ho; Lee, Gyeo-Re; Lee, Jin-Kwan; Moon, Sang Heup; Kim, Chang-Koo

    2004-01-01

    The dependences of etch rates on the angle of ions incident on the substrate surface in four plasma/substrate systems that constitute the advanced Bosch process were investigated using a Faraday cage designed for the accurate control of the ion-incident angle. The four systems, established by combining discharge gases and substrates, were a SF 6 /poly-Si, a SF 6 /fluorocarbon polymer, an O 2 /fluorocarbon polymer, and a C 4 F 8 /Si. In the case of SF 6 /poly-Si, the normalized etch rates (NERs), defined as the etch rates normalized by the rate on the horizontal surface, were higher at all angles than values predicted from the cosine of the ion-incident angle. This characteristic curve shape was independent of changes in process variables including the source power and bias voltage. Contrary to the earlier case, the NERs for the O 2 /polymer decreased and eventually reached much lower values than the cosine values at angles between 30 deg. and 70 deg. when the source power was increased and the bias voltage was decreased. On the other hand, the NERs for the SF 6 /polymer showed a weak dependence on the process variables. In the case of C 4 F 8 /Si, which is used in the Bosch process for depositing a fluorocarbon layer on the substrate surface, the deposition rate varied with the ion incident angle, showing an S-shaped curve. These characteristic deposition rate curves, which were highly dependent on the process conditions, could be divided into four distinct regions: a Si sputtering region, an ion-suppressed polymer deposition region, an ion-enhanced polymer deposition region, and an ion-free polymer deposition region. Based on the earlier characteristic angular dependences of the etch (or deposition) rates in the individual systems, ideal process conditions for obtaining an anisotropic etch profile in the advanced Bosch process are proposed

  11. Localized etching of polymer films using an atmospheric pressure air microplasma jet

    International Nuclear Information System (INIS)

    Guo, Honglei; Liu, Jingquan; Yang, Bin; Chen, Xiang; Yang, Chunsheng

    2015-01-01

    A direct-write process device based on the atmospheric pressure air microplasma jet (AμPJ) has been developed for the localized etching of polymer films. The plasma was generated by the air discharge ejected out through a tip-nozzle (inner diameter of 100 μm), forming the microplasma jet. The AμPJ was capable of reacting with the polymer surface since it contains a high concentration of oxygen reactive species and thus resulted in the selective removal of polymer films. The experimental results demonstrated that the AμPJ could fabricate different microstructures on a parylene-C film without using any masks or causing any heat damage. The etch rate of parylene-C reached 5.1 μm min −1 and microstructures of different depth and width could also be realized by controlling two process parameters, namely, the etching time and the distance between the nozzle and the substrate. In addition, combining XPS analysis and oxygen-induced chemical etching principles, the potential etching mechanism of parylene-C by the AμPJ was investigated. Aside from the etching of parylene-C, micro-holes on the photoresist and polyimide film were successfully created by the AμPJ. In summary, maskless pattern etching of polymer films could be achieved using this AμPJ. (paper)

  12. Formation of biaxial texture in metal films by selective ion beam etching

    Energy Technology Data Exchange (ETDEWEB)

    Park, S.J. [Department of Materials Science and Engineering, University of Florida, 106 Rhines Hall, P.O. Box 116400, Gainesville, FL 32611 (United States); Norton, D.P. [Department of Materials Science and Engineering, University of Florida, 106 Rhines Hall, P.O. Box 116400, Gainesville, FL 32611 (United States)]. E-mail: dnort@mse.ufl.edu; Selvamanickam, Venkat [IGC-SuperPower, LLC, 450 Duane Avenue, Schenectady, NY 12304 (United States)

    2006-05-15

    The formation of in-plane texture via ion bombardment of uniaxially textured metal films was investigated. In particular, selective grain Ar ion beam etching of uniaxially textured (0 0 1) Ni was used to achieve in-plane aligned Ni grains. Unlike conventional ion beam assisted deposition, the ion beam irradiates the uniaxially textured film surface with no impinging deposition flux. The initial uniaxial texture is established via surface energy minimization with no ion irradiation. Within this sequential texturing method, in-plane grain alignment is driven by selective etching and grain overgrowth. Biaxial texture was achieved for ion beam irradiation at elevated temperature.

  13. Formation of biaxial texture in metal films by selective ion beam etching

    International Nuclear Information System (INIS)

    Park, S.J.; Norton, D.P.; Selvamanickam, Venkat

    2006-01-01

    The formation of in-plane texture via ion bombardment of uniaxially textured metal films was investigated. In particular, selective grain Ar ion beam etching of uniaxially textured (0 0 1) Ni was used to achieve in-plane aligned Ni grains. Unlike conventional ion beam assisted deposition, the ion beam irradiates the uniaxially textured film surface with no impinging deposition flux. The initial uniaxial texture is established via surface energy minimization with no ion irradiation. Within this sequential texturing method, in-plane grain alignment is driven by selective etching and grain overgrowth. Biaxial texture was achieved for ion beam irradiation at elevated temperature

  14. Bonding characteristics of self-etching adhesives to intact versus prepared enamel.

    Science.gov (United States)

    Perdigão, Jorge; Geraldeli, Saulo

    2003-01-01

    This study tested the null hypothesis that the preparation of the enamel surface would not affect the enamel microtensile bond strengths of self-etching adhesive materials. Ten bovine incisors were trimmed with a diamond saw to obtain a squared enamel surface with an area of 8 x 8 mm. The specimens were randomly assigned to five adhesives: (1) ABF (Kuraray), an experimental two-bottle self-etching adhesive; (2) Clearfil SE Bond (Kuraray), a two-bottle self-etching adhesive; (3) One-Up Bond F (Tokuyama), an all-in-one adhesive; (4) Prompt L-Pop (3M ESPE), an all-in-one adhesive; and (5) Single Bond (3M ESPE), a two-bottle total-etch adhesive used as positive control. For each specimen, one half was roughened with a diamond bur for 5 seconds under water spray, whereas the other half was left unprepared. The adhesives were applied as per manufacturers' directions. A universal hybrid composite resin (Filtek Z250, 3M ESPE) was inserted in three layers of 1.5 mm each and light-cured. Specimens were sectioned in X and Y directions to obtain bonded sticks with a cross-sectional area of 0.8 +/- 0.2 mm2. Sticks were tested in tension in an Instron at a cross-speed of 1 mm per minute. Statistical analysis was carried out with two-way analysis of variance and Duncan's test at p adhesive, resulted in statistically higher microtensile bond strength than any of the other adhesives regardless of the enamel preparation (unprepared = 31.5 MPa; prepared = 34.9 MPa, not statistically different at p adhesives resulted in higher microtensile bond strength when enamel was roughened than when enamel was left unprepared. However, for ABF and for Clearfil SE Bond this difference was not statistically significant at p > .05. When applied to ground enamel, mean bond strengths of Prompt L-Pop were not statistically different from those of Clearfil SE Bond and ABF. One-Up Bond F did not bond to unprepared enamel. Commercial self-etching adhesives performed better on prepared enamel than on

  15. Methods of etching a substrate

    International Nuclear Information System (INIS)

    Cosmo, J.J.; Gambino, R.J.; Harper, J.M.E.

    1979-01-01

    The invention relates to a method of etching a substrate. The substrate is located opposite a target electrode in a vacuum chamber, and the surface of the target electrode is bombarded with energetic particles of atomic dimensions. The target electrode is an intermetallic composition (compound, alloy or finely divided homogeneous mixture) of two metals A and B such that upon bombardment the electrode emits negative ions of metal B which have sufficient energy to produce etching of the substrate. Many target materials are exemplified. Typically the metal A has an electronegativity XA and metal B has an electronegativity XB such that Xb - Xa is greater than about 2.55 electron volts, with the exception of combinations of metals having a fractional ionicity Q less than about 0.314. The source of the energetic particles may be an ionised gas in the vacuum chamber. The apparatus and its mode of operation are described in detail. (U.K.)

  16. Methods of etching a substrate

    Energy Technology Data Exchange (ETDEWEB)

    Cosmo, J J; Gambino, R J; Harper, J M.E.

    1979-05-16

    The invention relates to a method of etching a substrate. The substrate is located opposite a target electrode in a vacuum chamber, and the surface of the target electrode is bombarded with energetic particles of atomic dimensions. The target electrode is an intermetallic composition (compound, alloy or finely divided homogeneous mixture) of two metals A and B such that upon bombardment the electrode emits negative ions of metal B which have sufficient energy to produce etching of the substrate. Many target materials are exemplified. Typically the metal A has an electronegativity XA and metal B has an electronegativity XB such that Xb - Xa is greater than about 2.55 electron volts, with the exception of combinations of metals having a fractional ionicity Q less than about 0.314. The source of the energetic particles may be an ionised gas in the vacuum chamber. The apparatus and its mode of operation are described in detail.

  17. Acid Solutions for Etching Corrosion-Resistant Metals

    Science.gov (United States)

    Simmons, J. R.

    1982-01-01

    New study characterized solutions for etching austenitic stainless steels, nickel-base alloys, and titanium alloys (annealed). Solutions recommended for use remove at least 0.4 mil of metal from surface in less than an hour. Solutions do not cause intergranular attack on metals for which they are effective, when used under specified conditions.

  18. Enamel Deproteinization using Papacarie and 10% Papain Gel on Shear Bond Strength of Orthodontic Brackets Before and After Acid Etching.

    Science.gov (United States)

    Agarwal, R M; Yeluri, R; Singh, C; Munshi, A K

    2015-01-01

    To suggest Papacarie(®) as a new deproteinizing agent in comparison with indigenously prepared 10% papain gel before and after acid etching that may enhance the quality of the bond between enamel surface and composite resin complex. One hundred and twenty five extracted human premolars were utilized and divided into five groups: In the group 1, enamel surface was etched and primer was applied. In group 2, treatment with papacarie(®) for 60 seconds followed by etching and primer application. In group 3, etching followed by treatment with papacarie(®) for 60 seconds and primer application. In group 4, treatment with 10% papain gel for 60 seconds followed by etching and primer application. In group 5, etching followed by treatment with 10% papain gel for 60 seconds and primer application . After bonding the brackets, the mechanical testing was performed using a Universal testing machine. The failure mode was analyzed using an adhesive remnant index. The etching patterns before and after application of papacarie(®) and 10% papain gel was also evaluated using SEM. The values obtained for shear bond strength were submitted to analysis of variance and Tukey test (p Adhesive remnant index no statistical difference was seen between the groups (p=0.538). Papacarie(®) or 10% papain gel can be used to deproteinize the enamel surface before acid etching to enhance the bond strength of orthodontic brackets.

  19. In vitro study of 3D PLGA/n-HAp/β-TCP composite scaffolds with etched oxygen plasma surface modification in bone tissue engineering

    Energy Technology Data Exchange (ETDEWEB)

    Roh, Hee-Sang [Department of Dental Materials, School of Dentistry, Chosun University, 309 Pilmun-daero, Dong-gu, Gwangju 61452 (Korea, Republic of); Jung, Sang-Chul [Department of Environmental Engineering, Sunchon National University, 255 Jungang-ro, Sunchon 57922 (Korea, Republic of); Kook, Min-Suk [Department of Oral and Maxillofacial Surgery, School of Dentistry, Chonnam National University, 77 Yongbong-ro, Buk-gu, Gwangju 61186 (Korea, Republic of); Kim, Byung-Hoon, E-mail: kim5055@chosun.ac.kr [Department of Dental Materials, School of Dentistry, Chosun University, 309 Pilmun-daero, Dong-gu, Gwangju 61452 (Korea, Republic of)

    2016-12-01

    Highlights: • PLGA and PLGA/n-HAp/β-TCP scaffolds were successfully fabricated by 3D printing. • Oxygen plasma etching increases the wettability and surface roughness. • Bioceramics and oxygen plasma etching and could be used to improve the cell affinity. - Abstract: Three-dimensional (3D) scaffolds have many advantageous properties for bone tissue engineering application, due to its controllable properties such as pore size, structural shape and interconnectivity. In this study, effects on oxygen plasma surface modification and adding of nano-hydroxyapatite (n-HAp) and β-tricalcium phosphate (β-TCP) on the 3D PLGA/n-HAp/β-TCP scaffolds for improving preosteoblast cell (MC3T3-E1) adhesion, proliferation and differentiation were investigated. The 3D PLGA/n-HAp/β-TCP scaffolds were fabricated by 3D Bio-Extruder equipment. The 3D scaffolds were prepared with 0°/90° architecture and pore size of approximately 300 μm. In addition 3D scaffolds surface were etched by oxygen plasma to enhance the hydrophilic property and surface roughness. After oxygen plasma treatment, the surface chemistry and morphology were investigated by Fourier transform infrared spectroscopy, scanning electron microscopy, and atomic force microscopy. And also hydrophilic property was measured by contact angle. The MC3T3-E1 cell proliferation and differentiation were investigated by MTT assay and ALP activity. In present work, the 3D PLGA/HAp/beta-TCP composite scaffold with suitable structure for the growth of osteoblast cells was successfully fabricated by 3D rapid prototyping technique. The surface hydrophilicity and roughness of 3D scaffold increased by oxygen plasma treatment had a positive effect on cell adhesion, proliferation, and differentiation. Furthermore, the differentiation of MC3T3-E1 cell was significantly enhanced by adding of n-HAp and β-TCP on 3D PLGA scaffold. As a result, combination of bioceramics and oxygen plasma treatment showed a synergistic effect on

  20. Comparison Of Bond Strength Of Orthodontic Molar Tubes Using Different Enamel Etching Techniques And Their Effect On Enamel

    International Nuclear Information System (INIS)

    Abd el Rahman, H.Y.

    2013-01-01

    In fixed orthodontic treatment, brackets and tubes are used for transferring orthodontic forces to the teeth. Those attachments were welded to cemented bands. Fifty years ago, direct bonding of brackets and other attachments has become a common technique in fixed orthodontic treatment. Orthodontists used to band teeth, especially molars and second premolars, to avoid the need for re bonding accessories in these regions of heavy masticatory forces. However, it is a known fact that direct bonding saves chair time as it does not require prior band selection and fitting, has the ability to maintain good oral hygiene, improve esthetics and make easier attachment to crowded and partially erupted teeth. Moreover, when the banding procedure is not performed with utmost care it can damage periodontal and/or dental tissues. Molar tubes bonding decreases the chance of decalcification caused by leakage beneath the bands. Since molar teeth are subjected to higher masticatory impact, especially lower molars, it would be convenient to devise methods capable of increasing the efficiency of their traditional bonding. These methods may include variation in bond able molar tube material, design, bonding materials and etching techniques. For achieving successful bonding, the bonding agent must penetrate the enamel surface; have easy clinical use, dimensional stability and enough bond strength. Different etching techniques were introduced in literature to increase the bond strength which includes: conventional acid etching, sandblasting and laser etching techniques. The process of conventional acid etching technique was invented In (1955) as the surface of enamel has great potential for bonding by micromechanical retention, to form ‘the mechanical lock‘. The primary effect of enamel etching is to increase the surface area. However, this roughens the enamel microscopically and results in a greater surface area on which to bond. By dissolving minerals in enamel, etchants remove the

  1. Investigation of sidewall roughness of the microgrooves manufactured with laser-induced etching technique

    International Nuclear Information System (INIS)

    Oh, Kwang H.; Park, J.B.; Cho, S.I.; Im, H.D.; Jeong, S.H.

    2009-01-01

    A novel laser etching technique utilizing an optical fiber as the laser beam guide is introduced. Depending upon whether a pulsed or a continuous wave (CW) laser is employed as the irradiation source, it was found that the etch depth and surface morphology of the grooves varied significantly. It was then demonstrated that deep microgrooves with smooth sidewalls can be obtained using a hybrid pulse and CW scanning process. The results of laser heating and chemical analyses revealed that sidewall roughness of the microgroove is mainly attributed to surface melting.

  2. A deep etching mechanism for trench-bridging silicon nanowires.

    Science.gov (United States)

    Tasdemir, Zuhal; Wollschläger, Nicole; Österle, Werner; Leblebici, Yusuf; Alaca, B Erdem

    2016-03-04

    Introducing a single silicon nanowire with a known orientation and dimensions to a specific layout location constitutes a major challenge. The challenge becomes even more formidable, if one chooses to realize the task in a monolithic fashion with an extreme topography, a characteristic of microsystems. The need for such a monolithic integration is fueled by the recent surge in the use of silicon nanowires as functional building blocks in various electromechanical and optoelectronic applications. This challenge is addressed in this work by introducing a top-down, silicon-on-insulator technology. The technology provides a pathway for obtaining well-controlled silicon nanowires along with the surrounding microscale features up to a three-order-of-magnitude scale difference. A two-step etching process is developed, where the first shallow etch defines a nanoscale protrusion on the wafer surface. After applying a conformal protection on the protrusion, a deep etch step is carried out forming the surrounding microscale features. A minimum nanowire cross-section of 35 nm by 168 nm is demonstrated in the presence of an etch depth of 10 μm. Nanowire cross-sectional features are characterized via transmission electron microscopy and linked to specific process steps. The technology allows control on all dimensional aspects along with the exact location and orientation of the silicon nanowire. The adoption of the technology in the fabrication of micro and nanosystems can potentially lead to a significant reduction in process complexity by facilitating direct access to the nanowire during surface processes such as contact formation and doping.

  3. A deep etching mechanism for trench-bridging silicon nanowires

    International Nuclear Information System (INIS)

    Tasdemir, Zuhal; Alaca, B Erdem; Wollschläger, Nicole; Österle, Werner; Leblebici, Yusuf

    2016-01-01

    Introducing a single silicon nanowire with a known orientation and dimensions to a specific layout location constitutes a major challenge. The challenge becomes even more formidable, if one chooses to realize the task in a monolithic fashion with an extreme topography, a characteristic of microsystems. The need for such a monolithic integration is fueled by the recent surge in the use of silicon nanowires as functional building blocks in various electromechanical and optoelectronic applications. This challenge is addressed in this work by introducing a top-down, silicon-on-insulator technology. The technology provides a pathway for obtaining well-controlled silicon nanowires along with the surrounding microscale features up to a three-order-of-magnitude scale difference. A two-step etching process is developed, where the first shallow etch defines a nanoscale protrusion on the wafer surface. After applying a conformal protection on the protrusion, a deep etch step is carried out forming the surrounding microscale features. A minimum nanowire cross-section of 35 nm by 168 nm is demonstrated in the presence of an etch depth of 10 μm. Nanowire cross-sectional features are characterized via transmission electron microscopy and linked to specific process steps. The technology allows control on all dimensional aspects along with the exact location and orientation of the silicon nanowire. The adoption of the technology in the fabrication of micro and nanosystems can potentially lead to a significant reduction in process complexity by facilitating direct access to the nanowire during surface processes such as contact formation and doping. (paper)

  4. A deep etching mechanism for trench-bridging silicon nanowires

    Science.gov (United States)

    Tasdemir, Zuhal; Wollschläger, Nicole; Österle, Werner; Leblebici, Yusuf; Erdem Alaca, B.

    2016-03-01

    Introducing a single silicon nanowire with a known orientation and dimensions to a specific layout location constitutes a major challenge. The challenge becomes even more formidable, if one chooses to realize the task in a monolithic fashion with an extreme topography, a characteristic of microsystems. The need for such a monolithic integration is fueled by the recent surge in the use of silicon nanowires as functional building blocks in various electromechanical and optoelectronic applications. This challenge is addressed in this work by introducing a top-down, silicon-on-insulator technology. The technology provides a pathway for obtaining well-controlled silicon nanowires along with the surrounding microscale features up to a three-order-of-magnitude scale difference. A two-step etching process is developed, where the first shallow etch defines a nanoscale protrusion on the wafer surface. After applying a conformal protection on the protrusion, a deep etch step is carried out forming the surrounding microscale features. A minimum nanowire cross-section of 35 nm by 168 nm is demonstrated in the presence of an etch depth of 10 μm. Nanowire cross-sectional features are characterized via transmission electron microscopy and linked to specific process steps. The technology allows control on all dimensional aspects along with the exact location and orientation of the silicon nanowire. The adoption of the technology in the fabrication of micro and nanosystems can potentially lead to a significant reduction in process complexity by facilitating direct access to the nanowire during surface processes such as contact formation and doping.

  5. Growth optimization and characterization of GaN epilayers on multifaceted (111) surfaces etched on Si(100) substrates

    Energy Technology Data Exchange (ETDEWEB)

    Ansah-Antwi, KwaDwo Konadu, E-mail: kakadee@gmail.com; Chua, Soo Jin [Institute of Materials Research and Engineering (IMRE), A*STAR (Agency for Science, Technology and Research), 2 Fusionopolis Way, Innovis # 08-03, Singapore 138634 (Singapore); Department of Electrical and Computer Engineering, National University of Singapore, E4-5-45, 4 Engineering Drive 3, Singapore 117576 (Singapore); Soh, Chew Beng [Singapore Institute of Technology, 10 Dover Drive, Singapore 138683 (Singapore); Liu, Hongfei [Institute of Materials Research and Engineering (IMRE), A*STAR (Agency for Science, Technology and Research), 2 Fusionopolis Way, Innovis # 08-03, Singapore 138634 (Singapore)

    2015-11-15

    The four nearest Si(111) multifaceted sidewalls were exposed inside an array of 3 μm-wide square holes patterned on an Si(100) substrate, and this patterned Si(100) substrate was used as a substrate for the deposition of a gallium nitride (GaN) epilayer. Subsequently the effect that the growth pressure, the etched-hole profiles, and the etched-hole arrangement had upon the quality of the as-grown GaN was investigated. The coalescence of the as-grown GaN epilayer on the exposed Si(111) facets was observed to be enhanced with reduced growth pressure from 120 to 90 Torr. A larger Si(001) plane area at the bottom of the etched holes resulted in bidirectional GaN domains, which resulted in poor material quality. The bidirectional GaN domains were observed as two sets of six peaks via a high-resolution x-ray diffraction phi scan of the GaN(10-11) reflection. It was also shown that a triangular array of etched holes was more desirable than square arrays of etched holes for the growth high-quality and continuous GaN films.

  6. Multi-species counter-current diffusion model for etching depleted uranium oxide in NF3, RF glow discharge

    International Nuclear Information System (INIS)

    Saber, H.H.; El-Genk, M.S.

    1999-01-01

    Results of recent experiments investigating the decontamination of depleted UO 2 using NF 3 gas, RF gloss discharge, showed that etching rate decreased monotonically with immersion time to the end point. In addition to the formation of non-volatile reaction products on UO 2 surface, the accumulation of UF 6 in the sheath contributed to the decrease in etch rate with immersion time. To investigate the latter, a transient, multi-species, counter-current diffusion model for UO 2 etching is developed. Model results indicated that, depending on gas pressure and absorbed power, the diffusion coefficient of F in the sheath decreased at the end point by ∼15%. At 17.0 Pa and 200 W, the mole fraction of F at UO 2 surface decreased rapidly with immersion time to 61% and 86% of its initial value, after one and two characteristic etch time, respectively, it became almost zero at the end point, reached after 4--5 characteristic etch times

  7. An etching mask and a method to produce an etching mask

    DEFF Research Database (Denmark)

    2016-01-01

    The present invention relates to an etching mask comprising silicon containing block copolymers produced by self-assembly techniques onto silicon or graphene substrate. Through the use of the etching mask, nanostructures having long linear features having sub-10 nm width can be produced....

  8. Influence of Different Etching Modes on Bond Strength to Enamel using Universal Adhesive Systems.

    Science.gov (United States)

    Diniz, Ana Cs; Bandeca, Matheus C; Pinheiro, Larissa M; Dos Santosh Almeida, Lauber J; Torres, Carlos Rg; Borges, Alvaro H; Pinto, Shelon Cs; Tonetto, Mateus R; De Jesus Tavarez, Rudys R; Firoozmand, Leily M

    2016-10-01

    The adhesive systems and the techniques currently used are designed to provide a more effective adhesion with reduction of the protocol application. The objective of this study was to evaluate the bond strength of universal adhesive systems on enamel in different etching modes (self-etch and total etch). The mesial and distal halves of 52 bovine incisors, healthy, freshly extracted, were used and divided into seven experimental groups (n = 13). The enamel was treated in accordance with the following experimental conditions: FUE-Universal System - Futurabond U (VOCO) with etching; FUWE - Futurabond U (VOCO) without etching; SB-Total Etch System - Single Bond 2 (3M); SBUE-Universal System - Single Bond Universal (3M ESPE) with etching; SBUWE - Single Bond Universal (3M ESPE) without etching; CLE-Self-etch System - Clearfil SE Bond (Kuraray) was applied with etching; CLWE - Clearfil SE Bond (Kuraray) without etching. The specimens were made using the composite spectrum TPH (Dentsply) and stored in distilled water (37 ± 1°C) for 1 month. The microshear test was performed using the universal testing machine EMIC DL 2000 with the crosshead speed of 0.5 mm/minute. The bond strength values were analyzed using statistical tests (Kruskal-Wallis test and Mann-Whitney test) with Bonferroni correction. There was no statistically significant difference between groups (p adhesive interface revealed that most failures occurred between the interface composite resin and adhesive. The universal adhesive system used in dental enamel varies according to the trademark, and the previous enamel etching for universal systems and the self-etch both induced greater bond strength values. Selective enamel etching prior to the application of a universal adhesive system is a relevant strategy for better performance bonding.

  9. Influence of hydrostatic pulpal pressure on the microtensile bond strength of all-in-one self-etching adhesives

    OpenAIRE

    Hosaka, K; Nakajima, M; Monticelli, F; Carrilho, M; Yamauti, M; Aksornmuang, J; Nishitani, Y; Tayh, FR; Pashley, DH; Tagami, J

    2007-01-01

    Purpose: To evaluate the microtensile bond strength (mu TBS) of two all-in-one self-etching adhesive systems and two self etching adhesives with and without simulated hydrostatic pulpal pressure (PP). Materials and Methods: Flat coronal dentin surfaces of extracted human molars were prepared. Two all-in-one self-etching adhesive systems, One-Up Bond F (OBF; Tokuyama) and Clearfil S-3 Bond (Tri-S, Kuraray Medical) and two self-etching primer adhesives, Clearfil Protect Bond (PB; Kuraray) and C...

  10. The fabrication of silicon nanostructures by local gallium implantation and cryogenic deep reactive ion etching

    International Nuclear Information System (INIS)

    Chekurov, N; Grigoras, K; Franssila, S; Tittonen, I; Peltonen, A

    2009-01-01

    We show that gallium-ion-implanted silicon serves as an etch mask for fabrication of high aspect ratio nanostructures by cryogenic plasma etching (deep reactive ion etching). The speed of focused ion beam (FIB) patterning is greatly enhanced by the fact that only a thin approx. 30 nm surface layer needs to be modified to create a mask for the etching step. Etch selectivity between gallium-doped and undoped material is at least 1000:1, greatly decreasing the mask erosion problems. The resolution of the combined FIB-DRIE process is 20 lines μm -1 with the smallest masked feature size of 40 nm. The maximum achieved aspect ratio is 15:1 (e.g. 600 nm high pillars 40 nm in diameter).

  11. Effects of a power and photon energy of incident light on near-field etching properties

    Science.gov (United States)

    Yatsui, T.; Saito, H.; Nishioka, K.; Leuschel, B.; Soppera, O.; Nobusada, K.

    2017-12-01

    We developed a near-field etching technique for realizing an ultra-flat surfaces of various materials and structures. To elucidate the near-field etching properties, we have investigated the effects of power and the photon energy of the incident light. First, we established theoretically that an optical near-field with photon energy lower than the absorption edge of the molecules can induce molecular vibrations. We used nanodiamonds to study the power dependence of the near-field etching properties. From the topological changes of the nanodiamonds, we confirmed the linear-dependence of the etching volume with the incident power. Furthermore, we studied the photon energy dependence using TiO2 nanostriped structures, which revealed that a lower photon energy results in a lower etching rate.

  12. BOND STRENGTH OF SELF-ETCH ADHESIVES WITH PRIMARY AND PERMANENT TEETH DENTIN – IN VITRO STUDY.

    Directory of Open Access Journals (Sweden)

    Natalia Gateva

    2012-03-01

    Full Text Available Objective: The aim of this study was to compare dentin bond strength of primary and permanent teeth with self-etching adhesive systems. Methods: On 40 intact specimens of primary and permanent teeth was created flat dentin surfaces. The patterns were divided in 4 groups. Two different self-etching adhesive systems were used – one two steps (AdheSE, VivaDent and one one step (AdheSE One, VivaDent. Resin composite build-ups were constructed by means of convetional copper ring after applying the adhesive. The specimens were stored in water for 72 h at room temperature. After that specimens were tested for macrotensile bond strength. Debonded surfaces were analyzed by SEM.Conclusions: The measured values of dentin bond strength after applying self-etching adhesives are statistically significant in group of permanent teeth in comparison with group of primary teeth, and for both adhesive generations. Two steps self-etching adhesive provide significant stronger dentin bond strength with both dentitions in comparison with one step self-etching adhesive.

  13. Fabrication of antireflective nanostructures for crystalline silicon solar cells by reactive ion etching

    International Nuclear Information System (INIS)

    Lin, Hsin-Han; Chen, Wen-Hua; Wang, Chi-Jen; Hong, Franklin Chau-Nan

    2013-01-01

    In this study we have fabricated large-area (15 × 15 cm 2 ) subwavelength antireflection structure on poly-Si substrates to reduce their solar reflectivity. A reactive ion etching system was used to fabricate nanostructures on the poly-silicon surface. Reactive gases, composed of chlorine (Cl 2 ), sulfur hexafluoride (SF 6 ) and oxygen (O 2 ), were activated to fabricate nanoscale pyramids by RF plasma. The poly-Si substrates were etched in various gas compositions for 6–10 min to form nano-pyramids. The sizes of pyramids were about 200–300 nm in heights and about 100 nm in width. Besides the nanoscale features, the high pyramid density on the poly-Si surface is another important factor to reduce the reflectivity. Low-reflectivity surface was fabricated with reflectivity significantly reduced down to < 2% for photons in a wavelength range of 500–900 nm. - Highlights: ► Large-area (15 × 15 cm 2 ) antireflection structures fabricated on poly-Si substrates ► Si nano-pyramids produced by utilizing self-masked reactive ion etching process ► High density of nanoscale pyramids was formed on the entire substrate surface. ► Surface reflectivity below 2% was achieved in the wavelength range of 500–900 nm

  14. Laser etching of polymer masked leadframes

    Science.gov (United States)

    Ho, C. K.; Man, H. C.; Yue, T. M.; Yuen, C. W.

    1997-02-01

    A typical electroplating production line for the deposition of silver pattern on copper leadframes in the semiconductor industry involves twenty to twenty five steps of cleaning, pickling, plating, stripping etc. This complex production process occupies large floor space and has also a number of problems such as difficulty in the production of rubber masks and alignment, generation of toxic fumes, high cost of water consumption and sometimes uncertainty on the cleanliness of the surfaces to be plated. A novel laser patterning process is proposed in this paper which can replace many steps in the existing electroplating line. The proposed process involves the application of high speed laser etching techniques on leadframes which were protected with polymer coating. The desired pattern for silver electroplating is produced by laser ablation of the polymer coating. Excimer laser was found to be most effective for this process as it can expose a pattern of clean copper substrate which can be silver plated successfully. Previous working of Nd:YAG laser ablation showed that 1.06 μm radiation was not suitable for this etching process because a thin organic and transparent film remained on the laser etched region. The effect of excimer pulse frequency and energy density upon the removal rate of the polymer coating was studied.

  15. Enlargement of halloysite clay nanotube lumen by selective etching of aluminum oxide.

    Science.gov (United States)

    Abdullayev, Elshad; Joshi, Anupam; Wei, Wenbo; Zhao, Yafei; Lvov, Yuri

    2012-08-28

    Halloysite clay tubes have 50 nm diameter and chemically different inner and outer walls (inner surface of aluminum oxide and outer surface of silica). Due to this different chemistry, the selective etching of alumina from inside the tube was realized, while preserving their external diameter (lumen diameter changed from 15 to 25 nm). This increases 2-3 times the tube lumen capacity for loading and further sustained release of active chemical agents such as metals, corrosion inhibitors, and drugs. In particular, halloysite loading efficiency for the benzotriazole increased 4 times by selective etching of 60% alumina within the tubes' lumens. Specific surface area of the tubes increased over 6 times, from 40 to 250 m(2)/g, upon acid treatment.

  16. Bond strength of self-etch adhesives after saliva contamination at different application steps.

    Science.gov (United States)

    Cobanoglu, N; Unlu, N; Ozer, F F; Blatz, M B

    2013-01-01

    This study evaluated and compared the effect of saliva contamination and possible decontamination methods on bond strengths of two self-etching adhesive systems (Clearfil SE Bond [CSE], Optibond Solo Plus SE [OSE]). Flat occlusal dentin surfaces were created on 180 extracted human molar teeth. The two bonding systems and corresponding composite resins (Clearfil AP-X, Kerr Point 4) were bonded to the dentin under six surface conditions (n=15/group): group 1 (control): primer/bonding/composite; group 2: saliva/drying/primer/bonding/composite; group 3: primer/saliva/rinsing/drying/primer/bonding/composite; group 4: primer/saliva/rinsing/drying/bonding/composite; group 5: primer/bonding (cured)/saliva/rinsing/drying/primer/bonding/composite; group 6: primer/bonding (cured)/saliva/removing contaminated layer with a bur/rinsing/drying/primer/bonding/composite. Shear bond strength was tested after specimens were stored in distilled water at 37°C for 24 hours. One-way analysis of variance and Tukey post hoc tests were used for statistical analyses. For CSE, groups 2, 3, and 4 and for OSE, groups 6, 2, and 4 showed significantly lower bond strengths than the control group (pcontamination occurred after light polymerization of the bonding agent, repeating the bonding procedure recovered the bonding capacity of both self-etch adhesives. However, saliva contamination before or after primer application negatively affected their bond strength.

  17. Growth, etching, and stability of sputtered ZnO:Al for thin-film silicon solar cells

    Energy Technology Data Exchange (ETDEWEB)

    Owen, Jorj Ian

    2011-07-01

    Aluminum-doped zinc oxide (ZnO:Al) can fulfill many requirements in thin-film solar cells, acting as (1) a transparent contact through which the incident light is transmitted, (2) part of the back reflector, and (3) a source of light scattering. Magnetron sputtered ZnO:Al thin-films are highly transparent, conductive, and are typically texturized by post-deposition etching in a dilute hydrochloric acid (HCl) solution to achieve light scattering. The ZnO:Al thin-film electronic and optical properties, as well as the surface texture after etching, depend on the deposition conditions and the post-deposition treatments. Despite having been used in thin-film solar cells for more than a decade, many aspects regarding the growth, effects of heat treatments, environmental stability, and etching of sputtered ZnO:Al are not fully understood. This work endeavors to further the understanding of ZnO:Al for the purpose improving silicon thin-film solar cell efficiency and reducing ZnO:Al production costs. With regard to the growth of ZnO:Al, the influence of various deposition conditions on the resultant electrical and structural properties and their evolution with film thickness were studied. The surface electrical properties extracted from a multilayer model show that while carrier concentration of the surface layer saturates already at film thickness of 100 nm, the surface mobility continues to increases with film thickness, and it is concluded that electronic transport across grain boundaries limits mobility in ZnO:Al thin films. ZnO:Al deposited onto a previously etched ZnO:Al surface grows epitaxially, preserving both the original orientation and grain structure. Further, it is determined that a typical ZnO:Al used in thin-film silicon solar cells grows Zn-terminated on glass substrates. Concerning the affects of heat treatments and stability, it is demonstrated that a layer of amorphous silicon can protect ZnO:Al from degradation during annealing, and the mobility of Zn

  18. Fluorinion transfer in silver-assisted chemical etching for silicon nanowires arrays

    Science.gov (United States)

    Feng, Tianyu; Xu, Youlong; Zhang, Zhengwei; Mao, Shengchun

    2015-08-01

    Uniform silicon nanowires arrays (SiNWAs) were fabricated on unpolished rough silicon wafers through KOH pretreatment followed by silver-assisted chemical etching (SACE). Density functional theory (DFT) calculations were used to investigate the function of silver (Ag) at atomic scale in the etching process. Among three adsorption sites of Ag atom on Si(1 0 0) surface, Ag(T4) above the fourth-layer surface Si atoms could transfer fluorinion (F-) to adjacent Si successfully due to its stronger electrostatic attraction force between Ag(T4) and F-, smaller azimuth angle of Fsbnd Ag(T4)sbnd Si, shorter bond length of Fsbnd Si compared with Fsbnd Ag. As F- was transferred to adjacent Si by Ag(T4) one by one, the Si got away from the wafer in the form of SiF4 when it bonded with enough F- while Ag(T4) was still attached onto the Si wafer ready for next transfer. Cyclic voltammetry tests confirmed that Ag can improve the etching rate by transferring F- to Si.

  19. Dry etching technologies for reflective multilayer

    Science.gov (United States)

    Iino, Yoshinori; Karyu, Makoto; Ita, Hirotsugu; Kase, Yoshihisa; Yoshimori, Tomoaki; Muto, Makoto; Nonaka, Mikio; Iwami, Munenori

    2012-11-01

    We have developed a highly integrated methodology for patterning Extreme Ultraviolet (EUV) mask, which has been highlighted for the lithography technique at the 14nm half-pitch generation and beyond. The EUV mask is characterized as a reflective-type mask which is completely different compared with conventional transparent-type of photo mask. And it requires not only patterning of absorber layer without damaging the underlying multi reflective layers (40 Si/Mo layers) but also etching multi reflective layers. In this case, the dry etch process has generally faced technical challenges such as the difficulties in CD control, etch damage to quartz substrate and low selectivity to the mask resist. Shibaura Mechatronics ARESTM mask etch system and its optimized etch process has already achieved the maximal etch performance at patterning two-layered absorber. And in this study, our process technologies of multi reflective layers will be evaluated by means of optimal combination of process gases and our optimized plasma produced by certain source power and bias power. When our ARES™ is used for multilayer etching, the user can choose to etch the absorber layer at the same time or etch only the multilayer.

  20. Etching of Niobium in an Argon-Chlorine Capacitively Coupled Plasma

    Science.gov (United States)

    Radovanov, Svetlana; Samolov, Ana; Upadhyay, Janardan; Peshl, Jeremy; Popovic, Svetozar; Vuskovic, Leposava; Applied Materials, Varian Semiconductor Team; Old Dominion University Team

    2016-09-01

    Ion assisted etching of the inner surfaces of Nb superconducting radio frequency (SRF) cavities requires control of incident ion energies and fluxes to achieve the desired etch rate and smooth surfaces. In this paper, we combine numerical simulation and experiment to investigate Ar /Cl2 capacitively coupled plasma (CCP) in cylindrical reactor geometry. Plasma simulations were done in the CRTRS 2D/3D code that self-consistently solves for CCP power deposition and electrostatic potential. The experimental results are used in combination with simulation predictions to understand the dependence of plasma parameters on the operating conditions. Using the model we were able to determine the ion current and flux at the Nb substrate. Our simulations indicate the relative importance of the current voltage phase shift and displacement current at different pressures and powers. For simulation and the experiment we have used a test structure with a pillbox cavity filled with niobium ring-type samples. The etch rate of these samples was measured. The probe measurements were combined with optical emission spectroscopy in pure Ar for validation of the model. The authors acknowledge Dr Shahid Rauf for developing the CRTRS code. Support DE-SC0014397.

  1. SEMICONDUCTOR TECHNOLOGY: TaN wet etch for application in dual-metal-gate integration technology

    Science.gov (United States)

    Yongliang, Li; Qiuxia, Xu

    2009-12-01

    Wet-etch etchants and the TaN film method for dual-metal-gate integration are investigated. Both HF/HN O3/H2O and NH4OH/H2O2 solutions can etch TaN effectively, but poor selectivity to the gate dielectric for the HF/HNO3/H2O solution due to HF being included in HF/HNO3/H2O, and the fact that TaN is difficult to etch in the NH4OH/H2O2 solution at the first stage due to the thin TaOxNy layer on the TaN surface, mean that they are difficult to individually apply to dual-metal-gate integration. A two-step wet etching strategy using the HF/HNO3/H2O solution first and the NH4OH/H2O2 solution later can fully remove thin TaN film with a photo-resist mask and has high selectivity to the HfSiON dielectric film underneath. High-k dielectric film surfaces are smooth after wet etching of the TaN metal gate and MOSCAPs show well-behaved C-V and Jg-Vg characteristics, which all prove that the wet etching of TaN has little impact on electrical performance and can be applied to dual-metal-gate integration technology for removing the first TaN metal gate in the PMOS region.

  2. Fluorinion transfer in silver-assisted chemical etching for silicon nanowires arrays

    International Nuclear Information System (INIS)

    Feng, Tianyu; Xu, Youlong; Zhang, Zhengwei; Mao, Shengchun

    2015-01-01

    Graphical abstract: - Highlights: • How Ag transfers F − to the adjacent Si atom was investigated and deduced by DFT at atomic scale. • Three-electrode CV tests proved the transferring function of Ag in the etching reaction. • Uniform SiNWAs were fabricated on unpolished silicon wafers with KOH pretreatment. - Abstract: Uniform silicon nanowires arrays (SiNWAs) were fabricated on unpolished rough silicon wafers through KOH pretreatment followed by silver-assisted chemical etching (SACE). Density functional theory (DFT) calculations were used to investigate the function of silver (Ag) at atomic scale in the etching process. Among three adsorption sites of Ag atom on Si(1 0 0) surface, Ag(T4) above the fourth-layer surface Si atoms could transfer fluorinion (F − ) to adjacent Si successfully due to its stronger electrostatic attraction force between Ag(T4) and F − , smaller azimuth angle of F−Ag(T4)−Si, shorter bond length of F−Si compared with F−Ag. As F − was transferred to adjacent Si by Ag(T4) one by one, the Si got away from the wafer in the form of SiF 4 when it bonded with enough F − while Ag(T4) was still attached onto the Si wafer ready for next transfer. Cyclic voltammetry tests confirmed that Ag can improve the etching rate by transferring F − to Si

  3. Flux based modeling and simulation of dry etching for fabrication of silicon deep trench structures

    Energy Technology Data Exchange (ETDEWEB)

    Malik Rizwan [State Key Laboratory of Digital Manufacturing Equipment and technology, Huazhong University of Science and Technology, 1037 Luoyu road, Wuhan, China 43007 (China); Shi Tielin; Tang Zirong; Liu Shiyuan, E-mail: zirong@mail.hust.edu.cn, E-mail: rizwanmalik@smail.hust.edu.cn [Wuhan National Laboratory for Optoelectronics, Huazhong University of Science and Technology, 1037 Luoyu road Wuhan, 430074 (China)

    2011-02-01

    Deep reactive ion etching (DRIE) process is a key growth for fabrication of micro-electromechanical system (MEMS) devices. Due to complexity of this process, including interaction of the process steps, full analytical modeling is complex. Plasma process holds deficiency of understanding because it is very easy to measure the results empirically. However, as device parameters shrink, this issue is more critical. In this paper, our process was modeled qualitatively based on 'High Density Plasma Etch Model'. Deep trench solutions of etch rate based on continuity equation were successfully generated first time through mathematical analysis. It was also proved that the product of fluorine and gas phase concentration in SF{sub 6} remains identical during both deposition and etching stages. The etching process was treated as a combination of isotropic, directional and angle-dependent component parts. It exploited a synergistic balance of chemical as well as physical etching for promoting silicon trenches and high aspect ratio structures. Simulations were performed for comprehensive analysis of fluxes coming towards the surface during chemical reaction of gas. It is observed that near the surface, the distribution of the arrival flux follows a cosine distribution. Our model is feasible to analyze various parameters like gas delivery, reactor volume and temperature that help to assert large scale effects and to optimize equipment design.

  4. Thermodynamic assessment and experimental verification of reactive ion etching of magnetic metal elements

    Energy Technology Data Exchange (ETDEWEB)

    Kim, Taeseung; Chen, Jack Kun-Chieh; Chang, Jane P., E-mail: jpchang@ucla.edu [Chemical and Biomolecular Engineering, UCLA, Los Angeles, California 90095 (United States)

    2014-07-01

    A thermodynamic analysis of etch chemistries for Co, Fe, and Ni using a combination of hydrogen, oxygen, and halogen gases suggested that a single etchant does not work at 300 K; however, a sequential exposure to multiple etchants results in sufficiently high partial pressure of the reaction products for the process to be considered viable. This sequential dose utilized the two reactions, a surface halogenation followed by the secondary etchant exposure. (MX{sub 2} (c) + 3Y →MY(g) + 2XY(g), where M = Co, Fe, Ni; X = F, Cl, Br; Y = O, H) The volatilization reaction induced by sequential plasma exposure changed the equilibrium point, increasing the partial pressure of the etch product. Amongst all combinations, Cl{sub 2} or Br{sub 2} plasmas followed by H{sub 2} plasma were the most effective. From both the gas phase diagnostics and surface composition analysis, H{sub 2} plasma alone could not etch metallic Co, Fe, and Ni films but alternating doses of Cl{sub 2} and H{sub 2} plasmas resulted in more effective removal of chlorinated metals and increased the overall etch rate.

  5. Effect of different electrolytes on porous GaN using photo-electrochemical etching

    Energy Technology Data Exchange (ETDEWEB)

    Al-Heuseen, K., E-mail: kalhussen@yahoo.com [Nano-Optoelectronics Research Laboratory, School of Physics, Universiti Sains Malaysia, 11800 Penang (Malaysia); Hashim, M.R. [Nano-Optoelectronics Research Laboratory, School of Physics, Universiti Sains Malaysia, 11800 Penang (Malaysia); Ali, N.K. [Material Innovations and Nanoelectronics Research Group, Faculty of Electrical Engineering, Department of Electronic Engineering, Universiti Teknologi Malaysia, 81310 Skudai, Johor (Malaysia)

    2011-05-01

    This article reports the properties and the behavior of GaN during the photoelectrochemical etching process using four different electrolytes. The measurements show that the porosity strongly depends on the electrolyte and highly affects the surface morphology of etched samples, which has been revealed by scanning electron microscopy (SEM) images. Peak intensity of the photoluminescence (PL) spectra of the porous GaN samples was observed to be enhanced and strongly depend on the electrolytes. Among the samples, there is a little difference in the peak position indicating that the change of porosity has little influence on the PL peak shift, while it highly affecting the peak intensity. Raman spectra of porous GaN under four different solution exhibit phonon mode E{sub 2} (high), A{sub 1} (LO), A{sub 1} (TO) and E{sub 2} (low). There was a red shift in E{sub 2} (high) in all samples, indicating a relaxation of stress in the porous GaN surface with respect to the underlying single crystalline epitaxial GaN. Raman and PL intensities were high for samples etched in H{sub 2}SO{sub 4}:H{sub 2}O{sub 2} and KOH followed by the samples etched in HF:HNO{sub 3} and in HF:C{sub 2}H{sub 5}OH.

  6. Effect of different electrolytes on porous GaN using photo-electrochemical etching

    International Nuclear Information System (INIS)

    Al-Heuseen, K.; Hashim, M.R.; Ali, N.K.

    2011-01-01

    This article reports the properties and the behavior of GaN during the photoelectrochemical etching process using four different electrolytes. The measurements show that the porosity strongly depends on the electrolyte and highly affects the surface morphology of etched samples, which has been revealed by scanning electron microscopy (SEM) images. Peak intensity of the photoluminescence (PL) spectra of the porous GaN samples was observed to be enhanced and strongly depend on the electrolytes. Among the samples, there is a little difference in the peak position indicating that the change of porosity has little influence on the PL peak shift, while it highly affecting the peak intensity. Raman spectra of porous GaN under four different solution exhibit phonon mode E 2 (high), A 1 (LO), A 1 (TO) and E 2 (low). There was a red shift in E 2 (high) in all samples, indicating a relaxation of stress in the porous GaN surface with respect to the underlying single crystalline epitaxial GaN. Raman and PL intensities were high for samples etched in H 2 SO 4 :H 2 O 2 and KOH followed by the samples etched in HF:HNO 3 and in HF:C 2 H 5 OH.

  7. Effect of different electrolytes on porous GaN using photo-electrochemical etching

    Science.gov (United States)

    Al-Heuseen, K.; Hashim, M. R.; Ali, N. K.

    2011-05-01

    This article reports the properties and the behavior of GaN during the photoelectrochemical etching process using four different electrolytes. The measurements show that the porosity strongly depends on the electrolyte and highly affects the surface morphology of etched samples, which has been revealed by scanning electron microscopy (SEM) images. Peak intensity of the photoluminescence (PL) spectra of the porous GaN samples was observed to be enhanced and strongly depend on the electrolytes. Among the samples, there is a little difference in the peak position indicating that the change of porosity has little influence on the PL peak shift, while it highly affecting the peak intensity. Raman spectra of porous GaN under four different solution exhibit phonon mode E 2 (high), A 1 (LO), A 1 (TO) and E 2 (low). There was a red shift in E 2 (high) in all samples, indicating a relaxation of stress in the porous GaN surface with respect to the underlying single crystalline epitaxial GaN. Raman and PL intensities were high for samples etched in H 2SO 4:H 2O 2 and KOH followed by the samples etched in HF:HNO 3 and in HF:C 2H 5OH.

  8. Etch characteristics of (Pb,Sr)TiO3 thin films using CF4/Ar inductively coupled plasma

    International Nuclear Information System (INIS)

    Kim, Gwan-Ha; Kim, Kyoung-Tae; Kim, Dong-Pyo; Kim, Chang-Il

    2003-01-01

    The investigations of the (Pb,Sr)TiO 3 (PST) etching characteristics in CF 4 /Ar plasma were carried out using the inductively coupled plasma system. Experiments showed that an increase of the Ar mixing ratio under constant pressure and input power conditions leads to increasing etch rate of PST, which reaches a maximum of 740 A/min when the Ar is 80% of the gas mixture. To understand the etching mechanism, the surface state of the etched PST samples was investigated using x-ray photoelectron spectroscopy. It was found that Pb and Ti atoms were removed mainly by the ion-assisted etching mechanism. At the same time, Sr forms extremely low volatile fluorides and therefore can be removed only by physical (sputter) etching

  9. Wet chemical etching of Al-doped ZnO film deposited by RF magnetron sputtering method on textured glass substrate for energy application

    Energy Technology Data Exchange (ETDEWEB)

    Hwang, Ki Hwan; Nam, Sang Hun; Jung, Won Suk; Lee, Yong Min; Yang, Hee Su; Boo, Jin Hyo [Dept. of Chemistry, Sungkyunkwan University, Suwon (Korea, Republic of)

    2015-03-15

    The etching of glasses in aqueous hydrofluoric acid (HF) solutions is applied in many technological fields. Particularly, the textured transparent conductive oxide materials on the glass substrate etched by HF were used to improve the current density of solar cells. In this study, the textured glass substrate has been etched by solution and the Al-doped ZnO (AZO) thin films have been prepared on this textured glass substrates by RF magnetron sputtering method. After the AZO film deposition, the surface of AZO has been etched by hydrochloric acid with different concentration and etching time. Etched AZO thin films had higher haze ratio and sheet resistance than bare AZO glass. Increases in the root-mean-square surface roughness of AZO films enhanced from 53.78 to 84.46 nm the haze ratio in above 700 nm wavelength. Our process could be applicable in texturing glass and etching AZO surface to fabricate solar cell in industrial scale. We also carried out fabricating an organic solar-cell device. Energy conversion efficiency improvement of 123% was obtained with textured AZO-based solar-cell device compared with that of nontextured solar-cell device.

  10. The Langmuir isotherm and the standard model of ion-assisted etching

    International Nuclear Information System (INIS)

    Lieberman, M A

    2009-01-01

    Langmuir is lured to the General Electric Research Laboratory, where he creates a new science-surface chemistry-and christens another-plasma. His atomistic views of gas-surface interactions are extended 65 years later to describe ion-assisted plasma etching, an indispensable process in modern semiconductor device manufacturing.

  11. Comparative evaluation of self-etching primers and phosphoric acid effectiveness on composite to enamel bond: an in vitro study.

    Science.gov (United States)

    Patil, Basanagouda S; Rao, Bk Raghavendra; Sharathchandra, Sm; Hegde, Reshma; Kumar, G Vinay

    2013-09-01

    The aim of the present study was to investigate the effectiveness of the one total-etch self-priming adhesive, one two-step self-etching primer adhesive, and one 'all-in-one' self-etching adhesive system on the adhesion of a resin composite to enamel. Thirty-six freshly extracted human mandibular molars were selected for this study. A fat area about 5 mm in diameter was created on the exposed mesial surface of enamel of each tooth by moist grinding with 320, 420 and 600 grit silicon carbide paper. Twelve teeth were randomly assigned into three groups. In group 1, Adper Easy One (3M ESPE), a one step self-etching primer adhesive was applied and light curing unit for 10 seconds. In group 2, Adper SE Plus, a two-step self-etching primer with bottle A containing the aqueous primer and bottle B containing the acidic adhesive was applied and light cured for 10 seconds. Group 3 (control)-etchant 37% phosphoric acid is applied to the surface for 15 seconds and rinsed with water and air dried and adhesive (single bond 2) is applied to the surface and tube is placed and light cured for 20 seconds. Composite material (Z350) was placed in the tube and light cured for 40 seconds in all the groups. Bond strength testing was done using universal testing machine at the enamel-composite interface. The debonded enamel surface was evaluated in stereomicroscope to assess the cohesive, adhesive or mixed fracture. Data was statistically analyzed by one way analysis of variance (ANOVA). Group 1 performed least among all groups with a mean score of 19.46 MPa. Group 2 had a mean score of 25.67 MPa. Group 3 had a mean score of 27.16 MPa. Under the conditions of this in vitro study, the bond strength values of the two-step self-etching primer systems tested were similar to the total-etch. And, one step self-etching primers have lower bond strength compared to the total-etch.

  12. Electrochemical trench etching of silicon triggered via mechanical nanocontacts

    Energy Technology Data Exchange (ETDEWEB)

    Gassilloud, R.; Michler, J. [EMPA, Materials Science and Technology, Feuerwerkerstrasse 39, CH-3602 Thun (Switzerland); Schmuki, P. [Department of Materials Science, LKO, University of Erlangen-Nuernberg, Martensstrasse 7, D-91058 Erlangen (Germany)

    2007-12-01

    We report a method to produce microstructures on silicon wafers using a microscratching technique followed by a subsequent electrochemical trench etching in hydrofluoric-based electrolyte. Micro-scratches are used to trigger macropore formation. We show that mask-less dissolved trenches with aspect ratios up to 1:7 are formed at the scratched regions on (0 0 1)Si surface. The micro-scratches orientate the macropores formation by aligning them in the scratching direction. We propose that dislocations formed during scratching are firstly dissolved leading to the formation of V-shape grooves. The V-shape geometries obtained by this way are used to initiate the macropores nucleation; i.e. due to the geometry, an avalanche current occurs at the grooves base and thus induces local dissolutions of the substrate. High rate local dissolutions are achieved by back-side illumination of the Si wafer. (author)

  13. Process for etching zirconium metallic objects

    International Nuclear Information System (INIS)

    Panson, A.J.

    1988-01-01

    In a process for etching of zirconium metallic articles formed from zirconium or a zirconium alloy, wherein the zirconium metallic article is contacted with an aqueous hydrofluoric acid-nitric acid etching bath having an initial ratio of hydrofluoric acid to nitric acid and an initial concentration of hydrofluoric and nitric acids, the improvement, is described comprising: after etching of zirconium metallic articles in the bath for a period of time such that the etching rate has diminished from an initial rate to a lesser rate, adding hydrofluoric acid and nitric acid to the exhausted bath to adjust the concentration and ratio of hydrofluoric acid to nitric acid therein to a value substantially that of the initial concentration and ratio and thereby regenerate the etching solution without removal of dissolved zirconium therefrom; and etching further zirconium metallic articles in the regenerated etching bath

  14. Silver ion mediated shape control of platinum nanoparticles: Removal of silver by selective etching leads to increased catalytic activity

    Energy Technology Data Exchange (ETDEWEB)

    Grass, Michael E.; Yue, Yao; Habas, Susan E.; Rioux, Robert M.; Teall, Chelsea I.; Somorjai, G.A.

    2008-01-09

    A procedure has been developed for the selective etching of Ag from Pt nanoparticles of well-defined shape, resulting in the formation of elementally-pure Pt cubes, cuboctahedra, or octahedra, with a largest vertex-to-vertex distance of {approx}9.5 nm from Ag-modified Pt nanoparticles. A nitric acid etching process was applied Pt nanoparticles supported on mesoporous silica, as well as nanoparticles dispersed in aqueous solution. The characterization of the silica-supported particles by XRD, TEM, and N{sub 2} adsorption measurements demonstrated that the structure of the nanoparticles and the mesoporous support remained conserved during etching in concentrated nitric acid. Both elemental analysis and ethylene hydrogenation indicated etching of Ag is only effective when [HNO{sub 3}] {ge} 7 M; below this concentration, the removal of Ag is only {approx}10%. Ethylene hydrogenation activity increased by four orders of magnitude after the etching of Pt octahedra that contained the highest fraction of silver. High-resolution transmission electron microscopy of the unsupported particles after etching demonstrated that etching does not alter the surface structure of the Pt nanoparticles. High [HNO{sub 3}] led to the decomposition of the capping agent, polyvinylpyrollidone (PVP); infrared spectroscopy confirmed that many decomposition products were present on the surface during etching, including carbon monoxide.

  15. Double side multicrystalline silicon passivation by one step stain etching-based porous silicon

    Energy Technology Data Exchange (ETDEWEB)

    Mohamed, Seifeddine Belhadj; Ben Rabha, Mohamed; Bessais, Brahim [Laboratoire de Photovoltaique, Centre de Recherches et des Technologies de l' Energie, Technopole de Borj-Cedria, BP 95, 2050 Hammam-Lif (Tunisia)

    2012-10-15

    In this paper, we investigate the effect of stain etching-based porous silicon on the double side multicrystalline silicon. Special attention is given to the use of the stain etched PS as an antireflection coating as well as for surface passivating capabilities. Stain etching of double side multicrystalline silicon leads to the formation of PS nanostructures, that dramatically decrease the surface reflectivity from 30% to about 7% and increase the effective lifetime from 1 {mu}s to 10 {mu}s at a minority carrier density ({Delta}n) of 10{sup 15} cm{sup -3}. These results let us correlate the rise of the lifetime values to the photoluminescence intensity to the hydrogen and oxide passivation as shown by FTIR analysis. This low-cost PS formation process can be applied in the photovoltaic cell technology as a standard procedure (copyright 2012 WILEY-VCH Verlag GmbH and Co. KGaA, Weinheim) (orig.)

  16. Nanorods on surface of GaN-based thin-film LEDs deposited by post-annealing after photo-assisted chemical etching

    OpenAIRE

    Chen, Lung-Chien; Lin, Wun-Wei; Liu, Te-Yu

    2017-01-01

    This study investigates the optoelectronic characteristics of gallium nitride (GaN)-based thin-film light-emitting diodes (TF-LEDs) that are formed by a two-step transfer process that involves wet etching and post-annealing. In the two-step transfer process, GaN LEDs were stripped from sapphire substrates by the laser lift-off (LLO) method using a KrF laser and then transferred onto ceramic substrates. Ga-K nanorods were formed on the surface of the GaN-based TF-LEDs following photo-assisted ...

  17. Equipment for electrochemical etching of dielectric track detectors

    International Nuclear Information System (INIS)

    Turek, K.; Novak, M.

    1992-01-01

    The facility is designed for electrochemical etching of solid state track detectors, devised for thicknesses in excess of 200 μm as employed for direct detection of charged particles or neutrons. The device consists of a high-voltage a.c. supply for the electrodes and an assembly whose body consists of a flat tank, on the surface of which is formed a channel for feeding the heating and cooling media. The tank is covered by a gasket, an earthed metal plate for the detector, a mask with holes determining the shape of the etched area, and a pressure plate. The pressure plate is fitted with a system of holes for the etching solution. Needle-shaped high-voltage electrodes are accommodated in the holes of the mask. The underlying principle of the invention consists in the fact that a rubber pad with guide holes for the needle-shaped electrodes lies on the pressure plate. Each electrode is composed of a central tip and an outer jacket, separated by an insulating layer; connection is provided by a light emitting diode. (Z.S.). 2 figs

  18. Characterization of the porosity of human dental enamel and shear bond strength in vitro after variable etch times: initial findings using the BET method.

    Science.gov (United States)

    Nguyen, Trang T; Miller, Arthur; Orellana, Maria F

    2011-07-01

    (1) To quantitatively characterize human enamel porosity and surface area in vitro before and after etching for variable etching times; and (2) to evaluate shear bond strength after variable etching times. Specifically, our goal was to identify the presence of any correlation between enamel porosity and shear bond strength. Pore surface area, pore volume, and pore size of enamel from extracted human teeth were analyzed by Brunauer-Emmett-Teller (BET) gas adsorption before and after etching for 15, 30, and 60 seconds with 37% phosphoric acid. Orthodontic brackets were bonded with Transbond to the samples with variable etch times and were subsequently applied to a single-plane lap shear testing system. Pore volume and surface area increased after etching for 15 and 30 seconds. At 60 seconds, this increase was less pronounced. On the contrary, pore size appears to decrease after etching. No correlation was found between variable etching times and shear strength. Samples etched for 15, 30, and 60 seconds all demonstrated clinically viable shear strength values. The BET adsorption method could be a valuable tool in enhancing our understanding of enamel characteristics. Our findings indicate that distinct quantitative changes in enamel pore architecture are evident after etching. Further testing with a larger sample size would have to be carried out for more definitive conclusions to be made.

  19. Effects of gas-flow structures on radical and etch-product density distributions on wafers in magnetomicrowave plasma etching reactors

    International Nuclear Information System (INIS)

    Ikegawa, Masato; Kobayashi, Jun'ichi; Fukuyama, Ryoji

    2001-01-01

    To achieve high etch rate, uniformity, good selectivity, and etch profile control across large diameter wafers, the distributions of ions, radicals, and etch products in magnetomicrowave high-etch-rate plasma etching reactors must be accurately controlled. In this work the effects of chamber heights, a focus ring around the wafer, and gas supply structures (or gas flow structures) on the radicals and etch products flux distribution onto the wafer were examined using the direct simulation Monte Carlo method and used to determine the optimal reactor geometry. The pressure uniformity on the wafer was less than ±1% when the chamber height was taller than 60 mm. The focus ring around the wafer produced uniform radical and etch-product fluxes but increased the etch-product flux on the wafer. A downward-flow gas-supply structure (type II) produced a more uniform radical distribution than that produced by a radial gas-supply structure (type I). The impact flow of the type II structure removed etch products from the wafer effectively and produced a uniform etch-product distribution even without the focus ring. Thus the downward-flow gas-supply structure (type II) was adopted in the design for the second-generation of a magnetomicrowave plasma etching reactor with a higher etching rate

  20. High temperature reactive ion etching of iridium thin films with aluminum mask in CF4/O2/Ar plasma

    Directory of Open Access Journals (Sweden)

    Chia-Pin Yeh

    2016-08-01

    Full Text Available Reactive ion etching (RIE technology for iridium with CF4/O2/Ar gas mixtures and aluminum mask at high temperatures up to 350 °C was developed. The influence of various process parameters such as gas mixing ratio and substrate temperature on the etch rate was studied in order to find optimal process conditions. The surface of the samples after etching was found to be clean under SEM inspection. It was also shown that the etch rate of iridium could be enhanced at higher process temperature and, at the same time, very high etching selectivity between aluminum etching mask and iridium could be achieved.

  1. Catalyst and processing effects on metal-assisted chemical etching for the production of highly porous GaN

    International Nuclear Information System (INIS)

    Geng, Xuewen; Grismer, Dane A; Bohn, Paul W; Duan, Barrett K; Zhao, Liancheng

    2013-01-01

    Metal-assisted chemical etching is a facile method to produce micro-/nanostructures in the near-surface region of gallium nitride (GaN) and other semiconductors. Detailed studies of the production of porous GaN (PGaN) using different metal catalysts and GaN doping conditions have been performed in order to understand the mechanism by which metal-assisted chemical etching is accomplished in GaN. Patterned catalysts show increasing metal-assisted chemical etching activity to n-GaN in the order Ag < Au < Ir < Pt. In addition, the catalytic behavior of continuous films is compared to discontinuous island films. Continuous metal films strongly shield the surface, hindering metal-assisted chemical etching, an effect which can be overcome by using discontinuous films or increasing the irradiance of the light source. With increasing etch time or irradiance, PGaN morphologies change from uniform porous structures to ridge and valley structures. The doping type plays an important role, with metal-assisted chemical etching activity increasing in the order p-GaN < intrinsic GaN < n-GaN. Both the catalyst identity and the doping type effects are explained by the work functions and the related band offsets that affect the metal-assisted chemical etching process through a combination of different barriers to hole injection and the formation of hole accumulation/depletion layers at the metal–semiconductor interface. (paper)

  2. The etching behaviour of silicon carbide compacts

    International Nuclear Information System (INIS)

    Jepps, N.W.; Page, T.F.

    1981-01-01

    A series of microstructural investigations has been undertaken in order to explore the reliability of particular etches in revealing microstructural detail in silicon carbide compacts. A series of specimens has been etched and examined following complete prior microstructural characterization by transmission electron microscopy (TEM), scanning electron microscopy (SEM) and X-ray diffractometry techniques. In particular, the sensitivity of both a molten salt (KOH/KNO 3 ) etch and a commonly-used oxidizing electrolytic 'colour' etch to crystal purity, crystallographic orientation and polytypic structure has been established. The molten salt etch was found to be sensitive to grain boundaries and stacking disorder while the electrolytic etch was found to be primarily sensitive to local purity and crystallographic orientation. Neither etch appeared intrinsically polytype sensitive. Specifically, for the 'colour' etch, the p- or n-type character of impure regions appears critical in controlling etching behaviour; p-type impurities inhibiting, and n-type impurities enhancing, oxidation. The need to interpret etching behaviour in a manner consistent with the results obtained by a variety of other microstructural techniques will be emphasized. (author)

  3. The effect of different surgical drilling procedures on full laser-etched microgrooves surface-treated implants: an experimental study in sheep.

    Science.gov (United States)

    Jimbo, Ryo; Tovar, Nick; Yoo, Daniel Y; Janal, Malvin N; Anchieta, Rodolfo B; Coelho, Paulo G

    2014-09-01

    To evaluate the influence of instrumentation technique on the early osseointegration histomorphometrics and biomechanical fixation of fully laser-etched microgrooves implant surfaces in a sheep model. Six sheep were subjected to bilateral hip surgeries 3 and 6 weeks before euthanasia. A total of 48 implants (∅4.5 mm, 8 mm in length) were distributed among four sites (8 per animal) and placed in bone sites drilled to 4.6 mm (reamer), 4.1 mm (loose), 3.7 mm (medium) and 3.2 mm (tight) in diameter. After healing, the animals were euthanized and half of the implants were biomechanically tested, while the remainder was subjected to non-decalcified histologic processing. The histomorphometric parameters assessed were bone-to-implant contact (BIC) and bone area fraction occupancy (BAFO). Statistical analysis was performed using a mixed-model analysis of variance with significance level set at P bone seemed to be in contact to the implant surface, at 3 weeks, whereas the implants placed in press-fit situations were mainly supported by cortical bone. The laser-etched microgrooved implant presented osteoconductive and biocompatible properties for all surgical procedures tested. However, procedures providing increasingly higher press-fit scenarios presented the strongest histomorphometric and biomechanical responses at 3 and 6 weeks. © 2013 John Wiley & Sons A/S. Published by John Wiley & Sons Ltd.

  4. Relationship between deprotection and film thickness loss during plasma etching of positive tone chemically amplified resists

    International Nuclear Information System (INIS)

    Mahorowala, A.P.; Medeiros, D.R.

    2001-01-01

    Positive tone chemically amplified (CA) resists have demonstrated the sensitivity, contrast, and resolution necessary to print state-of-the-art subwavelength features using 248 nm and more recently 193 nm lithography. These materials are also being considered for printing sub-100 nm features with 157 nm and next-generation lithography technologies such as extreme ultraviolet and electron beam projection lithography. The basis for solubility differential and image formation in these resists is the acid catalyzed deprotection of labile protecting groups of an inherently base soluble polymer. The deprotection is effected by the photochemical generation of strong acid during the exposure process. Such acid-catalyzed deprotection reactions can also occur in unexposed resist areas when etched in a plasma. This can be due to UV exposure, high-energy ion bombardment, elevated substrate temperatures, or interaction of the resist surface with plasma species to form acidic moieties. Deprotection has been associated with resist mass loss and film shrinkage during plasma etching, leaving inadequate masking material for the entire etch step. In this article, we report the film thickness loss of several unexposed CA resists as a function of etch time in a variety of plasmas and correlate these data with film composition, monitored by Fourier transform infrared spectroscopy. These results are compared with theoretical predictions based on generally accepted deprotection mechanisms. Our findings indicate that the 'acidic' nature of certain plasmas such as Cl 2 /O 2 can result in deprotection in the resist film, even in the absence of a photoacid generator. Additionally, the data suggest that the nature of the resist polymer and, in turn, the identity of the deprotection products directly influence resist mass loss and etch rate linearity, both of which can be controlled by careful selection of resist materials

  5. Highly selective SiO2 etching over Si3N4 using a cyclic process with BCl3 and fluorocarbon gas chemistries

    Science.gov (United States)

    Matsui, Miyako; Kuwahara, Kenichi

    2018-06-01

    A cyclic process for highly selective SiO2 etching with atomic-scale precision over Si3N4 was developed by using BCl3 and fluorocarbon gas chemistries. This process consists of two alternately performed steps: a deposition step using BCl3 mixed-gas plasma and an etching step using CF4/Ar mixed-gas plasma. The mechanism of the cyclic process was investigated by analyzing the surface chemistry at each step. BCl x layers formed on both SiO2 and Si3N4 surfaces in the deposition step. Early in the etching step, the deposited BCl x layers reacted with CF x radicals by forming CCl x and BF x . Then, fluorocarbon films were deposited on both surfaces in the etching step. We found that the BCl x layers formed in the deposition step enhanced the formation of the fluorocarbon films in the CF4 plasma etching step. In addition, because F radicals that radiated from the CF4 plasma reacted with B atoms while passing through the BCl x layers, the BCl x layers protected the Si3N4 surface from F-radical etching. The deposited layers, which contained the BCl x , CCl x , and CF x components, became thinner on SiO2 than on Si3N4, which promoted the ion-assisted etching of SiO2. This is because the BCl x component had a high reactivity with SiO2, and the CF x component was consumed by the etching reaction with SiO2.

  6. Bone Response to Two Dental Implants with Different Sandblasted/Acid-Etched Implant Surfaces: A Histological and Histomorphometrical Study in Rabbits

    Directory of Open Access Journals (Sweden)

    Antonio Scarano

    2017-01-01

    Full Text Available Background. Scientific evidence in the field of implant dentistry of the past 20 years established that titanium rough surfaces have shown improved osseointegration rates. In a majority of dental implants, the surface microroughness was obtained by grit blasting and/or acid etching. The aim of the study was to evaluate in vivo two different highly hydrophilic surfaces at different experimental times. Methods. Calcium-modified (CA and SLActive surfaces were evaluated and a total of 18 implants for each type of surface were positioned into the rabbit articular femoral knee-joint in a split model experiment, and they were evaluated histologically and histomorphometrically at 15, 30, and 60 days of healing. Results. Bone-implant contact (BIC at the two-implant surfaces was significantly different in favor of the CA surface at 15 days (p=0.027, while SLActive displayed not significantly higher values at 30 (p=0.51 and 60 days (p=0.061. Conclusion. Both implant surfaces show an intimate interaction with newly formed bone.

  7. Etching characteristics of a CR-39 track detector at room temperature in different etching solutions

    International Nuclear Information System (INIS)

    Dajko, G.

    1991-01-01

    Investigations were carried out to discover how the etching characteristics of CR-39 detectors change with varying conditions of the etching process. Measurements were made at room temperature in pure NaOH and KOH solutions; in different alcoholic KOH solutions (PEW solution, i.e. potassium hydroxide, ethyl alcohol, water); and in NaOH and KOH solutions containing different additives. The bulk etching rate of the detector (V B ) and the V (= V T /V B ) function, i.e. track to bulk etch rates ratio, for 6.1 MeV α-particles, were measured systematically. (author)

  8. Optical and Electrical Performance of ZnO Films Textured by Chemical Etching

    Directory of Open Access Journals (Sweden)

    Shiuh-Chuan HER

    2015-11-01

    Full Text Available Zinc oxide (ZnO films were prepared by radio frequency (RF magnetron sputtering on the glass substrate as transparent conductive oxide films. For silicon solar cells, a proper surface texture is essential to introduce light scattering and subsequent light trapping to enhance the current generation. In this study, the magnetron-sputtered ZnO films were textured by wet-chemical etching in diluted hydrochloric acid (HCl for better light scattering. The diffuse transmittance of the surface textured ZnO films was measured to evaluate the light scattering. The influence of hydrochloric acid concentration on the morphology, optical and electrical properties of the surface-textured ZnO film was investigated. The ZnO film etched in 0.05M HCl solution for 30 s exhibited average diffuse transmittance in the visible wavelength range of 9.52 % and good resistivity of 1.10 x 10-3 W×cm while the as-deposited ZnO film had average diffuse transmittance of 0.51 % and relatively high resistivity of 5.84 x 10-2 W×cm. Experimental results illustrated that the optical and electrical performance of ZnO films can be significantly improved by introducing the surface texture through the wet-chemical etching process.DOI: http://dx.doi.org/10.5755/j01.ms.21.4.9624

  9. Chemical etching of GaAs with a novel low energy ion beam source: a low damage process for device fabrication

    International Nuclear Information System (INIS)

    Beckerman, J.; Jackman, R.B.

    1993-01-01

    If the advantages of physics (anisotropy) can be combined with the advantages of chemistry (damage-free perturbation of the lattice) then an excellent, near damage-free, etching reaction can result. In this context, the promise for ultra-low energy ( -1 . The source does, however, give rise to a coating, derived from the source liner, which must be washed from all etched samples. The presence of such a coating is likely to be the origin of the slow etch rate achieved. After removal of the coating, smooth, mirror-like etched surfaces are apparent. These surfaces perform very well when Schottky diodes are constructed from them showing no deviation from the behaviour of control samples. (author)

  10. Etching in microsystem technology

    CERN Document Server

    Kohler, Michael

    2008-01-01

    Microcomponents and microdevices are increasingly finding application in everyday life. The specific functions of all modern microdevices depend strongly on the selection and combination of the materials used in their construction, i.e., the chemical and physical solid-state properties of these materials, and their treatment. The precise patterning of various materials, which is normally performed by lithographic etching processes, is a prerequisite for the fabrication of microdevices.The microtechnical etching of functional patterns is a multidisciplinary area, the basis for the etching p

  11. Influence of stain etching on low minority carrier lifetime areas of multicrystalline silicon for solar cells

    Energy Technology Data Exchange (ETDEWEB)

    Montesdeoca-Santana, A. [Departamento de Fisica Basica, Universidad de La Laguna, Avda. Astrofisico Francisco Sanchez, 38206 La Laguna (Spain); Fraunhofer Institute for Solar Energy Systems, Laboratory and Servicecenter Gelsenkirchen, Auf der Reihe 2, 45884 Gelsenkirchen (Germany); Gonzalez-Diaz, B. [Departamento de Fisica Basica, Universidad de La Laguna, Avda. Astrofisico Francisco Sanchez, 38206 La Laguna (Spain); Departamento de Energia Fotovoltaica, Instituto Tecnologico y de Energias Renovables. Poligono Industrial de Granadilla s/n, 38600 San Isidro-Granadilla de Abona (Spain); Jimenez-Rodriguez, E. [Departamento de Fisica Basica, Universidad de La Laguna, Avda. Astrofisico Francisco Sanchez, 38206 La Laguna (Spain); Ziegler, J. [Fraunhofer Institute for Solar Energy Systems, Laboratory- and Servicecenter Gelsenkirchen. Auf der Reihe 2, 45884 Gelsenkirchen (Germany); Velazquez, J.J. [Departamento de Fisica Fundamental y Experimental, Electronica y Sistemas, Universidad de La Laguna. Avda. Astrofisico Francisco Sanchez, 38206 La Laguna (Spain); Hohage, S.; Borchert, D. [Fraunhofer Institute for Solar Energy Systems, Laboratory and Servicecenter Gelsenkirchen. Auf der Reihe 2, 45884 Gelsenkirchen (Germany); Guerrero-Lemus, R., E-mail: rglemus@ull.es [Departamento de Fisica Basica, Universidad de La Laguna, Avda. Astrofisico Francisco Sanchez, 38206 La Laguna (Spain)

    2011-11-15

    Highlights: > An enhanced minority carrier lifetime at extended defects in multicrystalline silicon is observed with the use of HF/HNO{sub 3} stain etching to texture the surface. > FTIR analysis shows no influence of oxide passivation in this effect. > SEM images show a preferential etching at extended defects suggesting smoothing at defects as one of the causes for the reduced recombination activity. > LBIC images show a reduction in IQE at extended defects in HF/HNO{sub 3} textured multicrystalline solar cells. - Abstract: In this work the use of HF/HNO{sub 3} solutions for texturing silicon-based solar cell substrates by stain etching and the influence of texturing on minority carrier lifetimes are studied. Stain etching is currently used to decrease the reflectance and, subsequently improve the photogenerated current of the cells, but also produces nanostructures on the silicon surface. In the textured samples it has been observed that an improvement on the minority carrier lifetime with respect to the samples treated with a conventional saw damage etching process is produced on grain boundaries and defects, and the origin of this effect has been discussed.

  12. Influence of stain etching on low minority carrier lifetime areas of multicrystalline silicon for solar cells

    International Nuclear Information System (INIS)

    Montesdeoca-Santana, A.; Gonzalez-Diaz, B.; Jimenez-Rodriguez, E.; Ziegler, J.; Velazquez, J.J.; Hohage, S.; Borchert, D.; Guerrero-Lemus, R.

    2011-01-01

    Highlights: → An enhanced minority carrier lifetime at extended defects in multicrystalline silicon is observed with the use of HF/HNO 3 stain etching to texture the surface. → FTIR analysis shows no influence of oxide passivation in this effect. → SEM images show a preferential etching at extended defects suggesting smoothing at defects as one of the causes for the reduced recombination activity. → LBIC images show a reduction in IQE at extended defects in HF/HNO 3 textured multicrystalline solar cells. - Abstract: In this work the use of HF/HNO 3 solutions for texturing silicon-based solar cell substrates by stain etching and the influence of texturing on minority carrier lifetimes are studied. Stain etching is currently used to decrease the reflectance and, subsequently improve the photogenerated current of the cells, but also produces nanostructures on the silicon surface. In the textured samples it has been observed that an improvement on the minority carrier lifetime with respect to the samples treated with a conventional saw damage etching process is produced on grain boundaries and defects, and the origin of this effect has been discussed.

  13. Etching conditions and shape of tracks

    International Nuclear Information System (INIS)

    Kudo, Shuichi

    1979-01-01

    The etching effect of hydrogen fluoride (HF) solution of 5%, 10%, 20% and 46% was investigated, using the perlite dug out at Wada-toge, Japan. They were studied by the progressive etching at 30 deg C, after the perlite was subjected to thermal neutron irradiation for 8 hours in the research reactor of the Institute for Atomic Energy of St. Paul (Rikkyo) University. Observation was performed mainly by replica, and false tracks, which are difficult to be judged whether they are the tracks or not, didn't appear as far as this experiment was concerned. Measurements of etch-pits and track density were carried out. The results of these investigations were considered and analyzed to describe them in five sections. The conclusions are as follows: (1) Regarding the ease of etch-pit observation and the adjustment of etching time, etching with 5% HF solution is most advantageous among four solutions of 5, 10, 20 and 46% HF. (2) The measurement of track density is more affected by the difference in counting criteria than the difference in etching conditions. The data on the size of etch-pits are required to discuss the problems of track density and counting efficiency. (3) If linear tracks are to be observed using hydrogen fluoride, it is necessary to investigate the etching characteristics with the solution of lower concentration. (Wakatsuki, Y.)

  14. Fabrication of a capacitive relative humidity sensor using aluminum thin films deposited on etched printed circuit board

    Directory of Open Access Journals (Sweden)

    Lee Jacqueline Ann L.

    2016-01-01

    Full Text Available A capacitive humidity-sensing device was created by thermal evaporation of 99.999% aluminum. The substrate used for the coating was etched double-sided printed circuit board. The etched printed circuit board serves as the dielectric of the capacitor while the aluminum thin films deposited on either side serve as the plates of the capacitor. The capacitance was measured before and after exposure to humidity. The device was then calibrated by comparing the readings of capacitance with that of the relative humidity sensor of the Vernier LabQuest2. It was found that there is a linear relationship between the capacitance and relative humidity given by the equation C=1.418RH+29.139 where C is the capacitance and RH is the relative humidity. The surface of the aluminum films is porous and it is through these pores that water is adsorbed and capillary condensation occurs, thereby causing the capacitance to change upon exposure to humidity.

  15. 3D computation of the shape of etched tracks in CR-39 for oblique particle incidence and comparison with experimental results

    International Nuclear Information System (INIS)

    Doerschel, B.; Hermsdorf, D.; Reichelt, U.; Starke, S.; Wang, Y.

    2003-01-01

    Computation of the shape of etch pits needs to know the varying track etch rate along the particle trajectories. Experiments with alpha particles and 7 Li ions entering CR-39 detectors under different angles showed that this function is not affected by the inclination of the particle trajectory with respect to the normal on the detector surface. Track formation for oblique particle incidence can, therefore, be simulated using the track etch rates determined for perpendicular incidence. 3D computation of the track shape was performed applying a model recently described in literature. A special program has been written for computing the x,y,z coordinates of points on the etch pit walls. In addition, the etch pit profiles in sagittal sections as well as the contours of the etch pit openings on the detector surface have been determined experimentally. Computed and experimental results were in good agreement confirming the applicability of the 3D computational model in combination with the functions for the depth-dependent track etch rates determined experimentally

  16. Comparison of enamel bond fatigue durability between universal adhesives and two-step self-etch adhesives: Effect of phosphoric acid pre-etching.

    Science.gov (United States)

    Suda, Shunichi; Tsujimoto, Akimasa; Barkmeier, Wayne W; Nojiri, Kie; Nagura, Yuko; Takamizawa, Toshiki; Latta, Mark A; Miyazaki, Masashi

    2018-03-30

    The effect of phosphoric acid pre-etching on enamel bond fatigue durability of universal adhesives and two-step self-etch adhesives was investigated. Four universal adhesives and three two-step self-etch adhesives were used. The initial shear bond strengths and shear fatigue strengths to enamel with and without phosphoric acid pre-etching using the adhesives were determined. SEM observations were also conducted. Phosphoric acid pre-etching of enamel was found to increase the bond fatigue durability of universal adhesives, but its effect on two-step self-etch adhesives was material-dependent. In addition, some universal adhesives with phosphoric acid pre-etching showed similar bond fatigue durability to the two-step self-etch adhesives, although the bond fatigue durability of universal adhesives in self-etch mode was lower than that of the two-step self-etch adhesives. Phosphoric acid pre-etching enhances enamel bond fatigue durability of universal adhesives, but the effect of phosphoric acid pre-etching on the bond fatigue durability of two-step self-etch adhesives was material-dependent.

  17. Direct observation for atomically flat and ordered vertical {111} side-surfaces on three-dimensionally figured Si(110) substrate using scanning tunneling microscopy

    Science.gov (United States)

    Yang, Haoyu; Hattori, Azusa N.; Ohata, Akinori; Takemoto, Shohei; Hattori, Ken; Daimon, Hiroshi; Tanaka, Hidekazu

    2017-11-01

    A three-dimensional Si{111} vertical side-surface structure on a Si(110) wafer was fabricated by reactive ion etching (RIE) followed by wet-etching and flash-annealing treatments. The side-surface was studied with scanning tunneling microscopy (STM) in atomic scale for the first time, in addition to atomic force microscopy (AFM), scanning electron microscopy (SEM), and low-energy electron diffraction (LEED). AFM and SEM showed flat and smooth vertical side-surfaces without scallops, and STM proved the realization of an atomically-flat 7 × 7-reconstructed structure, under optimized RIE and wet-etching conditions. STM also showed that a step-bunching occurred on the produced {111} side-surface corresponding to a reversely taped side-surface with a tilt angle of a few degrees, but did not show disordered structures. Characteristic LEED patterns from both side- and top-reconstructed surfaces were also demonstrated.

  18. Effect of etching on bonding of a self-etch adhesive to dentine affected by amelogenesis imperfecta.

    Science.gov (United States)

    Epasinghe, Don Jeevanie; Yiu, Cynthia Kar Yung

    2018-02-01

    Dentine affected by amelogenesis imperfecta (AI) is histologically altered due to loss of hypoplastic enamel and becomes hypermineralized. In the present study, we examined the effect of additional acid etching on microtensile bond strength of a self-etch adhesive to AI-affected dentine. Flat coronal dentine obtained from extracted AI-affected and non-carious permanent molars were allocated to two groups: (a) Clearfil SE Bond (control); and (b) Clearfil SE Bond and additional etching with 34% phosphoric acid for 15 seconds. The bonded teeth were sectioned into .8-mm 2 beams for microtensile bond strength testing, and stressed to failure under tension. The bond strength data were analyzed using two-way analysis of variance (dentine type and etching step) and Student-Newman-Keuls multiple comparison test (P<.05). Representative fractured beams from each group were examined under scanning electron microscopy. Both factors, dentine substrate (P<.001) and etching step (P<.05), and their interactions (P<.001), were statistically significant. Additional etching had an adverse effect on the bond strength of Clearfil SE Bond to normal dentine (P<.005), and no significant improvement was found for AI-affected dentine (P=.479). Additional acid etching does not improve the bond strength of a self-etch adhesive to AI-affected dentine. © 2017 John Wiley & Sons Australia, Ltd.

  19. Dry etching for microelectronics

    CERN Document Server

    Powell, RA

    1984-01-01

    This volume collects together for the first time a series of in-depth, critical reviews of important topics in dry etching, such as dry processing of III-V compound semiconductors, dry etching of refractory metal silicides and dry etching aluminium and aluminium alloys. This topical format provides the reader with more specialised information and references than found in a general review article. In addition, it presents a broad perspective which would otherwise have to be gained by reading a large number of individual research papers. An additional important and unique feature of this book

  20. Biomimetic hydrophobic surface fabricated by chemical etching method from hierarchically structured magnesium alloy substrate

    Energy Technology Data Exchange (ETDEWEB)

    Liu, Yan; Yin, Xiaoming; Zhang, Jijia [Key Laboratory of Bionic Engineering (Ministry of Education), Jilin University, Changchun 130022 (China); Wang, Yaming [Institute for Advanced Ceramics, Harbin Institute of Technology, Harbin 150001 (China); Han, Zhiwu, E-mail: zwhan@jlu.edu.cn [Key Laboratory of Bionic Engineering (Ministry of Education), Jilin University, Changchun 130022 (China); Ren, Luquan [Key Laboratory of Bionic Engineering (Ministry of Education), Jilin University, Changchun 130022 (China)

    2013-09-01

    As one of the lightest metal materials, magnesium alloy plays an important role in industry such as automobile, airplane and electronic product. However, magnesium alloy is hindered due to its high chemical activity and easily corroded. Here, inspired by typical plant surfaces such as lotus leaves and petals of red rose with super-hydrophobic character, the new hydrophobic surface is fabricated on magnesium alloy to improve anti-corrosion by two-step methodology. The procedure is that the samples are processed by laser first and then immersed and etched in the aqueous AgNO{sub 3} solution concentrations of 0.1 mol/L, 0.3 mol/L and 0.5 mol/L for different times of 15 s, 40 s and 60 s, respectively, finally modified by DTS (CH{sub 3}(CH{sub 2}){sub 11}Si(OCH{sub 3}){sub 3}). The microstructure, chemical composition, wettability and anti-corrosion are characterized by means of SEM, XPS, water contact angle measurement and electrochemical method. The hydrophobic surfaces with microscale crater-like and nanoscale flower-like binary structure are obtained. The low-energy material is contained in surface after DTS treatment. The contact angles could reach up to 138.4 ± 2°, which hydrophobic property is both related to the micro–nano binary structure and chemical composition. The results of electrochemical measurements show that anti-corrosion property of magnesium alloy is improved. Furthermore, our research is expected to create some ideas from natural enlightenment to improve anti-corrosion property of magnesium alloy while this method can be easily extended to other metal materials.

  1. Smear layer-deproteinizing improves bonding of one-step self-etch adhesives to dentin.

    Science.gov (United States)

    Thanatvarakorn, Ornnicha; Prasansuttiporn, Taweesak; Thittaweerat, Suppason; Foxton, Richard M; Ichinose, Shizuko; Tagami, Junji; Hosaka, Keiichi; Nakajima, Masatoshi

    2018-03-01

    Smear layer deproteinizing was proved to reduce the organic phase of smear layer covered on dentin surface. It was shown to eliminate hybridized smear layer and nanoleakage expression in resin-dentin bonding interface of two-step self-etch adhesive. This study aimed to investigate those effects on various one-step self-etch adhesives. Four different one-step self-etch adhesives were used in this study; SE One (SE), Scotchbond™ Universal (SU), BeautiBond Multi (BB), and Bond Force (BF). Flat human dentin surfaces with standardized smear layer were prepared. Smear layer deproteinizing was carried out by the application of 50ppm hypochlorous acid (HOCl) on dentin surface for 15s followed by Accel ® (p-toluenesulfinic acid salt) for 5s prior to adhesive application. No surface pretreatment was used as control. Microtensile bond strength (μTBS) and nanoleakage under TEM observation were investigated. The data were analyzed by two-way ANOVA and Tukey's post-hoc test and t-test at the significant level of 0.05. Smear layer deproteinizing significantly improved μTBS of SE, SU, and BB (player observed in control groups of SE, BB, and BF, and reticular nanoleakage presented throughout the hybridized complex in control groups of BB and BF were eliminated upon the smear layer deproteinizing. Smear layer deproteinizing by HOCl and Accel ® application could enhance the quality of dentin for bonding to one-step self-etch adhesives, resulting in the improving μTBS, eliminating hybridized smear layer and preventing reticular nanoleakage formation in resin-dentin bonding interface. Copyright © 2018 The Academy of Dental Materials. Published by Elsevier Ltd. All rights reserved.

  2. Effects of Dextrose and Lipopolysaccharide on the Corrosion Behavior of a Ti-6Al-4V Alloy with a Smooth Surface or Treated with Double-Acid-Etching

    Science.gov (United States)

    Faverani, Leonardo P.; Assunção, Wirley G.; de Carvalho, Paulo Sérgio P.; Yuan, Judy Chia-Chun; Sukotjo, Cortino; Mathew, Mathew T.; Barao, Valentim A.

    2014-01-01

    Diabetes and infections are associated with a high risk of implant failure. However, the effects of such conditions on the electrochemical stability of titanium materials remain unclear. This study evaluated the corrosion behavior of a Ti-6Al-4V alloy, with a smooth surface or conditioned by double-acid-etching, in simulated body fluid with different concentrations of dextrose and lipopolysaccharide. For the electrochemical assay, the open-circuit-potential, electrochemical impedance spectroscopy, and potentiodynamic test were used. The disc surfaces were characterized by scanning electron microscopy and atomic force microscopy. Their surface roughness and Vickers microhardness were also tested. The quantitative data were analyzed by Pearson's correlation and independent t-tests (α = 0.05). In the corrosion parameters, there was a strong lipopolysaccharide correlation with the Ipass (passivation current density), Cdl (double-layer capacitance), and Rp (polarization resistance) values (pcorrosion current density) and Ipass (pcorrosion behavior of the Ti-6Al-4V alloy surface treated with double-acid-etching. However, no dose-response corrosion behavior could be observed. These results suggest a greater susceptibility to corrosion of titanium implants in diabetic patients with associated infections. PMID:24671257

  3. Dry etching of MgCaO gate dielectric and passivation layers on GaN

    International Nuclear Information System (INIS)

    Hlad, M.; Voss, L.; Gila, B.P.; Abernathy, C.R.; Pearton, S.J.; Ren, F.

    2006-01-01

    MgCaO films grown by rf plasma-assisted molecular beam epitaxy and capped with Sc 2 O 3 are promising candidates as surface passivation layers and gate dielectrics on GaN-based high electron mobility transistors (HEMTs) and metal-oxide semiconductor HEMTs (MOS-HEMTs), respectively. Two different plasma chemistries were examined for etching these thin films on GaN. Inductively coupled plasmas of CH 4 /H 2 /Ar produced etch rates only in the range 20-70 A/min, comparable to the Ar sputter rates under the same conditions. Similarly slow MgCaO etch rates (∼100 A/min) were obtained with Cl 2 /Ar discharges under the same conditions, but GaN showed rates almost an order of magnitude higher. The MgCaO removal rates are limited by the low volatilities of the respective etch products. The CH 4 /H 2 /Ar plasma chemistry produced a selectivity of around 2 for etching the MgCaO with respect to GaN

  4. Moth eye-inspired anti-reflective surfaces for improved IR optical systems & visible LEDs fabricated with colloidal lithography and etching.

    Science.gov (United States)

    Chan, Lesley W; Morse, Daniel E; Gordon, Michael J

    2018-05-08

    Near- and sub-wavelength photonic structures are used by numerous organisms (e.g. insects, cephalopods, fish, birds) to create vivid and often dynamically-tunable colors, as well as create, manipulate, or capture light for vision, communication, crypsis, photosynthesis, and defense. This review introduces the physics of moth eye (ME)-like, biomimetic nanostructures and discusses their application to reduce optical losses and improve efficiency of various optoelectronic devices, including photodetectors, photovoltaics, imagers, and light emitting diodes. Light-matter interactions at structured and heterogeneous surfaces over different length scales are discussed, as are the various methods used to create ME-inspired surfaces. Special interest is placed on a simple, scalable, and tunable method, namely colloidal lithography with plasma dry etching, to fabricate ME-inspired nanostructures in a vast suite of materials. Anti-reflective surfaces and coatings for IR devices and enhancing light extraction from visible light emitting diodes are highlighted.

  5. Effects of potassium oxalate on knoop hardness of etch-and-rinse adhesives.

    Science.gov (United States)

    Silva, S M A; Malacarne-Zanon, J; Carvalho, R M; Alves, M C; De Goes, M F; Anido-Anido, A; Carrilho, M R

    2012-01-01

    The objective of this study was to determine whether the hardness of etch-and-rinse adhesives may be affected by the pretreatment of acid-etched dentin with potassium oxalate desensitizer. Unerupted human third molars were cut into crown segments by removing the occlusal enamel and roots. The pulp chamber of these crown segments was connected to a syringe barrel filled with phosphate-buffered saline so that the moisture of dentin was maintained during the bonding procedures. Three etch-and-rinse adhesives-two two-step systems (Adper Single Bond 2 [SB], One-Step [OS]) and one three-step system (Adper Scotchbond Multi-Purpose [MP])-were applied to acid-etched dentin that had been treated (experimental groups) or not (control groups) with potassium oxalate (BisBlock). The Knoop hardness (KHN) of adhesives was taken at different sites of the outer surface of the adhesive-bonded dentin. The KHN of the three tested adhesives applied to acid-etched dentin treated with potassium oxalate was significantly lower than that exhibited by the respective controls (not treated with oxalate; padhesive, the treatment with potassium oxalate reduced the adhesives' KHN (psystem exhibiting the lowest KHN compared with the MP and SB systems.

  6. Evolution of etched nuclear track profiles of alpha particles in CR-39 by atomic force microscopy

    International Nuclear Information System (INIS)

    Félix-Bautista, R.; Hernández-Hernández, C.; Zendejas-Leal, B.E.; Fragoso, R.; Golzarri, J.I.; Vázquez-López, C.; Espinosa, G.

    2013-01-01

    A series of atomic force microscopy (AFM) images of etched nuclear tracks has been obtained and used to calculate the nuclear track registration sensitivity parameter V(x) = Vt(x)/Vb. Due to the AFM limitations the samples were irradiated normally to the surface, and with energies attenuated in order to include the Bragg peak region in the AFM piezo-scanner z movement range. The simulation of the track profile evolution was then obtained. The different stages of etched nuclear track profiles were rendered. - Highlights: ► Using AFM we reach that Bragg peak region of etched tracks in CR-39. ► The etched track sensitivity V was calculated by data obtained by AFM. ► The evolucion of etched nuclear tracks was simulated by data achieved by AFM

  7. Determination of the depth of an etch pit through studies of diffraction rings

    Energy Technology Data Exchange (ETDEWEB)

    Basu, B. [Department of Physics, Bose Institute, 93/1 APC Road, Kolkata 700 009 (India); Centre for Astroparticle Physics and Space Science, Bose institute, Kolkata 700 091 (India); Dey, S.; Maulik, A. [Centre for Astroparticle Physics and Space Science, Bose institute, Kolkata 700 091 (India); Raha, Sibaji [Department of Physics, Bose Institute, 93/1 APC Road, Kolkata 700 009 (India); Centre for Astroparticle Physics and Space Science, Bose institute, Kolkata 700 091 (India); Saha, S. [Nuclear and Atomic Physics Division, Saha Institute of Nuclear Physics, Kolkata 700 064 (India); Saha, Swapan K. [Department of Physics, Bose Institute, 93/1 APC Road, Kolkata 700 009 (India); Centre for Astroparticle Physics and Space Science, Bose institute, Kolkata 700 091 (India)], E-mail: swapan@bosemain.boseinst.ac.in; Syam, D. [Department of Physics, Presidency College, Kolkata 700 073 (India)

    2009-04-15

    A Solid State Nuclear Track Detector (SSNTD) can be used to identify an impinging ion as well as to determine the energy of that ion. The track of the ion is made visible by chemically 'etching' the detector after exposure. By finding out the ratio of the track-etch rate (V{sub t}) to the bulk-etch rate (V{sub g}), together with the range of the ion in the detector, the identity and the energy of the ion can be ascertained. The required measurements can be conveniently made with the help of a microscope when the angle of incidence of the ion, with respect to the normal direction to the detector surface, is more than 15 deg.. For normal or near normal incidence, uncertainties plague the measurement of the depth of the etch pit and hence the range of the particle. Through this article we wish to suggest an alternative method of assessment, based on the observation of diffraction rings, of the depth of an etch pit.

  8. Enhancement of laser induced damage threshold of fused silica by acid etching combined with UV laser conditioning

    International Nuclear Information System (INIS)

    Chen Meng; Xiang Xia; Jiang Yong; Zu Xiaotao; Yuan Xiaodong; Zheng Wanguo; Wang Haijun; Li Xibin; Lu Haibing; Jiang Xiaodong; Wang Chengcheng

    2010-01-01

    Acid etching combined with UV laser conditioning is developed to enhance the laser induced damage threshold (LIDT) of fused silica. Firstly, the fused silica is etched for 1 ∼ 100 min with a buffered 1% HF solution. After acid etching, its transmittance, surface roughness and LIDT are measured. The results reveal that the fused silica has the highest LIDT and transmittance after etching for 10 min. Then UV laser (355 nm) conditioning is adopted to process the 10-min-etched fused silica. When the laser fluence is below 60% of fused silica's zero probability damage threshold, the LIDT increases gradually with the increase of laser conditioning fluence. However, the LIDT rapidly decreases to be lower than the threshold of the 10-min-etched fused silica when the conditioning fluence is up to 80% of the threshold. Proper acid etching and laser conditioning parameters will effectively enhance the laser damage resistance of fused silica. (authors)

  9. Four-year water degradation of a total-etch and two self-etching adhesives bonded to dentin

    NARCIS (Netherlands)

    Abdalla, A.I.; Feilzer, A.J.

    2008-01-01

    Objectives: To evaluate effect of direct and indirect water storage on the microtensile dentin bond strength of one total-etch and two self-etching adhesives. Methods: The adhesive materials were: one total-etch adhesive; ‘Admira Bond’ and two selfetch adhesives; ‘Clearfil SE Bond’ and ‘Hybrid

  10. Hydrogen content in titanium and a titanium–zirconium alloy after acid etching

    Energy Technology Data Exchange (ETDEWEB)

    Frank, Matthias J.; Walter, Martin S. [Department of Biomaterials, Institute for Clinical Dentistry, University of Oslo, P.O. Box 1109, Blindern, NO-0317 Oslo (Norway); Institute of Medical and Polymer Engineering, Chair of Medical Engineering, Technische Universität München, Boltzmannstrasse 15, 85748 Garching (Germany); Lyngstadaas, S. Petter [Department of Biomaterials, Institute for Clinical Dentistry, University of Oslo, P.O. Box 1109, Blindern, NO-0317 Oslo (Norway); Wintermantel, Erich [Institute of Medical and Polymer Engineering, Chair of Medical Engineering, Technische Universität München, Boltzmannstrasse 15, 85748 Garching (Germany); Haugen, Håvard J., E-mail: h.j.haugen@odont.uio.no [Department of Biomaterials, Institute for Clinical Dentistry, University of Oslo, P.O. Box 1109, Blindern, NO-0317 Oslo (Norway)

    2013-04-01

    Dental implant alloys made from titanium and zirconium are known for their high mechanical strength, fracture toughness and corrosion resistance in comparison with commercially pure titanium. The aim of the study was to investigate possible differences in the surface chemistry and/or surface topography of titanium and titanium–zirconium surfaces after sand blasting and acid etching. The two surfaces were compared by X-ray photoelectron spectroscopy, secondary ion mass spectroscopy, scanning electron microscopy and profilometry. The 1.9 times greater surface hydrogen concentration of titanium zirconium compared to titanium was found to be the major difference between the two materials. Zirconium appeared to enhance hydride formation on titanium alloys when etched in acid. Surface topography revealed significant differences on the micro and nanoscale. Surface roughness was increased significantly (p < 0.01) on the titanium–zirconium alloy. High-resolution images showed nanostructures only present on titanium zirconium. - Highlights: ► TiZr alloy showed increased hydrogen levels over Ti. ► The alloying element Zr appeared to catalyze hydrogen absorption in Ti. ► Surface roughness was significantly increased for the TiZr alloy over Ti. ► TiZr alloy revealed nanostructures not observed for Ti.

  11. Modification of Patterned Nanoporous Gold Thin Film Electrodes via Electro-annealing and Electrochemical Etching

    Science.gov (United States)

    Dorofeeva, Tatiana

    current to np-Au electrodes, which leads coarsening due to a combination of Joule heating and other mechanisms. This method offers the capability to anneal different electrodes to varying degrees of coarsening in one step, by employing electrodes patterns with different cross-sectional areas - easily attained since np-Au can be patterned into arbitrary shapes via photolithography - to control electrode resistivity, thus current density and the amount of electro-annealing of an electrode. A surprising finding was that electro-annealing lead to electrode coarsening at much lower temperatures than conventional thermal treatment, which was attributed to augmented electron-surface atom interactions at high current densities that may in turn enhance surface atom diffusivity. A major advantage of electro-annealing is the ability to monitor the resistance change of the electrode (surrogate for electrode morphology) in real-time and vary the electro-annealing current accordingly to establish a closed-loop electro-annealing configuration. In nanostructured materials, the electrical resistance is often a function of nanostructure, thus changes in resistance can be directly linked to morphological changes of the electrode. Examination of the underlying mechanisms of nanostructure-dependent resistance change revealed that both ligament diameter and grain size play a role in dictating the observed electrode resistance change. The second method relies on electrochemical etching of ligaments to modify electrode morphology in order to maintain both a high effective surface area and large pores for unhindered transport of molecules to/from the ligament surfaces - an important consideration for many physico-chemical processes, such fuel cells, electrochemical sensors, and drug delivery platforms. The advantage of this method over purely chemical approach is that while an entire sample in exposed to the chemical reagent, the etching process does not occur until the necessary electrochemical

  12. Study etching characteristics of a track detector CR-39 with ultraviolet laser irradiation

    International Nuclear Information System (INIS)

    Dwaikat, Nidal; Iida, Toshiyuki; Sato, Fuminobu; Kato, Yushi; Ishikawa, Ippei; Kada, Wataru; Kishi, Atsuya; Sakai, Makoto; Ihara, Yohei

    2007-01-01

    The effect of pulsed ultraviolet Indium-doped Yttrium Aluminum Garnet (UV-In:YAG) laser of λ=266 nm, pulse energy 42 mJ/pulse at repetition rate10 Hz on the etching characteristics of Japanese CR-39 was studied at various energy intensities. Fifteen detectors were divided into two sets, each of seven samples and one sample was kept as a reference.The first set (post-exposed) was first exposed to alpha radiation with close contact to 241 Am and then treated in air with laser in the energy intensity range from 40 to160 J/cm 2 , 20 J/cm 2 in step. The second set (pre-exposed) was irradiated in reverse process (laser+alpha) with the same sources as the first set and under the same condition. The laser energy intensities ranged between 20 and 140 J/cm 2 , 20 J/cm 2 in step. For post-exposed samples (alpha+laser) bulk etch rate decreases up to 60 J/cm 2 and increases thereafter, while for pre-exposed samples (laser+alpha) the bulk etch rate oscillates without showing any precise periodicity. The bulk etch rate for both sets was found to be the same at 60≤energy intensity≤80 J/cm 2 and this may indicate that the same structural changes have happened. The track etch rate was found to be equal to the bulk etch rate for both sets, so the sensitivity is constant. In both sets several changes on the detector surfaces: tracks of different sizes and shapes and high density within the laser spot were observed. Out of the laser spot, the tracks become larger and lower density, indicating cross-linking and scission have happened, simultaneously, on the same surface as a result of UV-laser irradiation

  13. Consequences of atomic layer etching on wafer scale uniformity in inductively coupled plasmas

    Science.gov (United States)

    Huard, Chad M.; Lanham, Steven J.; Kushner, Mark J.

    2018-04-01

    Atomic layer etching (ALE) typically divides the etching process into two self-limited reactions. One reaction passivates a single layer of material while the second preferentially removes the passivated layer. As such, under ideal conditions the wafer scale uniformity of ALE should be independent of the uniformity of the reactant fluxes onto the wafers, provided all surface reactions are saturated. The passivation and etch steps should individually asymptotically saturate after a characteristic fluence of reactants has been delivered to each site. In this paper, results from a computational investigation are discussed regarding the uniformity of ALE of Si in Cl2 containing inductively coupled plasmas when the reactant fluxes are both non-uniform and non-ideal. In the parameter space investigated for inductively coupled plasmas, the local etch rate for continuous processing was proportional to the ion flux. When operated with saturated conditions (that is, both ALE steps are allowed to self-terminate), the ALE process is less sensitive to non-uniformities in the incoming ion flux than continuous etching. Operating ALE in a sub-saturation regime resulted in less uniform etching. It was also found that ALE processing with saturated steps requires a larger total ion fluence than continuous etching to achieve the same etch depth. This condition may result in increased resist erosion and/or damage to stopping layers using ALE. While these results demonstrate that ALE provides increased etch depth uniformity, they do not show an improved critical dimension uniformity in all cases. These possible limitations to ALE processing, as well as increased processing time, will be part of the process optimization that includes the benefits of atomic resolution and improved uniformity.

  14. Can previous acid etching increase the bond strength of a self-etching primer adhesive to enamel?

    Directory of Open Access Journals (Sweden)

    Ana Paula Morales Cobra Carvalho

    2009-06-01

    Full Text Available Because a greater research effort has been directed to analyzing the adhesive effectiveness of self etch primers to dentin, the aim of this study was to evaluate, by microtensile testing, the bond strength to enamel of a composite resin combined with a conventional adhesive system or with a self-etching primer adhesive, used according to its original prescription or used with previous acid etching. Thirty bovine teeth were divided into 3 groups with 10 teeth each (n= 10. In one of the groups, a self-etching primer (Clearfil SE Bond - Kuraray was applied in accordance with the manufacturer's instructions and, in the other, it was applied after previous acid etching. In the third group, a conventional adhesive system (Scotchbond Multipurpose Plus - 3M-ESPE was applied in accordance with the manufacturer's instructions. The results obtained by analysis of variance revealed significant differences between the adhesive systems (F = 22.31. The self-etching primer (Clearfil SE Bond presented lower enamel bond strength values than the conventional adhesive system (Scotchbond Multipurpose Plus (m = 39.70 ± 7.07 MPa both when used according to the original prescription (m = 27.81 ± 2.64 MPa and with previous acid etching (m = 25.08 ± 4.92 MPa.

  15. Effect of oxygen plasma etching on pore size-controlled 3D polycaprolactone scaffolds for enhancing the early new bone formation in rabbit calvaria.

    Science.gov (United States)

    Kook, Min-Suk; Roh, Hee-Sang; Kim, Byung-Hoon

    2018-05-02

    This study was to investigate the effects of O 2 plasma-etching of the 3D polycaprolactone (PCL) scaffold surface on preosteoblast cell proliferation and differentiation, and early new bone formation. The PCL scaffolds were fabricated by 3D printing technique. After O 2 plasma treatment, surface characterizations were examined by scanning electron microscopy, atomic force microscopy, and contact angle. MTT assay was used to determine cell proliferation. To investigate the early new bone formation, rabbits were sacrificed at 2 weeks for histological analyses. As the O 2 plasma etching time is increased, roughness and hydrophilicity of the PCL scaffold surface increased. The cell proliferation and differentiation on plasma-etched samples was significantly increased than on untreated samples. At 2 weeks, early new bone formation in O 2 plasma-etched PCL scaffolds was the higher than that of untreated scaffolds. The O 2 plasma-etched PCL scaffolds showed increased preosteoblast differentiation as well as increased new bone formation.

  16. High temperature electron cyclotron resonance etching of GaN, InN, and AlN

    International Nuclear Information System (INIS)

    Shul, R.J.; Kilcoyne, S.P.; Hagerott Crawford, M.; Parmeter, J.E.; Vartuli, C.B.; Abernathy, C.R.; Pearton, S.J.

    1995-01-01

    Electron cyclotron resonance etch rates for GaN, InN, and AlN are reported as a function of temperature for Cl 2 /H 2 /CH 4 /Ar and Cl 2 /H 2 /Ar plasmas. Using Cl 2 /H 2 /CH 4 /Ar plasma chemistry, GaN etch rates remain relatively constant from 30 to 125 degree C and then increase to a maximum of 2340 A/min at 170 degree C. The InN etch rate decreases monotonically from 30 to 150 degree C and then rapidly increases to a maximum of 2300 A/min at 170 degree C. This is the highest etch rate reported for this material. The AlN etch rate decreases throughout the temperature range studied with a maximum of 960 A/min at 30 degree C. When CH 4 is removed from the plasma chemistry, the GaN and InN etch rates are slightly lower, with less dramatic changes with temperature. The surface composition of the III--V nitrides remains unchanged after exposure to the Cl 2 /H 2 /CH 4 /Ar plasma over the temperatures studied

  17. Attachment and spreadout study of 3T3 cells onto PP track etched films

    International Nuclear Information System (INIS)

    Smolko, Eduardo; Mazzei, Ruben; Tadey, Daniel; Lombardo, Daniel

    2001-01-01

    Polymer surface modifications are obtained by the application of radiation treatments and other physico-chemical methods: fission fragment (ff) irradiation and etching. The biocompatibility of the surface is then observed by cell seeding and cell adhesion experiments. Approaches to improvement of the cell adhesion are obtained by different methods: for example, in PS, cell adhesion is improved after ion implantation; in PMMA, after bombarding the polymer, the surface is reconditioned with surfactants and proteins and in PVDF, cell adhesion is assayed on nuclear tracks membranes. In this work, we obtained important cell adhesion improvements in PP films by irradiation with swift heavy ions and subsequent etching of the nuclear tracks. We use BOPP (isotactic -25 μm thickness). Irrradiations were performed with a Cf-252 californium ff source. The source has a heavy ff and a light one, with 160-200 MeV energy divided among them corresponding to ff energies between 1 and 2 MeV/amu. A chemical etching procedure consisting of a solution of sulphuric acid and chromium three oxide at 85 deg. C was used. The 3T3 NIH fibroblast cell line was used for the cell adhesion experiment. Here we report for the first time, the results of a series of experiments by varying the ff fluence and the etching time showing that attachment and spreadout of cells are very much improved in this cell line according to the number of pores and the pore size

  18. Longevity of Self-etch Dentin Bonding Adhesives Compared to Etch-and-rinse Dentin Bonding Adhesives: A Systematic Review.

    Science.gov (United States)

    Masarwa, Nader; Mohamed, Ahmed; Abou-Rabii, Iyad; Abu Zaghlan, Rawan; Steier, Liviu

    2016-06-01

    A systematic review and meta-analysis were performed to compare longevity of Self-Etch Dentin Bonding Adhesives to Etch-and-Rinse Dentin Bonding Adhesives. The following databases were searched for PubMed, MEDLINE, Web of Science, CINAHL, the Cochrane Library complemented by a manual search of the Journal of Adhesive Dentistry. The MESH keywords used were: "etch and rinse," "total etch," "self-etch," "dentin bonding agent," "bond durability," and "bond degradation." Included were in-vitro experimental studies performed on human dental tissues of sound tooth structure origin. The examined Self-Etch Bonds were of two subtypes; Two Steps and One Step Self-Etch Bonds, while Etch-and-Rinse Bonds were of two subtypes; Two Steps and Three Steps. The included studies measured micro tensile bond strength (μTBs) to evaluate bond strength and possible longevity of both types of dental adhesives at different times. The selected studies depended on water storage as the aging technique. Statistical analysis was performed for outcome measurements compared at 24 h, 3 months, 6 months and 12 months of water storage. After 24 hours (p-value = 0.051), 3 months (p-value = 0.756), 6 months (p-value=0.267), 12 months (p-value=0.785) of water storage self-etch adhesives showed lower μTBs when compared to the etch-and-rinse adhesives, but the comparisons were statistically insignificant. In this study, longevity of Dentin Bonds was related to the measured μTBs. Although Etch-and-Rinse bonds showed higher values at all times, the meta-analysis found no difference in longevity of the two types of bonds at the examined aging times. Copyright © 2016 Elsevier Inc. All rights reserved.

  19. Cryogenic rf test of the first SRF cavity etched in an rf Ar/Cl2 plasma

    Science.gov (United States)

    Upadhyay, J.; Palczewski, A.; Popović, S.; Valente-Feliciano, A.-M.; Im, Do; Phillips, H. L.; Vušković, L.

    2017-12-01

    An apparatus and a method for etching of the inner surfaces of superconducting radio frequency (SRF) accelerator cavities are described. The apparatus is based on the reactive ion etching performed in an Ar/Cl2 cylindrical capacitive discharge with reversed asymmetry. To test the effect of the plasma etching on the cavity rf performance, a 1497 MHz single cell SRF cavity was used. The single cell cavity was mechanically polished and buffer chemically etched and then rf tested at cryogenic temperatures to provide a baseline characterization. The cavity's inner wall was then exposed to the capacitive discharge in a mixture of Argon and Chlorine. The inner wall acted as the grounded electrode, while kept at elevated temperature. The processing was accomplished by axially moving the dc-biased, corrugated inner electrode and the gas flow inlet in a step-wise manner to establish a sequence of longitudinally segmented discharges. The cavity was then tested in a standard vertical test stand at cryogenic temperatures. The rf tests and surface condition results, including the electron field emission elimination, are presented.

  20. Cryogenic rf test of the first SRF cavity etched in an rf Ar/Cl2 plasma

    Directory of Open Access Journals (Sweden)

    J. Upadhyay

    2017-12-01

    Full Text Available An apparatus and a method for etching of the inner surfaces of superconducting radio frequency (SRF accelerator cavities are described. The apparatus is based on the reactive ion etching performed in an Ar/Cl2 cylindrical capacitive discharge with reversed asymmetry. To test the effect of the plasma etching on the cavity rf performance, a 1497 MHz single cell SRF cavity was used. The single cell cavity was mechanically polished and buffer chemically etched and then rf tested at cryogenic temperatures to provide a baseline characterization. The cavity’s inner wall was then exposed to the capacitive discharge in a mixture of Argon and Chlorine. The inner wall acted as the grounded electrode, while kept at elevated temperature. The processing was accomplished by axially moving the dc-biased, corrugated inner electrode and the gas flow inlet in a step-wise manner to establish a sequence of longitudinally segmented discharges. The cavity was then tested in a standard vertical test stand at cryogenic temperatures. The rf tests and surface condition results, including the electron field emission elimination, are presented.

  1. Detailed microstructure analysis of as-deposited and etched porous ZnO films

    International Nuclear Information System (INIS)

    Shang, Congcong; Thimont, Yohann; Barnabé, Antoine; Presmanes, Lionel; Pasquet, Isabelle; Tailhades, Philippe

    2015-01-01

    Graphical abstract: - Highlights: • Porous ZnO thin films were deposited by rf magnetron sputtering. • Surface enhancement factors were deduced from geometrical considerations. • Enlargement of the inter-grain spaces have been achieved by HCl chemical etching. • Microstructural parameters were deduced from SEM, AFM and optical measurements. - Abstract: ZnO nanostructured materials in thin film forms are of particular interest for photovoltaic or photocatalysis processes but they suffer from a lack of simple methods for optimizing their microstructure. We have demonstrated that microporous ZnO thin films with optimized inter grain accessibility can be produce by radio frequency magnetron sputtering process and chemical etching with 2.75 mM HCl solution for different duration. The as-deposited ZnO thin films were first characterized in terms of structure, grain size, inter grain space, open cavity depth and total thickness of the film by XRD, AFM, SEM, profilometry and optical measurements. A specific attention was dedicated to the determination of the surface enhancement factor (SEF) by using basic geometrical considerations and images treatments. In addition, the porous fraction and its distribution in the thickness have been estimated thanks to the optical simulation of the experimental UV–Visible–IR spectrums using the Bruggeman dielectric model and cross section SEM images analysis respectively. This study showed that the microstructure of the as-deposited films consists of a dense layer covered by a porous upper layer developing a SEF of 12–13 m 2 m −2 . This two layers architecture is not modified by the etching process. The etching process only affects the upper porous layer in which the overall porosity and the inter-grain space increase with the etching duration. Column diameter and total film thickness decrease at the same time when the films are soaked in the HCl bath. The microporous structure obtained after the etching process could

  2. Piezoelectric evaluation of ion beam etched Pb(Zr,Ti)O3 thin films by piezoresponse force microscopy

    International Nuclear Information System (INIS)

    Legrand, C.; Da Costa, A.; Desfeux, R.; Soyer, C.; Remiens, D.

    2007-01-01

    The evolution of piezoelectric properties of Pb(Zr,Ti)O 3 (PZT) thin films after ion beam etching have been investigated at the nanoscale level by piezoelectric force microscopy. A comparison of the piezoelectric properties on etched and unetched films is realized. Piezoelectric contrasts imaging evidences a modification of the domain architecture at the film surface. Local piezoelectric hysteresis loops measurements on grains indicate that the coercive voltage for switching is much higher for the etched films (2.3 V) compared to the unetched ones (1.0 V) while the average piezoelectric activity is slightly lower. The results are explained in terms of grain-damaging during etching and domain-wall pinning

  3. Comparison of enamel bond fatigue durability of universal adhesives and two-step self-etch adhesives in self-etch mode.

    Science.gov (United States)

    Tsujimoto, Akimasa; Barkmeier, Wayne W; Hosoya, Yumiko; Nojiri, Kie; Nagura, Yuko; Takamizawa, Toshiki; Latta, Mark A; Miyazaki, Masashi

    2017-10-01

    To comparatively evaluate universal adhesives and two-step self-etch adhesives for enamel bond fatigue durability in self-etch mode. Three universal adhesives (Clearfil Universal Bond; G-Premio Bond; Scotchbond Universal Adhesive) and three two-step self-etch adhesives (Clearfil SE Bond; Clearfil SE Bond 2; OptiBond XTR) were used. The initial shear bond strength and shear fatigue strength of the adhesive to enamel in self-etch mode were determined. The initial shear bond strengths of the universal adhesives to enamel in self-etch mode was significantly lower than those of two-step self-etch adhesives and initial shear bond strengths were not influenced by type of adhesive in each adhesive category. The shear fatigue strengths of universal adhesives to enamel in self-etch mode were significantly lower than that of Clearfil SE Bond and Clearfil SE Bond 2, but similar to that OptiBond XTR. Unlike two-step self-etch adhesives, the initial shear bond strength and shear fatigue strength of universal adhesives to enamel in self-etch mode was not influenced by the type of adhesive. This laboratory study showed that the enamel bond fatigue durability of universal adhesives was lower than Clearfil SE Bond and Clearfil SE Bond 2, similar to Optibond XTR, and was not influenced by type of adhesive, unlike two-step self-etch adhesives.

  4. Influence of different etching modes on bond strength and fatigue strength to dentin using universal adhesive systems.

    Science.gov (United States)

    Takamizawa, Toshiki; Barkmeier, Wayne W; Tsujimoto, Akimasa; Berry, Thomas P; Watanabe, Hedehiko; Erickson, Robert L; Latta, Mark A; Miyazaki, Masashi

    2016-02-01

    The purpose of this study was to determine the dentin bonding ability of three new universal adhesive systems under different etching modes using fatigue testing. Prime & Bond elect [PE] (DENTSPLY Caulk), Scotchbond Universal [SU] (3M ESPE), and All Bond Universal [AU] (Bisco) were used in this study. A conventional single-step self-etch adhesive, Clearfil Bond SE ONE [CS] (Kuraray Noritake Dental) was also included as a control. Shear bond strengths (SBS) and shear fatigue strength (SFS) to human dentin were obtained in the total-etch mode and self-etch modes. For each test condition, 15 specimens were prepared for the SBS and 30 specimens for SFS. SEM was used to examine representative de-bonded specimens, treated dentin surfaces and the resin/dentin interface for each test condition. Among the universal adhesives, PE in total-etch mode showed significantly higher SBS and SFS values than in self-etch mode. SU and AU did not show any significant difference in SBS and SFS between the total-etch mode and self-etch mode. However, the single-step self-etch adhesive CS showed significantly lower SBS and SFS values in the etch-and-rinse mode when compared to the self-etch mode. Examining the ratio of SFS/SBS, for PE and AU, the etch-and-rinse mode groups showed higher ratios than the self-etch mode groups. The influence of different etching modes on dentin bond quality of universal adhesives was dependent on the adhesive material. However, for the universal adhesives, using the total-etch mode did not have a negative impact on dentin bond quality. Copyright © 2015 Academy of Dental Materials. Published by Elsevier Ltd. All rights reserved.

  5. Hydrogen-Etched TiO2−x as Efficient Support of Gold Catalysts for Water–Gas Shift Reaction

    Directory of Open Access Journals (Sweden)

    Li Song

    2018-01-01

    Full Text Available Hydrogen-etching technology was used to prepare TiO2−x nanoribbons with abundant stable surface oxygen vacancies. Compared with traditional Au-TiO2, gold supported on hydrogen-etched TiO2−x nanoribbons had been proven to be efficient and stable water–gas shift (WGS catalysts. The disorder layer and abundant stable surface oxygen vacancies of hydrogen-etched TiO2−x nanoribbons lead to higher microstrain and more metallic Au0 species, respectively, which all facilitate the improvement of WGS catalytic activities. Furthermore, we successfully correlated the WGS thermocatalytic activities with their optoelectronic properties, and then tried to understand WGS pathways from the view of electron flow process. Hereinto, the narrowed forbidden band gap leads to the decreased Ohmic barrier, which enhances the transmission efficiency of “hot-electron flow”. Meanwhile, the abundant surface oxygen vacancies are considered as electron traps, thus promoting the flow of “hot-electron” and reduction reaction of H2O. As a result, the WGS catalytic activity was enhanced. The concept involved hydrogen-etching technology leading to abundant surface oxygen vacancies can be attempted on other supported catalysts for WGS reaction or other thermocatalytic reactions.

  6. Vacuum effect on the etch induction time and registration sensitivity of polymer track detectors

    International Nuclear Information System (INIS)

    Csige, I.; Hunyadi, I.; Somogyi, G.

    1988-01-01

    The effect of a vacuum on etch induction time and track etch rate ratio of some polymer track detectors was studied systematically with alpha particles of different energies. It was found that the etch induction time increases, and the track etch rate ratio decreases, drastically when the detectors were irradiated in a vacuum and also kept in a vacuum for a few hours before and for a few minutes after the irradiation. These times proved to be characteristic for the outgassing of oxygen from the sheets and the stabilization of latent tracks, respectively. The role of oxygen in latent track formation is discussed. We have found that the vacuum effect is most significant near the surface. Its diminution with depth depends on the time of outgassing in accordance with the time variation of the dissolved oxygen concentration profile inside the sheets. (author)

  7. Vacuum effect on the etch induction time and registration sensitivity of polymer track detectors

    Energy Technology Data Exchange (ETDEWEB)

    Csige, I.; Hunyadi, I.; Somogyi, G. (Magyar Tudomanyos Akademia, Debrecen (Hungary). Atommag Kutato Intezete); Fujii, M. (Institute of Space and Astronautical Science, Sagamihara (Japan))

    1988-01-01

    The effect of a vacuum on etch induction time and track etch rate ratio of some polymer track detectors was studied systematically with alpha particles of different energies. It was found that the etch induction time increases, and the track etch rate ratio decreases, drastically when the detectors were irradiated in a vacuum and also kept in a vacuum for a few hours before and for a few minutes after the irradiation. These times proved to be characteristic for the outgassing of oxygen from the sheets and the stabilization of latent tracks, respectively. The role of oxygen in latent track formation is discussed. We have found that the vacuum effect is most significant near the surface. Its diminution with depth depends on the time of outgassing in accordance with the time variation of the dissolved oxygen concentration profile inside the sheets. (author).

  8. WO3 and W Thermal Atomic Layer Etching Using "Conversion-Fluorination" and "Oxidation-Conversion-Fluorination" Mechanisms.

    Science.gov (United States)

    Johnson, Nicholas R; George, Steven M

    2017-10-04

    The thermal atomic layer etching (ALE) of WO 3 and W was demonstrated with new "conversion-fluorination" and "oxidation-conversion-fluorination" etching mechanisms. Both of these mechanisms are based on sequential, self-limiting reactions. WO 3 ALE was achieved by a "conversion-fluorination" mechanism using an AB exposure sequence with boron trichloride (BCl 3 ) and hydrogen fluoride (HF). BCl 3 converts the WO 3 surface to a B 2 O 3 layer while forming volatile WO x Cl y products. Subsequently, HF spontaneously etches the B 2 O 3 layer producing volatile BF 3 and H 2 O products. In situ spectroscopic ellipsometry (SE) studies determined that the BCl 3 and HF reactions were self-limiting versus exposure. The WO 3 ALE etch rates increased with temperature from 0.55 Å/cycle at 128 °C to 4.19 Å/cycle at 207 °C. W served as an etch stop because BCl 3 and HF could not etch the underlying W film. W ALE was performed using a three-step "oxidation-conversion-fluorination" mechanism. In this ABC exposure sequence, the W surface is first oxidized to a WO 3 layer using O 2 /O 3 . Subsequently, the WO 3 layer is etched with BCl 3 and HF. SE could simultaneously monitor the W and WO 3 thicknesses and conversion of W to WO 3 . SE measurements showed that the W film thickness decreased linearly with number of ABC reaction cycles. W ALE was shown to be self-limiting with respect to each reaction in the ABC process. The etch rate for W ALE was ∼2.5 Å/cycle at 207 °C. An oxide thickness of ∼20 Å remained after W ALE, but could be removed by sequential BCl 3 and HF exposures without affecting the W layer. These new etching mechanisms will enable the thermal ALE of a variety of additional metal materials including those that have volatile metal fluorides.

  9. Etching behaviour of alpha-recoil tracks in natural dark mica studied via artificial ion tracks

    International Nuclear Information System (INIS)

    Lang, M.; Glasmacher, U.A.; Neumann, R.; Wagner, G.A.

    2003-01-01

    Alpha-recoil tracks (ARTs) created by the α-decay of U, Th, and their daughter nuclei, are used by a new dating method to determine the formation age of dark mica bearing Quaternary and Neogene volcanic rocks and the cooling age of plutonic and metamorphic rocks [Chem. Geol. 166 (2000) 127, Science 155 (1967) 1103]. The age equation combines the volumetric density of ARTs with the U and Th contents. Etching latent ARTs (diameter 30-100 nm) in the mica mineral phlogopite by HF and measuring the areal density of triangular etch pits by optical and scanning force microscopy (SFM) leads to a linear growth of ART areal density versus etching time. The ART volume density is a function of the slope of the areal density and the etching rate (v eff ). Therefore, the determination of v eff is essential for the calculation of an age value. To determine the etching parameters such as etching efficiency and v eff , phlogopite samples were irradiated with 80 keV Au ions. Irradiated surfaces were etched with 4% HF at 23±2 deg. C during successive time intervals and after each interval studied with SFM. The etching rate v eff was determined by different techniques. To evaluate the threshold of etchability, the energy losses of the Au ions and α-recoil nuclei in phlogopite were calculated with the SRIM00 code. The etching efficiency of the Au ion tracks was then used to predict the corresponding etching efficiency of the natural radioactive nuclei

  10. Comparison of damage introduced into GaN/AlGaN/GaN heterostructures using selective dry etch recipes

    International Nuclear Information System (INIS)

    Green, R T; Luxmoore, I J; Houston, P A; Ranalli, F; Wang, T; Parbrook, P J; Uren, M J; Wallis, D J; Martin, T

    2009-01-01

    A SiCl 4 /SF 6 dry etch plasma recipe is presented giving a selectivity of 14:1 between GaN and AlGaN. Using a leakage test structure, which enables bulk and surface leakage components to be identified independently, the optimized recipe is compared to an un-etched sample and devices recessed using a Cl 2 /Ar/O 2 -based plasma chemistry. Devices etched using the SiCl 4 /SF 6 recipe demonstrated reduced bulk and surface leakage currents when operated over a wide range of temperatures. Consequently the SiCl 4 /SF 6 recipe is identified as most suitable for the fabrication of gate recessed AlGaN/GaN HEMTs

  11. Self-aligned mask renewal for anisotropically etched circular micro- and nanostructures

    International Nuclear Information System (INIS)

    Kaspar, Peter; Jäckel, Heinz; Holzapfel, Sebastian; Windhab, Erich J

    2011-01-01

    The top–down fabrication of high aspect ratio circular micro- and nanostructures in silicon nitride is presented. A new method is introduced to increase the aspect ratio of anisotropically etched holes by a factor of more than two with respect to the results obtained from an established dry-etching process. The method is based on the renewal of an etching mask after a first etching step has been completed. Mask renewal is done by line-of-sight deposition of a masking layer on the surface of the sample, which is mounted at an angle with respect to the deposition direction. No additional alignment step is required. The proof of principle is performed for silicon nitride etching through a mask of titanium, but the method has great potential to be applicable to a wide variety of substrate–mask combinations and to find entrance into various engineering fields. Two specific applications are highlighted. Firstly, a thick silicon nitride hardmask is used for the fabrication of deeply etched photonic crystal holes in indium phosphide (InP). For holes of 280 nm diameter, a record aspect ratio of 20 and an overall selectivity of 28.5 between a positive-tone resist layer and InP are reported. Secondly, the use of perforated silicon nitride membranes for droplet formation for applications in food engineering or pharmaceutics is addressed. Preliminary results show a potential for the self-aligned mask renewal method to exceed state-of-the-art membrane quality in terms of pore size, aspect ratio and membrane stability.

  12. Evaluating the shear bond strength of enamel and dentin with or without etching: A comparative study between dimethacrylate-based and silorane-based adhesives

    Science.gov (United States)

    Hajizadeh, Hila; Nasseh, Atefeh; Rahmanpour, Naim

    2015-01-01

    Background Silorane-based composites and their specific self-etch adhesive were introduced to conquest the polymerization shrinkage of methacrylate-based composites. It has been shown that additional etching of enamel and dentin can improve the bond strength of self-etch methacrylate-based adhesives but this claim is not apparent about silorane-based adhesives. Our objective was to compare the shear bond strength (SBS) of enamel and dentin between silorane-based adhesive resin and a methacrylate-based resin with or without additional etching. Material and Methods 40 sound human premolars were prepared and divided into two groups: 1- Filtek P60 composite and Clearfil SE Bond adhesive; 2- Filtek P90 composite and Silorane adhesive. Each group divided into two subgroups: with or without additional etching. For additional etching, 37% acid phosphoric was applied before bonding procedure. A cylinder of the composite was bonded to the surface. After 24 hours storage and 500 thermo cycling between 5-55°C, shear bond strength was assessed with the cross head speed of 0.5 mm/min. Then, bonded surfaces were observed under stereomicroscope to determine the failure mode. Data were analyzed with two-way ANOVA and Fischer exact test. Results Shear bond strength of Filtek P60 composite was significantly higher than Filtek P90 composite both in enamel and dentin surfaces (Penamel or dentin for each of the composites (P>0.05). There was no interaction between composite type and additional etching (P>0.05). Failure pattern was mainly adhesive and no significant correlation was found between failure and composite type or additional etching (P>0.05). Conclusions Shear bond strength of methacrylate-based composite was significantly higher than silorane-based composite both in enamel and dentin surfaces and additional etching had no significant effect on shear bond strength in enamel or dentin for each of the composites. The mode of failure had no meaningful relation to the type of

  13. Measurement of the surface charge accumulation using anodic aluminum oxide(AAO) structure in an inductively coupled plasma

    Science.gov (United States)

    Park, Ji-Hwan; Oh, Seung-Ju; Lee, Hyo-Chang; Kim, Yu-Sin; Kim, Young-Cheol; Kim, June Young; Ha, Chang-Seoung; Kwon, Soon-Ho; Lee, Jung-Joong; Chung, Chin-Wook

    2014-10-01

    As the critical dimension of the nano-device shrinks, an undesired etch profile occurs during plasma etch process. One of the reasons is the local electric field due to the surface charge accumulation. To demonstrate the surface charge accumulation, an anodic aluminum oxide (AAO) membrane which has high aspect ratio is used. The potential difference between top electrode and bottom electrode in an anodic aluminum oxide contact structure is measured during inductively coupled plasma exposure. The voltage difference is changed with external discharge conditions, such as gas pressure, input power, and gas species and the result is analyzed with the measured plasma parameters.

  14. Study on micro fabricated stainless steel surface to anti-biofouling using electrochemical fabrication

    Science.gov (United States)

    Hwang, Byeong Jun; Lee, Sung Ho

    2017-12-01

    Biofilm formed on the surface of the object by the microorganism resulting in fouling organisms. This has led to many problems in daily life, medicine, health and industrial community. In this study, we tried to prevent biofilm formation on the stainless steel (SS304) sheet surface with micro fabricated structure. After then forming the microscale colloid patterns on the surface of stainless steel by using an electrochemical etching forming a pattern by using a FeCl3 etching was further increase the surface roughness. Culturing the Pseudomonas aeruginosa on the stainless steel fabricated with a micro structure on the surface was observed a relationship between the surface roughness and the biological fouling of the micro structure. As a result, the stainless steel surface with a micro structure was confirmed to be the biological fouling occurs less. We expect to be able to solve the problems caused by biological fouling in various fields such as medicine, engineering, using this research.

  15. Precise thickness control in recess etching of AlGaN/GaN hetero-structure using photocarrier-regulated electrochemical process

    Science.gov (United States)

    Kumazaki, Yusuke; Uemura, Keisuke; Sato, Taketomo; Hashizume, Tamotsu

    2017-05-01

    The photocarrier-regulated electrochemical (PREC) process was developed for fabricating recessed-gate AlGaN/GaN high-electron-mobility transistors (HEMTs) for normally off operation. The PREC process is based on photo-assisted electrochemical etching using low-energy chemical reactions. The fundamental photo-electrochemical measurements on AlGaN/GaN heterostructures revealed that the photo-carriers generated in the top AlGaN layer caused homogeneous etching of AlGaN with a smooth surface, but those generated in the GaN layer underneath caused inhomogeneous etching that roughens the surface. The concept of the PREC process is to supply the photo-carriers generated only in the AlGaN layer by selecting proper conditions on light wavelength and voltage. The phenomenon of self-termination etching has been observed during the PREC process, where the etching depth was controlled by light intensity. The recessed-gate AlGaN/GaN HEMT fabricated with the PREC process showed positive threshold voltage and improvement in transconductance compared to planar-gate AlGaN/GaN HEMTs.

  16. Fibre Laser Cutting and Chemical Etching of AZ31 for Manufacturing Biodegradable Stents

    Directory of Open Access Journals (Sweden)

    Ali Gökhan Demir

    2013-01-01

    Full Text Available The use of magnesium-alloy stents shows promise as a less intrusive solution for the treatment of cardiovascular pathologies as a result of the high biocompatibility of the material and its intrinsic dissolution in body fluids. However, in addition to requiring innovative solutions in material choice and design, these stents also require a greater understanding of the manufacturing process to achieve the desired quality with improved productivity. The present study demonstrates the manufacturing steps for the realisation of biodegradable stents in AZ31 magnesium alloy. These steps include laser microcutting with a Q-switched fibre laser for the generation of the stent mesh and subsequent chemical etching for the cleaning of kerf and surface finish. Specifically, for the laser microcutting step, inert and reactive gas cutting conditions were compared. The effect of chemical etching on the reduction in material thickness, as well as on spatter removal, was also evaluated. Prototype stents were produced, and the material composition and surface quality were characterised. The potentialities of combining nanosecond laser microcutting and chemical etching are shown and discussed.

  17. Electrochemistry in anisotropic etching of silicon in alkaline solutions

    NARCIS (Netherlands)

    Nguyen, Q.D.

    2007-01-01

    Etching is the process of using an acidic or caustic chemical to cut into unprotected areas of a particular material. Initially used in the 15th century for decorating plate armor and sword blades, the metal surface (typically steel or copper) was first covered with a wax-like material. Part of the

  18. Advanced Simulation Technology to Design Etching Process on CMOS Devices

    Science.gov (United States)

    Kuboi, Nobuyuki

    2015-09-01

    Prediction and control of plasma-induced damage is needed to mass-produce high performance CMOS devices. In particular, side-wall (SW) etching with low damage is a key process for the next generation of MOSFETs and FinFETs. To predict and control the damage, we have developed a SiN etching simulation technique for CHxFy/Ar/O2 plasma processes using a three-dimensional (3D) voxel model. This model includes new concepts for the gas transportation in the pattern, detailed surface reactions on the SiN reactive layer divided into several thin slabs and C-F polymer layer dependent on the H/N ratio, and use of ``smart voxels''. We successfully predicted the etching properties such as the etch rate, polymer layer thickness, and selectivity for Si, SiO2, and SiN films along with process variations and demonstrated the 3D damage distribution time-dependently during SW etching on MOSFETs and FinFETs. We confirmed that a large amount of Si damage was caused in the source/drain region with the passage of time in spite of the existing SiO2 layer of 15 nm in the over etch step and the Si fin having been directly damaged by a large amount of high energy H during the removal step of the parasitic fin spacer leading to Si fin damage to a depth of 14 to 18 nm. By analyzing the results of these simulations and our previous simulations, we found that it is important to carefully control the dose of high energy H, incident energy of H, polymer layer thickness, and over-etch time considering the effects of the pattern structure, chamber-wall condition, and wafer open area ratio. In collaboration with Masanaga Fukasawa and Tetsuya Tatsumi, Sony Corporation. We thank Mr. T. Shigetoshi and Mr. T. Kinoshita of Sony Corporation for their assistance with the experiments.

  19. Effects of dextrose and lipopolysaccharide on the corrosion behavior of a Ti-6Al-4V alloy with a smooth surface or treated with double-acid-etching.

    Science.gov (United States)

    Faverani, Leonardo P; Assunção, Wirley G; de Carvalho, Paulo Sérgio P; Yuan, Judy Chia-Chun; Sukotjo, Cortino; Mathew, Mathew T; Barao, Valentim A

    2014-01-01

    Diabetes and infections are associated with a high risk of implant failure. However, the effects of such conditions on the electrochemical stability of titanium materials remain unclear. This study evaluated the corrosion behavior of a Ti-6Al-4V alloy, with a smooth surface or conditioned by double-acid-etching, in simulated body fluid with different concentrations of dextrose and lipopolysaccharide. For the electrochemical assay, the open-circuit-potential, electrochemical impedance spectroscopy, and potentiodynamic test were used. The disc surfaces were characterized by scanning electron microscopy and atomic force microscopy. Their surface roughness and Vickers microhardness were also tested. The quantitative data were analyzed by Pearson's correlation and independent t-tests (α = 0.05). In the corrosion parameters, there was a strong lipopolysaccharide correlation with the Ipass (passivation current density), Cdl (double-layer capacitance), and Rp (polarization resistance) values (pdextrose and lipopolysaccharide was correlated with the Icorr (corrosion current density) and Ipass (pdextrose and lipopolysaccharide. The combination of dextrose and lipopolysaccharide affected the corrosion behavior of the Ti-6Al-4V alloy surface treated with double-acid-etching. However, no dose-response corrosion behavior could be observed. These results suggest a greater susceptibility to corrosion of titanium implants in diabetic patients with associated infections.

  20. Wet etching characteristics of a HfSiON high-k dielectric in HF-based solutions

    International Nuclear Information System (INIS)

    Li Yongliang; Xu Qiuxia

    2010-01-01

    The wet etching properties of a HfSiON high-k dielectric in HF-based solutions are investigated. HF-based solutions are the most promising wet chemistries for the removal of HfSiON, and etch selectivity of HF-based solutions can be improved by the addition of an acid and/or an alcohol to the HF solution. Due to densification during annealing, the etch rate of HfSiON annealed at 900 0 C for 30 s is significantly reduced compared with as-deposited HfSiON in HF-based solutions. After the HfSiON film has been completely removed by HF-based solutions, it is not possible to etch the interfacial layer and the etched surface does not have a hydrophobic nature, since N diffuses to the interface layer or Si substrate formation of Si-N bonds that dissolves very slowly in HF-based solutions. Existing Si-N bonds at the interface between the new high-k dielectric deposit and the Si substrate may degrade the carrier mobility due to Coulomb scattering. In addition, we show that N 2 plasma treatment before wet etching is not very effective in increasing the wet etch rate for a thin HfSiON film in our case. (semiconductor technology)