WorldWideScience

Sample records for surface atomic layer

  1. Atomic and molecular layer deposition for surface modification

    Energy Technology Data Exchange (ETDEWEB)

    Vähä-Nissi, Mika, E-mail: mika.vaha-nissi@vtt.fi [VTT Technical Research Centre of Finland, PO Box 1000, FI‐02044 VTT (Finland); Sievänen, Jenni; Salo, Erkki; Heikkilä, Pirjo; Kenttä, Eija [VTT Technical Research Centre of Finland, PO Box 1000, FI‐02044 VTT (Finland); Johansson, Leena-Sisko, E-mail: leena-sisko.johansson@aalto.fi [Aalto University, School of Chemical Technology, Department of Forest Products Technology, PO Box 16100, FI‐00076 AALTO (Finland); Koskinen, Jorma T.; Harlin, Ali [VTT Technical Research Centre of Finland, PO Box 1000, FI‐02044 VTT (Finland)

    2014-06-01

    Atomic and molecular layer deposition (ALD and MLD, respectively) techniques are based on repeated cycles of gas–solid surface reactions. A partial monolayer of atoms or molecules is deposited to the surface during a single deposition cycle, enabling tailored film composition in principle down to molecular resolution on ideal surfaces. Typically ALD/MLD has been used for applications where uniform and pinhole free thin film is a necessity even on 3D surfaces. However, thin – even non-uniform – atomic and molecular deposited layers can also be used to tailor the surface characteristics of different non-ideal substrates. For example, print quality of inkjet printing on polymer films and penetration of water into porous nonwovens can be adjusted with low-temperature deposited metal oxide. In addition, adhesion of extrusion coated biopolymer to inorganic oxides can be improved with a hybrid layer based on lactic acid. - Graphical abstract: Print quality of a polylactide film surface modified with atomic layer deposition prior to inkjet printing (360 dpi) with an aqueous ink. Number of printed dots illustrated as a function of 0, 5, 15 and 25 deposition cycles of trimethylaluminum and water. - Highlights: • ALD/MLD can be used to adjust surface characteristics of films and fiber materials. • Hydrophobicity after few deposition cycles of Al{sub 2}O{sub 3} due to e.g. complex formation. • Same effect on cellulosic fabrics observed with low temperature deposited TiO{sub 2}. • Different film growth and oxidation potential with different precursors. • Hybrid layer on inorganic layer can be used to improve adhesion of polymer melt.

  2. Atomic-layer-resolved analysis of surface magnetism by diffraction spectroscopy

    International Nuclear Information System (INIS)

    Matsui, Fumihiko; Matsushita, Tomohiro; Daimon, Hiroshi

    2010-01-01

    X-ray absorption near edge structure (XANES) and X-ray magnetic circular dichroism (XMCD) measurements by Auger-electron-yield detection are powerful analysis tools for the electronic and magnetic structures of surfaces, but all the information from atoms within the electron mean-free-path range is summed into the obtained spectrum. In order to investigate the electronic and magnetic structures of each atomic layer at subsurface, we have proposed a new method, diffraction spectroscopy, which is the combination of X-ray absorption spectroscopy and Auger electron diffraction (AED). From a series of measured thickness dependent AED patterns, we deduced a set of atomic-layer-specific AED patterns arithmetically. Based on these AED patterns, we succeeded in disentangling obtained XANES and XMCD spectra into those from different atomic layers.

  3. Surface Phenomena During Plasma-Assisted Atomic Layer Etching of SiO2.

    Science.gov (United States)

    Gasvoda, Ryan J; van de Steeg, Alex W; Bhowmick, Ranadeep; Hudson, Eric A; Agarwal, Sumit

    2017-09-13

    Surface phenomena during atomic layer etching (ALE) of SiO 2 were studied during sequential half-cycles of plasma-assisted fluorocarbon (CF x ) film deposition and Ar plasma activation of the CF x film using in situ surface infrared spectroscopy and ellipsometry. Infrared spectra of the surface after the CF x deposition half-cycle from a C 4 F 8 /Ar plasma show that an atomically thin mixing layer is formed between the deposited CF x layer and the underlying SiO 2 film. Etching during the Ar plasma cycle is activated by Ar + bombardment of the CF x layer, which results in the simultaneous removal of surface CF x and the underlying SiO 2 film. The interfacial mixing layer in ALE is atomically thin due to the low ion energy during CF x deposition, which combined with an ultrathin CF x layer ensures an etch rate of a few monolayers per cycle. In situ ellipsometry shows that for a ∼4 Å thick CF x film, ∼3-4 Å of SiO 2 was etched per cycle. However, during the Ar plasma half-cycle, etching proceeds beyond complete removal of the surface CF x layer as F-containing radicals are slowly released into the plasma from the reactor walls. Buildup of CF x on reactor walls leads to a gradual increase in the etch per cycle.

  4. Deposition of O atomic layers on Si(100) substrates for epitaxial Si-O superlattices: investigation of the surface chemistry

    Energy Technology Data Exchange (ETDEWEB)

    Jayachandran, Suseendran, E-mail: suseendran.jayachandran@imec.be [KU Leuven, Department of Metallurgy and Materials, Castle Arenberg 44, B-3001 Leuven (Belgium); IMEC, Kapeldreef 75, 3001 Leuven (Belgium); Delabie, Annelies; Billen, Arne [KU Leuven, Department of Chemistry, Celestijnenlaan 200F, B-3001 Leuven (Belgium); IMEC, Kapeldreef 75, 3001 Leuven (Belgium); Dekkers, Harold; Douhard, Bastien; Conard, Thierry; Meersschaut, Johan; Caymax, Matty [IMEC, Kapeldreef 75, 3001 Leuven (Belgium); Vandervorst, Wilfried [KU Leuven, Department of Physics and Astronomy, Celestijnenlaan 200D, B-3001 Leuven (Belgium); IMEC, Kapeldreef 75, 3001 Leuven (Belgium); Heyns, Marc [KU Leuven, Department of Metallurgy and Materials, Castle Arenberg 44, B-3001 Leuven (Belgium); IMEC, Kapeldreef 75, 3001 Leuven (Belgium)

    2015-01-01

    Highlights: • Atomic layer is deposited by O{sub 3} chemisorption reaction on H-terminated Si(100). • O-content has critical impact on the epitaxial thickness of the above-deposited Si. • Oxygen atoms at dimer/back bond configurations enable epitaxial Si on O atomic layer. • Oxygen atoms at hydroxyl and more back bonds, disable epitaxial Si on O atomic layer. - Abstract: Epitaxial Si-O superlattices consist of alternating periods of crystalline Si layers and atomic layers of oxygen (O) with interesting electronic and optical properties. To understand the fundamentals of Si epitaxy on O atomic layers, we investigate the O surface species that can allow epitaxial Si chemical vapor deposition using silane. The surface reaction of ozone on H-terminated Si(100) is used for the O deposition. The oxygen content is controlled precisely at and near the atomic layer level and has a critical impact on the subsequent Si deposition. There exists only a small window of O-contents, i.e. 0.7–0.9 atomic layers, for which the epitaxial deposition of Si can be realized. At these low O-contents, the O atoms are incorporated in the Si-Si dimers or back bonds (-OSiH), with the surface Si atoms mainly in the 1+ oxidation state, as indicated by infrared spectroscopy. This surface enables epitaxial seeding of Si. For O-contents higher than one atomic layer, the additional O atoms are incorporated in the Si-Si back bonds as well as in the Si-H bonds, where hydroxyl groups (-Si-OH) are created. In this case, the Si deposition thereon becomes completely amorphous.

  5. Mechanisms and energetics of surface atomic processes

    International Nuclear Information System (INIS)

    Tsong, T.T.

    1991-01-01

    The energies involved in various surface atomic processes such as surface diffusion, the binding of small atomic clusters on the surface, the interaction between two adsorbed atoms, the dissociation of an atom from a small cluster or from a surface layer, the binding of kink size atoms or atoms at different adsorption sites to the surface etc., can be derived from an analysis of atomically resolved field ion microscope images and a kinetic energy measurement of low temperature field desorbed ions using the time-of-flight atom-probe field ion microscope. These energies can be used to compare with theories and to understand the transport of atoms on the surface in atomic reconstructions, epitaxial growth of surface layers and crystal growth, adsorption layer superstructure formation, and also why an atomic ordering or atomic reconstruction at the surface is energetically favored. Mechanisms of some of the surface atomic processes are also clarified from these quantitative, atomic resolution studies. In this paper work in this area is bris briefly reviewed

  6. Electroless atomic layer deposition

    Science.gov (United States)

    Robinson, David Bruce; Cappillino, Patrick J.; Sheridan, Leah B.; Stickney, John L.; Benson, David M.

    2017-10-31

    A method of electroless atomic layer deposition is described. The method electrolessly generates a layer of sacrificial material on a surface of a first material. The method adds doses of a solution of a second material to the substrate. The method performs a galvanic exchange reaction to oxidize away the layer of the sacrificial material and deposit a layer of the second material on the surface of the first material. The method can be repeated for a plurality of iterations in order to deposit a desired thickness of the second material on the surface of the first material.

  7. Atomic Layer-Deposited TiO2 Coatings on NiTi Surface

    Science.gov (United States)

    Vokoun, D.; Racek, J.; Kadeřávek, L.; Kei, C. C.; Yu, Y. S.; Klimša, L.; Šittner, P.

    2018-02-01

    NiTi shape-memory alloys may release poisonous Ni ions at the alloys' surface. In an attempt to prepare a well-performing surface layer on an NiTi sample, the thermally grown TiO2 layer, which formed during the heat treatment of NiTi, was removed and replaced with a new TiO2 layer prepared using the atomic layer deposition (ALD) method. Using x-ray photoelectron spectroscopy, it was found that the ALD layer prepared at as low a temperature as 100 °C contained Ti in oxidation states + 4 and + 3. As for static corrosion properties of the ALD-coated NiTi samples, they further improved compared to those covered by thermally grown oxide. The corrosion rate of samples with thermally grown oxide was 1.05 × 10-5 mm/year, whereas the corrosion rate of the ALD-coated samples turned out to be about five times lower. However, cracking of the ALD coating occurred at about 1.5% strain during the superelastic mechanical loading in tension taking place via the propagation of a localized martensite band.

  8. Growth mechanisms for Si epitaxy on O atomic layers: Impact of O-content and surface structure

    Energy Technology Data Exchange (ETDEWEB)

    Jayachandran, Suseendran, E-mail: suseendran.jayachandran@imec.be [Imec, Kapeldreef 75, 3001 Leuven (Belgium); KU Leuven (University of Leuven), Department of Metallurgy and Materials, Castle Arenberg 44, B-3001 Leuven (Belgium); Billen, Arne [Imec, Kapeldreef 75, 3001 Leuven (Belgium); KU Leuven (University of Leuven), Department of Chemistry, Celestijnenlaan 200F, B-3001 Leuven (Belgium); Douhard, Bastien; Conard, Thierry; Meersschaut, Johan; Moussa, Alain; Caymax, Matty; Bender, Hugo [Imec, Kapeldreef 75, 3001 Leuven (Belgium); Vandervorst, Wilfried [Imec, Kapeldreef 75, 3001 Leuven (Belgium); KU Leuven (University of Leuven), Department of Physics and Astronomy, Celestijnenlaan 200D, B-3001 Leuven (Belgium); Heyns, Marc [Imec, Kapeldreef 75, 3001 Leuven (Belgium); KU Leuven (University of Leuven), Department of Metallurgy and Materials, Castle Arenberg 44, B-3001 Leuven (Belgium); Delabie, Annelies [Imec, Kapeldreef 75, 3001 Leuven (Belgium); KU Leuven (University of Leuven), Department of Chemistry, Celestijnenlaan 200F, B-3001 Leuven (Belgium)

    2016-10-30

    Highlights: • O{sub 3} or O{sub 2} exposures on H-Si(100) result in O ALs with different surface structures. • Si-EPI on O AL using O{sub 3} process is by direct epitaxial growth mechanism. • Si-EPI on O AL using O{sub 2} process is by epitaxial lateral overgrowth mechanism. • Distortions by O AL, SiH{sub 4} flux rate and Si thickness has an impact on Si-EPI quality. - Abstract: The epitaxial growth of Si layers on Si substrates in the presence of O atoms is generally considered a challenge, as O atoms degrade the epitaxial quality by generating defects. Here, we investigate the growth mechanisms for Si epitaxy on O atomic layers (ALs) with different O-contents and structures. O ALs are deposited by ozone (O{sub 3}) or oxygen (O{sub 2}) exposure on H-terminated Si at 50 °C and 300 °C respectively. Epitaxial Si is deposited by chemical vapor deposition using silane (SiH{sub 4}) at 500 °C. After O{sub 3} exposure, the O atoms are uniformly distributed in Si-Si dimer/back bonds. This O layer still allows epitaxial seeding of Si. The epitaxial quality is enhanced by lowering the surface distortions due to O atoms and by decreasing the arrival rate of SiH{sub 4} reactants, allowing more time for surface diffusion. After O{sub 2} exposure, the O atoms are present in the form of SiO{sub x} clusters. Regions of hydrogen-terminated Si remain present between the SiO{sub x} clusters. The epitaxial seeding of Si in these structures is realized on H-Si regions, and an epitaxial layer grows by a lateral overgrowth mechanism. A breakdown in the epitaxial ordering occurs at a critical Si thickness, presumably by accumulation of surface roughness.

  9. Vibration atomic layer deposition for conformal nanoparticle coating

    Energy Technology Data Exchange (ETDEWEB)

    Park, Suk Won; Woo Kim, Jun; Jong Choi, Hyung; Hyung Shim, Joon, E-mail: shimm@korea.ac.kr [School of Mechanical Engineering, Korea University, Seoul 136-701 (Korea, Republic of)

    2014-01-15

    A vibration atomic layer deposition reactor was developed for fabricating a conformal thin-film coating on nanosize particles. In this study, atomic layer deposition of 10–15-nm-thick Al{sub 2}O{sub 3} films was conducted on a high-surface-area acetylene black powder with particle diameters of 200–250 nm. Intense vibration during the deposition resulted in the effective separation of particles, overcoming the interparticle agglomeration force and enabling effective diffusion of the precursor into the powder chunk; this phenomenon led to the formation of a conformal film coating on the nanopowder particles. It was also confirmed that the atomic layer deposition Al{sub 2}O{sub 3} films initially grew on the high-surface-area acetylene black powder particles as discrete islands, presumably because chemisorption of the precursor and water occurred only on a few sites on the high-surface-area acetylene black powder surface. Relatively sluggish growth of the films during the initial atomic layer deposition cycles was identified from composition analysis.

  10. Engineering Particle Surface Chemistry and Electrochemistry with Atomic Layer Deposition

    Science.gov (United States)

    Jackson, David Hyman Kentaro

    Atomic layer deposition (ALD) is a vapor phase thin film coating technique that relies on sequential pulsing of precursors that undergo self-limited surface reactions. The self- limiting reactions and gas phase diffusion of the precursors together enable the conformal coating of microstructured particles with a high degree of thickness and compositional control. ALD may be used to deposit thin films that introduce new functionalities to a particle surface. Examples of new functionalities include: chemical reactivity, a mechanically strong protective coating, and an electrically resistive layer. The coatings properties are often dependent on the bulk properties and microstructure of the particle substrate, though they usually do not affect its bulk properties or microstructure. Particle ALD finds utility in the ability to synthesize well controlled, model systems, though it is expensive due to the need for costly metal precursors that are dangerous and require special handling. Enhanced properties due to ALD coating of particles in various applications are frequently described empirically, while the details of their enhancement mechanisms often remain the focus of ongoing research in the field. This study covers the various types of particle ALD and attempts to describe them from the unifying perspective of surface science.

  11. Surface passivation of nano-textured fluorescent SiC by atomic layer deposited TiO2

    DEFF Research Database (Denmark)

    Lu, Weifang; Ou, Yiyu; Jokubavicius, Valdas

    2016-01-01

    Nano-textured surfaces have played a key role in optoelectronic materials to enhance the light extraction efficiency. In this work, morphology and optical properties of nano-textured SiC covered with atomic layer deposited (ALD) TiO2 were investigated. In order to obtain a high quality surface fo...

  12. Interaction of GaN epitaxial layers with atomic hydrogen

    Energy Technology Data Exchange (ETDEWEB)

    Losurdo, M.; Giangregorio, M.M.; Capezzuto, P.; Bruno, G.; Namkoong, G.; Doolittle, W.A.; Brown, A.S

    2004-08-15

    GaN surface passivation processes are still under development and among others hydrogen treatments are investigated. In this study, we use non-destructive optical and electrical probes such as spectroscopic ellipsometry (SE) and surface potential Kelvin probe microscopy (SP-KPM) in conjunction with non-contact atomic force microscopy (AFM) for the study of the different reactivity of Ga-polar and N-polar GaN epitaxial layers with atomic hydrogen. The GaN epitaxial layers are grown by molecular beam epitaxy on sapphire (0 0 0 1) substrates, and GaN and AlN buffer layers are used to grow N-polar and Ga-polar films, respectively. The atomic hydrogen is produced by a remote rf (13.56 MHz) H{sub 2} plasma in order to rule out any ion bombardment of the GaN surface and make the interaction chemical. It is found that the interaction of GaN surfaces with atomic hydrogen depends on polarity, with N-polar GaN exhibiting greater reactivity. Furthermore, it is found that atomic hydrogen is effective in the passivation of grain boundaries and surface defects states.

  13. Interaction of GaN epitaxial layers with atomic hydrogen

    International Nuclear Information System (INIS)

    Losurdo, M.; Giangregorio, M.M.; Capezzuto, P.; Bruno, G.; Namkoong, G.; Doolittle, W.A.; Brown, A.S.

    2004-01-01

    GaN surface passivation processes are still under development and among others hydrogen treatments are investigated. In this study, we use non-destructive optical and electrical probes such as spectroscopic ellipsometry (SE) and surface potential Kelvin probe microscopy (SP-KPM) in conjunction with non-contact atomic force microscopy (AFM) for the study of the different reactivity of Ga-polar and N-polar GaN epitaxial layers with atomic hydrogen. The GaN epitaxial layers are grown by molecular beam epitaxy on sapphire (0 0 0 1) substrates, and GaN and AlN buffer layers are used to grow N-polar and Ga-polar films, respectively. The atomic hydrogen is produced by a remote rf (13.56 MHz) H 2 plasma in order to rule out any ion bombardment of the GaN surface and make the interaction chemical. It is found that the interaction of GaN surfaces with atomic hydrogen depends on polarity, with N-polar GaN exhibiting greater reactivity. Furthermore, it is found that atomic hydrogen is effective in the passivation of grain boundaries and surface defects states

  14. Effect of surface pretreatment on interfacial chemical bonding states of atomic layer deposited ZrO2 on AlGaN

    International Nuclear Information System (INIS)

    Ye, Gang; Arulkumaran, Subramaniam; Ng, Geok Ing; Li, Yang; Ang, Kian Siong; Wang, Hong; Ng, Serene Lay Geok; Ji, Rong; Liu, Zhi Hong

    2015-01-01

    Atomic layer deposition (ALD) of ZrO 2 on native oxide covered (untreated) and buffered oxide etchant (BOE) treated AlGaN surface was analyzed by utilizing x-ray photoelectron spectroscopy (XPS) and high-resolution transmission electron microscopy. Evidenced by Ga–O and Al–O chemical bonds by XPS, parasitic oxidation during deposition is largely enhanced on BOE treated AlGaN surface. Due to the high reactivity of Al atoms, more prominent oxidation of Al atoms is observed, which leads to thicker interfacial layer formed on BOE treated surface. The results suggest that native oxide on AlGaN surface may serve as a protecting layer to inhibit the surface from further parasitic oxidation during ALD. The findings provide important process guidelines for the use of ALD ZrO 2 and its pre-ALD surface treatments for high-k AlGaN/GaN metal–insulator–semiconductor high electron mobility transistors and other related device applications

  15. Improvement and protection of niobium surface superconductivity by atomic layer deposition and heat treatment

    Energy Technology Data Exchange (ETDEWEB)

    Proslier, T.; /IIT, Chicago /Argonne; Zasadzinski, J.; /IIT, Chicago; Moore, J.; Pellin, M.; Elam, J.; /Argonne; Cooley, L.; /Fermilab; Antoine, C.; /Saclay

    2008-11-01

    A method to treat the surface of Nb is described, which potentially can improve the performance of superconducting rf cavities. We present tunneling and x-ray photoemission spectroscopy measurements at the surface of cavity-grade niobium samples coated with a 3 nm alumina overlayer deposited by atomic layer deposition. The coated samples baked in ultrahigh vacuum at low temperature degraded superconducting surface. However, at temperatures above 450 C, the tunneling conductance curves show significant improvements in the superconducting density of states compared with untreated surfaces.

  16. SnO2 anode surface passivation by atomic layer deposited HfO2 improves li-ion battery performance

    KAUST Repository

    Yesibolati, Nulati; Shahid, Muhammad; Chen, Wei; Hedhili, Mohamed N.; Reuter, Mark C.; Ross, Frances M.; Alshareef, Husam N.

    2014-01-01

    For the first time, it is demonstrated that nanoscale HfO2 surface passivation layers formed by atomic layer deposition (ALD) significantly improve the performance of Li ion batteries with SnO2-based anodes. Specifically, the measured battery

  17. Atomic layer deposition and post-growth thermal annealing of ultrathin MoO3 layers on silicon substrates: Formation of surface nanostructures

    Science.gov (United States)

    Liu, Hongfei; Yang, Ren Bin; Yang, Weifeng; Jin, Yunjiang; Lee, Coryl J. J.

    2018-05-01

    Ultrathin MoO3 layers have been grown on Si substrates at 120 °C by atomic layer deposition (ALD) using molybdenum hexacarbonyl [Mo(CO)6] and ozone (O3) as the Mo- and O-source precursors, respectively. The ultrathin films were further annealed in air at Tann = 550-750 °C for 15 min. Scanning-electron microscopy, energy-dispersive X-ray spectroscopy, and X-ray photoelectron spectroscopy have been employed to evaluate the morphological and elemental properties as well as their evolutions upon annealing of the thin films. They revealed an interfacial SiOx layer in between the MoO3 layer and the Si substrate; this SiOx layer converted into SiO2 during the annealing; and the equivalent thickness of the MoO3 (SiO2) layer decreased (increased) with the increase in Tann. Particles with diameters smaller than 50 nm emerged at Tann = 550 °C and their sizes (density) were reduced (increased) by increasing Tann to 650 °C. A further increase of Tann to 750 °C resulted in telephone-cord-like MoO3 structures, initiated from isolated particles on the surface. These observations have been discussed and interpreted based on temperature-dependent atomic interdiffusions, surface evaporations, and/or melting of MoO3, which shed new light on ALD MoO3 towards its electronic applications.

  18. Atomic layer deposition of nanostructured materials

    CERN Document Server

    Pinna, Nicola

    2012-01-01

    Atomic layer deposition, formerly called atomic layer epitaxy, was developed in the 1970s to meet the needs of producing high-quality, large-area fl at displays with perfect structure and process controllability. Nowadays, creating nanomaterials and producing nanostructures with structural perfection is an important goal for many applications in nanotechnology. As ALD is one of the important techniques which offers good control over the surface structures created, it is more and more in the focus of scientists. The book is structured in such a way to fi t both the need of the expert reader (du

  19. Surface diffusion coefficient of Au atoms on single layer graphene grown on Cu

    Energy Technology Data Exchange (ETDEWEB)

    Ruffino, F., E-mail: francesco.ruffino@ct.infn.it; Cacciato, G.; Grimaldi, M. G. [Dipartimento di Fisica ed Astronomia-Universitá di Catania, via S. Sofia 64, 95123 Catania, Italy and MATIS IMM-CNR, via S. Sofia 64, 95123 Catania (Italy)

    2014-02-28

    A 5 nm thick Au film was deposited on single layer graphene sheets grown on Cu. By thermal processes, the dewetting phenomenon of the Au film on the graphene was induced so to form Au nanoparticles. The mean radius, surface-to-surface distance, and surface density evolution of the nanoparticles on the graphene sheets as a function of the annealing temperature were quantified by scanning electron microscopy analyses. These quantitative data were analyzed within the classical mean-field nucleation theory so to obtain the temperature-dependent Au atoms surface diffusion coefficient on graphene: D{sub S}(T)=[(8.2±0.6)×10{sup −8}]exp[−(0.31±0.02(eV)/(at) )/kT] cm{sup 2}/s.

  20. Self-cleaning and surface chemical reactions during hafnium dioxide atomic layer deposition on indium arsenide.

    Science.gov (United States)

    Timm, Rainer; Head, Ashley R; Yngman, Sofie; Knutsson, Johan V; Hjort, Martin; McKibbin, Sarah R; Troian, Andrea; Persson, Olof; Urpelainen, Samuli; Knudsen, Jan; Schnadt, Joachim; Mikkelsen, Anders

    2018-04-12

    Atomic layer deposition (ALD) enables the ultrathin high-quality oxide layers that are central to all modern metal-oxide-semiconductor circuits. Crucial to achieving superior device performance are the chemical reactions during the first deposition cycle, which could ultimately result in atomic-scale perfection of the semiconductor-oxide interface. Here, we directly observe the chemical reactions at the surface during the first cycle of hafnium dioxide deposition on indium arsenide under realistic synthesis conditions using photoelectron spectroscopy. We find that the widely used ligand exchange model of the ALD process for the removal of native oxide on the semiconductor and the simultaneous formation of the first hafnium dioxide layer must be significantly revised. Our study provides substantial evidence that the efficiency of the self-cleaning process and the quality of the resulting semiconductor-oxide interface can be controlled by the molecular adsorption process of the ALD precursors, rather than the subsequent oxide formation.

  1. Inorganic-organic hybrid coatings on stainless steel by layer-by-layer deposition and surface-initiated atom-transfer-radical polymerization for combating biocorrosion.

    Science.gov (United States)

    Yuan, S J; Pehkonen, S O; Ting, Y P; Neoh, K G; Kang, E T

    2009-03-01

    To improve the biocorrosion resistance of stainless steel (SS) and to confer the bactericidal function on its surface for inhibiting bacterial adhesion and biofilm formation, well-defined inorganic-organic hybrid coatings, consisting of the inner compact titanium oxide multilayers and outer dense poly(vinyl-N-hexylpyridinium) brushes, were successfully developed. Nanostructured titanium oxide multilayer coatings were first built up on the SS substrates via the layer-by-layer sol-gel deposition process. The trichlorosilane coupling agent, containing the alkyl halide atom-transfer-radical polymerization (ATRP) initiator, was subsequently immobilized on the titanium oxide coatings for surface-initiated ATRP of 4-vinylpyridine (4VP). The pyridium nitrogen moieties of the covalently immobilized 4VP polymer, or P(4VP), brushes were quaternized with hexyl bromide to produce a high concentration of quaternary ammonium salt on the SS surfaces. The excellent antibacterial efficiency of the grafted polycations, poly(vinyl-N-pyridinium bromide), was revealed by viable cell counts and atomic force microscopy images of the surface. The effectiveness of the hybrid coatings in corrosion protection was verified by the Tafel plot and electrochemical impedance spectroscopy measurements.

  2. Surface chemistry of plasma-assisted atomic layer deposition of Al2O3 studied by infrared spectroscopy

    NARCIS (Netherlands)

    Langereis, E.; Keijmel, J.; Sanden, van de M.C.M.; Kessels, W.M.M.

    2008-01-01

    The surface groups created during plasma-assisted atomic layer deposition (ALD) of Al2O3 were studied by infrared spectroscopy. For temperatures in the range of 25–150 °C, –CH3 and –OH were unveiled as dominant surface groups after the Al(CH3)3precursor and O2 plasma half-cycles, respectively. At

  3. Atomic layer-by-layer oxidation of Ge (100) and (111) surfaces by plasma post oxidation of Al2O3/Ge structures

    International Nuclear Information System (INIS)

    Zhang, Rui; Huang, Po-Chin; Lin, Ju-Chin; Takenaka, Mitsuru; Takagi, Shinichi

    2013-01-01

    The ultrathin GeO x /Ge interfaces formed on Ge (100) and (111) surfaces by applying plasma post oxidation to thin Al 2 O 3 /Ge structures are characterized in detail using X-ray photoelectron spectroscopy (XPS) and transmission electron microscopy. It is found that the XPS signals assigned to Ge 1+ and the 2+ states in the GeO x layers by post plasma oxidation have oscillating behaviors on Ge (100) surfaces in a period of ∼0.3 nm with an increase in the GeO x thickness. Additionally, the oscillations of the signals assigned to Ge 1+ and 2+ states show opposite phase to each other. The similar oscillation behaviors are also confirmed on Ge (111) surfaces for Ge 1+ and 3+ states in a period of ∼0.5 nm. These phenomena can be strongly regarded as an evidence of the atomic layer-by-layer oxidation of GeO x /Ge interfaces on Ge (100) and (111) surfaces.

  4. Economical Atomic Layer Deposition

    Science.gov (United States)

    Wyman, Richard; Davis, Robert; Linford, Matthew

    2010-10-01

    Atomic Layer Deposition is a self limiting deposition process that can produce films at a user specified height. At BYU we have designed a low cost and automated atomic layer deposition system. We have used the system to deposit silicon dioxide at room temperature using silicon tetrachloride and tetramethyl orthosilicate. Basics of atomic layer deposition, the system set up, automation techniques and our system's characterization are discussed.

  5. Protective capping and surface passivation of III-V nanowires by atomic layer deposition

    Energy Technology Data Exchange (ETDEWEB)

    Dhaka, Veer, E-mail: veer.dhaka@aalto.fi; Perros, Alexander; Kakko, Joona-Pekko; Haggren, Tuomas; Lipsanen, Harri [Department of Micro- and Nanosciences, Micronova, Aalto University, P.O. Box 13500, FI-00076 (Finland); Naureen, Shagufta; Shahid, Naeem [Research School of Physics & Engineering, Department of Electronic Materials Engineering, Australian National University, Canberra ACT 2601 (Australia); Jiang, Hua; Kauppinen, Esko [Department of Applied Physics and Nanomicroscopy Center, Aalto University, P.O. Box 15100, FI-00076 (Finland); Srinivasan, Anand [School of Information and Communication Technology, KTH Royal Institute of Technology, Electrum 229, S-164 40 Kista (Sweden)

    2016-01-15

    Low temperature (∼200 °C) grown atomic layer deposition (ALD) films of AlN, TiN, Al{sub 2}O{sub 3}, GaN, and TiO{sub 2} were tested for protective capping and surface passivation of bottom-up grown III-V (GaAs and InP) nanowires (NWs), and top-down fabricated InP nanopillars. For as-grown GaAs NWs, only the AlN material passivated the GaAs surface as measured by photoluminescence (PL) at low temperatures (15K), and the best passivation was achieved with a few monolayer thick (2Å) film. For InP NWs, the best passivation (∼2x enhancement in room-temperature PL) was achieved with a capping of 2nm thick Al{sub 2}O{sub 3}. All other ALD capping layers resulted in a de-passivation effect and possible damage to the InP surface. Top-down fabricated InP nanopillars show similar passivation effects as InP NWs. In particular, capping with a 2 nm thick Al{sub 2}O{sub 3} layer increased the carrier decay time from 251 ps (as-etched nanopillars) to about 525 ps. Tests after six months ageing reveal that the capped nanostructures retain their optical properties. Overall, capping of GaAs and InP NWs with high-k dielectrics AlN and Al{sub 2}O{sub 3} provides moderate surface passivation as well as long term protection from oxidation and environmental attack.

  6. Protective capping and surface passivation of III-V nanowires by atomic layer deposition

    Directory of Open Access Journals (Sweden)

    Veer Dhaka

    2016-01-01

    Full Text Available Low temperature (∼200 °C grown atomic layer deposition (ALD films of AlN, TiN, Al2O3, GaN, and TiO2 were tested for protective capping and surface passivation of bottom-up grown III-V (GaAs and InP nanowires (NWs, and top-down fabricated InP nanopillars. For as-grown GaAs NWs, only the AlN material passivated the GaAs surface as measured by photoluminescence (PL at low temperatures (15K, and the best passivation was achieved with a few monolayer thick (2Å film. For InP NWs, the best passivation (∼2x enhancement in room-temperature PL was achieved with a capping of 2nm thick Al2O3. All other ALD capping layers resulted in a de-passivation effect and possible damage to the InP surface. Top-down fabricated InP nanopillars show similar passivation effects as InP NWs. In particular, capping with a 2 nm thick Al2O3 layer increased the carrier decay time from 251 ps (as-etched nanopillars to about 525 ps. Tests after six months ageing reveal that the capped nanostructures retain their optical properties. Overall, capping of GaAs and InP NWs with high-k dielectrics AlN and Al2O3 provides moderate surface passivation as well as long term protection from oxidation and environmental attack.

  7. Surface passivation of n-type doped black silicon by atomic-layer-deposited SiO2/Al2O3 stacks

    Science.gov (United States)

    van de Loo, B. W. H.; Ingenito, A.; Verheijen, M. A.; Isabella, O.; Zeman, M.; Kessels, W. M. M.

    2017-06-01

    Black silicon (b-Si) nanotextures can significantly enhance the light absorption of crystalline silicon solar cells. Nevertheless, for a successful application of b-Si textures in industrially relevant solar cell architectures, it is imperative that charge-carrier recombination at particularly highly n-type doped black Si surfaces is further suppressed. In this work, this issue is addressed through systematically studying lowly and highly doped b-Si surfaces, which are passivated by atomic-layer-deposited Al2O3 films or SiO2/Al2O3 stacks. In lowly doped b-Si textures, a very low surface recombination prefactor of 16 fA/cm2 was found after surface passivation by Al2O3. The excellent passivation was achieved after a dedicated wet-chemical treatment prior to surface passivation, which removed structural defects which resided below the b-Si surface. On highly n-type doped b-Si, the SiO2/Al2O3 stacks result in a considerable improvement in surface passivation compared to the Al2O3 single layers. The atomic-layer-deposited SiO2/Al2O3 stacks therefore provide a low-temperature, industrially viable passivation method, enabling the application of highly n- type doped b-Si nanotextures in industrial silicon solar cells.

  8. Atomic layer deposition in nanostructured photovoltaics: tuning optical, electronic and surface properties

    Science.gov (United States)

    Palmstrom, Axel F.; Santra, Pralay K.; Bent, Stacey F.

    2015-07-01

    Nanostructured materials offer key advantages for third-generation photovoltaics, such as the ability to achieve high optical absorption together with enhanced charge carrier collection using low cost components. However, the extensive interfacial areas in nanostructured photovoltaic devices can cause high recombination rates and a high density of surface electronic states. In this feature article, we provide a brief review of some nanostructured photovoltaic technologies including dye-sensitized, quantum dot sensitized and colloidal quantum dot solar cells. We then introduce the technique of atomic layer deposition (ALD), which is a vapor phase deposition method using a sequence of self-limiting surface reaction steps to grow thin, uniform and conformal films. We discuss how ALD has established itself as a promising tool for addressing different aspects of nanostructured photovoltaics. Examples include the use of ALD to synthesize absorber materials for both quantum dot and plasmonic solar cells, to grow barrier layers for dye and quantum dot sensitized solar cells, and to infiltrate coatings into colloidal quantum dot solar cell to improve charge carrier mobilities as well as stability. We also provide an example of monolayer surface modification in which adsorbed ligand molecules on quantum dots are used to tune the band structure of colloidal quantum dot solar cells for improved charge collection. Finally, we comment on the present challenges and future outlook of the use of ALD for nanostructured photovoltaics.

  9. Relation of lifetime to surface passivation for atomic-layer-deposited Al2O3 on crystalline silicon solar cell

    International Nuclear Information System (INIS)

    Cho, Young Joon; Song, Hee Eun; Chang, Hyo Sik

    2015-01-01

    Highlights: • We investigated the relation of potassium contamination on Si solar wafer to lifetime. • We deposited Al 2 O 3 layer by atomic layer deposition (ALD) on Si solar wafer after several cleaning process. • Potassium can be left on Si surface by incomplete cleaning process and degrade the Al 2 O 3 passivation quality. - Abstract: We investigated the relation of potassium contamination on a crystalline silicon (c-Si) surface after potassium hydroxide (KOH) etching to the lifetime of the c-Si solar cell. Alkaline solution was employed for saw damage removal (SDR), texturing, and planarization of a textured c-Si solar wafer prior to atomic layer deposition (ALD) Al 2 O 3 growth. In the solar-cell manufacturing process, ALD Al 2 O 3 passivation is utilized to obtain higher conversion efficiency. ALD Al 2 O 3 shows excellent surface passivation, though minority carrier lifetime varies with cleaning conditions. In the present study, we investigated the relation of potassium contamination to lifetime in solar-cell processing. The results showed that the potassium-contaminated samples, due to incomplete cleaning of KOH, had a short lifetime, thus establishing that residual potassium can degrade Al 2 O 3 surface passivation

  10. Predicting synergy in atomic layer etching

    Energy Technology Data Exchange (ETDEWEB)

    Kanarik, Keren J. [Lam Research Corp., Fremont, CA (United States); Tan, Samantha [Lam Research Corp., Fremont, CA (United States); Yang, Wenbing [Lam Research Corp., Fremont, CA (United States); Kim, Taeseung [Lam Research Corp., Fremont, CA (United States); Lill, Thorsten [Lam Research Corp., Fremont, CA (United States); Kabansky, Alexander [Lam Research Corp., Fremont, CA (United States); Hudson, Eric A. [Lam Research Corp., Fremont, CA (United States); Ohba, Tomihito [Lam Research Corp., Fremont, CA (United States); Nojiri, Kazuo [Lam Research Corp., Fremont, CA (United States); Yu, Jengyi [Lam Research Corp., Fremont, CA (United States); Wise, Rich [Lam Research Corp., Fremont, CA (United States); Berry, Ivan L. [Lam Research Corp., Fremont, CA (United States); Pan, Yang [Lam Research Corp., Fremont, CA (United States); Marks, Jeffrey [Lam Research Corp., Fremont, CA (United States); Gottscho, Richard A. [Lam Research Corp., Fremont, CA (United States)

    2017-03-27

    Atomic layer etching (ALE) is a multistep process used today in manufacturing for removing ultrathin layers of material. In this article, the authors report on ALE of Si, Ge, C, W, GaN, and SiO2 using a directional (anisotropic) plasma-enhanced approach. The authors analyze these systems by defining an “ALE synergy” parameter which quantifies the degree to which a process approaches the ideal ALE regime. This parameter is inspired by the ion-neutral synergy concept introduced in the 1979 paper by Coburn and Winters. ALE synergy is related to the energetics of underlying surface interactions and is understood in terms of energy criteria for the energy barriers involved in the reactions. Synergistic behavior is observed for all of the systems studied, with each exhibiting behavior unique to the reactant–material combination. By systematically studying atomic layer etching of a group of materials, the authors show that ALE synergy scales with the surface binding energy of the bulk material. This insight explains why some materials are more or less amenable to the directional ALE approach. Furthermore, they conclude that ALE is both simpler to understand than conventional plasma etch processing and is applicable to metals, semiconductors, and dielectrics.

  11. Influence of the Localization of Ge Atoms within the Si(001(4 × 2 Surface Layer on Semicore One-Electron States

    Directory of Open Access Journals (Sweden)

    Olha I. Tkachuk

    2016-03-01

    Full Text Available Adsorption complexes of germanium on the reconstructed Si(001(4 × 2 surface have been simulated by the Si96Ge2Н84 cluster. For Ge atoms located on the surface layer, DFT calculations (B3LYP/6-31G** of their 3d semicore-level energies have shown a clear-cut correlation between the 3d5/2 chemical shifts and mutual arrangement of Ge atoms. Such a shift is positive when only one Ge atom penetrates into the crystalline substrate, while being negative for both penetrating Ge atoms. We interpret these results in terms of the charge distribution in clusters under consideration.

  12. Dielectric functions, chemical and atomic compositions of the near surface layers of implanted GaAs by In+ ions

    Science.gov (United States)

    Kulik, M.; Kołodyńska, D.; Bayramov, A.; Drozdziel, A.; Olejniczak, A.; Żuk, J.

    2018-06-01

    The surfaces of (100) GaAs were irradiated with In+ ions. The implanted samples were isobaric annealed at 800 °C and then of dielectric function, the surface atomic concentrations of atoms and also the chemical composition of the near surface layers in these implanted semiconductor samples were obtained. The following investigation methods were used: spectroscopic ellipsometry (SE), Rutherford backscattering spectrometry analyses (RBSA) and X-ray photoelectron spectroscopy (XPS) in the study of the above mentioned quantities, respectively. The change of the shape spectra of the dielectric functions at about 3.0 eV phonon energy, diffusion of In+ ions as well as chemical composition changes were observed after ion implantation and the thermal treatment. Due to displacement of Ga ions from GaAs by the In+ ions the new chemical compound InAs was formed. The relative amounts Ga2O3 and As2O3 ratio increase in the native oxide layers with the fluences increase after the thermal treatment of the samples. Additionally, it was noticed that the quantities of InO2 increase with the increasing values of the irradiated ions before thermal treatment.

  13. Issues involved in the atomic layer deposition of metals

    Science.gov (United States)

    Grubbs, Robert Kimes

    Auger Electron Spectroscopy (AES) was used to study the nucleation and growth of tungsten on aluminum oxide surfaces. Tungsten metal was deposited using Atomic Layer Deposition (ALD) techniques. ALD uses sequential surface reactions to deposit material with atomic layer control. W ALD is performed using sequential exposures of WF6 and Si2H6. The step-wise nature of W ALD allows nucleation studies to be performed by analyzing the W surface concentration after each ALD reaction. Nucleation and growth regions can be identified by quantifying the AES signal intensities from both the W surface and the Al2O3 substrate. W nucleation occurred in 3 ALD reaction cycles. The AES results yielded a nucleation rate of 1.0 A/ALD cycle and a growth rate of ≈3 A/ALD cycle. AES studies also explored the nucleation and growth of Al2O3 on W. Al2O3 nucleated in 1 ALD cycle giving a nucleation rate of 3.5 A/ALD cycle and a subsequent growth rate of 1.0 A/ALD cycle. Mass spectrometry was then used to study the ALD reaction chemistry of tungsten deposition. Because of the step-wise nature of the W ALD chemistry, each W ALD reaction could be studied independently. The gaseous mass products were identified from both the WF6 and Si2H6 reactions. H2, HF and SiF4 mass products were observed for the WF6 reaction. The Si2H6 reaction displayed a room temperature reaction and a 200°C reaction. Products from the room temperature Si2H6 reaction were H2 and SiF3H. The reaction at 200°C yielded only H2 as a reaction product. H2 desorption from the surface contributes to the 200°C Si2H6 reaction. AES was used to confirm that the gas phase reaction products are correlated with a change in the surface species. Atomic hydrogen reduction of metal halides and oganometallic compounds provides another method for depositing metals with atomic layer control. The quantity of atomic hydrogen necessary to perform this chemistry is critical to the metal ALD process. A thermocouple probe was constructed to

  14. Reducing interface recombination for Cu(In,Ga)Se{sub 2} by atomic layer deposited buffer layers

    Energy Technology Data Exchange (ETDEWEB)

    Hultqvist, Adam; Bent, Stacey F. [Department of Chemical Engineering, Stanford University, Stanford, California 94305 (United States); Li, Jian V.; Kuciauskas, Darius; Dippo, Patricia; Contreras, Miguel A.; Levi, Dean H. [National Renewable Energy Laboratory, Golden, Colorado 80401 (United States)

    2015-07-20

    Partial CuInGaSe{sub 2} (CIGS) solar cell stacks with different atomic layer deposited buffer layers and pretreatments were analyzed by photoluminescence (PL) and capacitance voltage (CV) measurements to investigate the buffer layer/CIGS interface. Atomic layer deposited ZnS, ZnO, and SnO{sub x} buffer layers were compared with chemical bath deposited CdS buffer layers. Band bending, charge density, and interface state density were extracted from the CV measurement using an analysis technique new to CIGS. The surface recombination velocity calculated from the density of interface traps for a ZnS/CIGS stack shows a remarkably low value of 810 cm/s, approaching the range of single crystalline II–VI systems. Both the PL spectra and its lifetime depend on the buffer layer; thus, these measurements are not only sensitive to the absorber but also to the absorber/buffer layer system. Pretreatment of the CIGS prior to the buffer layer deposition plays a significant role on the electrical properties for the same buffer layer/CIGS stack, further illuminating the importance of good interface formation. Finally, ZnS is found to be the best performing buffer layer in this study, especially if the CIGS surface is pretreated with potassium cyanide.

  15. Low-temperature atomic layer epitaxy of AlN ultrathin films by layer-by-layer, in-situ atomic layer annealing.

    Science.gov (United States)

    Shih, Huan-Yu; Lee, Wei-Hao; Kao, Wei-Chung; Chuang, Yung-Chuan; Lin, Ray-Ming; Lin, Hsin-Chih; Shiojiri, Makoto; Chen, Miin-Jang

    2017-01-03

    Low-temperature epitaxial growth of AlN ultrathin films was realized by atomic layer deposition (ALD) together with the layer-by-layer, in-situ atomic layer annealing (ALA), instead of a high growth temperature which is needed in conventional epitaxial growth techniques. By applying the ALA with the Ar plasma treatment in each ALD cycle, the AlN thin film was converted dramatically from the amorphous phase to a single-crystalline epitaxial layer, at a low deposition temperature of 300 °C. The energy transferred from plasma not only provides the crystallization energy but also enhances the migration of adatoms and the removal of ligands, which significantly improve the crystallinity of the epitaxial layer. The X-ray diffraction reveals that the full width at half-maximum of the AlN (0002) rocking curve is only 144 arcsec in the AlN ultrathin epilayer with a thickness of only a few tens of nm. The high-resolution transmission electron microscopy also indicates the high-quality single-crystal hexagonal phase of the AlN epitaxial layer on the sapphire substrate. The result opens a window for further extension of the ALD applications from amorphous thin films to the high-quality low-temperature atomic layer epitaxy, which can be exploited in a variety of fields and applications in the near future.

  16. Atomic layer deposition of dielectrics for carbon-based electronics

    Energy Technology Data Exchange (ETDEWEB)

    Kim, J., E-mail: jiyoung.kim@utdallas.edu; Jandhyala, S.

    2013-11-01

    Carbon based nanomaterials like nanotubes and graphene have emerged as future generation electronic materials for device applications because of their interesting properties such as high-mobility and ability to carry high-current densities compared to conventional semiconductor materials like silicon. Therefore, there is a need to develop techniques to integrate robust gate dielectrics with high-quality interfaces for these materials in order to attain maximum performance. To date, a variety of methods including physical vapor deposition, atomic layer deposition (ALD), physical assembly among others have been employed in order to integrate dielectrics for carbon nanotube and graphene based field-effect transistors. Owing to the difficulty in wetting pristine surfaces of nanotubes and graphene, most of the ALD methods require a seeding technique involving non-covalent functionalization of their surfaces in order to nucleate dielectric growth while maintaining their intrinsic properties. A comprehensive review regarding the various dielectric integration schemes for emerging devices and their limitations with respect to ALD based methods along with a future outlook is provided. - Highlights: • We introduce various dielectric integration schemes for carbon-based devices. • Physical vapor deposition methods tend to degrade device performance. • Atomic layer deposition on pristine surfaces of graphene and nanotube is difficult. • We review different seeding techniques for atomic layer deposition of dielectrics. • Compare the performance of graphene top-gate devices with different dielectrics.

  17. Atomic layer deposition of dielectrics for carbon-based electronics

    International Nuclear Information System (INIS)

    Kim, J.; Jandhyala, S.

    2013-01-01

    Carbon based nanomaterials like nanotubes and graphene have emerged as future generation electronic materials for device applications because of their interesting properties such as high-mobility and ability to carry high-current densities compared to conventional semiconductor materials like silicon. Therefore, there is a need to develop techniques to integrate robust gate dielectrics with high-quality interfaces for these materials in order to attain maximum performance. To date, a variety of methods including physical vapor deposition, atomic layer deposition (ALD), physical assembly among others have been employed in order to integrate dielectrics for carbon nanotube and graphene based field-effect transistors. Owing to the difficulty in wetting pristine surfaces of nanotubes and graphene, most of the ALD methods require a seeding technique involving non-covalent functionalization of their surfaces in order to nucleate dielectric growth while maintaining their intrinsic properties. A comprehensive review regarding the various dielectric integration schemes for emerging devices and their limitations with respect to ALD based methods along with a future outlook is provided. - Highlights: • We introduce various dielectric integration schemes for carbon-based devices. • Physical vapor deposition methods tend to degrade device performance. • Atomic layer deposition on pristine surfaces of graphene and nanotube is difficult. • We review different seeding techniques for atomic layer deposition of dielectrics. • Compare the performance of graphene top-gate devices with different dielectrics

  18. Atomic structure of the SnO2 (110) surface

    International Nuclear Information System (INIS)

    Godin, T.J.; LaFemina, J.P.

    1991-12-01

    Using a tight-binding, total-energy model, we examine atomic relaxations of the ideal stoichiometric and reduced tin oxide (11) surfaces. In both cases we find a nearly bond-length conserving rumple of the top layer, and a smaller counter-relaxation of the second layer. These calculations show no evidence of surface states in the band gap for either surface

  19. Fabrication of Hyperbolic Metamaterials using Atomic Layer Deposition

    DEFF Research Database (Denmark)

    Shkondin, Evgeniy

     technology allowing thickness control on atomic scale. As the deposition relies on a surface reaction, conformal pinhole free films can be deposited on various substrates with advanced topology. This method has been a central theme of the project and a core fabrication technique of plasmonic and dielectric...... in dielectric host, the fabrication is still challenging, since ultrathin, continuous, pinhole free nanometer-scale coatings are desired. The required high-quality thin layers have been fabricated using atomic layer deposition (ALD). It is a relatively new, cyclic, self-limiting thin film deposition......, especially in the infrared range, result in high loss and weak connement to the surface. Additionally, the most implemented metals in plasmonics such as Au and Ag are diffcult to pattern at nanoscale due to their limited chemistry, adhesion or oxidation issues. Therefore the implementation of...

  20. Silicon surface passivation using thin HfO2 films by atomic layer deposition

    International Nuclear Information System (INIS)

    Gope, Jhuma; Vandana; Batra, Neha; Panigrahi, Jagannath; Singh, Rajbir; Maurya, K.K.; Srivastava, Ritu; Singh, P.K.

    2015-01-01

    Graphical abstract: - Highlights: • HfO 2 films using thermal ALD are studied for silicon surface passivation. • As-deposited thin film (∼8 nm) shows better passivation with surface recombination velocity (SRV) <100 cm/s. • Annealing improves passivation quality with SRV ∼20 cm/s for ∼8 nm film. - Abstract: Hafnium oxide (HfO 2 ) is a potential material for equivalent oxide thickness (EOT) scaling in microelectronics; however, its surface passivation properties particularly on silicon are not well explored. This paper reports investigation on passivation properties of thermally deposited thin HfO 2 films by atomic layer deposition system (ALD) on silicon surface. As-deposited pristine film (∼8 nm) shows better passivation with <100 cm/s surface recombination velocity (SRV) vis-à-vis thicker films. Further improvement in passivation quality is achieved with annealing at 400 °C for 10 min where the SRV reduces to ∼20 cm/s. Conductance measurements show that the interface defect density (D it ) increases with film thickness whereas its value decreases after annealing. XRR data corroborate with the observations made by FTIR and SRV data.

  1. Molecular dynamics simulation of chemical sputtering of hydrogen atom on layer structured graphite

    International Nuclear Information System (INIS)

    Ito, A.; Wang, Y.; Irle, S.; Morokuma, K.; Nakamura, H.

    2008-10-01

    Chemical sputtering of hydrogen atom on graphite was simulated using molecular dynamics. Especially, the layer structure of the graphite was maintained by interlayer intermolecular interaction. Three kinds of graphite surfaces, flat (0 0 0 1) surface, armchair (1 1 2-bar 0) surface and zigzag (1 0 1-bar 0) surface, are dealt with as targets of hydrogen atom bombardment. In the case of the flat surface, graphene layers were peeled off one by one and yielded molecules had chain structures. On the other hand, C 2 H 2 and H 2 are dominant yielded molecules on the armchair and zigzag surfaces, respectively. In addition, the interaction of a single hydrogen isotope on a single graphene is investigated. Adsorption, reflection and penetration rates are obtained as functions of incident energy and explain hydrogen retention on layered graphite. (author)

  2. Surface and interfacial reaction study of half cycle atomic layer deposited HfO{sub 2} on chemically treated GaSb surfaces

    Energy Technology Data Exchange (ETDEWEB)

    Zhernokletov, D. M. [Department of Physics, University of Texas at Dallas, Richardson, Texas 75080 (United States); Dong, H.; Brennan, B.; Kim, J. [Department of Materials Science and Engineering, University of Texas at Dallas, Richardson, Texas 75080 (United States); Yakimov, M.; Tokranov, V.; Oktyabrsky, S. [College of Nanoscale Science and Engineering, University at Albany - SUNY, Albany, New York 12203 (United States); Wallace, R. M. [Department of Physics, University of Texas at Dallas, Richardson, Texas 75080 (United States); Department of Materials Science and Engineering, University of Texas at Dallas, Richardson, Texas 75080 (United States)

    2013-04-01

    An in situ half-cycle atomic layer deposition/X-ray photoelectron spectroscopy (XPS) study was conducted in order to investigate the evolution of the HfO{sub 2} dielectric interface with GaSb(100) surfaces after sulfur passivation and HCl etching, designed to remove the native oxides. With the first pulses of tetrakis(dimethylamido)hafnium(IV) and water, a decrease in the concentration of antimony oxide states present on the HCl-etched surface is observed, while antimony sulfur states diminished below the XPS detection limit on sulfur passivated surface. An increase in the amount of gallium oxide/sulfide is seen, suggesting oxygen or sulfur transfers from antimony to gallium during antimony oxides/sulfides decomposition.

  3. Atomic imaging of an InSe single-crystal surface with atomic force microscope

    OpenAIRE

    Uosaki, Kohei; Koinuma, Michio

    1993-01-01

    The atomic force microscope was employed to observed in air the surface atomic structure of InSe, one of III-VI compound semiconductors with layered structures. Atomic arrangements were observed in both n-type and p-type materials. The observed structures are in good agreement with those expected from bulk crystal structures. The atomic images became less clear by repeating the imaging process. Wide area imaging after the imaging of small area clearly showed that a mound was created at the sp...

  4. Photoenhanced atomic layer epitaxy. Hikari reiki genshiso epitaxy

    Energy Technology Data Exchange (ETDEWEB)

    Mashita, M.; Kawakyu, Y. (Toshiba corp., Tokyo (Japan))

    1991-10-01

    The growth temperature range was greatly expanded of atomic layer epitaxy (ALE) expected as the growth process of ultra-thin stacks. Ga layers and As layers were formed one after the other on a GaAs substrate in the atmosphere of trimethylgallium (TMG) or AsH{sub 2} supplied alternately, by KrF excimer laser irradiation normal to the substrate. As a result, the growth temperature range was 460-540{degree}C nearly 10 times that of 500 {plus minus} several degrees centigrade in conventional thermal growth method. Based on the experimental result where light absorption of source molecules adsorbed on a substrate surface was larger than that under gaseous phase condition, new adsorbed layer enhancement model was proposed to explain above irradiation effect verifying it by experiments. As this photoenhancement technique is applied to other materials, possible fabrication of new crystal structures as a super lattice with ultra-thin stacks of single atomic layers is expected because of a larger freedom in material combination for hetero-ALE. 11 refs., 7 figs.

  5. Atomic layer deposition of epitaxial layers of anatase on strontium titanate single crystals: Morphological and photoelectrochemical characterization

    Energy Technology Data Exchange (ETDEWEB)

    Kraus, Theodore J.; Nepomnyashchii, Alexander B.; Parkinson, B. A., E-mail: bparkin1@uwyo.edu [Department of Chemistry, School of Energy Resources, University of Wyoming, Laramie, Wyoming 82071 (United States)

    2015-01-15

    Atomic layer deposition was used to grow epitaxial layers of anatase (001) TiO{sub 2} on the surface of SrTiO{sub 3} (100) crystals with a 3% lattice mismatch. The epilayers grow as anatase (001) as confirmed by x-ray diffraction. Atomic force microscope images of deposited films showed epitaxial layer-by-layer growth up to about 10 nm, whereas thicker films, of up to 32 nm, revealed the formation of 2–5 nm anatase nanocrystallites oriented in the (001) direction. The anatase epilayers were used as substrates for dye sensitization. The as received strontium titanate crystal was not sensitized with a ruthenium-based dye (N3) or a thiacyanine dye (G15); however, photocurrent from excited state electron injection from these dyes was observed when adsorbed on the anatase epilayers. These results show that highly ordered anatase surfaces can be grown on an easily obtained substrate crystal.

  6. Atom-surface potentials and atom interferometry

    International Nuclear Information System (INIS)

    Babb, J.F.

    1998-01-01

    Long-range atom-surface potentials characterize the physics of many actual systems and are now measurable spectroscopically in deflection of atomic beams in cavities or in reflection of atoms in atomic fountains. For a ground state, spherically symmetric atom the potential varies as -1/R 3 near the wall, where R is the atom-surface distance. For asymptotically large distances the potential is weaker and goes as -1/R 4 due to retardation arising from the finite speed of light. This diminished interaction can also be interpreted as a Casimir effect. The possibility of measuring atom-surface potentials using atomic interferometry is explored. The particular cases studied are the interactions of a ground-state alkali-metal atom and a dielectric or a conducting wall. Accurate descriptions of atom-surface potentials in theories of evanescent-wave atomic mirrors and evanescent wave-guided atoms are also discussed. (author)

  7. Three-dimensional hydration layer mapping on the (10.4) surface of calcite using amplitude modulation atomic force microscopy.

    Science.gov (United States)

    Marutschke, Christoph; Walters, Deron; Walters, Deron; Hermes, Ilka; Bechstein, Ralf; Kühnle, Angelika

    2014-08-22

    Calcite, the most stable modification of calcium carbonate, is a major mineral in nature. It is, therefore, highly relevant in a broad range of fields such as biomineralization, sea water desalination and oil production. Knowledge of the surface structure and reactivity of the most stable cleavage plane, calcite (10.4), is pivotal for understanding the role of calcite in these diverse areas. Given the fact that most biological processes and technical applications take place in an aqueous environment, perhaps the most basic - yet decisive - question addresses the interaction of water molecules with the calcite (10.4) surface. In this work, amplitude modulation atomic force microscopy is used for three-dimensional (3D) mapping of the surface structure and the hydration layers above the surface. An easy-to-use scanning protocol is implemented for collecting reliable 3D data. We carefully discuss a comprehensible criterion for identifying the solid-liquid interface within our data. In our data three hydration layers form a characteristic pattern that is commensurate with the underlying calcite surface.

  8. Three-dimensional hydration layer mapping on the (10.4) surface of calcite using amplitude modulation atomic force microscopy

    International Nuclear Information System (INIS)

    Marutschke, Christoph; Hermes, Ilka; Bechstein, Ralf; Kühnle, Angelika; Walters, Deron; Cleveland, Jason

    2014-01-01

    Calcite, the most stable modification of calcium carbonate, is a major mineral in nature. It is, therefore, highly relevant in a broad range of fields such as biomineralization, sea water desalination and oil production. Knowledge of the surface structure and reactivity of the most stable cleavage plane, calcite (10.4), is pivotal for understanding the role of calcite in these diverse areas. Given the fact that most biological processes and technical applications take place in an aqueous environment, perhaps the most basic—yet decisive—question addresses the interaction of water molecules with the calcite (10.4) surface. In this work, amplitude modulation atomic force microscopy is used for three-dimensional (3D) mapping of the surface structure and the hydration layers above the surface. An easy-to-use scanning protocol is implemented for collecting reliable 3D data. We carefully discuss a comprehensible criterion for identifying the solid–liquid interface within our data. In our data three hydration layers form a characteristic pattern that is commensurate with the underlying calcite surface. (paper)

  9. Role of field-effect on c-Si surface passivation by ultrathin (2-20 nm) atomic layer deposited Al2O3

    NARCIS (Netherlands)

    Terlinden, N.M.; Dingemans, G.; Sanden, van de M.C.M.; Kessels, W.M.M.

    2010-01-01

    Al2O3 synthesized by plasma-assisted atomic layer deposition yields excellent surface passivation of crystalline silicon (c-Si) for films down to ~ 5 nm in thickness. Optical second-harmonic generation was employed to distinguish between the influence of field-effect passivation and chemical

  10. Formation of Micro- and Nanostructures on the Nanotitanium Surface by Chemical Etching and Deposition of Titania Films by Atomic Layer Deposition (ALD

    Directory of Open Access Journals (Sweden)

    Denis V. Nazarov

    2015-12-01

    Full Text Available In this study, an integrated approach was used for the preparation of a nanotitanium-based bioactive material. The integrated approach included three methods: severe plastic deformation (SPD, chemical etching and atomic layer deposition (ALD. For the first time, it was experimentally shown that the nature of the etching medium (acidic or basic Piranha solutions and the etching time have a significant qualitative impact on the nanotitanium surface structure both at the nano- and microscale. The etched samples were coated with crystalline biocompatible TiO2 films with a thickness of 20 nm by Atomic Layer Deposition (ALD. Comparative study of the adhesive and spreading properties of human osteoblasts MG-63 has demonstrated that presence of nano- and microscale structures and crystalline titanium oxide on the surface of nanotitanium improve bioactive properties of the material.

  11. ONE-DIMENSIONAL ORDERING OF IN ATOMS IN A CU(100) SURFACE

    NARCIS (Netherlands)

    BREEMAN, M; BARKEMA, GT; BOERMA, DO

    1994-01-01

    A Monte Carlo study of the ordering of In atoms embedded in the top layer of a Cu(100) surface is presented. The interaction energies between the In and Cu atoms were derived from atom-embedding calculations, with Finnis-Sinclair potentials. It was found that the interaction between In atoms in the

  12. He atom-surface scattering: Surface dynamics of insulators, overlayers and crystal growth

    International Nuclear Information System (INIS)

    1992-01-01

    Investigations in this laboratory have focused on the surface structure and dynamics of ionic insulators and on epitaxial growth onto alkali halide crystals. In the later the homoepitaxial growth of NaCl/NaCl(001) and the heteroepitaxial growth of KBr/NaCl(001), NaCl/KBr(001) and KBr/RbCl(001) have been studied by monitoring the specular He scattering as a function of the coverage and by measuring the angular and energy distributions of the scattered He atoms. These data provide information on the surface structure, defect densities, island sizes and surface strain during the layer-by-layer growth. The temperature dependence of these measurements also provides information on the mobilities of the admolecules. He atom scattering is unique among surface probes because the low-energy, inert atoms are sensitive only to the electronic structure of the topmost surface layer and are equally applicable to all crystalline materials. It is proposed for the next year to exploit further the variety of combinations possible with the alkali halides in order to carry out a definitive study of epitaxial growth in the ionic insulators. The work completed so far, including measurements of the Bragg diffraction and surface dispersion at various stages of growth, appears to be exceptionally rich in detail, which is particularly promising for theoretical modeling. In addition, because epitaxial growth conditions over a wide range of lattice mismatches is possible with these materials, size effects in growth processes can be explored in great depth. Further, as some of the alkali halides have the CsCl structure instead of the NaCl structure, we can investigate the effects of the heteroepitaxy with materials having different lattice preferences. Finally, by using co-deposition of different alkali halides, one can investigate the formation and stability of alloys and even alkali halide superlattices

  13. Energy-enhanced atomic layer deposition : offering more processing freedom

    NARCIS (Netherlands)

    Potts, S.E.; Kessels, W.M.M.

    2013-01-01

    Atomic layer deposition (ALD) is a popular deposition technique comprising two or more sequential, self-limiting surface reactions, which make up an ALD cycle. Energy-enhanced ALD is an evolution of traditional thermal ALD methods, whereby energy is supplied to a gas in situ in order to convert a

  14. Shallow surface depth profiling with atomic resolution

    International Nuclear Information System (INIS)

    Xi, J.; Dastoor, P.C.; King, B.V.; O'Connor, D.J.

    1999-01-01

    It is possible to derive atomic layer-by-layer composition depth profiles from popular electron spectroscopic techniques, such as X-ray photoelectron spectroscopy (XPS) or Auger electron spectroscopy (AES). When ion sputtering assisted AES or XPS is used, the changes that occur during the establishment of the steady state in the sputtering process make these techniques increasingly inaccurate for depths less than 3nm. Therefore non-destructive techniques of angle-resolved XPS (ARXPS) or AES (ARAES) have to be used in this case. In this paper several data processing algorithms have been used to extract the atomic resolved depth profiles of a shallow surface (down to 1nm) from ARXPS and ARAES data

  15. Excellent c-Si surface passivation by thermal atomic layer deposited aluminum oxide after industrial firing activation

    International Nuclear Information System (INIS)

    Liao, B; Stangl, R; Ma, F; Mueller, T; Lin, F; Aberle, A G; Bhatia, C S; Hoex, B

    2013-01-01

    We demonstrate that by using a water (H 2 O)-based thermal atomic layer deposited (ALD) aluminum oxide (Al 2 O 3 ) film, excellent surface passivation can be attained on planar low-resistivity silicon wafers. Effective carrier lifetime values of up to 12 ms and surface recombination velocities as low as 0.33 cm s −1 are achieved on float-zone wafers after a post-deposition thermal activation of the Al 2 O 3 passivation layer. This post-deposition activation is achieved using an industrial high-temperature firing process which is commonly used for contact formation of standard screen-printed silicon solar cells. Neither a low-temperature post-deposition anneal nor a silicon nitride capping layer is required in this case. Deposition temperatures in the 100–400 °C range and peak firing temperatures of about 800 °C (set temperature) are investigated. Photoluminescence imaging shows that the surface passivation is laterally uniform. Corona charging and capacitance–voltage measurements reveal that the negative fixed charge density near the AlO x /c-Si interface increases from 1.4 × 10 12 to 3.3 × 10 12 cm −2 due to firing, while the midgap interface defect density reduces from 3.3 × 10 11 to 0.8 × 10 11 cm −2 eV −1 . This work demonstrates that direct firing activation of thermal ALD Al 2 O 3 is feasible, which could be beneficial for solar cell manufacturing. (paper)

  16. Electrode surface engineering by atomic layer deposition: A promising pathway toward better energy storage

    KAUST Repository

    Ahmed, Bilal

    2016-04-29

    Research on electrochemical energy storage devices including Li ion batteries (LIBs), Na ion batteries (NIBs) and supercapacitors (SCs) has accelerated in recent years, in part because developments in nanomaterials are making it possible to achieve high capacities and energy and power densities. These developments can extend battery life in portable devices, and open new markets such as electric vehicles and large-scale grid energy storage. It is well known that surface reactions largely determine the performance and stability of electrochemical energy storage devices. Despite showing impressive capacities and high energy and power densities, many of the new nanostructured electrode materials suffer from limited lifetime due to severe electrode interaction with electrolytes or due to large volume changes. Hence control of the surface of the electrode material is essential for both increasing capacity and improving cyclic stability of the energy storage devices.Atomic layer deposition (ALD) which has become a pervasive synthesis method in the microelectronics industry, has recently emerged as a promising process for electrochemical energy storage. ALD boasts excellent conformality, atomic scale thickness control, and uniformity over large areas. Since ALD is based on self-limiting surface reactions, complex shapes and nanostructures can be coated with excellent uniformity, and most processes can be done below 200. °C. In this article, we review recent studies on the use of ALD coatings to improve the performance of electrochemical energy storage devices, with particular emphasis on the studies that have provided mechanistic insight into the role of ALD in improving device performance. © 2016 Elsevier Ltd.

  17. Ultrafast atomic layer-by-layer oxygen vacancy-exchange diffusion in double-perovskite LnBaCo2O5.5+δ thin films.

    Science.gov (United States)

    Bao, Shanyong; Ma, Chunrui; Chen, Garry; Xu, Xing; Enriquez, Erik; Chen, Chonglin; Zhang, Yamei; Bettis, Jerry L; Whangbo, Myung-Hwan; Dong, Chuang; Zhang, Qingyu

    2014-04-22

    Surface exchange and oxygen vacancy diffusion dynamics were studied in double-perovskites LnBaCo2O5.5+δ (LnBCO) single-crystalline thin films (Ln = Er, Pr; -0.5 atoms in the LnBCO thin films is taking the layer by layer oxygen-vacancy-exchange mechanism. The first principles density functional theory calculations indicate that hydrogen atoms are present in LnBCO as bound to oxygen forming O-H bonds. This unprecedented oscillation phenomenon provides the first direct experimental evidence of the layer by layer oxygen vacancy exchange diffusion mechanism.

  18. Preface: Special Topic on Atomic and Molecular Layer Processing: Deposition, Patterning, and Etching

    Science.gov (United States)

    Engstrom, James R.; Kummel, Andrew C.

    2017-02-01

    Thin film processing technologies that promise atomic and molecular scale control have received increasing interest in the past several years, as traditional methods for fabrication begin to reach their fundamental limits. Many of these technologies involve at their heart phenomena occurring at or near surfaces, including adsorption, gas-surface reactions, diffusion, desorption, and re-organization of near-surface layers. Moreover many of these phenomena involve not just reactions occurring under conditions of local thermodynamic equilibrium but also the action of energetic species including electrons, ions, and hyperthermal neutrals. There is a rich landscape of atomic and molecular scale interactions occurring in these systems that is still not well understood. In this Special Topic Issue of The Journal of Chemical Physics, we have collected recent representative examples of work that is directed at unraveling the mechanistic details concerning atomic and molecular layer processing, which will provide an important framework from which these fields can continue to develop. These studies range from the application of theory and computation to these systems to the use of powerful experimental probes, such as X-ray synchrotron radiation, probe microscopies, and photoelectron and infrared spectroscopies. The work presented here helps in identifying some of the major challenges and direct future activities in this exciting area of research involving atomic and molecular layer manipulation and fabrication.

  19. Magnetic dichroism in photoemission: a new element-specific magnetometer with atomic-layer resolution

    International Nuclear Information System (INIS)

    Starke, K.; Arenholz, E.; Kaindl, G.

    1998-01-01

    Full text: Magnetic coupling in layered metallic structures has become a key issue in thin-film magnetism since the observation of oscillatory exchange coupling across non-ferromagnetic spacer layers. Although this phenomenon was discovered in rare earths (RE) superlattices, mostly transition-metal systems have been studied and are now applied in data-storage industry. An understanding of the coupling mechanisms has been reached after a fabrication of high-quality interfaces became possible. It allowed, in particular, the experimental finding of induced ferromagnetic order in 'nonmagnetic' atomic layers near an interface, using element-specific probes such as magnetic circular dichroism in x-ray absorption. - In layered RE systems, by contrast, the well known intermiscibility has prevented a preparation of atomically sharp interfaces, and all RE superlattices studied so far showed interdiffusion zones of several atomic layers. In the present overview, we report the first fabrication of atomically flat heteromagnetic RE interfaces, their structural characterization and their magnetic analysis using magnetic dichroism in photoemission (MDPE). This new tool gives access to the magnetization of individual atomic layers near interfaces in favourite cases. Merits of MDPE as a magnetometer are demonstrated at the example of Eu/Gd(0001), where chemical shifts of core-level photoemission lines allow to spectroscopically separate up to four different atomic layers. The high surface sensitivity of MDPE, together with the well known dependence of the core-level binding energies on the coordination number of the photo emitting atom, opens the door to future site-specific studies of magnetism in sub-monolayer systems such as 'nanowires'

  20. Characterization of hafnium oxide resistive memory layers deposited on copper by atomic layer deposition

    Energy Technology Data Exchange (ETDEWEB)

    Briggs, B.D.; Bishop, S.M. [SUNY College of Nanoscale Science and Engineering, 255 Fuller Road, Albany, NY 12203 (United States); Leedy, K.D. [Air Force Research Laboratory, 2241 Avionics Circle, Wright Patterson Air Force Base, Dayton, OH 45433 (United States); Cady, N.C., E-mail: ncady@albany.edu [SUNY College of Nanoscale Science and Engineering, 255 Fuller Road, Albany, NY 12203 (United States)

    2014-07-01

    Hafnium oxide-based resistive memory devices have been fabricated on copper bottom electrodes. The HfO{sub x} active layers in these devices were deposited by atomic layer deposition (ALD) at 250 °C with tetrakis(dimethylamido)hafnium(IV) as the metal precursor and an O{sub 2} plasma as the reactant. Depth profiles of the HfO{sub x} by X-ray photoelectron spectroscopy and secondary ion mass spectroscopy revealed a copper concentration on the order of five atomic percent throughout the HfO{sub x} film. In addition to the Cu doped HfO{sub x}, a thin layer (20 nm) of Cu{sub x}O is present at the surface. This surface layer is believed to have formed during the ALD process, and greatly complicates the analysis of the switching mechanism. The resistive memory structures fabricated from the ALD HfO{sub x} exhibited non-polar resistive switching, independent of the top metal electrode (Ni, Pt, Al, Au). Resistive switching current voltage (I–V) curves were analyzed using Schottky emission and ionic hopping models to gain insight into the physical mechanisms underpinning the device behavior. During the forming process it was determined that, at voltages in excess of 2.5 V, an ionic hopping model is in good agreement with the I–V data. The extracted ion hopping distance ∼ 4 Å was within the range of interatomic spacing of HfO{sub 2} during the forming process consistent with ionic motion of Cu{sup 2+} ions. Lastly the on state I–V data was dominated at larger voltages by Schottky emission with an estimated barrier height of ∼ 0.5 eV and a refractive index of 2.59. The consequence of the Schottky emission analysis indicates the on state resistance to be a product of a Pt/Cu{sub 2}O/Cu filament(s)/Cu{sub 2}O/Cu structure. - Highlights: • HfO{sub 2} was grown via atomic layer deposition at 250 and 100 °C on Cu substrates. • A Cu{sub 2}O surface layer and Cu doping were observed in post-deposition of HfO{sub 2}. • Resistive memory devices were fabricated and

  1. Surface Passivation Mechanism of Atomic Layer Deposited Al2O3 Films on c-Si Studied by Optical Second-Harmonic Generation

    NARCIS (Netherlands)

    Gielis, J.J.H.; Verlaan, V.; Dingemans, G.; Sanden, van de M.C.M.; Kessels, W.M.M.; Terlinden, N.M.

    2009-01-01

    Recently, it was shown that Al2O3 thin films synthesized by (plasmaassisted) atomic layer deposition (ALD) provide excellent surface passivation of n, p and p+ type c-Si as highly relevant for c-Si photovoltaics. It was found that a large negative fixed charge density (up to 1013 cm-2) in the Al2O3

  2. A Review of Atomic Layer Deposition for Nanoscale Devices

    Directory of Open Access Journals (Sweden)

    Edy Riyanto

    2012-12-01

    Full Text Available Atomic layer deposition (ALD is a thin film growth technique that utilizes alternating, self-saturation chemical reactions between gaseous precursors to achieve a deposited nanoscale layers. It has recently become a subject of great interest for ultrathin film deposition in many various applications such as microelectronics, photovoltaic, dynamic random access memory (DRAM, and microelectromechanic system (MEMS. By using ALD, the conformability and extreme uniformity of layers can be achieved in low temperature process. It facilitates to be deposited onto the surface in many variety substrates that have low melting temperature. Eventually it has advantages on the contribution to the wider nanodevices.

  3. Ionic double layer of atomically flat gold formed on mica templates

    International Nuclear Information System (INIS)

    Chilcott, Terry C.; Wong, Elicia L.S.; Coster, Hans G.L.; Coster, Adelle C.F.; James, Michael

    2009-01-01

    Electrical impedance spectroscopy characterisations of gold surfaces formed on mica templates in contact with potassium chloride electrolytes were performed at the electric potential of zero charge over a frequency range of 6 x 10 -3 to 100 x 10 3 Hz. They revealed constant-phase-angle (CPA) behaviour with a frequency exponent value of 0.96 for surfaces that were also characterised as atomically flat using atomic force microscopy (AFM). As the frequency exponent value was only marginally less than unity, the CPA behaviour yielded a realistic estimate for the capacitance of the ionic double layer. The retention of the CPA behaviour was attributed to specific adsorption of chloride ions which was detected as an adsorption conductance element in parallel with the CPA impedance element. Significant variations in the ionic double layer capacitance as well as the adsorption conductance were observed for electrolyte concentrations ranging from 33 μM to 100 mM, but neither of these variations correlated with concentration. This is consistent with the electrical properties of the interface deriving principally from the inner or Stern region of the double layer.

  4. In situ measurement of fixed charge evolution at silicon surfaces during atomic layer deposition

    International Nuclear Information System (INIS)

    Ju, Ling; Watt, Morgan R.; Strandwitz, Nicholas C.

    2015-01-01

    Interfacial fixed charge or interfacial dipoles are present at many semiconductor-dielectric interfaces and have important effects upon device behavior, yet the chemical origins of these electrostatic phenomena are not fully understood. We report the measurement of changes in Si channel conduction in situ during atomic layer deposition (ALD) of aluminum oxide using trimethylaluminum and water to probe changes in surface electrostatics. Current-voltage data were acquired continually before, during, and after the self-limiting chemical reactions that result in film growth. Our measurements indicated an increase in conductance on p-type samples with p + ohmic contacts and a decrease in conductance on analogous n-type samples. Further, p + contacted samples with n-type channels exhibited an increase in measured current and n + contacted p-type samples exhibited a decrease in current under applied voltage. Device physics simulations, where a fixed surface charge was parameterized on the channel surface, connect the surface charge to changes in current-voltage behavior. The simulations and analogous analytical relationships for near-surface conductance were used to explain the experimental results. Specifically, the changes in current-voltage behavior can be attributed to the formation of a fixed negative charge or the modification of a surface dipole upon chemisorption of trimethylaluminum. These measurements allow for the observation of fixed charge or dipole formation during ALD and provide further insight into the electrostatic behavior at semiconductor-dielectric interfaces during film nucleation

  5. Formation of Pentacene wetting layer on the SiO2 surface and charge trap in the wetting layer

    International Nuclear Information System (INIS)

    Kim, Chaeho; Jeon, D.

    2008-01-01

    We studied the early-stage growth of vacuum-evaporated pentacene film on a native SiO 2 surface using atomic force microscopy and in-situ spectroscopic ellipsometry. Pentacene deposition prompted an immediate change in the ellipsometry spectra, but atomic force microscopy images of the early stage films did not show a pentacene-related morphology other than the decrease in the surface roughness. This suggested that a thin pentacene wetting layer was formed by pentacene molecules lying on the surface before the crystalline islands nucleated. Growth simulation based on the in situ spectroscopic ellipsometry spectra supported this conclusion. Scanning capacitance microscopy measurement indicated the existence of trapped charges in the SiO 2 and pentacene wetting layer

  6. Atomistics of Ge deposition on Si(100) by atomic layer epitaxy.

    Science.gov (United States)

    Lin, D S; Wu, J L; Pan, S Y; Chiang, T C

    2003-01-31

    Chlorine termination of mixed Ge/Si(100) surfaces substantially enhances the contrast between Ge and Si sites in scanning tunneling microscopy observations. This finding enables a detailed investigation of the spatial distribution of Ge atoms deposited on Si(100) by atomic layer epitaxy. The results are corroborated by photoemission measurements aided by an unusually large chemical shift between Cl adsorbed on Si and Ge. Adsorbate-substrate atomic exchange during growth is shown to be important. The resulting interface is thus graded, but characterized by a very short length scale of about one monolayer.

  7. Influence of titanium-substrate roughness on Ca–P–O thin films grown by atomic layer deposition

    Energy Technology Data Exchange (ETDEWEB)

    Ananda Sagari, A.R., E-mail: arsagari@gmail.com [Department of Physics, P.O. Box 35 (YFL), FIN-40014 University of Jyväskylä (Finland); Malm, Jari [Department of Chemistry, P.O. Box 16100, FI-00076 Aalto University, Espoo (Finland); Laitinen, Mikko [Department of Physics, P.O. Box 35 (YFL), FIN-40014 University of Jyväskylä (Finland); Rahkila, Paavo [Department of Biology of Physical Activity, P.O. Box 35, FIN-40014 University of Jyväskylä (Finland); Hongqiang, Ma [Department of Health Sciences, P.O. Box 35 (L), FIN-40014 University of Jyväskylä (Finland); Putkonen, Matti [Department of Chemistry, P.O. Box 16100, FI-00076 Aalto University, Espoo (Finland); Beneq Oy, P.O. Box 262, FI-01511 Vantaa (Finland); Karppinen, Maarit [Department of Chemistry, P.O. Box 16100, FI-00076 Aalto University, Espoo (Finland); Whitlow, Harry J.; Sajavaara, Timo [Department of Physics, P.O. Box 35 (YFL), FIN-40014 University of Jyväskylä (Finland)

    2013-03-01

    Amorphous Ca–P–O films were deposited on titanium substrates using atomic layer deposition, while maintaining a uniform Ca/P pulsing ratio of 6/1 with varying number of atomic layer deposition cycles starting from 10 up to 208. Prior to film deposition the titanium substrates were mechanically abraded using SiC abrasive paper of 600, 1200, 2000 grit size and polished with 3 μm diamond paste to obtain surface roughness R{sub rms} values of 0.31 μm, 0.26 μm, 0.16 μm, and 0.10 μm, respectively. The composition and film thickness of as-deposited amorphous films were studied using Time-Of-Flight Elastic Recoil Detection Analysis. The results showed that uniform films could be deposited on rough metal surfaces with a clear dependence of substrate roughness on the Ca/P atomic ratio of thin films. The in vitro cell-culture studies using MC3T3 mouse osteoblast showed a greater coverage of cells on the surface polished with diamond paste in comparison to rougher surfaces after 24 h culture. No statistically significant difference was observed between Ca–P–O coated and un-coated Ti surfaces for the measured roughness value. The deposited 50 nm thick films did not dissolve during the cell culture experiment. - Highlights: ► Atomic layer deposition of Ca–P–O films on abraded Ti substrate ► Surface analysis using Time-Of-Flight Elastic Recoil Detection Analysis ► Dependence of substrate roughness on the Ca/P atomic ratio of thin films ► An increase in Ca/P atomic ratio with decreasing roughness ► Mouse osteoblast showed greater coverage of cells in polished surface.

  8. Antireflective conducting nanostructures with an atomic layer deposited an AlZnO layer on a transparent substrate

    International Nuclear Information System (INIS)

    Park, Hyun-Woo; Ji, Seungmuk; Herdini, Diptya Suci; Lim, Hyuneui; Park, Jin-Seong; Chung, Kwun-Bum

    2015-01-01

    Graphical abstract: - Highlights: • We investigated the antireflective conducting nanostructures on a transparent substrate using atomic layer deposited AlZnO films. • The conformal AlZnO layer on a transparent nanostructured substrate exhibited 5.52 × 10 −4 Ω cm in resistivity and 88% in average visible transmittance. • The improvement of transparency was explained by the gradual changes of the refractive index in the film depth direction. • The decrease in electrical resistivity is strongly correlated to the increased surface area with the nanostructure and the change of chemical bonding states. - Abstract: The antireflective conducting nanostructures on a transparent substrate were shown to have enhanced optical and electrical properties via colloidal lithography and atomic layer deposition. The conformal AlZnO layer on a transparent nanostructured substrate exhibited 5.52 × 10 −4 Ω cm in resistivity and 88% in average visible transmittance, both of which were superior to those of a flat transparent conducting substrate. The improvement of transparency was explained by the gradual changes of the refractive index in the film depth direction. The decrease in electrical resistivity is strongly correlated to the increased surface area with the nanostructure and the change of chemical bonding states.

  9. Atomic and electronic structure of the CdTe(111)B–(2√3 × 4) orthogonal surface

    Energy Technology Data Exchange (ETDEWEB)

    Bekenev, V. L., E-mail: bekenev@ipms.kiev.ua; Zubkova, S. M. [National Academy of Sciences of Ukraine, Frantsevych Institute for Problems of Materials Science (Ukraine)

    2017-01-15

    The atomic and electronic structure of four variants of Te-terminated CdTe(111)B–(2√3 × 4) orthogonal polar surface (ideal, relaxed, reconstructed, and reconstructed with subsequent relaxation) are calculated ab initio for the first time. The surface is modeled by a film composed of 12 atomic layers with a vacuum gap of ~16 Å in the layered superlattice approximation. To close Cd dangling bonds on the opposite side of the film, 24 fictitious hydrogen atoms with a charge of 1.5 electrons each are added. Ab initio calculations are performed using the Quantum Espresso program based on density functional theory. It is demonstrated that relaxation leads to splitting of the four upper layers. The band energy structures and total and layer-by-layer densities of electronic states for the four surface variants are calculated and analyzed.

  10. Molecular dynamics study of Pb-substituted Cu(1 0 0) surface layers

    Energy Technology Data Exchange (ETDEWEB)

    Evangelakis, G.A. [Department of Physics, University of Ioannina, PO Box 1186, Ioannina 45110 (Greece); Pontikis, V., E-mail: Vassilis.pontikis@cea.f [Laboratoire des Solides Irradies, CEA-DRECAM, 91191 Gif-sur-Yvette Cedex (France)

    2009-08-26

    Using molecular dynamics (MD) and phenomenological n-body potentials from the literature, we have studied the structure of the uppermost layers of low-index surfaces in copper after partial substitution of copper by lead atoms at randomly selected sites. We found that lead atoms substituting copper strongly perturb the positions of nearest and of next-nearest neighbors thus triggering the setup of a disordered, nanometer-thick amorphous-like surface layer. Equilibrium atomic density profiles, computed along the [1 0 0] crystallographic direction, show that amorphous overlayers are largely metastable whereas the system displays a structured compositional profile of lead segregating at the interfaces. Similarities between our results and experimental findings are briefly discussed.

  11. Molecular dynamics study of Pb-substituted Cu(1 0 0) surface layers

    International Nuclear Information System (INIS)

    Evangelakis, G.A.; Pontikis, V.

    2009-01-01

    Using molecular dynamics (MD) and phenomenological n-body potentials from the literature, we have studied the structure of the uppermost layers of low-index surfaces in copper after partial substitution of copper by lead atoms at randomly selected sites. We found that lead atoms substituting copper strongly perturb the positions of nearest and of next-nearest neighbors thus triggering the setup of a disordered, nanometer-thick amorphous-like surface layer. Equilibrium atomic density profiles, computed along the [1 0 0] crystallographic direction, show that amorphous overlayers are largely metastable whereas the system displays a structured compositional profile of lead segregating at the interfaces. Similarities between our results and experimental findings are briefly discussed.

  12. Ta2O5/ Al2O3/ SiO2 - antireflective coating for non-planar optical surfaces by atomic layer deposition

    Science.gov (United States)

    Pfeiffer, K.; Schulz, U.; Tünnermann, A.; Szeghalmi, A.

    2017-02-01

    Antireflective coatings are essential to improve transmittance of optical elements. Most research and development of AR coatings has been reported on a wide variety of plane optical surfaces; however, antireflection is also necessary on nonplanar optical surfaces. Physical vapor deposition (PVD), a common method for optical coatings, often results in thickness gradients on strongly curved surfaces, leading to a failure of the desired optical function. In this work, optical thin films of tantalum pentoxide, aluminum oxide and silicon dioxide were prepared by atomic layer deposition (ALD), which is based on self-limiting surface reactions. The results demonstrate that ALD optical layers can be deposited on both vertical and horizontal substrate surfaces with uniform thicknesses and the same optical properties. A Ta2O5/Al2O3/ SiO2 multilayer AR coating (400-700 nm) was successfully applied to a curved aspheric glass lens with a diameter of 50 mm and a center thickness of 25 mm.

  13. Atomic-layer deposited passivation schemes for c-Si solar cells

    NARCIS (Netherlands)

    van de Loo, B.W.H.; Macco, B.; Melskens, J.; Verheijen, M.A.; Kessels, W.M.M.E.

    2016-01-01

    A review of recent developments in the field of passivation of c-Si surfaces is presented, with a particular focus on materials that can be prepared by atomic layer deposition (ALD). Besides Al2O3, various other novel passivation schemes have recently been developed, such as Ga2O3, Ta2O5,

  14. Antiferromagnetic MnN layer on the MnGa(001) surface

    Energy Technology Data Exchange (ETDEWEB)

    Guerrero-Sánchez, J., E-mail: guerrero@cnyn.unam.mx; Takeuchi, Noboru

    2016-12-30

    Highlights: • A ferromagnetic Gallium terminated surface is stable before N incorporation. • After N incorporation, an antiferromagnetic MnN layer becomes stable in a wide range of chemical potential. • Spin density distribution shows an antiferromagnetic/ferromagnetic (MnN/MnGa) arrangement at the surface. - Abstract: Spin polarized first principles total energy calculations have been applied to study the stability and magnetic properties of the MnGa(001) surface and the formation of a topmost MnN layer with the deposit of nitrogen. Before nitrogen adsorption, surface formation energies show a stable gallium terminated ferromagnetic surface. After incorporation of nitrogen atoms, the antiferromagnetic manganese terminated surface becomes stable due to the formation of a MnN layer (Mn-N bonding at the surface). Spin density distribution shows a ferromagnetic/antiferromagnetic arrangement in the first surface layers. This thermodynamically stable structure may be exploited to growth MnGa/MnN magnetic heterostructures as well as to look for exchange biased systems.

  15. Atomic emission spectroscopic investigations for determining depth profiles at boride layers on iron materials

    International Nuclear Information System (INIS)

    Danzer, K.; Marx, G.

    1980-01-01

    A combination of atomic emission spectroscopic surface analysis and mechanical removement of defined surface areas in layers by grinding yields information about the depth distribution of boron in iron. In addition, the evaluation with the aid of the two-dimensional variance analysis leads to statements on the homogeneous distribution within individual layers at different depth. The results obtained in this way are in agreement with those of other methods

  16. Thermal healing of the sub-surface damage layer in sapphire

    International Nuclear Information System (INIS)

    Pinkas, Malki; Lotem, Haim; Golan, Yuval; Einav, Yeheskel; Golan, Roxana; Chakotay, Elad; Haim, Avivit; Sinai, Ela; Vaknin, Moshe; Hershkovitz, Yasmin; Horowitz, Atara

    2010-01-01

    The sub-surface damage layer formed by mechanical polishing of sapphire is known to reduce the mechanical strength of the processed sapphire and to degrade the performance of sapphire based components. Thermal annealing is one of the methods to eliminate the sub-surface damage layer. This study focuses on the mechanism of thermal healing by studying its effect on surface topography of a- and c-plane surfaces, on the residual stresses in surface layers and on the thickness of the sub-surface damage layer. An atomically flat surface was developed on thermally annealed c-plane surfaces while a faceted roof-top topography was formed on a-plane surfaces. The annealing resulted in an improved crystallographic perfection close to the sample surface as was indicated by a noticeable decrease in X-ray rocking curve peak width. Etching experiments and surface roughness measurements using white light interferometry with sub-nanometer resolution on specimens annealed to different extents indicate that the sub-surface damage layer of the optically polished sapphire is less than 3 μm thick and it is totally healed after thermal treatment at 1450 deg. C for 72 h.

  17. Effect of atomic layer deposition coatings on the surface structure of anodic aluminum oxide membranes.

    Science.gov (United States)

    Xiong, Guang; Elam, Jeffrey W; Feng, Hao; Han, Catherine Y; Wang, Hsien-Hau; Iton, Lennox E; Curtiss, Larry A; Pellin, Michael J; Kung, Mayfair; Kung, Harold; Stair, Peter C

    2005-07-28

    Anodic aluminum oxide (AAO) membranes were characterized by UV Raman and FT-IR spectroscopies before and after coating the entire surface (including the interior pore walls) of the AAO membranes by atomic layer deposition (ALD). UV Raman reveals the presence of aluminum oxalate in bulk AAO, both before and after ALD coating with Al2O3, because of acid anion incorporation during the anodization process used to produce AAO membranes. The aluminum oxalate in AAO exhibits remarkable thermal stability, not totally decomposing in air until exposed to a temperature >900 degrees C. ALD was used to cover the surface of AAO with either Al2O3 or TiO2. Uncoated AAO have FT-IR spectra with two separate types of OH stretches that can be assigned to isolated OH groups and hydrogen-bonded surface OH groups, respectively. In contrast, AAO surfaces coated by ALD with Al2O3 display a single, broad band of hydrogen-bonded OH groups. AAO substrates coated with TiO2 show a more complicated behavior. UV Raman results show that very thin TiO2 coatings (1 nm) are not stable upon annealing to 500 degrees C. In contrast, thicker coatings can totally cover the contaminated alumina surface and are stable at temperatures in excess of 500 degrees C.

  18. Atomic structure of diamond {111} surfaces etched in oxygen water vapor

    International Nuclear Information System (INIS)

    Theije, F.K. de; Reedijk, M.F.; Arsic, J.; Enckevort, W.J.P. van; Vlieg, E.

    2001-01-01

    The atomic structure of the {111} diamond face after oxygen-water-vapor etching is determined using x-ray scattering. We find that a single dangling bond diamond {111} surface model, terminated by a full monolayer of -OH fits our data best. To explain the measurements it is necessary to add an ordered water layer on top of the -OH terminated surface. The vertical contraction of the surface cell and the distance between the oxygen atoms are generally in agreement with model calculations and results on similar systems. The OH termination is likely to be present during etching as well. This model experimentally confirms the atomic-scale mechanism we proposed previously for this etching system

  19. Effective Surface Passivation of InP Nanowires by Atomic-Layer-Deposited Al2O3 with POx Interlayer.

    Science.gov (United States)

    Black, L E; Cavalli, A; Verheijen, M A; Haverkort, J E M; Bakkers, E P A M; Kessels, W M M

    2017-10-11

    III/V semiconductor nanostructures have significant potential in device applications, but effective surface passivation is critical due to their large surface-to-volume ratio. For InP such passivation has proven particularly difficult, with substantial depassivation generally observed following dielectric deposition on InP surfaces. We present a novel approach based on passivation with a phosphorus-rich interfacial oxide deposited using a low-temperature process, which is critical to avoid P-desorption. For this purpose we have chosen a PO x layer deposited in a plasma-assisted atomic layer deposition (ALD) system at room temperature. Since PO x is known to be hygroscopic and therefore unstable in atmosphere, we encapsulate this layer with a thin ALD Al 2 O 3 capping layer to form a PO x /Al 2 O 3 stack. This passivation scheme is capable of improving the photoluminescence (PL) efficiency of our state-of-the-art wurtzite (WZ) InP nanowires by a factor of ∼20 at low excitation. If we apply the rate equation analysis advocated by some authors, we derive a PL internal quantum efficiency (IQE) of 75% for our passivated wires at high excitation. Our results indicate that it is more reliable to calculate the IQE as the ratio of the integrated PL intensity at room temperature to that at 10 K. By this means we derive an IQE of 27% for the passivated wires at high excitation (>10 kW cm -2 ), which constitutes an unprecedented level of performance for undoped InP nanowires. This conclusion is supported by time-resolved PL decay lifetimes, which are also shown to be significantly higher than previously reported for similar wires. The passivation scheme displays excellent long-term stability (>7 months) and is additionally shown to substantially improve the thermal stability of InP surfaces (>300 °C), significantly expanding the temperature window for device processing. Such effective surface passivation is a key enabling technology for InP nanowire devices such as

  20. Stripping scattering of fast atoms on surfaces of metal-oxide crystals and ultrathin films

    International Nuclear Information System (INIS)

    Blauth, David

    2010-01-01

    In the framework of the present dissertation the interactions of fast atoms with surfaces of bulk oxides, metals and thin films on metals were studied. The experiments were performed in the regime of grazing incidence of atoms with energies of some keV. The advantage of this scattering geometry is the high surface sensibility and thus the possibility to determine the crystallographic and electronic characteristics of the topmost surface layer. In addition to these experiments, the energy loss and the electron emission induced by scattered projectiles was investigated. The energy for electron emission and exciton excitation on Alumina/NiAl(110) and SiO 2 /Mo(112) are determined. By detection of the number of projectile induced emitted electrons as function of azimuthal angle for the rotation of the target surface, the geometrical structure of atoms forming the topmost layer of different adsorbate films on metal surfaces where determined via ion beam triangulation. (orig.)

  1. Cu and Cu(Mn) films deposited layer-by-layer via surface-limited redox replacement and underpotential deposition

    Energy Technology Data Exchange (ETDEWEB)

    Fang, J.S., E-mail: jsfang@nfu.edu.tw [Department of Materials Science and Engineering, National Formosa University, Huwei 63201, Taiwan (China); Sun, S.L. [Department of Materials Science and Engineering, National Formosa University, Huwei 63201, Taiwan (China); Cheng, Y.L. [Department of Electrical Engineering, National Chi-Nan University, Nan-Tou 54561, Taiwan (China); Chen, G.S.; Chin, T.S. [Department of Materials Science and Engineering, Feng Chia University, Taichung 40724, Taiwan (China)

    2016-02-28

    Graphical abstract: - Abstract: The present paper reports Cu and Cu(Mn) films prepared layer-by-layer using an electrochemical atomic layer deposition (ECALD) method. The structure and properties of the films were investigated to elucidate their suitability as Cu interconnects for microelectronics. Previous studies have used primarily a vacuum-based atomic layer deposition to form a Cu metallized film. Herein, an entirely wet chemical process was used to fabricate a Cu film using the ECALD process by combining underpotential deposition (UPD) and surface-limited redox replacement (SLRR). The experimental results indicated that an inadequate UPD of Pb affected the subsequent SLRR of Cu and lead to the formation of PbSO{sub 4}. A mechanism is proposed to explain the results. Layer-by-layer deposition of Cu(Mn) films was successfully performed by alternating the deposition cycle-ratios of SLRR-Cu and UPD-Mn. The proposed self-limiting growth method offers a layer-by-layer wet chemistry-based deposition capability for fabricating Cu interconnects.

  2. Spatial atomic layer deposition: a route towards further industrialization of atomic layer deposition

    NARCIS (Netherlands)

    Poodt, P.; Cameron, D.C.; Dickey, E.; George, S.M.; Kuznetsov, Vladimir; Parsons, G.N.; Roozeboom, F.; Sundaram, G.; Vermeer, A.

    2012-01-01

    Spatial atomic layer deposition can be used as a high-throughput manufacturing technique in functional thin film deposition for applications such as flexible electronics. This; however, requires low-temperature processing and handling of flexible substrates. The authors investigate the process

  3. Atomic Step Formation on Sapphire Surface in Ultra-precision Manufacturing

    Science.gov (United States)

    Wang, Rongrong; Guo, Dan; Xie, Guoxin; Pan, Guoshun

    2016-01-01

    Surfaces with controlled atomic step structures as substrates are highly relevant to desirable performances of materials grown on them, such as light emitting diode (LED) epitaxial layers, nanotubes and nanoribbons. However, very limited attention has been paid to the step formation in manufacturing process. In the present work, investigations have been conducted into this step formation mechanism on the sapphire c (0001) surface by using both experiments and simulations. The step evolutions at different stages in the polishing process were investigated with atomic force microscopy (AFM) and high resolution transmission electron microscopy (HRTEM). The simulation of idealized steps was constructed theoretically on the basis of experimental results. It was found that (1) the subtle atomic structures (e.g., steps with different sawteeth, as well as steps with straight and zigzag edges), (2) the periodicity and (3) the degree of order of the steps were all dependent on surface composition and miscut direction (step edge direction). A comparison between experimental results and idealized step models of different surface compositions has been made. It has been found that the structure on the polished surface was in accordance with some surface compositions (the model of single-atom steps: Al steps or O steps). PMID:27444267

  4. Surface reactions during atomic layer deposition of Pt derived from gas phase infrared spectroscopy

    NARCIS (Netherlands)

    Kessels, W.M.M.; Knoops, H.C.M.; Dielissen, S.A.F.; Mackus, A.J.M.; Sanden, van de M.C.M.

    2009-01-01

    Infrared spectroscopy was used to obtain absolute number information on the reaction products during atomic layer deposition of Pt from (methylcyclopentadienyl)trimethylplatinum [(MeCp)PtMe3] and O2. From the detection of CO2 and H2O it was established that the precursor ligands are oxidatively

  5. Partially oxidized atomic cobalt layers for carbon dioxide electroreduction to liquid fuel

    Science.gov (United States)

    Gao, Shan; Lin, Yue; Jiao, Xingchen; Sun, Yongfu; Luo, Qiquan; Zhang, Wenhua; Li, Dianqi; Yang, Jinlong; Xie, Yi

    2016-01-01

    Electroreduction of CO2 into useful fuels, especially if driven by renewable energy, represents a potentially ‘clean’ strategy for replacing fossil feedstocks and dealing with increasing CO2 emissions and their adverse effects on climate. The critical bottleneck lies in activating CO2 into the CO2•- radical anion or other intermediates that can be converted further, as the activation usually requires impractically high overpotentials. Recently, electrocatalysts based on oxide-derived metal nanostructures have been shown to enable CO2 reduction at low overpotentials. However, it remains unclear how the electrocatalytic activity of these metals is influenced by their native oxides, mainly because microstructural features such as interfaces and defects influence CO2 reduction activity yet are difficult to control. To evaluate the role of the two different catalytic sites, here we fabricate two kinds of four-atom-thick layers: pure cobalt metal, and co-existing domains of cobalt metal and cobalt oxide. Cobalt mainly produces formate (HCOO-) during CO2 electroreduction; we find that surface cobalt atoms of the atomically thin layers have higher intrinsic activity and selectivity towards formate production, at lower overpotentials, than do surface cobalt atoms on bulk samples. Partial oxidation of the atomic layers further increases their intrinsic activity, allowing us to realize stable current densities of about 10 milliamperes per square centimetre over 40 hours, with approximately 90 per cent formate selectivity at an overpotential of only 0.24 volts, which outperforms previously reported metal or metal oxide electrodes evaluated under comparable conditions. The correct morphology and oxidation state can thus transform a material from one considered nearly non-catalytic for the CO2 electroreduction reaction into an active catalyst. These findings point to new opportunities for manipulating and improving the CO2 electroreduction properties of metal systems

  6. Visualization of deuterium dead layer by atom probe tomography

    KAUST Repository

    Gemma, Ryota

    2012-12-01

    The first direct observation, by atom probe tomography, of a deuterium dead layer is reported for Fe/V multilayered film loaded with D solute atoms. The thickness of the dead layers was measured to be 0.4-0.5 nm. The dead layers could be distinguished from chemically intermixed layers. The results suggest that the dead layer effect occurs even near the interface of the mixing layers, supporting an interpretation that the dead layer effect cannot be explained solely by electronic charge transfer but also involves a modulation of rigidity. © 2012 Acta Materialia Inc. Published by Elsevier Ltd. All rights reserved.

  7. Visualization of deuterium dead layer by atom probe tomography

    KAUST Repository

    Gemma, Ryota; Al-Kassab, Talaat; Kirchheim, Reiner; Pundt, Astrid A.

    2012-01-01

    The first direct observation, by atom probe tomography, of a deuterium dead layer is reported for Fe/V multilayered film loaded with D solute atoms. The thickness of the dead layers was measured to be 0.4-0.5 nm. The dead layers could be distinguished from chemically intermixed layers. The results suggest that the dead layer effect occurs even near the interface of the mixing layers, supporting an interpretation that the dead layer effect cannot be explained solely by electronic charge transfer but also involves a modulation of rigidity. © 2012 Acta Materialia Inc. Published by Elsevier Ltd. All rights reserved.

  8. Interfacial engineering of two-dimensional nano-structured materials by atomic layer deposition

    Energy Technology Data Exchange (ETDEWEB)

    Zhuiykov, Serge, E-mail: serge.zhuiykov@ugent.be [Ghent University Global Campus, Department of Applied Analytical & Physical Chemistry, Faculty of Bioscience Engineering, 119 Songdomunhwa-ro, Yeonsu-Gu, Incheon 406-840 (Korea, Republic of); Kawaguchi, Toshikazu [Global Station for Food, Land and Water Resources, Global Institution for Collaborative Research and Education, Hokkaido University, N10W5 Kita-ku, Sapporo, Hokkaido 060-0810 (Japan); Graduate School of Environmental Science, Hokkaido University, N10W5 Kita-ku, Sapporo, Hokkaido 060-0810 (Japan); Hai, Zhenyin; Karbalaei Akbari, Mohammad; Heynderickx, Philippe M. [Ghent University Global Campus, Department of Applied Analytical & Physical Chemistry, Faculty of Bioscience Engineering, 119 Songdomunhwa-ro, Yeonsu-Gu, Incheon 406-840 (Korea, Republic of)

    2017-01-15

    Highlights: • Advantages of atomic layer deposition technology (ALD) for two-dimensional nano-crystals. • Conformation of ALD technique and chemistry of precursors. • ALD of semiconductor oxide thin films. • Ultra-thin (∼1.47 nm thick) ALD-developed tungsten oxide nano-crystals on large area. - Abstract: Atomic Layer Deposition (ALD) is an enabling technology which provides coating and material features with significant advantages compared to other existing techniques for depositing precise nanometer-thin two-dimensional (2D) nanostructures. It is a cyclic process which relies on sequential self-terminating reactions between gas phase precursor molecules and a solid surface. ALD is especially advantageous when the film quality or thickness is critical, offering ultra-high aspect ratios. ALD provides digital thickness control to the atomic level by depositing film one atomic layer at a time, as well as pinhole-free films even over a very large and complex areas. Digital control extends to sandwiches, hetero-structures, nano-laminates, metal oxides, graded index layers and doping, and it is perfect for conformal coating and challenging 2D electrodes for various functional devices. The technique’s capabilities are presented on the example of ALD-developed ultra-thin 2D tungsten oxide (WO{sub 3}) over the large area of standard 4” Si substrates. The discussed advantages of ALD enable and endorse the employment of this technique for the development of hetero-nanostructure 2D semiconductors with unique properties.

  9. Atomic-Layer-Deposited Transparent Electrodes for Silicon Heterojunction Solar Cells

    International Nuclear Information System (INIS)

    Demaurex, Benedicte; Seif, Johannes P.; Smit, Sjoerd; Macco, Bart; Kessels, W. M.; Geissbuhler, Jonas; De Wolf, Stefaan; Ballif, Christophe

    2014-01-01

    We examine damage-free transparent-electrode deposition to fabricate high-efficiency amorphous silicon/crystalline silicon heterojunction solar cells. Such solar cells usually feature sputtered transparent electrodes, the deposition of which may damage the layers underneath. Using atomic layer deposition, we insert thin protective films between the amorphous silicon layers and sputtered contacts and investigate their effect on device operation. We find that a 20-nm-thick protective layer suffices to preserve, unchanged, the amorphous silicon layers beneath. Insertion of such protective atomic-layer-deposited layers yields slightly higher internal voltages at low carrier injection levels. However, we identify the presence of a silicon oxide layer, formed during processing, between the amorphous silicon and the atomic-layer-deposited transparent electrode that acts as a barrier, impeding hole and electron collection

  10. Deposition of HgTe by electrochemical atomic layer epitaxy (EC-ALE)

    CSIR Research Space (South Africa)

    Venkatasamy, V

    2006-04-01

    Full Text Available This paper describes the first instance of HgTe growth by electrochemical atomic layer epitaxy (EC-ALE). EC-ALE is the electrochemical analog of atomic layer epitaxy (ALE) and atomic layer deposition (ALD), all of which are based on the growth...

  11. Atomic layer deposition of GaN at low temperatures

    Energy Technology Data Exchange (ETDEWEB)

    Ozgit, Cagla; Donmez, Inci; Alevli, Mustafa; Biyikli, Necmi [UNAM - Institute of Materials Science and Nanotechnology, Bilkent University, 06800 Ankara (Turkey)

    2012-01-15

    The authors report on the self-limiting growth of GaN thin films at low temperatures. Films were deposited on Si substrates by plasma-enhanced atomic layer deposition using trimethylgallium (TMG) and ammonia (NH{sub 3}) as the group-III and -V precursors, respectively. GaN deposition rate saturated at 185 deg. C for NH{sub 3} doses starting from 90 s. Atomic layer deposition temperature window was observed from 185 to {approx}385 deg. C. Deposition rate, which is constant at {approx}0.51 A/cycle within the temperature range of 250 - 350 deg. C, increased slightly as the temperature decreased to 185 deg. C. In the bulk film, concentrations of Ga, N, and O were constant at {approx}36.6, {approx}43.9, and {approx}19.5 at. %, respectively. C was detected only at the surface and no C impurities were found in the bulk film. High oxygen concentration in films was attributed to the oxygen impurities present in group-V precursor. High-resolution transmission electron microscopy studies revealed a microstructure consisting of small crystallites dispersed in an amorphous matrix.

  12. Atomic layer deposition for semiconductors

    CERN Document Server

    Hwang, Cheol Seong

    2014-01-01

    This edited volume discusses atomic layer deposition (ALD) for all modern semiconductor devices, moving from the basic chemistry of ALD and modeling of ALD processes to sections on ALD for memories, logic devices, and machines.

  13. Atomic layer deposition and etching methods for far ultraviolet aluminum mirrors

    Science.gov (United States)

    Hennessy, John; Moore, Christopher S.; Balasubramanian, Kunjithapatham; Jewell, April D.; Carter, Christian; France, Kevin; Nikzad, Shouleh

    2017-09-01

    High-performance aluminum mirrors at far ultraviolet wavelengths require transparent dielectric materials as protective coatings to prevent oxidation. Reducing the thickness of this protective layer can result in additional performance gains by minimizing absorption losses, and provides a path toward high Al reflectance in the challenging wavelength range of 90 to 110 nm. We have pursued the development of new atomic layer deposition processes (ALD) for the metal fluoride materials of MgF2, AlF3 and LiF. Using anhydrous hydrogen fluoride as a reactant, these films can be deposited at the low temperatures required for large-area surface-finished optics and polymeric diffraction gratings. We also report on the development and application of an atomic layer etching (ALE) procedure to controllably etch native aluminum oxide. Our ALE process utilizes the same chemistry used in the ALD of AlF3 thin films, allowing for a combination of high-performance evaporated Al layers and ultrathin ALD encapsulation without requiring vacuum transfer. Progress in demonstrating the scalability of this approach, as well as the environmental stability of ALD/ALE Al mirrors are discussed in the context of possible future applications for NASA LUVOIR and HabEx mission concepts.

  14. Atomic beams probe surface vibrations

    International Nuclear Information System (INIS)

    Robinson, A.L.

    1982-01-01

    In the last two years, surface scientist have begun trying to obtain the vibrational frequencies of surface atoms in both insulating and metallic crystals from beams of helium atoms. It is the inelastic scattering that researchers use to probe surface vibrations. Inelastic atomic beam scattering has only been used to obtain vibrational frequency spectra from clean surfaces. Several experiments using helium beams are cited. (SC)

  15. Atomic layer deposition precursor step repetition and surface plasma pretreatment influence on semiconductor–insulator–semiconductor heterojunction solar cell

    Energy Technology Data Exchange (ETDEWEB)

    Talkenberg, Florian, E-mail: florian.talkenberg@ipht-jena.de; Illhardt, Stefan; Schmidl, Gabriele; Schleusener, Alexander; Sivakov, Vladimir [Leibniz Institute of Photonic Technology, Albert-Einstein-Str. 9, D-07745 Jena (Germany); Radnóczi, György Zoltán; Pécz, Béla [Centre for Energy Research, Institute of Technical Physics and Materials Science, Konkoly-Thege Miklós u. 29-33, H-1121 Budapest (Hungary); Dikhanbayev, Kadyrjan; Mussabek, Gauhar [Department of Physics and Engineering, al-Farabi Kazakh National University, 71 al-Farabi Ave., 050040 Almaty (Kazakhstan); Gudovskikh, Alexander [Nanotechnology Research and Education Centre, St. Petersburg Academic University, Russian Academy of Sciences, Hlopina Str. 8/3, 194021 St. Petersburg (Russian Federation)

    2015-07-15

    Semiconductor–insulator–semiconductor heterojunction solar cells were prepared using atomic layer deposition (ALD) technique. The silicon surface was treated with oxygen and hydrogen plasma in different orders before dielectric layer deposition. A plasma-enhanced ALD process was applied to deposit dielectric Al{sub 2}O{sub 3} on the plasma pretreated n-type Si(100) substrate. Aluminum doped zinc oxide (Al:ZnO or AZO) was deposited by thermal ALD and serves as transparent conductive oxide. Based on transmission electron microscopy studies the presence of thin silicon oxide (SiO{sub x}) layer was detected at the Si/Al{sub 2}O{sub 3} interface. The SiO{sub x} formation depends on the initial growth behavior of Al{sub 2}O{sub 3} and has significant influence on solar cell parameters. The authors demonstrate that a hydrogen plasma pretreatment and a precursor dose step repetition of a single precursor improve the initial growth behavior of Al{sub 2}O{sub 3} and avoid the SiO{sub x} generation. Furthermore, it improves the solar cell performance, which indicates a change of the Si/Al{sub 2}O{sub 3} interface states.

  16. SnO2 anode surface passivation by atomic layer deposited HfO2 improves li-ion battery performance

    KAUST Repository

    Yesibolati, Nulati

    2014-03-14

    For the first time, it is demonstrated that nanoscale HfO2 surface passivation layers formed by atomic layer deposition (ALD) significantly improve the performance of Li ion batteries with SnO2-based anodes. Specifically, the measured battery capacity at a current density of 150 mAg -1 after 100 cycles is 548 and 853 mAhg-1 for the uncoated and HfO2-coated anodes, respectively. Material analysis reveals that the HfO2 layers are amorphous in nature and conformably coat the SnO2-based anodes. In addition, the analysis reveals that ALD HfO2 not only protects the SnO2-based anodes from irreversible reactions with the electrolyte and buffers its volume change, but also chemically interacts with the SnO2 anodes to increase battery capacity, despite the fact that HfO2 is itself electrochemically inactive. The amorphous nature of HfO2 is an important factor in explaining its behavior, as it still allows sufficient Li diffusion for an efficient anode lithiation/delithiation process to occur, leading to higher battery capacity. © 2014 WILEY-VCH Verlag GmbH & Co. KGaA, Weinheim.

  17. Nanoporous silver cathode surface treated by atomic layer deposition of CeO_x for low-temperature solid oxide fuel cells

    International Nuclear Information System (INIS)

    Neoh, Ke Chean; Han, Gwon Deok; Kim, Manjin; Kim, Jun Woo; Choi, Hyung Jong; Park, Suk Won; Shim, Joon Hyung

    2016-01-01

    We evaluated the performance of solid oxide fuel cells (SOFCs) with a 50 nm thin silver (Ag) cathode surface treated with cerium oxide (CeO_x) by atomic layer deposition (ALD). The performances of bare and ALD-treated Ag cathodes were evaluated on gadolinia-doped ceria (GDC) electrolyte supporting cells with a platinum (Pt) anode over 300 °C–450 °C. Our work confirms that ALD CeO_x treatment enhances cathodic performance and thermal stability of the Ag cathode. The performance difference between cells using a Ag cathode optimally treated with an ALD CeO_x surface and a reference Pt cathode is about 50% at 450 °C in terms of fuel cell power output in our experiment. The bare Ag cathode completely agglomerated into islands during fuel cell operation at 450 °C, while the ALD CeO_x treatment effectively protects the porosity of the cathode. We also discuss the long-term stability of ALD CeO_x-treated Ag cathodes related to the microstructure of the layers. (paper)

  18. Stripping scattering of fast atoms on surfaces of metal-oxide crystals and ultrathin films; Streifende Streuung schneller Atome an Oberflaechen von Metalloxid-Kristallen und ultraduennen Filmen

    Energy Technology Data Exchange (ETDEWEB)

    Blauth, David

    2010-03-11

    In the framework of the present dissertation the interactions of fast atoms with surfaces of bulk oxides, metals and thin films on metals were studied. The experiments were performed in the regime of grazing incidence of atoms with energies of some keV. The advantage of this scattering geometry is the high surface sensibility and thus the possibility to determine the crystallographic and electronic characteristics of the topmost surface layer. In addition to these experiments, the energy loss and the electron emission induced by scattered projectiles was investigated. The energy for electron emission and exciton excitation on Alumina/NiAl(110) and SiO{sub 2}/Mo(112) are determined. By detection of the number of projectile induced emitted electrons as function of azimuthal angle for the rotation of the target surface, the geometrical structure of atoms forming the topmost layer of different adsorbate films on metal surfaces where determined via ion beam triangulation. (orig.)

  19. Measurements of surface layer of the articular cartilage using microscopic techniques

    International Nuclear Information System (INIS)

    Ryniewicz, A. M; Ryniewicz, W.; Ryniewicz, A.; Gaska, A.

    2010-01-01

    The articular cartilage is the structure that directly cooperates tribologically in biobearing. It belongs to the connective tissues and in the joints it assumes two basic forms: hyaline cartilage that builds joint surfaces and fibrocartilage which may create joint surfaces. From this fibrocartilage are built semilunar cartilage and joint disc are built as well. The research of articular cartilage have been done in macro, micro and nano scale. In all these measurement areas characteristic features occur which can identify biobearing tribology. The aim of the research was the identification of surface layer of articular cartilage by means of scanning electron microscopy (SEM) and atom force microscopy (AFM) and the analysis of topography of these layers. The material used in the research of surface layer was the animal articular cartilage: hyaline cartilage and fibrocartilage.

  20. Measurements of surface layer of the articular cartilage using microscopic techniques

    Science.gov (United States)

    Ryniewicz, A. M.; Ryniewicz, A.; Ryniewicz, W.; Gaska, A.

    2010-07-01

    The articular cartilage is the structure that directly cooperates tribologically in biobearing. It belongs to the connective tissues and in the joints it assumes two basic forms: hyaline cartilage that builds joint surfaces and fibrocartilage which may create joint surfaces. From this fibrocartilage are built semilunar cartilage and joint disc are built as well. The research of articular cartilage have been done in macro, micro and nano scale. In all these measurement areas characteristic features occur which can identify biobearing tribology. The aim of the research was the identification of surface layer of articular cartilage by means of scanning electron microscopy (SEM) and atom force microscopy (AFM) and the analysis of topography of these layers. The material used in the research of surface layer was the animal articular cartilage: hyaline cartilage and fibrocartilage.

  1. Room-Temperature Atomic Layer Deposition of Al2 O3 : Impact on Efficiency, Stability and Surface Properties in Perovskite Solar Cells.

    Science.gov (United States)

    Kot, Malgorzata; Das, Chittaranjan; Wang, Zhiping; Henkel, Karsten; Rouissi, Zied; Wojciechowski, Konrad; Snaith, Henry J; Schmeisser, Dieter

    2016-12-20

    In this work, solar cells with a freshly made CH 3 NH 3 PbI 3 perovskite film showed a power conversion efficiency (PCE) of 15.4 % whereas the one with 50 days aged perovskite film only 6.1 %. However, when the aged perovskite was covered with a layer of Al 2 O 3 deposited by atomic layer deposition (ALD) at room temperature (RT), the PCE value was clearly enhanced. X-ray photoelectron spectroscopy study showed that the ALD precursors are chemically active only at the perovskite surface and passivate it. Moreover, the RT-ALD-Al 2 O 3 -covered perovskite films showed enhanced ambient air stability. © 2016 Wiley-VCH Verlag GmbH & Co. KGaA, Weinheim.

  2. Electron spectroscopy of the interface carbon layer formation on the cleavage surfaces of the layered semiconductor In4Se3 crystals

    International Nuclear Information System (INIS)

    Galiy, P.V.; Musyanovych, A.V.; Nenchuk, T.M.

    2005-01-01

    The results of the quantitative X-ray photoelectron spectroscopy (XPS) and Auger electron spectroscopy (AES) of the interface carbon layer formation on the cleavage surfaces of the layered semiconductor In 4 Se 3 crystals are presented. The carbon coating formation occurs as the result of interaction of the air and residual gases atmosphere in ultra high vacuum (UHV) Auger spectrometer chamber with atomic clean interlayer cleavage surfaces of the crystals. The kinetics and peculiarities of interfacial carbon layer formation on the cleavage surfaces of the crystals, elemental and phase composition of the interface have been studied by quantitative XPS, AES and mass-spectroscopy

  3. Fabrication of ultrathin multilayered superomniphobic nanocoatings by liquid flame spray, atomic layer deposition, and silanization.

    Science.gov (United States)

    Sorvali, Miika; Vuori, Leena; Pudas, Marko; Haapanen, Janne; Mahlberg, Riitta; Ronkainen, Helena; Honkanen, Mari; Valden, Mika; Mäkelä, Jyrki M

    2018-05-04

    Superomniphobic, i.e. liquid-repellent, surfaces have been an interesting area of research during recent years due to their various potential applications. However, producing such surfaces, especially on hard and resilient substrates like stainless steel, still remains challenging. We present a stepwise fabrication process of a multilayered nanocoating on a stainless steel substrate, consisting of a nanoparticle layer, a nanofilm, and a layer of silane molecules. Liquid flame spray was used to deposit a TiO 2 nanoparticle layer as the bottom layer for producing a suitable surface structure. The interstitial Al 2 O 3 nanofilm, fabricated by atomic layer deposition (ALD), stabilized the nanoparticle layer, and the topmost fluorosilane layer lowered the surface energy of the coating for enhanced omniphobicity. The coating was characterized with field emission scanning electron microscopy, focused ion beam scanning electron microscopy, x-ray photoelectron spectroscopy, contact angle (CA) and sliding angle (SA) measurements, and microscratch testing. The widely recognized requirements for superrepellency, i.e. CA > 150° and SA layer at the expense of repellency. To our knowledge, this is the thinnest superomniphobic coating reported so far, with the average thickness of about 70 nm.

  4. Stop Band Gap in Periodic Layers of Confined Atomic Vapor/Dielectric Medium

    International Nuclear Information System (INIS)

    Li Yuan-Yuan; Li Li; Lu Yi-Xin; Zhang Yan-Peng; Xu Ke-Wei

    2013-01-01

    A stop band gap is predicted in periodic layers of a confined atomic vapor/dielectric medium. Reflection and transmission profile of the layers over the band gap can be dramatically modified by the confined atoms and the number of layer periods. These gap and line features can be ascribed to the enhanced contribution of slow atoms induced by atom-wall collision, transient behavior of atom-light interaction and Fabry—Pérot effects in a thermal confined atomic system

  5. Highly reflective polymeric substrates functionalized utilizing atomic layer deposition

    Science.gov (United States)

    Zuzuarregui, Ana; Coto, Borja; Rodríguez, Jorge; Gregorczyk, Keith E.; Ruiz de Gopegui, Unai; Barriga, Javier; Knez, Mato

    2015-08-01

    Reflective surfaces are one of the key elements of solar plants to concentrate energy in the receivers of solar thermal electricity plants. Polymeric substrates are being considered as an alternative to the widely used glass mirrors due to their intrinsic and processing advantages, but optimizing both the reflectance and the physical stability of polymeric mirrors still poses technological difficulties. In this work, polymeric surfaces have been functionalized with ceramic thin-films by atomic layer deposition. The characterization and optimization of the parameters involved in the process resulted in surfaces with a reflection index of 97%, turning polymers into a real alternative to glass substrates. The solution we present here can be easily applied in further technological areas where seemingly incompatible combinations of polymeric substrates and ceramic coatings occur.

  6. Highly reflective polymeric substrates functionalized utilizing atomic layer deposition

    Energy Technology Data Exchange (ETDEWEB)

    Zuzuarregui, Ana, E-mail: a.zuzuarregui@nanogune.eu; Gregorczyk, Keith E. [CIC Nanogune Consolider, de Tolosa Hiribidea 76, 20018 San Sebastián (Spain); Coto, Borja; Ruiz de Gopegui, Unai; Barriga, Javier [IK4-Tekniker, Iñaki Goenaga 5, 20600 Eibar (Spain); Rodríguez, Jorge [Torresol Energy (SENER Group), Avda. de Zugazarte 61, 48930 Las Arenas (Spain); Knez, Mato [CIC Nanogune Consolider, de Tolosa Hiribidea 76, 20018 San Sebastián (Spain); IKERBASQUE Basque Foundation for Science, Maria Diaz de Haro 3, 48013 Bilbao (Spain)

    2015-08-10

    Reflective surfaces are one of the key elements of solar plants to concentrate energy in the receivers of solar thermal electricity plants. Polymeric substrates are being considered as an alternative to the widely used glass mirrors due to their intrinsic and processing advantages, but optimizing both the reflectance and the physical stability of polymeric mirrors still poses technological difficulties. In this work, polymeric surfaces have been functionalized with ceramic thin-films by atomic layer deposition. The characterization and optimization of the parameters involved in the process resulted in surfaces with a reflection index of 97%, turning polymers into a real alternative to glass substrates. The solution we present here can be easily applied in further technological areas where seemingly incompatible combinations of polymeric substrates and ceramic coatings occur.

  7. Highly reflective polymeric substrates functionalized utilizing atomic layer deposition

    International Nuclear Information System (INIS)

    Zuzuarregui, Ana; Gregorczyk, Keith E.; Coto, Borja; Ruiz de Gopegui, Unai; Barriga, Javier; Rodríguez, Jorge; Knez, Mato

    2015-01-01

    Reflective surfaces are one of the key elements of solar plants to concentrate energy in the receivers of solar thermal electricity plants. Polymeric substrates are being considered as an alternative to the widely used glass mirrors due to their intrinsic and processing advantages, but optimizing both the reflectance and the physical stability of polymeric mirrors still poses technological difficulties. In this work, polymeric surfaces have been functionalized with ceramic thin-films by atomic layer deposition. The characterization and optimization of the parameters involved in the process resulted in surfaces with a reflection index of 97%, turning polymers into a real alternative to glass substrates. The solution we present here can be easily applied in further technological areas where seemingly incompatible combinations of polymeric substrates and ceramic coatings occur

  8. The possibility of multi-layer nanofabrication via atomic force microscope-based pulse electrochemical nanopatterning

    Science.gov (United States)

    Kim, Uk Su; Morita, Noboru; Lee, Deug Woo; Jun, Martin; Park, Jeong Woo

    2017-05-01

    Pulse electrochemical nanopatterning, a non-contact scanning probe lithography process using ultrashort voltage pulses, is based primarily on an electrochemical machining process using localized electrochemical oxidation between a sharp tool tip and the sample surface. In this study, nanoscale oxide patterns were formed on silicon Si (100) wafer surfaces via electrochemical surface nanopatterning, by supplying external pulsed currents through non-contact atomic force microscopy. Nanoscale oxide width and height were controlled by modulating the applied pulse duration. Additionally, protruding nanoscale oxides were removed completely by simple chemical etching, showing a depressed pattern on the sample substrate surface. Nanoscale two-dimensional oxides, prepared by a localized electrochemical reaction, can be defined easily by controlling physical and electrical variables, before proceeding further to a layer-by-layer nanofabrication process.

  9. Nucleation and Early Stages of Layer-by-Layer Growth of Metal Organic Frameworks on Surfaces

    Science.gov (United States)

    2015-01-01

    High resolution atomic force microscopy (AFM) is used to resolve the evolution of crystallites of a metal organic framework (HKUST-1) grown on Au(111) using a liquid-phase layer-by-layer methodology. The nucleation and faceting of individual crystallites is followed by repeatedly imaging the same submicron region after each cycle of growth and we find that the growing surface is terminated by {111} facets leading to the formation of pyramidal nanostructures for [100] oriented crystallites, and triangular [111] islands with typical lateral dimensions of tens of nanometres. AFM images reveal that crystallites can grow by 5–10 layers in each cycle. The growth rate depends on crystallographic orientation and the morphology of the gold substrate, and we demonstrate that under these conditions the growth is nanocrystalline with a morphology determined by the minimum energy surface. PMID:26709359

  10. Atomic profile imaging of ceramic oxide surfaces

    International Nuclear Information System (INIS)

    Bursill, L.A.; Peng JuLin; Sellar, J.R.

    1989-01-01

    Atomic surface profile imaging is an electron optical technique capable of revealing directly the surface crystallography of ceramic oxides. Use of an image-intensifier with a TV camera allows fluctuations in surface morphology and surface reactivity to be recorded and analyzed using digitized image data. This paper reviews aspects of the electron optical techniques, including interpretations based upon computer-simulation image-matching techniques. An extensive range of applications is then presented for ceramic oxides of commercial interest for advanced materials applications: including uranium oxide (UO 2 ); magnesium and nickel oxide (MgO,NiO); ceramic superconductor YBa 2 Cu 3 O 6.7 ); barium titanate (BaTiO 3 ); sapphire (α-A1 2 O 3 ); haematite (α-Fe-2O 3 ); monoclinic, tetragonal and cubic monocrystalline forms of zirconia (ZrO 2 ), lead zirconium titanate (PZT + 6 mol.% NiNbO 3 ) and ZBLAN fluoride glass. Atomic scale detail has been obtained of local structures such as steps associated with vicinal surfaces, facetting parallel to stable low energy crystallographic planes, monolayer formation on certain facets, relaxation and reconstructions, oriented overgrowth of lower oxides, chemical decomposition of complex oxides into component oxides, as well as amorphous coatings. This remarkable variety of observed surface stabilization mechanisms is discussed in terms of novel double-layer electrostatic depolarization mechanisms, as well as classical concepts of the physics and chemistry of surfaces (ionization and affinity energies and work function). 46 refs., 16 figs

  11. Self-limiting atomic layer deposition of conformal nanostructured silver films

    International Nuclear Information System (INIS)

    Golrokhi, Zahra; Chalker, Sophia; Sutcliffe, Christopher J.; Potter, Richard J.

    2016-01-01

    Graphical abstract: - Highlights: • We grow metallic silver by direct liquid injection thermal atomic layer deposition. • Highly conformal silver nanoparticle coatings on high aspect ratio surfaces. • An ALD temperature growth window between 123 and 128 °C is established. • ALD cycles provides sub nanometre control of silver growth. • Catalytic dehydrogenation ALD mechanism has been elucidated by in-situ QCM. - Abstract: The controlled deposition of ultra-thin conformal silver nanoparticle films is of interest for applications including anti-microbial surfaces, plasmonics, catalysts and sensors. While numerous techniques can produce silver nanoparticles, few are able to produce highly conformal coatings on high aspect ratio surfaces, together with sub-nanometre control and scalability. Here we develop a self-limiting atomic layer deposition (ALD) process for the deposition of conformal metallic silver nanoparticle films. The films have been deposited using direct liquid injection ALD with ((hexafluoroacetylacetonato)silver(I)(1,5-cyclooctadiene)) and propan-1-ol. An ALD temperature window between 123 and 128 °C is identified and within this range self-limiting growth is confirmed with a mass deposition rate of ∼17.5 ng/cm"2/cycle. The effects of temperature, precursor dose, co-reactant dose and cycle number on the deposition rate and on the properties of the films have been systematically investigated. Under self-limiting conditions, films are metallic silver with a nano-textured surface topography and nanoparticle size is dependent on the number of ALD cycles. The ALD reaction mechanisms have been elucidated using in-situ quartz crystal microbalance (QCM) measurements, showing chemisorption of the silver precursor, followed by heterogeneous catalytic dehydrogenation of the alcohol to form metallic silver and an aldehyde.

  12. Atomic layer deposition for graphene device integration

    NARCIS (Netherlands)

    Vervuurt, R.H.J.; Kessels, W.M.M.; Bol, A.A.

    2017-01-01

    Graphene is a two dimensional material with extraordinary properties, which make it an interesting material for many optical and electronic devices. The integration of graphene in these devices often requires the deposition of thin dielectric layers on top of graphene. Atomic layer deposition (ALD)

  13. Surface preparation of gold nanostructures on glass by ultraviolet ozone and oxygen plasma for thermal atomic layer deposition of Al{sub 2}O{sub 3}

    Energy Technology Data Exchange (ETDEWEB)

    Lancaster, Cady A., E-mail: lancaster@chem.utah.edu; Shumaker-Parry, Jennifer S., E-mail: shumaker-parry@chem.utah.edu

    2016-08-01

    Thin film deposition to create robust plasmonic nanomaterials is a growing area of research. Plasmonic nanomaterials have tunable optical properties and can be used as substrates for surface-enhanced spectroscopies. Due to the surface sensitivity and the dependence of the near-field behavior on structural details, degradation from cleaning or spectroscopic interrogation causes plasmonic nanostructures to lose distinctive localized surface plasmon resonances or exhibit diminished optical near-field enhancements over time. To decrease degradation, conformal thin films of alumina are deposited on nanostructured substrates using atomic layer deposition. While film growth on homogenous surfaces has been studied extensively, atomic layer deposition-based film growth on heterogeneous nanostructured surfaces is not well characterized. In this report, we have evaluated the impact of oxygen plasma and ultraviolet ozone pre-treatments on Au nanoparticle substrates for thin film growth by monitoring changes in plasmonic response and nanostructure morphology. We have found that ultraviolet ozone is more effective than oxygen plasma for cleaning gold nanostructured surfaces, which is in contrast to bulk films of the same material. Our results show that oxygen plasma treatment negatively impacts the nanostructure and alumina coating based on both scanning electron microscopy analysis of morphology and changes in the plasmonic response. - Highlights: • Plasmonic response indicates oxygen plasma damages Au structures and Al{sub 2}O{sub 3} films. • Ultraviolet ozone (UVO) re-activates aged Al{sub 2}O{sub 3}-coated Au nanostructures. • UVO treatments do not damage Au or Al{sub 2}O{sub 3}-coated nanostructures.

  14. Atom-scale depth localization of biologically important chemical elements in molecular layers.

    Science.gov (United States)

    Schneck, Emanuel; Scoppola, Ernesto; Drnec, Jakub; Mocuta, Cristian; Felici, Roberto; Novikov, Dmitri; Fragneto, Giovanna; Daillant, Jean

    2016-08-23

    In nature, biomolecules are often organized as functional thin layers in interfacial architectures, the most prominent examples being biological membranes. Biomolecular layers play also important roles in context with biotechnological surfaces, for instance, when they are the result of adsorption processes. For the understanding of many biological or biotechnologically relevant phenomena, detailed structural insight into the involved biomolecular layers is required. Here, we use standing-wave X-ray fluorescence (SWXF) to localize chemical elements in solid-supported lipid and protein layers with near-Ångstrom precision. The technique complements traditional specular reflectometry experiments that merely yield the layers' global density profiles. While earlier work mostly focused on relatively heavy elements, typically metal ions, we show that it is also possible to determine the position of the comparatively light elements S and P, which are found in the most abundant classes of biomolecules and are therefore particularly important. With that, we overcome the need of artificial heavy atom labels, the main obstacle to a broader application of high-resolution SWXF in the fields of biology and soft matter. This work may thus constitute the basis for the label-free, element-specific structural investigation of complex biomolecular layers and biological surfaces.

  15. 2D-PES/XAS method for atomic-layer-resolved magnetic structure analysis

    International Nuclear Information System (INIS)

    Matsui, F.; Daimon, H.; Matsushita, T.; Guo, F.Z.

    2008-01-01

    Photoelectron and Auger electron angular distributions from a localized core level provide information on atomic configurations. Forward-focusing peaks indicate the directions of atoms surrounding the excited atom. X-ray absorption fine structure and X-ray magnetic circular dichroism measurements by Auger electron yield detection on the other hand are excellent methods for studying of the electronic and magnetic structures of surfaces, adsorbates, and thin films. However, all the information from atoms within the electron mean-free-path region is averaged into the obtained spectra. Here, we introduce a new method of X-ray absorption spectroscopy (XAS) combined with measurements of Auger electron angular distribution using a display-type analyzer. Taking advantage of the forward-focusing peak as an excellent element- and site-selective probe, 2D-XAS enables direct access to the individual electronic and magnetic structures of each atomic layer. This method was applied to studying the electronic and magnetic structures of Ni thin film at atomic level. (author)

  16. Atomic scale characterization of mismatched graphene layers

    International Nuclear Information System (INIS)

    Luican-Mayer, Adina; Li, Guohong; Andrei, Eva Y.

    2017-01-01

    Highlights: • Review of STM/STS of graphene with various degree of coupling. • Review of vertically twisted graphene with respect with each other. • Review of Landau levels in graphene layers weakly decoupled electronically. • Review of laterally twisted graphene forming grain boundaries. - Abstract: In the bourgeoning field of two dimensional layered materials and their atomically thin counterparts, it has been established that the electronic coupling between the layers of the material plays a key role in determining its properties [1,2]. We are just beginning to understand how each material is unique in that respect while working our way up to building new materials with functionalities enabled by interlayer interactions. In this review, we will focus on a system that despite its apparent simplicity possesses a wealth of intriguing physics: layers of graphene with various degree of coupling. The situations discussed here are graphene layers vertically twisted with respect with each other, weakly decoupled electronically and laterally twisted forming grain boundaries. We emphasize experiments that atomically resolve the electronic properties.

  17. Atomic layer deposition of superparamagnetic and ferrimagnetic magnetite thin films

    International Nuclear Information System (INIS)

    Zhang, Yijun; Liu, Ming; Ren, Wei; Zhang, Yuepeng; Chen, Xing; Ye, Zuo-Guang

    2015-01-01

    One of the key challenges in realizing superparamagnetism in magnetic thin films lies in finding a low-energy growth way to create sufficiently small grains and magnetic domains which allow the magnetization to randomly and rapidly reverse. In this work, well-defined superparamagnetic and ferrimagnetic Fe 3 O 4 thin films are successfully prepared using atomic layer deposition technique by finely controlling the growth condition and post-annealing process. As-grown Fe 3 O 4 thin films exhibit a conformal surface and poly-crystalline nature with an average grain size of 7 nm, resulting in a superparamagnetic behavior with a blocking temperature of 210 K. After post-annealing in H 2 /Ar at 400 °C, the as-grown α−Fe 2 O 3 sample is reduced to Fe 3 O 4 phase, exhibiting a ferrimagnetic ordering and distinct magnetic shape anisotropy. Atomic layer deposition of magnetite thin films with well-controlled morphology and magnetic properties provides great opportunities for integrating with other order parameters to realize magnetic nano-devices with potential applications in spintronics, electronics, and bio-applications

  18. Atomic layer deposition of ruthenium surface-coating on porous platinum catalysts for high-performance direct ethanol solid oxide fuel cells

    Science.gov (United States)

    Jeong, Heon Jae; Kim, Jun Woo; Jang, Dong Young; Shim, Joon Hyung

    2015-09-01

    Pt-Ru bi-metallic catalysts are synthesized by atomic layer deposition (ALD) of Ru surface-coating on sputtered Pt mesh. The catalysts are evaluated in direct ethanol solid oxide fuel cells (DESOFCs) in the temperature range of 300-500 °C. Island-growth of the ALD Ru coating is confirmed by transmission electron microscopy and X-ray photoelectron spectroscopy (XPS) analyses. The performance of the DESOFCs is evaluated based on the current-voltage output and electrochemical impedance spectroscopy. Genuine reduction of the polarization impedance, and enhanced power output with improved surface kinetics are achieved with the optimized ALD Ru surface-coating compared to bare Pt. The chemical composition of the Pt/ALD Ru electrode surface after fuel cell operation is analyzed via XPS. Enhanced cell performance is clearly achieved, attributed to the effective Pt/ALD Ru bi-metallic catalysis, including oxidation of Cdbnd O by Ru, and de-protonation of ethanol and cleavage of C-C bonds by Pt, as supported by surface morphology analysis which confirms formation of a large amount of carbon on bare Pt after the ethanol-fuel-cell test.

  19. Scattering of atomic and molecular ions from single crystal surfaces of Cu, Ag and Fe

    International Nuclear Information System (INIS)

    Zoest, J.M. van.

    1986-01-01

    This thesis deals with analysis of crystal surfaces of Cu, Ag and Fe with Low Energy Ion scattering Spectroscopy (LEIS). Different atomic and molecular ions with fixed energies below 7 keV are scattered by a metal single crystal (with adsorbates). The energy and direction of the scattered particles are analysed for different selected charge states. In that way information can be obtained concerning the composition and atomic and electronic structure of the single crystal surface. Energy spectra contain information on the composition of the surface, while structural atomic information is obtained by direction measurements (photograms). In Ch.1 a description is given of the experimental equipment, in Ch.2 a characterization of the LEIS method. Ch.3 deals with the neutralization of keV-ions in surface scattering. Two different ways of data interpretation are presented. First a model is treated in which the observed directional dependence of neutralization action of the first atom layer of the surface is presented by a laterally varying thickness of the neutralizing layer. Secondly it is shown that the data can be reproduced by a more realistic, physical model based on atomic transition matrix elements. In Ch.4 the low energy hydrogen scattering is described. The study of the dissociation of H 2 + at an Ag surface r0230ted in a model based on electronic dissociation, initialized by electron capture into a repulsive (molecular) state. In Ch.5 finally the method is applied to the investigation of the surface structure of oxidized Fe. (Auth.)

  20. Oxidation precursor dependence of atomic layer deposited Al2O3 films in a-Si:H(i)/Al2O3 surface passivation stacks.

    Science.gov (United States)

    Xiang, Yuren; Zhou, Chunlan; Jia, Endong; Wang, Wenjing

    2015-01-01

    In order to obtain a good passivation of a silicon surface, more and more stack passivation schemes have been used in high-efficiency silicon solar cell fabrication. In this work, we prepared a-Si:H(i)/Al2O3 stacks on KOH solution-polished n-type solar grade mono-silicon(100) wafers. For the Al2O3 film deposition, both thermal atomic layer deposition (T-ALD) and plasma enhanced atomic layer deposition (PE-ALD) were used. Interface trap density spectra were obtained for Si passivation with a-Si films and a-Si:H(i)/Al2O3 stacks by a non-contact corona C-V technique. After the fabrication of a-Si:H(i)/Al2O3 stacks, the minimum interface trap density was reduced from original 3 × 10(12) to 1 × 10(12) cm(-2) eV(-1), the surface total charge density increased by nearly one order of magnitude for PE-ALD samples and about 0.4 × 10(12) cm(-2) for a T-ALD sample, and the carrier lifetimes increased by a factor of three (from about 10 μs to about 30 μs). Combining these results with an X-ray photoelectron spectroscopy analysis, we discussed the influence of an oxidation precursor for ALD Al2O3 deposition on Al2O3 single layers and a-Si:H(i)/Al2O3 stack surface passivation from field-effect passivation and chemical passivation perspectives. In addition, the influence of the stack fabrication process on the a-Si film structure was also discussed in this study.

  1. Cold atoms close to surfaces

    DEFF Research Database (Denmark)

    Krüger, Peter; Wildermuth, Stephan; Hofferberth, Sebastian

    2005-01-01

    Microscopic atom optical devices integrated on atom chips allow to precisely control and manipulate ultra-cold (T atoms and Bose-Einstein condensates (BECs) close to surfaces. The relevant energy scale of a BEC is extremely small (down to ... be utilized as a sensor for variations of the potential energy of the atoms close to the surface. Here we describe how to use trapped atoms as a measurement device and analyze the performance and flexibility of the field sensor. We demonstrate microscopic magnetic imaging with simultaneous high spatial...

  2. Surface morphology and structure of Ge layer on Si(111) after solid phase epitaxy

    Science.gov (United States)

    Yoshida, Ryoma; Tosaka, Aki; Shigeta, Yukichi

    2018-05-01

    The surface morphology change of a Ge layer on a Si(111) surface formed by solid phase epitaxy has been investigated with a scanning tunneling microscope (STM). The Ge film was deposited at room temperature and annealed at 400 °C or 600 °C. The STM images of the sample surface after annealing at 400 °C show a flat wetting layer (WL) with small three-dimensional islands on the WL. After annealing at 600 °C, the STM images show a surface roughening with large islands. From the relation between the average height of the roughness and the deposited layer thickness, it is confirmed that the diffusion of Ge atoms becomes very active at 600 °C. The Si crystal at the interface is reconstructed and the intermixing occurs over 600 °C. However, the intermixing is fairly restricted in the solid phase epitaxy growth at 400 °C. The surface morphology changes with the crystallization at 400 °C are discussed by the shape of the islands formed on the WL surface. It is shown that the diffusion of the Ge atoms in the amorphous phase is active even at 400 °C.

  3. Enhanced Performance of Nanowire-Based All-TiO2 Solar Cells using Subnanometer-Thick Atomic Layer Deposited ZnO Embedded Layer

    International Nuclear Information System (INIS)

    Ghobadi, Amir; Yavuz, Halil I.; Ulusoy, T. Gamze; Icli, K. Cagatay; Ozenbas, Macit; Okyay, Ali K.

    2015-01-01

    In this paper, the effect of angstrom-thick atomic layer deposited (ALD) ZnO embedded layer on photovoltaic (PV) performance of Nanowire-Based All-TiO 2 solar cells has been systematically investigated. Our results indicate that by varying the thickness of ZnO layer the efficiency of the solar cell can be significantly changed. It is shown that the efficiency has its maximum for optimal thickness of 1 ALD cycle in which this ultrathin ZnO layer improves device performance through passivation of surface traps without hampering injection efficiency of photogenerated electrons. The mechanisms contributing to this unprecedented change in PV performance of the cell have been scrutinized and discussed

  4. Enhanced atom mobility on the surface of a metastable film.

    Science.gov (United States)

    Picone, A; Riva, M; Fratesi, G; Brambilla, A; Bussetti, G; Finazzi, M; Duò, L; Ciccacci, F

    2014-07-25

    A remarkable enhancement of atomic diffusion is highlighted by scanning tunneling microscopy performed on ultrathin metastable body-centered tetragonal Co films grown on Fe(001). The films follow a nearly perfect layer-by-layer growth mode with a saturation island density strongly dependent on the layer on which the nucleation occurs, indicating a lowering of the diffusion barrier. Density functional theory calculations reveal that this phenomenon is driven by the increasing capability of the film to accommodate large deformations as the thickness approaches the limit at which a structural transition occurs. These results disclose the possibility of tuning surface diffusion dynamics and controlling cluster nucleation and self-organization.

  5. Bismuth iron oxide thin films using atomic layer deposition of alternating bismuth oxide and iron oxide layers

    Energy Technology Data Exchange (ETDEWEB)

    Puttaswamy, Manjunath; Vehkamäki, Marko [University of Helsinki, Department of Chemistry, P.O. Box 55, FI-00014 Helsinki (Finland); Kukli, Kaupo, E-mail: kaupo.kukli@helsinki.fi [University of Helsinki, Department of Chemistry, P.O. Box 55, FI-00014 Helsinki (Finland); University of Tartu, Institute of Physics, W. Ostwald 1, EE-50411 Tartu (Estonia); Dimri, Mukesh Chandra [National Institute of Chemical Physics and Biophysics, Akadeemia tee 23, EE-12618 Tallinn (Estonia); Kemell, Marianna; Hatanpää, Timo; Heikkilä, Mikko J. [University of Helsinki, Department of Chemistry, P.O. Box 55, FI-00014 Helsinki (Finland); Mizohata, Kenichiro [University of Helsinki, Department of Physics, P.O. Box 64, FI-00014 Helsinki (Finland); Stern, Raivo [National Institute of Chemical Physics and Biophysics, Akadeemia tee 23, EE-12618 Tallinn (Estonia); Ritala, Mikko; Leskelä, Markku [University of Helsinki, Department of Chemistry, P.O. Box 55, FI-00014 Helsinki (Finland)

    2016-07-29

    Bismuth iron oxide films with varying contributions from Fe{sub 2}O{sub 3} or Bi{sub 2}O{sub 3} were prepared using atomic layer deposition. Bismuth (III) 2,3-dimethyl-2-butoxide, was used as the bismuth source, iron(III) tert-butoxide as the iron source and water vapor as the oxygen source. The films were deposited as stacks of alternate Bi{sub 2}O{sub 3} and Fe{sub 2}O{sub 3} layers. Films grown at 140 °C to the thickness of 200–220 nm were amorphous, but crystallized upon post-deposition annealing at 500 °C in nitrogen. Annealing of films with intermittent bismuth and iron oxide layers grown to different thicknesses influenced their surface morphology, crystal structure, composition, electrical and magnetic properties. Implications of multiferroic performance were recognized in the films with the remanent charge polarization varying from 1 to 5 μC/cm{sup 2} and magnetic coercivity varying from a few up to 8000 A/m. - Highlights: • Bismuth iron oxide thin films were grown by atomic layer deposition at 140 °C. • The major phase formed in the films upon annealing at 500 °C was BiFeO{sub 3}. • BiFeO{sub 3} films and films containing excess Bi favored electrical charge polarization. • Slight excess of iron oxide enhanced saturative magnetization behavior.

  6. Surface atomic relaxation and magnetism on hydrogen-adsorbed Fe(110) surfaces from first principles

    Energy Technology Data Exchange (ETDEWEB)

    Chohan, Urslaan K.; Jimenez-Melero, Enrique [School of Materials, The University of Manchester, Manchester M13 9PL (United Kingdom); Dalton Cumbrian Facility, The University of Manchester, Moor Row CA24 3HA (United Kingdom); Koehler, Sven P.K., E-mail: sven.koehler@manchester.ac.uk [Dalton Cumbrian Facility, The University of Manchester, Moor Row CA24 3HA (United Kingdom); School of Chemistry, The University of Manchester, Manchester M13 9PL (United Kingdom); Photon Science Institute, The University of Manchester, Manchester M13 9PL (United Kingdom)

    2016-11-30

    Highlights: • Potential energy surfaces for H diffusion on Fe(110) calculated. • Full vibrational analysis of surface modes performed. • Vibrational analysis establishes lb site as a transition state to the 3f site. • Pronounced buckling observed in the Fe surface layer. - Abstract: We have computed adsorption energies, vibrational frequencies, surface relaxation and buckling for hydrogen adsorbed on a body-centred-cubic Fe(110) surface as a function of the degree of H coverage. This adsorption system is important in a variety of technological processes such as the hydrogen embrittlement in ferritic steels, which motivated this work, and the Haber–Bosch process. We employed spin-polarised density functional theory to optimise geometries of a six-layer Fe slab, followed by frozen mode finite displacement phonon calculations to compute Fe–H vibrational frequencies. We have found that the quasi-threefold (3f) site is the most stable adsorption site, with adsorption energies of ∼3.0 eV/H for all coverages studied. The long-bridge (lb) site, which is close in energy to the 3f site, is actually a transition state leading to the stable 3f site. The calculated harmonic vibrational frequencies collectively span from 730 to 1220 cm{sup −1}, for a range of coverages. The increased first-to-second layer spacing in the presence of adsorbed hydrogen, and the pronounced buckling observed in the Fe surface layer, may facilitate the diffusion of hydrogen atoms into the bulk, and therefore impact the early stages of hydrogen embrittlement in steels.

  7. Atomic Layer Deposition Alumina-Passivated Silicon Nanowires: Probing the Transition from Electrochemical Double-Layer Capacitor to Electrolytic Capacitor.

    Science.gov (United States)

    Gaboriau, Dorian; Boniface, Maxime; Valero, Anthony; Aldakov, Dmitry; Brousse, Thierry; Gentile, Pascal; Sadki, Said

    2017-04-19

    Silicon nanowires were coated by a 1-5 nm thin alumina layer by atomic layer deposition (ALD) in order to replace poorly reproducible and unstable native silicon oxide by a highly conformal passivating alumina layer. The surface coating enabled probing the behavior of symmetric devices using such electrodes in the EMI-TFSI electrolyte, allowing us to attain a large cell voltage up to 6 V in ionic liquid, together with very high cyclability with less than 4% capacitance fade after 10 6 charge/discharge cycles. These results yielded fruitful insights into the transition between an electrochemical double-layer capacitor behavior and an electrolytic capacitor behavior. Ultimately, thin ALD dielectric coatings can be used to obtain hybrid devices exhibiting large cell voltage and excellent cycle life of dielectric capacitors, while retaining energy and power densities close to the ones displayed by supercapacitors.

  8. Pt thermal atomic layer deposition for silicon x-ray micropore optics.

    Science.gov (United States)

    Takeuchi, Kazuma; Ezoe, Yuichiro; Ishikawa, Kumi; Numazawa, Masaki; Terada, Masaru; Ishi, Daiki; Fujitani, Maiko; Sowa, Mark J; Ohashi, Takaya; Mitsuda, Kazuhisa

    2018-04-20

    We fabricated a silicon micropore optic using deep reactive ion etching and coated by Pt with atomic layer deposition (ALD). We confirmed that a metal/metal oxide bilayer of Al 2 O 3 ∼10  nm and Pt ∼20  nm was successfully deposited on the micropores whose width and depth are 20 μm and 300 μm, respectively. An increase of surface roughness of sidewalls of the micropores was observed with a transmission electron microscope and an atomic force microscope. X-ray reflectivity with an Al Kα line at 1.49 keV before and after the deposition was measured and compared to ray-tracing simulations. The surface roughness of the sidewalls was estimated to increase from 1.6±0.2  nm rms to 2.2±0.2  nm rms. This result is consistent with the microscope measurements. Post annealing of the Pt-coated optic at 1000°C for 2 h showed a sign of reduced surface roughness and better angular resolution. To reduce the surface roughness, possible methods such as the annealing after deposition and a plasma-enhanced ALD are discussed.

  9. Surface Passivation of MoO3 Nanorods by Atomic Layer Deposition Towards High Rate Durable Li Ion Battery Anodes

    KAUST Repository

    Ahmed, Bilal; Shahid, Muhammad; Nagaraju, Doddahalli H.; Anjum, Dalaver H.; Hedhili, Mohamed N.; Alshareef, Husam N.

    2015-01-01

    We demonstrate an effective strategy to overcome the degradation of MoO3 nanorod anodes in Lithium (Li) ion batteries at high rate cycling. This is achieved by conformal nanoscale surface passivation of the MoO3 nanorods by HfO2 using atomic layer deposition (ALD). At high current density such as 1500 mA/g, the specific capacity of HfO2 coated MoO3 electrodes is 68% higher than bare MoO3 electrodes after 50 charge/discharge cycles. After 50 charge/discharge cycles, HfO2 coated MoO3 electrodes exhibited specific capacity of 657 mAh/g, on the other hand, bare MoO3 showed only 460 mAh/g. Furthermore, we observed that HfO2 coated MoO3 electrodes tend to stabilize faster than bare MoO3 electrodes because nanoscale HfO2 layer prevents structural degradation of MoO3 nanorods. Additionally, the growth temperature of MoO3 nanorods and the effect of HfO2 layer thickness was studied and found to be important parameters for optimum battery performance. The growth temperature defines the microstructural features and HfO2 layer thickness defines the diffusion coefficient of Li–ions through the passivation layer to the active material. Furthermore, ex–situ HRTEM, X–ray photoelectron spectroscopy (XPS), Raman spectroscopy and X–ray diffraction was carried out to explain the capacity retention mechanism after HfO2 coating.

  10. Surface Passivation of MoO3 Nanorods by Atomic Layer Deposition Towards High Rate Durable Li Ion Battery Anodes

    KAUST Repository

    Ahmed, Bilal

    2015-06-03

    We demonstrate an effective strategy to overcome the degradation of MoO3 nanorod anodes in Lithium (Li) ion batteries at high rate cycling. This is achieved by conformal nanoscale surface passivation of the MoO3 nanorods by HfO2 using atomic layer deposition (ALD). At high current density such as 1500 mA/g, the specific capacity of HfO2 coated MoO3 electrodes is 68% higher than bare MoO3 electrodes after 50 charge/discharge cycles. After 50 charge/discharge cycles, HfO2 coated MoO3 electrodes exhibited specific capacity of 657 mAh/g, on the other hand, bare MoO3 showed only 460 mAh/g. Furthermore, we observed that HfO2 coated MoO3 electrodes tend to stabilize faster than bare MoO3 electrodes because nanoscale HfO2 layer prevents structural degradation of MoO3 nanorods. Additionally, the growth temperature of MoO3 nanorods and the effect of HfO2 layer thickness was studied and found to be important parameters for optimum battery performance. The growth temperature defines the microstructural features and HfO2 layer thickness defines the diffusion coefficient of Li–ions through the passivation layer to the active material. Furthermore, ex–situ HRTEM, X–ray photoelectron spectroscopy (XPS), Raman spectroscopy and X–ray diffraction was carried out to explain the capacity retention mechanism after HfO2 coating.

  11. Damage at a tungsten surface induced by impacts of self-atoms

    Energy Technology Data Exchange (ETDEWEB)

    Wu, Yong [Data Center for High Energy Density Physics, Institute of Applied Physics and, Computational Mathematics, P. O. Box 8009, Beijing 100088 (China); Krstic, Predrag, E-mail: predrag.krstic@stonybrook.edu [Institute for Advanced Computational Science, Stony Brook University, Stony Brook, NY 11794-5250 (United States); Zhou, Fu Yang [College of Material Sciences and Optoelectronic Technology, University of the Chinese Academy of Sciences, P. O. Box 4588, Beijing 100049 (China); Meyer, Fred [Physics Division, Oak Ridge National Laboratory, Oak Ridge, TN 37831-6372 (United States)

    2015-12-15

    We study evolution of the surface defects of a 300 K tungsten surface due to the cumulative impact of 0.25–10 keV self-atoms. The simulation is performed by molecular dynamics with bond-order Tersoff-form potentials. At all studied impact energies the computation shows strong defect-recombination effect of both created Frenkel pairs as well as recombination of the implanted atoms with the vacancies created by the sputtering. This leads to a saturation of the cumulative count of vacancies, evident at energies below 2 keV, as long as the implantation per impact atom exceeds sputtering and to a saturation of the interstitial count when production of the sputtered particles per impact atom becomes larger than 1 (in the energy range 2-4 keV). The number of cumulative defects is fitted as functions of impact fluence and energy, enabling their analytical extrapolation outside the studied range of parameters. - Highlights: • We calculated cumulative creation of defects in tungsten by self-atom impact. • At some energies, the defect count saturate with increasing damage dose. • The defects are accumulated in the first few layers of the tungsten surface. • The interstitials are formed predominantly as adatoms.

  12. Relevance of sub-surface chip layers for the lifetime of magnetically trapped atoms

    DEFF Research Database (Denmark)

    Zhang, H. B.; Henkel, C; Haller, E.

    2005-01-01

    on the thickness of that layer, as long as the layers below have a much smaller conductivity; essentially the same magnetic noise would be obtained with a metallic membrane suspended in vacuum. Based on our theory we give general scaling laws of how to reduce the effect of surface magnetic noise on the trapped...... measurements where the center of a side guide trap is laterally shifted with respect to the current carrying wire using additional bias fields. Comparing the experiment to theory, we find a fair agreement and demonstrate that for a chip whose topmost layer is metallic, the magnetic noise depends essentially...

  13. Textured strontium titanate layers on platinum by atomic layer deposition

    International Nuclear Information System (INIS)

    Blomberg, T.; Anttila, J.; Haukka, S.; Tuominen, M.; Lukosius, M.; Wenger, Ch.; Saukkonen, T.

    2012-01-01

    Formation of textured strontium titanate (STO) layers with large lateral grain size (0.2–1 μm) and low X-ray reflectivity roughness (∼ 1.36 nm) on Pt electrodes by industry proven atomic layer deposition (ALD) method is demonstrated. Sr(t-Bu 3 Cp) 2 , Ti(OMe) 4 and O 3 precursors at 250 °C were used to deposit Sr rich STO on Pt/Ti/SiO 2 /Si ∅200 mm substrates. After crystallization post deposition annealing at 600 °C in air, most of the STO grains showed a preferential orientation of the {001} plane parallel to the substrate surface, although other orientations were also present. Cross sectional and plan view transmission electron microscopy and electron diffraction analysis revealed more than an order of magnitude larger lateral grain sizes for the STO compared to the underlying multicrystalline {111} oriented platinum electrode. The combination of platinum bottom electrodes with ALD STO(O 3 ) shows a promising path towards the formation of single oriented STO film. - Highlights: ► Amorphous strontium titanate (STO) on platinum formed a textured film after annealing. ► Single crystal domains in 60 nm STO film were 0.2–1 μm wide. ► Most STO grains were {001} oriented.

  14. Absorption and reflectivity of the lithium niobate surface masked with a graphene layer

    Directory of Open Access Journals (Sweden)

    O. Salas

    2017-01-01

    Full Text Available We performed simulations of the interaction of a graphene layer with the surface of lithium niobate utilizing density functional theory and molecular dynamics at 300K and atmospheric pressure. We found that the graphene layer is physisorbed on the lithium niobate surface with an adsorption energy of -0.8205 eV/(carbon-atom. Subsequently, the energy band structure, the optical absorption and reflectivity of the new system were calculated. We found important changes in these physical properties with respect to the corresponding ones of a graphene layer and of a lithium niobate crystal.

  15. The impact of atomization on the surface composition of spray-dried milk droplets.

    Science.gov (United States)

    Foerster, Martin; Gengenbach, Thomas; Woo, Meng Wai; Selomulya, Cordelia

    2016-04-01

    The dominant presence of fat at the surface of spray-dried milk powders has been widely reported in the literature and described as resulting in unfavourable powder properties. The mechanism(s) causing this phenomenon are yet to be clearly identified. A systematic investigation of the component distribution in atomized droplets and spray-dried particles consisting of model milk systems with different fat contents demonstrated that atomization strongly influences the final surface composition. Cryogenic flash-freezing of uniform droplets from a microfluidic jet nozzle directly after atomization helped to distinguish the influence of the atomization stage from the drying stage. It was confirmed that the overrepresentation of fat on the surface is independent of the atomization technique, including a pressure-swirl single-fluid spray nozzle and a pilot-scale rotary disk spray dryer commonly used in industry. It is proposed that during the atomization stage a disintegration mechanism along the oil-water interface of the fat globules causes the surface predominance of fat. X-ray photoelectron spectroscopic measurements detected the outermost fat layer and some adjacent protein present on both atomized droplets and spray-dried particles. Confocal laser scanning microscopy gave a qualitative insight into the protein and fat distribution throughout the cross-sections, and confirmed the presence of a fat film along the particle surface. The film remained on the surface in the subsequent drying stage, while protein accumulated underneath, driven by diffusion. The results demonstrated that atomization induces component segregation and fat-rich surfaces in spray-dried milk powders, and thus these cannot be prevented by adjusting the spray drying conditions. Copyright © 2016 Elsevier B.V. All rights reserved.

  16. A scanning fluid dynamic gauging technique for probing surface layers

    International Nuclear Information System (INIS)

    Gordon, Patrick W; Chew, Y M John; Wilson, D Ian; Brooker, Anju D M; York, David W

    2010-01-01

    Fluid dynamic gauging (FDG) is a technique for measuring the thickness of soft solid deposit layers immersed in a liquid environment, in situ and in real time. This paper details the performance of a novel automated, scanning FDG probe (sFDG) which allows the thickness of a sample layer to be monitored at several points during an experiment, with a resolution of ±5 µm. Its application is demonstrated using layers of gelatine, polyvinyl alcohol (PVA) and baked tomato purée deposits. Swelling kinetics, as well as deformation behaviour—based on knowledge of the stresses imposed on the surface by the gauging flow—can be determined at several points, affording improved experimental data. The use of FDG as a surface scanning technique, operating as a fluid mechanical analogue of atomic force microscopy on a millimetre length scale, is also demonstrated. The measurement relies only on the flow behaviour, and is thus suitable for use in opaque fluids, does not contact the surface itself and does not rely on any specific physical properties of the surface, provided it is locally stiff

  17. Effect of ozone concentration on silicon surface passivation by atomic layer deposited Al2O3

    International Nuclear Information System (INIS)

    Gastrow, Guillaume von; Li, Shuo; Putkonen, Matti; Laitinen, Mikko; Sajavaara, Timo; Savin, Hele

    2015-01-01

    Highlights: • The ALD Al 2 O 3 passivation quality can be controlled by the ozone concentration. • Ozone concentration affects the Si/Al 2 O 3 interface charge and defect density. • A surface recombination velocity of 7 cm/s is reached combining ozone and water ALD. • Carbon and hydrogen concentrations correlate with the surface passivation quality. - Abstract: We study the impact of ozone-based Al 2 O 3 Atomic Layer Deposition (ALD) on the surface passivation quality of crystalline silicon. We show that the passivation quality strongly depends on the ozone concentration: the higher ozone concentration results in lower interface defect density and thereby improved passivation. In contrast to previous studies, our results reveal that too high interface hydrogen content can be detrimental to the passivation. The interface hydrogen concentration can be optimized by the ozone-based process; however, the use of pure ozone increases the harmful carbon concentration in the film. Here we demonstrate that low carbon and optimal hydrogen concentration can be achieved by a single process combining the water- and ozone-based reactions. This process results in an interface defect density of 2 × 10 11 eV −1 cm −2 , and maximum surface recombination velocities of 7.1 cm/s and 10 cm/s, after annealing and after an additional firing at 800 °C, respectively. In addition, our results suggest that the effective oxide charge density can be optimized in a simple way by varying the ozone concentration and by injecting water to the ozone process.

  18. Fabrication of ultrathin multilayered superomniphobic nanocoatings by liquid flame spray, atomic layer deposition, and silanization

    Science.gov (United States)

    Sorvali, Miika; Vuori, Leena; Pudas, Marko; Haapanen, Janne; Mahlberg, Riitta; Ronkainen, Helena; Honkanen, Mari; Valden, Mika; Mäkelä, Jyrki M.

    2018-05-01

    Superomniphobic, i.e. liquid-repellent, surfaces have been an interesting area of research during recent years due to their various potential applications. However, producing such surfaces, especially on hard and resilient substrates like stainless steel, still remains challenging. We present a stepwise fabrication process of a multilayered nanocoating on a stainless steel substrate, consisting of a nanoparticle layer, a nanofilm, and a layer of silane molecules. Liquid flame spray was used to deposit a TiO2 nanoparticle layer as the bottom layer for producing a suitable surface structure. The interstitial Al2O3 nanofilm, fabricated by atomic layer deposition (ALD), stabilized the nanoparticle layer, and the topmost fluorosilane layer lowered the surface energy of the coating for enhanced omniphobicity. The coating was characterized with field emission scanning electron microscopy, focused ion beam scanning electron microscopy, x-ray photoelectron spectroscopy, contact angle (CA) and sliding angle (SA) measurements, and microscratch testing. The widely recognized requirements for superrepellency, i.e. CA > 150° and SA < 10°, were achieved for deioinized water, diiodomethane, and ethylene glycol. The mechanical stability of the coating could be varied by tuning the thickness of the ALD layer at the expense of repellency. To our knowledge, this is the thinnest superomniphobic coating reported so far, with the average thickness of about 70 nm.

  19. Al2O3 dielectric layers on H-terminated diamond: Controlling surface conductivity

    Science.gov (United States)

    Yang, Yu; Koeck, Franz A.; Dutta, Maitreya; Wang, Xingye; Chowdhury, Srabanti; Nemanich, Robert J.

    2017-10-01

    This study investigates how the surface conductivity of H-terminated diamond can be preserved and stabilized by using a dielectric layer with an in situ post-deposition treatment. Thin layers of Al2O3 were grown by plasma enhanced atomic layer deposition (PEALD) on H-terminated undoped diamond (100) surfaces. The changes of the hole accumulation layer were monitored by correlating the binding energy of the diamond C 1s core level with electrical measurements. The initial PEALD of 1 nm Al2O3 resulted in an increase of the C 1s core level binding energy consistent with a reduction of the surface hole accumulation and a reduction of the surface conductivity. A hydrogen plasma step restored the C 1s binding energy to the value of the conductive surface, and the resistance of the diamond surface was found to be within the range for surface transfer doping. Further, the PEALD growth did not appear to degrade the surface conductive layer according to the position of the C 1s core level and electrical measurements. This work provides insight into the approaches to establish and control the two-dimensional hole-accumulation layer of the H-terminated diamond and improve the stability and performance of H-terminated diamond electronic devices.

  20. TiO2 nanosheets synthesized by atomic layer deposition for photocatalysis

    Directory of Open Access Journals (Sweden)

    Riyanto Edy

    2016-10-01

    Full Text Available Two-dimensional TiO2 nanosheets were synthesized by atomic layer deposition (ALD on dissolvable sacrificial polymer layer. The photocatalytic performance of free-standing TiO2 nanosheets prepared with different numbers of ALD cycles (100, 300, 500, and 1000 were investigated by evaluating the degradation rates of methyl orange solutions. It is shown that the photocatalytic activity increases due to Ti3+ defect and the locally ordered structures in amorphous TiO2 nanosheets. The difference in the surface areas of nanosheets may also play a crucial role in the photocatalytic activity. The results obtained in this work can have potential applications in fields like water splitting and dye-sensitized solar cells.

  1. Electron-stimulated desorption of cesium atoms from cesium layers adsorbed on gold-covered tungsten

    Energy Technology Data Exchange (ETDEWEB)

    Ageev, V N; Kuznetsov, Yu A; Potekhina, N D, E-mail: kuznets@ms.ioffe.r [A F Ioffe Physico-Technical Institute, Russian Academy of Sciences, 194021, St Petersburg (Russian Federation)

    2010-03-03

    The electron-stimulated desorption (ESD) yields and energy distributions (ED) for neutral cesium atoms have been measured from cesium layers adsorbed on a gold-covered tungsten surface as a function of electron energy, gold film thickness, cesium coverage and substrate temperature. The measurements have been carried out using a time-of-flight method and surface ionization detector in the temperature range 160-300 K. A measurable ESD yield for Cs atoms is observed only after deposition of more than one monolayer of gold and cesium on a tungsten surface at a temperature T = 300 K, which is accompanied by the formation of a CsAu semiconductor film covered with a cesium atom monolayer. The Cs atom ESD yield as a function of incident electron energy has a resonant character and consists of two peaks, the appearance of which depends on both electron energy and substrate temperature. The first peak has an appearance threshold at an electron energy of 57 eV and a substrate temperature of 300 K that is due to Au 5p{sub 3/2} core level excitation in the substrate. The second peak appears at an electron energy of 24 eV and a substrate temperature of 160 K. It is associated with a Cs 5s core level excitation in the Cs adsorbed layer. The Au 5p{sub 3/2} level excitation corresponds to a single broad peak in the ED with a maximum at a kinetic energy of 0.45 eV at a substrate temperature T = 300 K, which is split into two peaks with maxima at kinetic energies of 0.36 and 0.45 eV at a substrate temperature of 160 K, associated with different Cs atom ESD channels. The Cs 5s level excitation leads to an ED for Cs atoms with a maximum at a kinetic energy of approx 0.57 eV which exists only at T < 240 K and low Cs concentrations. The mechanisms for all the Cs atom ESD channels are proposed and compared with the Na atom ESD channels in the Na-Au-W system.

  2. Atomic layer deposition: prospects for solar cell manufacturing

    NARCIS (Netherlands)

    Kessels, W.M.M.; Hoex, B.; Sanden, van de M.C.M.

    2008-01-01

    Atomic layer deposition (ALD) is a thin film growth technology that is capable of depositing uniform and conformal films on complex, three-dimensional objects with atomic precision. ALD is a rapidly growing field and it is currently at the verge of being introduced in the semiconductor industry.

  3. The Role of Diffusion Media in Nitriding Process on Surface Layers Characteristics of AISI 4140 with and without Hard Chrome Coatings

    Directory of Open Access Journals (Sweden)

    K.A. Widi

    2016-09-01

    Full Text Available The surface layer characteristics of the AISI 4140 tool steel treated by nitriding gas before and after hard chrome plating utilizing pure nitrogen diffusion media (fluidized bed reactor and the without gas (muffle reactor has been studied experimentally. The result shows that nitriding substrate with hard chrome layers has nitrogen atoms concentration almost twice greater than that without hard chrome layers. After being given a hard chrome plating, nitriding on AISI 4140 steel generally has a nitrogen concentration of up to 4 times more than the substrate without hard chrome coating. Almost the entire specimen showed the highest concentration of N atoms in the area below the surface (hardening depth of 200 to 450 µm. N atoms diffusion depth profile has a correlation with hardening depth profile, especially on the specimens layered with hard chromium. The substrate without hard chrome plating tends to have higher surface hardness than the sub-surface. The results show that the effectiveness and efficiency of the gas nitriding diffusion process can be produced without the use of gas in the muffle reactor but the specimens must be hard chromium coated first. This phenomenon can be explained by the role of the passive layer formation that works as a barrier to keeps the spreading of N atoms concentrated in sub-surface areas.

  4. Topography and Mechanical Property Mapping of International Simple Glass Surfaces with Atomic Force Microscopy

    Energy Technology Data Exchange (ETDEWEB)

    Pierce, Eric M [ORNL

    2014-01-01

    Quantitative Nanomechanical Peak Force (PF-QNM) TappingModeTM atomic force microscopy measurements are presented for the first time on polished glass surfaces. The PF-QNM technique allows for topography and mechanical property information to be measured simultaneously at each pixel. Results for the international simple glass which represents a simplified version of SON68 glass suggests an average Young s modulus of 78.8 15.1 GPa is within the experimental error of the modulus measured for SON68 glass (83.6 2 GPa) with conventional approaches. Application of the PF-QNM technique will be extended to in situ glass corrosion experiments with the goal of gaining atomic-scale insights into altered layer development by exploiting the mechanical property differences that exist between silica gel (e.g., altered layer) and pristine glass surface.

  5. Density of states of adsorbed sulphur atoms on pristine and defective graphene layers

    International Nuclear Information System (INIS)

    Arellano, J S

    2017-01-01

    The density of states for adsorbed sulphur atom on a graphene layer system is discussed for pristine graphene layer and for mono and divacancies on the graphene layer. To our knowledge this is the first time that an entire adsorption of the sulphur atom is reported at the plane of the carbon atoms, when there is a pair of closer vacancies at the graphene layer. (paper)

  6. Interaction of slow and highly charged ions with surfaces: formation of hollow atoms

    Energy Technology Data Exchange (ETDEWEB)

    Stolterfoht, N; Grether, M; Spieler, A; Niemann, D [Hahn-Meitner Institut, Berlin (Germany). Bereich Festkoerperphysik; Arnau, A

    1997-03-01

    The method of Auger spectroscopy was used to study the interaction of highly charged ions with Al and C surfaces. The formation of hollow Ne atoms in the first surface layers was evaluated by means of a Density Functional theory including non-linear screening effects. The time-dependent filling of the hollow atom was determined from a cascade model yielding information about the structure of the K-Auger spectra. Variation of total intensities of the L- and K-Auger peaks were interpreted by the cascade model in terms of attenuation effects on the electrons in the solid. (author)

  7. Study on the influence of carbon monoxide to the surface oxide layer of uranium metal

    International Nuclear Information System (INIS)

    Wang Xiaolin; Duan Rongliang; Fu Yibei; Xie Renshou; Zuo Changming; Zhao Chunpei; Chen Hong

    1997-01-01

    The influence of carbon monoxide to the surface oxide layer of uranium metal has been studied by X-ray photoelectron spectroscopy (XPS) and gas chromatography (GC). Carbon monoxide adsorption on the oxide layer resulted in U4f peak shifting to the lower binding energy. The content of oxygen in the oxide is decreased and the atomic ratio (O/U) is decreased by 7.2%. The amount of carbon dioxide in the atmosphere after the surface reaction is increased by 11.0%. The investigation indicates that the surface layer can prevent the further oxidation uranium metal in the atmosphere of carbon monoxide

  8. Sealing of hard CrN and DLC coatings with atomic layer deposition.

    Science.gov (United States)

    Härkönen, Emma; Kolev, Ivan; Díaz, Belén; Swiatowska, Jolanta; Maurice, Vincent; Seyeux, Antoine; Marcus, Philippe; Fenker, Martin; Toth, Lajos; Radnoczi, György; Vehkamäki, Marko; Ritala, Mikko

    2014-02-12

    Atomic layer deposition (ALD) is a thin film deposition technique that is based on alternating and saturating surface reactions of two or more gaseous precursors. The excellent conformality of ALD thin films can be exploited for sealing defects in coatings made by other techniques. Here the corrosion protection properties of hard CrN and diamond-like carbon (DLC) coatings on low alloy steel were improved by ALD sealing with 50 nm thick layers consisting of Al2O3 and Ta2O5 nanolaminates or mixtures. In cross sectional images the ALD layers were found to follow the surface morphology of the CrN coatings uniformly. Furthermore, ALD growth into the pinholes of the CrN coating was verified. In electrochemical measurements the ALD sealing was found to decrease the current density of the CrN coated steel by over 2 orders of magnitude. The neutral salt spray (NSS) durability was also improved: on the best samples the appearance of corrosion spots was delayed from 2 to 168 h. On DLC coatings the adhesion of the ALD sealing layers was weaker, but still clear improvement in NSS durability was achieved indicating sealing of the pinholes.

  9. Rational design of atomic-layer-deposited LiFePO4 as a high-performance cathode for lithium-ion batteries.

    Science.gov (United States)

    Liu, Jian; Banis, Mohammad N; Sun, Qian; Lushington, Andrew; Li, Ruying; Sham, Tsun-Kong; Sun, Xueliang

    2014-10-08

    Atomic layer deposition is successfully applied to synthesize lithium iron phosphate in a layer-by-layer manner by using self-limiting surface reactions. The lithium iron phosphate exhibits high power density, excellent rate capability, and ultra-long lifetime, showing great potential for vehicular lithium batteries and 3D all-solid-state microbatteries. © 2014 WILEY-VCH Verlag GmbH & Co. KGaA, Weinheim.

  10. Stabilizing nanostructured solid oxide fuel cell cathode with atomic layer deposition.

    Science.gov (United States)

    Gong, Yunhui; Palacio, Diego; Song, Xueyan; Patel, Rajankumar L; Liang, Xinhua; Zhao, Xuan; Goodenough, John B; Huang, Kevin

    2013-09-11

    We demonstrate that the highly active but unstable nanostructured intermediate-temperature solid oxide fuel cell cathode, La0.6Sr0.4CoO3-δ (LSCo), can retain its high oxygen reduction reaction (ORR) activity with exceptional stability for 4000 h at 700 °C by overcoating its surfaces with a conformal layer of nanoscale ZrO2 films through atomic layer deposition (ALD). The benefits from the presence of the nanoscale ALD-ZrO2 overcoats are remarkable: a factor of 19 and 18 reduction in polarization area-specific resistance and degradation rate over the pristine sample, respectively. The unique multifunctionality of the ALD-derived nanoscaled ZrO2 overcoats, that is, possessing porosity for O2 access to LSCo, conducting both electrons and oxide-ions, confining thermal growth of LSCo nanoparticles, and suppressing surface Sr-segregation is deemed the key enabler for the observed stable and active nanostructured cathode.

  11. Thermal stability studies on atomically clean and sulphur passivated InGaAs surfaces

    Energy Technology Data Exchange (ETDEWEB)

    Chauhan, Lalit; Hughes, Greg [School of Physical Sciences, Dublin City University, Glasnevin, Dublin 9 (Ireland)

    2013-03-15

    High resolution synchrotron radiation core level photoemission measurements have been used to study the high temperature stability of sulphur passivated InGaAs surfaces and comparisons made with atomically clean surfaces subjected to the same annealing temperatures. Sulphur passivation of clean InGaAs surfaces prepared by the thermal removal of an arsenic capping layer was carried out using an in situ molecular sulphur treatment in ultra high vacuum. The elemental composition of the surfaces of these materials was measured at a series of annealing temperatures up to 530 C. Following a 480 C anneal In:Ga ratio was found to have dropped by 33% on sulphur passivated surface indicating a significant loss of indium, while no drop in indium signal was recorded at this temperature on the atomically InGaAs surface. No significant change in the As surface concentration was measured at this temperature. These results reflect the reduced thermal stability of the sulphur passivated InGaAs compared to the atomically clean surface which has implications for device fabrication. (Copyright copyright 2013 WILEY-VCH Verlag GmbH and Co. KGaA, Weinheim)

  12. Formation and Characterization of Stacked Nanoscale Layers of Polymers and Silanes on Silicon Surfaces

    Science.gov (United States)

    Ochoa, Rosie; Davis, Brian; Conley, Hiram; Hurd, Katie; Linford, Matthew R.; Davis, Robert C.

    2008-10-01

    Chemical surface patterning at the nanoscale is a critical component of chemically directed assembly of nanoscale devices or sensitive biological molecules onto surfaces. Complete and consistent formation of nanoscale layers of silanes and polymers is a necessary first step for chemical patterning. We explored methods of silanizing silicon substrates for the purpose of functionalizing the surfaces. The chemical functionalization, stability, flatness, and repeatability of the process was characterized by use of ellipsometry, water contact angle, and Atomic Force Microscopy (AFM). We found that forming the highest quality functionalized surfaces was accomplished through use of chemical vapor deposition (CVD). Specifically, surfaces were plasma cleaned and hydrolyzed before the silane was applied. A polymer layer less then 2 nm in thickness was electrostatically bound to the silane layer. The chemical functionalization, stability, flatness, and repeatability of the process was also characterized for the polymer layer using ellipsometry, water contact angle, and AFM.

  13. Recent progress of atomic layer deposition on polymeric materials

    Energy Technology Data Exchange (ETDEWEB)

    Guo, Hong Chen; Ye, Enyi [Institute of Materials Research and Engineering, A*STAR (Agency for Science, Technology and Research), 2 Fusionopolis Way, Innovis, #08-03, Singapore 138634 (Singapore); Li, Zibiao, E-mail: lizb@imre.a-star.edu.sg [Institute of Materials Research and Engineering, A*STAR (Agency for Science, Technology and Research), 2 Fusionopolis Way, Innovis, #08-03, Singapore 138634 (Singapore); Han, Ming-Yong [Institute of Materials Research and Engineering, A*STAR (Agency for Science, Technology and Research), 2 Fusionopolis Way, Innovis, #08-03, Singapore 138634 (Singapore); Loh, Xian Jun, E-mail: lohxj@imre.a-star.edu.sg [Institute of Materials Research and Engineering, A*STAR (Agency for Science, Technology and Research), 2 Fusionopolis Way, Innovis, #08-03, Singapore 138634 (Singapore); Department of Materials Science and Engineering, National University of Singapore, Singapore 117574 (Singapore); Singapore Eye Research Institute, 20 College Road, Singapore 169856 (Singapore)

    2017-01-01

    As a very promising surface coating technology, atomic layer deposition (ALD) can be used to modify the surfaces of polymeric materials for improving their functions and expanding their application areas. Polymeric materials vary in surface functional groups (number and type), surface morphology and internal structure, and thus ALD deposition conditions that typically work on a normal solid surface, usually do not work on a polymeric material surface. To date, a large variety of research has been carried out to investigate ALD deposition on various polymeric materials. This paper aims to provide an in-depth review of ALD deposition on polymeric materials and its applications. Through this review, we will provide a better understanding of surface chemistry and reaction mechanism for controlled surface modification of polymeric materials by ALD. The integrated knowledge can aid in devising an improved way in the reaction between reactant precursors and polymer functional groups/polymer backbones, which will in turn open new opportunities in processing ALD materials for better inorganic/organic film integration and potential applications. - Highlights: • ALD deposition on different natural and synthetic polymer materials • Reaction mechanism based on the surface functional groups of polymers • Application of ALD-modified polymers in different fields.

  14. Comparison of specular H-atomic-beam intensity and C+ secondary-ion yield at thermally activated decrease of a carbon layer on a Ni(110) surface

    International Nuclear Information System (INIS)

    Kaarmann, H.; Hoinkes, H.; Wilsch, H.

    1983-01-01

    The thermally activated disappearance of a carbon layer on a Ni(110) surface was investigated by the scattering of atomic hydrogen and by secondary-ion mass spectrometry. Decreasing C coverage at surface temperatures kept constant in each case at values between 650 and 750 K resulted in an exponential decrease of specular H-beam intensity as well as C + secondary-ion yield. This decrease in both cases fits first-order kinetics (presumable diffusion into the bulk) with an identical rate constant as a function of surface temperature and results finally in a preexponential frequency ν = 10/sup() 10plus-or-minus1/ s -1 and an activation energy E/sub A/ = 1.8 +- 0.2 eV

  15. Atomic probes of surface structure and dynamics

    International Nuclear Information System (INIS)

    Heller, E.J.; Jonsson, H.

    1992-01-01

    The following were studied: New semiclassical method for scattering calculations, He atom scattering from defective Pt surfaces, He atom scattering from Xe overlayers, thermal dissociation of H 2 on Cu(110), spin flip scattering of atoms from surfaces, and Car-Parrinello simulations of surface processes

  16. Atomic Layer Deposition in Bio-Nanotechnology: A Brief Overview.

    Science.gov (United States)

    Bishal, Arghya K; Butt, Arman; Selvaraj, Sathees K; Joshi, Bela; Patel, Sweetu B; Huang, Su; Yang, Bin; Shukohfar, Tolou; Sukotjo, Cortino; Takoudis, Christos G

    2015-01-01

    Atomic layer deposition (ALD) is a technique increasingly used in nanotechnology and ultrathin film deposition; it is ideal for films in the nanometer and Angstrom length scales. ALD can effectively be used to modify the surface chemistry and functionalization of engineering-related and biologically important surfaces. It can also be used to alter the mechanical, electrical, chemical, and other properties of materials that are increasingly used in biomedical engineering and biological sciences. ALD is a relatively new technique for optimizing materials for use in bio-nanotechnology. Here, after a brief review of the more widely used modes of ALD and a few of its applications in biotechnology, selected results that show the potential of ALD in bio-nanotechnology are presented. ALD seems to be a promising means for tuning the hydrophilicity/hydrophobicity characteristics of biomedical surfaces, forming conformal ultrathin coatings with desirable properties on biomedical substrates with a high aspect ratio, tuning the antibacterial properties of substrate surfaces of interest, and yielding multifunctional biomaterials for medical implants and other devices.

  17. Simulation of nucleation and growth of atomic layer deposition phosphorus for doping of advanced FinFETs

    International Nuclear Information System (INIS)

    Seidel, Thomas E.; Goldberg, Alexander; Halls, Mat D.; Current, Michael I.

    2016-01-01

    Simulations for the nucleation and growth of phosphorus films were carried out using density functional theory. The surface was represented by a Si 9 H 12 truncated cluster surface model with 2 × 1-reconstructured (100) Si-OH terminations for the initial reaction sites. Chemistries included phosphorous halides (PF 3 , PCl 3 , and PBr 3 ) and disilane (Si 2 H 6 ). Atomic layer deposition (ALD) reaction sequences were illustrated with three-dimensional molecular models using sequential PF 3 and Si 2 H 6 reactions and featuring SiFH 3 as a byproduct. Exothermic reaction pathways were developed for both nucleation and growth for a Si-OH surface. Energetically favorable reactions for the deposition of four phosphorus atoms including lateral P–P bonding were simulated. This paper suggests energetically favorable thermodynamic reactions for the growth of elemental phosphorus on (100) silicon. Phosphorus layers made by ALD are an option for doping advanced fin field-effect transistors (FinFETs). Phosphorus may be thermally diffused into the silicon or recoil knocked in; simulations of the recoil profile of phosphorus into a FinFET surface are illustrated

  18. Modeling and optimization of atomic layer deposition processes on vertically aligned carbon nanotubes.

    Science.gov (United States)

    Yazdani, Nuri; Chawla, Vipin; Edwards, Eve; Wood, Vanessa; Park, Hyung Gyu; Utke, Ivo

    2014-01-01

    Many energy conversion and storage devices exploit structured ceramics with large interfacial surface areas. Vertically aligned carbon nanotube (VACNT) arrays have emerged as possible scaffolds to support large surface area ceramic layers. However, obtaining conformal and uniform coatings of ceramics on structures with high aspect ratio morphologies is non-trivial, even with atomic layer deposition (ALD). Here we implement a diffusion model to investigate the effect of the ALD parameters on coating kinetics and use it to develop a guideline for achieving conformal and uniform thickness coatings throughout the depth of ultra-high aspect ratio structures. We validate the model predictions with experimental data from ALD coatings of VACNT arrays. However, the approach can be applied to predict film conformality as a function of depth for any porous topology, including nanopores and nanowire arrays.

  19. Quantum chemical study of the elementary reactions in zirconium oxide atomic layer deposition

    International Nuclear Information System (INIS)

    Widjaja, Yuniarto; Musgrave, Charles B.

    2002-01-01

    Elementary reactions in atomic layer deposition of zirconia using zirconium tetrachloride and water are investigated using the density functional theory. The atomistic mechanisms of the two deposition half cycles on the Zr-OH and Zr-Cl surface sites are investigated. Both half reactions proceed through the formation of stable intermediates, resulting in high barriers for HCl formation. We find that the intermediate stability is lowered as the surface temperature is raised. However, increasing temperature also increases the dissociation free-energy barrier, which in turn results in increased desorption of adsorbed precursors

  20. The nanostructure and microstructure of SiC surface layers deposited by MWCVD and ECRCVD

    Science.gov (United States)

    Dul, K.; Jonas, S.; Handke, B.

    2017-12-01

    Scanning electron microscopy (SEM) and Atomic force microscopy (AFM) have been used to investigate ex-situ the surface topography of SiC layers deposited on Si(100) by Microwave Chemical Vapour Deposition (MWCVD) -S1,S2 layers and Electron Cyclotron Resonance Chemical Vapor Deposition (ECRCVD) - layers S3,S4, using silane, methane, and hydrogen. The effects of sample temperature and gas flow on the nanostructure and microstructure have been investigated. The nanostructure was described by three-dimensional surface roughness analysis based on digital image processing, which gives a tool to quantify different aspects of surface features. A total of 13 different numerical parameters used to describe the surface topography were used. The scanning electron image (SEM) of the microstructure of layers S1, S2, and S4 was similar, however, layer S3 was completely different; appearing like grains. Nonetheless, it can be seen that no grain boundary structure is present in the AFM images.

  1. The role of Ag buffer layer in Fe islands growth on Ge (111) surfaces

    Energy Technology Data Exchange (ETDEWEB)

    Fu, Tsu-Yi, E-mail: phtifu@phy.ntnu.edu.tw; Wu, Jia-Yuan; Jhou, Ming-Kuan; Hsu, Hung-Chan [Department of Physics, National Taiwan Normal University, 88, Sec. 4, Ting-Chou Rd, Taipei 116, Taiwan (China)

    2015-05-07

    Sub-monolayer iron atoms were deposited at room temperature on Ge (111)-c(2 × 8) substrates with and without Ag buffer layers. The behavior of Fe islands growth was investigated by using scanning tunneling microscope (STM) after different annealing temperatures. STM images show that iron atoms will cause defects and holes on substrates at room temperature. As the annealing temperature rises, iron atoms pull out germanium to form various kinds of alloyed islands. However, the silver layer can protect the Ag/Ge(111)-(√3×√3) reconstruction from forming defects. The phase diagram shows that ring, dot, and triangular defects were only found on Ge (111)-c(2 × 8) substrates. The kinds of islands found in Fe/Ge system are similar to Fe/Ag/Ge system. It indicates that Ge atoms were pulled out to form islands at high annealing temperatures whether there was a Ag layer or not. But a few differences in big pyramidal or strip islands show that the silver layer affects the development of islands by changing the surface symmetry and diffusion coefficient. The structure characters of various islands are also discussed.

  2. Surface atomic relaxation and magnetism on hydrogen-adsorbed Fe(110) surfaces from first principles

    Science.gov (United States)

    Chohan, Urslaan K.; Jimenez-Melero, Enrique; Koehler, Sven P. K.

    2016-11-01

    We have computed adsorption energies, vibrational frequencies, surface relaxation and buckling for hydrogen adsorbed on a body-centred-cubic Fe(110) surface as a function of the degree of H coverage. This adsorption system is important in a variety of technological processes such as the hydrogen embrittlement in ferritic steels, which motivated this work, and the Haber-Bosch process. We employed spin-polarised density functional theory to optimise geometries of a six-layer Fe slab, followed by frozen mode finite displacement phonon calculations to compute Fe-H vibrational frequencies. We have found that the quasi-threefold (3f) site is the most stable adsorption site, with adsorption energies of ∼3.0 eV/H for all coverages studied. The long-bridge (lb) site, which is close in energy to the 3f site, is actually a transition state leading to the stable 3f site. The calculated harmonic vibrational frequencies collectively span from 730 to 1220 cm-1, for a range of coverages. The increased first-to-second layer spacing in the presence of adsorbed hydrogen, and the pronounced buckling observed in the Fe surface layer, may facilitate the diffusion of hydrogen atoms into the bulk, and therefore impact the early stages of hydrogen embrittlement in steels.

  3. A cellular automata simulation study of surface roughening resulting from multi-atom etch pit generation during sputtering

    Energy Technology Data Exchange (ETDEWEB)

    Toh, Y S; Nobes, M J; Carter, G [Dept. of Electronic and Electrical Engineering, Univ. of Salford (United Kingdom)

    1992-04-01

    A two-dimensional square matrix of pseudo-atomic positions is erected and atom removal from the ''surface'' is effected randomly. Either single atoms or groups of atoms (to simulate multi-atom pit generation) are removed. The characteristics of the evolving roughened, terraced ''surface'' are evaluated as a function of the total number of atoms, or equivalent numbers of atomic layers, removed. These characteristics include the ''mean'' position of the sputtered surface, the standard deviation of terrace length about the mean and the form of the terrace length distributions. The results of the single-atom removal mode compare exactly with theoretical predictions in that, for large numbers of atoms removed the depth position of the mean of the terrace length distribution is identical to the mean sputtered depth and the standard deviation increases as the square root of this depth. For multi-atom removal modes (which cannot be predicted theoretically) the standard deviation also increases as the square root of the mean sputtered depth but with a larger proportionality constant. The implications of these observations for the evolution of surface morphology during high yield sputtering is discussed. (orig.).

  4. Effect of Mo Ion Implantation on Stability of Nanocrystalline Copper Surface Layers

    Directory of Open Access Journals (Sweden)

    XI Yang

    2016-08-01

    Full Text Available The surface of pure copper was modified using the surface mechanical attrition treatment (SMAT method, and molybdenum ions were implanted in the nanosurface using a metal vapor vacuum arc (MEVVA. The results of the SMAT were observed by optical microscopy (OM, X-ray diffraction (XRD and scanning electron microscopy (SEM. An obvious nanocrystalline layer and a deformation region exist on the surface. The size of the nanocrystalline layer was characterized using atomic force microscopy (AFM. The results indicate remarkable suppression on grain size, the nanocrystalline layer grows to 163nm after annealing and reduces to only 72nm due to the Mo ion implantation. In addition, the hardness of the topmost surface of the material is 3.5 times that of the SMATed copper, which is about 7 times of the value of the matrix. The above improvements most likely result from the dispersion of the Mo ions and the reactions of the crystal defects due to the SMAT and ion implantation.

  5. Surface layers in the 4A group metals with implanted silicon ions

    International Nuclear Information System (INIS)

    Kovneristyj, Yu.K.; Vavilova, V.V.; Krasnopevtsev, V.V.; Galkin, L.N.; Kudyshev, A.N.; Klechkovskaya, V.V.

    1987-01-01

    A study was made on the change of structure and phase composition of fine near the surface layers of 4A group metals (Hf, Zr, Ti) during ion Si implantation and successive thermal annealing at elevated temperatures. Implantation of Si + ions with 30 or 16 keV energy in Ti, Zr and Hf at room temperature results to amorphization of metal surface layer. The surface hafnium and titanium layer with implanted Si atoms due to interaction with residual atmosphere of oxygen turns during annealing at 870 K to amorphous solid solution of HfO 2m or TiO 2 with Si, preventing further metal oxidation; layers of amorphous alloy are characterized by thermal stability up to 1270 K. Oxidation of the surface amorphous layer in residual oxygen atmosphere and its crystallization in ZrO 2 take place in result of Zr annealing with implanted Si ions at temperature not exceeding 870 K. Similar phenomena are observed in the case of hafnium with implanted oxygen ions or small dose of silicon ions. Thermal stability of amorphous layers produced during ion implantation of Si in Ti, Zr and Hf corresponds to scale resistance of monolithic alloys in Ti-Si, Zr-Si and Hf-Si systems

  6. Localization of cesium on montmorillonite surface investigated by frequency modulation atomic force microscopy

    Science.gov (United States)

    Araki, Yuki; Satoh, Hisao; Okumura, Masahiko; Onishi, Hiroshi

    2017-11-01

    Cation exchange of clay mineral is typically analyzed without microscopic study of the clay surfaces. In order to reveal the distribution of exchangeable cations at the clay surface, we performed in situ atomic-scale observations of the surface changes in Na-rich montmorillonite due to exchange with Cs cations using frequency modulation atomic force microscopy (FM-AFM). Lines of protrusion were observed on the surface in aqueous CsCl solution. The amount of Cs of the montmorillonite particles analyzed by energy dispersive X-ray spectrometry was consistent with the ratio of the number of linear protrusions to all protrusions in the FM-AFM images. The results showed that the protrusions represent adsorbed Cs cations. The images indicated that Cs cations at the surface were immobile, and their occupancy remained constant at 10% of the cation sites at the surface with different immersion times in the CsCl solution. This suggests that the mobility and the number of Cs cations at the surface are controlled by the permanent charge of montmorillonite; however, the Cs distribution at the surface is independent of the charge distribution of the inner silicate layer. Our atomic-scale observations demonstrate that surface cations are distributed in different ways in montmorillonite and mica.

  7. Hydrogel brushes grafted from stainless steel via surface-initiated atom transfer radical polymerization for marine antifouling

    Science.gov (United States)

    Wang, Jingjing; Wei, Jun

    2016-09-01

    Crosslinked hydrogel brushes were grafted from stainless steel (SS) surfaces for marine antifouling. The brushes were prepared by surface-initiated atom transfer radical polymerization (SI-ATRP) of 2-methacryloyloxyethyl phosphorylcholine (MPC) and poly(ethylene glycol) methyl ether methacrylate (PEGMA) respectively with different fractions of crosslinker in the feed. The grafted layers prepared with different thickness were characterized by X-ray photoelectron spectroscopy (XPS), atomic force microscopy (AFM), ellipsometry and water contact angle measurements. With the increase in the fraction of crosslinker in the feed, the thickness of the grafted layer increased and the surface became smooth. All the brush-coated SS surfaces could effectively reduce the adhesion of bacteria and microalgae and settlement of barnacle cyprids, as compared to the pristine SS surface. The antifouling efficacy of the PEGMA polymer (PPEGMA)-grafted surface was higher than that of the MPC polymer (PMPC)-grafted surfaces. Furthermore, the crosslinked hydrogel brush-grafted surfaces exhibited better fouling resistance than the non-crosslinked polymer brush-grafted surfaces, and the antifouling efficacy increased with the crosslinking density. These hydrogel coatings of low toxicity and excellent anti-adhesive characteristics suggested their useful applications as environmentally friendly antifouling coatings.

  8. Plasma atomic layer etching using conventional plasma equipment

    International Nuclear Information System (INIS)

    Agarwal, Ankur; Kushner, Mark J.

    2009-01-01

    The decrease in feature sizes in microelectronics fabrication will soon require plasma etching processes having atomic layer resolution. The basis of plasma atomic layer etching (PALE) is forming a layer of passivation that allows the underlying substrate material to be etched with lower activation energy than in the absence of the passivation. The subsequent removal of the passivation with carefully tailored activation energy then removes a single layer of the underlying material. If these goals are met, the process is self-limiting. A challenge of PALE is the high cost of specialized equipment and slow processing speed. In this work, results from a computational investigation of PALE will be discussed with the goal of demonstrating the potential of using conventional plasma etching equipment having acceptable processing speeds. Results will be discussed using inductively coupled and magnetically enhanced capacitively coupled plasmas in which nonsinusoidal waveforms are used to regulate ion energies to optimize the passivation and etch steps. This strategy may also enable the use of a single gas mixture, as opposed to changing gas mixtures between steps

  9. Tunneling spectroscopy of a phosphorus impurity atom on the Ge(111)-(2 × 1) surface

    Energy Technology Data Exchange (ETDEWEB)

    Savinov, S. V.; Oreshkin, A. I., E-mail: oreshkin@spmlab.phys.msu.su, E-mail: oreshkin@spmlab.ru [Moscow State University (Russian Federation); Oreshkin, S. I. [Moscow State University, Sternberg Astronomical Institute (Russian Federation); Haesendonck, C. van [Laboratorium voor Stoffysica en Magnetisme (Belgium)

    2015-06-15

    We numerically model the Ge(111)-(2 × 1) surface electronic properties in the vicinity of a P donor impurity atom located near the surface. We find a notable increase in the surface local density of states (LDOS) around the surface dopant near the bottom of the empty surface state band π*, which we call a split state due to its limited spatial extent and energetic position inside the band gap. We show that despite the well-established bulk donor impurity energy level position at the very bottom of the conduction band, a surface donor impurity on the Ge(111)-(2 × 1) surface might produce an energy level below the Fermi energy, depending on the impurity atom local environment. It is demonstrated that the impurity located in subsurface atomic layers is visible in a scanning tunneling microscope (STM) experiment on the Ge(111)-(2 × 1) surface. The quasi-1D character of the impurity image, observed in STM experiments, is confirmed by our computer simulations with a note that a few π-bonded dimer rows may be affected by the presence of the impurity atom. We elaborate a model that allows classifying atoms on the experimental low-temperature STM image. We show the presence of spatial oscillations of the LDOS by the density-functional theory method.

  10. Perovskite Thin Films via Atomic Layer Deposition

    KAUST Repository

    Sutherland, Brandon R.; Hoogland, Sjoerd; Adachi, Michael M.; Kanjanaboos, Pongsakorn; Wong, Chris T. O.; McDowell, Jeffrey J.; Xu, Jixian; Voznyy, Oleksandr; Ning, Zhijun; Houtepen, Arjan J.; Sargent, Edward H.

    2014-01-01

    © 2014 Wiley-VCH Verlag GmbH & Co. KGaA. (Graph Presented) A new method to deposit perovskite thin films that benefit from the thickness control and conformality of atomic layer deposition (ALD) is detailed. A seed layer of ALD PbS is place-exchanged with PbI2 and subsequently CH3NH3PbI3 perovskite. These films show promising optical properties, with gain coefficients of 3200 ± 830 cm-1.

  11. Perovskite Thin Films via Atomic Layer Deposition

    KAUST Repository

    Sutherland, Brandon R.

    2014-10-30

    © 2014 Wiley-VCH Verlag GmbH & Co. KGaA. (Graph Presented) A new method to deposit perovskite thin films that benefit from the thickness control and conformality of atomic layer deposition (ALD) is detailed. A seed layer of ALD PbS is place-exchanged with PbI2 and subsequently CH3NH3PbI3 perovskite. These films show promising optical properties, with gain coefficients of 3200 ± 830 cm-1.

  12. Effective optimization of surface passivation on porous silicon carbide using atomic layer deposited Al2O3

    DEFF Research Database (Denmark)

    Lu, Weifang; Iwasa, Yoshimi; Ou, Yiyu

    2017-01-01

    Porous silicon carbide (B–N co-doped SiC) produced by anodic oxidation showed strong photoluminescence (PL) at around 520 nm excited by a 375 nm laser. The porous SiC samples were passivated by atomic layer deposited (ALD) aluminum oxide (Al2O3) films, resulting in a significant enhancement...

  13. Modeling and optimization of atomic layer deposition processes on vertically aligned carbon nanotubes

    Directory of Open Access Journals (Sweden)

    Nuri Yazdani

    2014-03-01

    Full Text Available Many energy conversion and storage devices exploit structured ceramics with large interfacial surface areas. Vertically aligned carbon nanotube (VACNT arrays have emerged as possible scaffolds to support large surface area ceramic layers. However, obtaining conformal and uniform coatings of ceramics on structures with high aspect ratio morphologies is non-trivial, even with atomic layer deposition (ALD. Here we implement a diffusion model to investigate the effect of the ALD parameters on coating kinetics and use it to develop a guideline for achieving conformal and uniform thickness coatings throughout the depth of ultra-high aspect ratio structures. We validate the model predictions with experimental data from ALD coatings of VACNT arrays. However, the approach can be applied to predict film conformality as a function of depth for any porous topology, including nanopores and nanowire arrays.

  14. Atomic layer deposition grown composite dielectric oxides and ZnO for transparent electronic applications

    International Nuclear Information System (INIS)

    Gieraltowska, S.; Wachnicki, L.; Witkowski, B.S.; Godlewski, M.; Guziewicz, E.

    2012-01-01

    In this paper, we report on transparent transistor obtained using laminar structure of two high-k dielectric oxides (hafnium dioxide, HfO 2 and aluminum oxide, Al 2 O 3 ) and zinc oxide (ZnO) layer grown at low temperature (60 °C–100 °C) using Atomic Layer Deposition (ALD) technology. Our research was focused on the optimization of technological parameters for composite layers Al 2 O 3 /HfO 2 /Al 2 O 3 for thin film transistor structures with ZnO as a channel and a gate layer. We elaborate on the ALD growth of these oxides, finding that the 100 nm thick layers of HfO 2 and Al 2 O 3 exhibit fine surface flatness and required amorphous microstructure. Growth parameters are optimized for the monolayer growth mode and maximum smoothness required for gating.

  15. Surface modification of highly oriented pyrolytic graphite by reaction with atomic nitrogen at high temperatures

    International Nuclear Information System (INIS)

    Zhang Luning; Pejakovic, Dusan A.; Geng Baisong; Marschall, Jochen

    2011-01-01

    Dry etching of {0 0 0 1} basal planes of highly oriented pyrolytic graphite (HOPG) using active nitridation by nitrogen atoms was investigated at low pressures and high temperatures. The etching process produces channels at grain boundaries and pits whose shapes depend on the reaction temperature. For temperatures below 600 deg. C, the majority of pits are nearly circular, with a small fraction of hexagonal pits with rounded edges. For temperatures above 600 deg. C, the pits are almost exclusively hexagonal with straight edges. The Raman spectra of samples etched at 1000 deg. C show the D mode near 1360 cm -1 , which is absent in pristine HOPG. For deep hexagonal pits that penetrate many graphene layers, neither the surface number density of pits nor the width of pit size distribution changes substantially with the nitridation time, suggesting that these pits are initiated at a fixed number of extended defects intersecting {0 0 0 1} planes. Shallow pits that penetrate 1-2 graphene layers have a wide size distribution, which suggests that these pits are initiated on pristine graphene surfaces from lattice vacancies continually formed by N atoms. A similar wide size distribution of shallow hexagonal pits is observed in an n-layer graphene sample after N-atom etching.

  16. Scalable synthesis of palladium nanoparticle catalysts by atomic layer deposition

    International Nuclear Information System (INIS)

    Liang Xinhua; Lyon, Lauren B.; Jiang Yingbing; Weimer, Alan W.

    2012-01-01

    Atomic layer deposition (ALD) was used to produce Pd/Al 2 O 3 catalysts using sequential exposures of Pd(II) hexafluoroacetylacetonate and formalin at 200 °C in a fluidized bed reactor. The ALD-prepared Pd/alumina catalysts were characterized by various methods including hydrogen chemisorption, XPS, and TEM, and compared with a commercially available 1 wt% Pd/alumina catalyst, which was also characterized. The content of Pd on alumina support and the size of Pd nanoparticles can be controlled by the number of ALD-coating cycles and the dose time of the Pd precursor. One layer of organic component from the Pd precursor remained on the Pd particle surface. The ALD 0.9 wt% Pd/alumina had greater active metal surface area and percent metal dispersion than the commercial 1 wt% Pd/alumina catalyst. The ALD and commercial catalysts were subjected to catalytic testing to determine their relative activities for glucose oxidation to gluconic acid in aqueous solution. The ALD 0.9 wt% Pd/alumina catalyst had comparable activity as compared to the commercial 1 wt% Pd catalyst. No noticeable amount of Pd leaching was observed for the ALD-prepared catalysts during the vigorously stirred reaction.

  17. Atomic Structure of a Spinel-like Transition Al2O3 (100) Surface

    DEFF Research Database (Denmark)

    Jensen, Thomas Nørregaard; Meinander, Kristoffer; Helveg, Stig

    2014-01-01

    We study a crystalline epitaxial alumina thin film with the characteristics of a spinel-type transition Al2O3(100) surface by using atom-resolved noncontact atomic force microscopy and density functional theory. It is shown that the films are terminated by an Al-O layer rich in Al vacancies......, exhibiting a strong preference for surface hydroxyl group formation in two configurations. The transition alumina films are crystalline and perfectly stable in ambient atmospheres, a quality which is expected to open the door to new fundamental studies of the surfaces of transition aluminas....

  18. Atomic probes of surface structure and dynamics

    International Nuclear Information System (INIS)

    Heller, E.J.; Jonsson, H.

    1992-01-01

    Progress for the period Sept. 15, 1992 to Sept. 14, 1993 is discussed. Semiclassical methods that will allow much faster and more accurate three-dimensional atom--surface scattering calculations, both elastic and inelastic, are being developed. The scattering of He atoms from buckyballs is being investigated as a test problem. Somewhat more detail is given on studies of He atom scattering from defective Pt surfaces. Molecular dynamics simulations of He + and Ar + ion sputtering of Pt surfaces are also being done. He atom scattering from Xe overlayers on metal surfaces and the thermalized dissociation of H 2 on Cu(110) are being studied. (R.W.R.) 64 refs

  19. The Electrochemical Atomic Layer Deposition of Pt and Pd nanoparticles on Ni foam for the electrooxidation of alcohols

    CSIR Research Space (South Africa)

    Modibedi, RM

    2012-10-01

    Full Text Available Electrodeposition of Pt and Pd metal by surface limited redox replacement reactions was performed using the electrochemical atomic layer deposition. Carbon paper and Ni foam were used as substrates for metal deposition. Supported Pt and Pd...

  20. Textured strontium titanate layers on platinum by atomic layer deposition

    Energy Technology Data Exchange (ETDEWEB)

    Blomberg, T., E-mail: tom.blomberg@asm.com [ASM Microchemistry Ltd., Vaeinoe Auerin katu 12 A, 00560 Helsinki (Finland); Anttila, J.; Haukka, S.; Tuominen, M. [ASM Microchemistry Ltd., Vaeinoe Auerin katu 12 A, 00560 Helsinki (Finland); Lukosius, M.; Wenger, Ch. [IHP, Im Technologiepark 25, 15236 Frankfurt (Oder) (Germany); Saukkonen, T. [Aalto University, Puumiehenkuja 3, 02150 Espoo (Finland)

    2012-08-31

    Formation of textured strontium titanate (STO) layers with large lateral grain size (0.2-1 {mu}m) and low X-ray reflectivity roughness ({approx} 1.36 nm) on Pt electrodes by industry proven atomic layer deposition (ALD) method is demonstrated. Sr(t-Bu{sub 3}Cp){sub 2}, Ti(OMe){sub 4} and O{sub 3} precursors at 250 Degree-Sign C were used to deposit Sr rich STO on Pt/Ti/SiO{sub 2}/Si Empty-Set 200 mm substrates. After crystallization post deposition annealing at 600 Degree-Sign C in air, most of the STO grains showed a preferential orientation of the {l_brace}001{r_brace} plane parallel to the substrate surface, although other orientations were also present. Cross sectional and plan view transmission electron microscopy and electron diffraction analysis revealed more than an order of magnitude larger lateral grain sizes for the STO compared to the underlying multicrystalline {l_brace}111{r_brace} oriented platinum electrode. The combination of platinum bottom electrodes with ALD STO(O{sub 3}) shows a promising path towards the formation of single oriented STO film. - Highlights: Black-Right-Pointing-Pointer Amorphous strontium titanate (STO) on platinum formed a textured film after annealing. Black-Right-Pointing-Pointer Single crystal domains in 60 nm STO film were 0.2-1 {mu}m wide. Black-Right-Pointing-Pointer Most STO grains were {l_brace}001{r_brace} oriented.

  1. Low-temperature atomic layer deposition of MgO thin films on Si

    International Nuclear Information System (INIS)

    Vangelista, S; Mantovan, R; Lamperti, A; Tallarida, G; Kutrzeba-Kotowska, B; Spiga, S; Fanciulli, M

    2013-01-01

    Magnesium oxide (MgO) films have been grown by atomic layer deposition in the wide deposition temperature window of 80–350 °C by using bis(cyclopentadienyl)magnesium and H 2 O precursors. MgO thin films are deposited on both HF-last Si(1 0 0) and SiO 2 /Si substrates at a constant growth rate of ∼0.12 nm cycle −1 . The structural, morphological and chemical properties of the synthesized MgO thin films are investigated by x-ray reflectivity, grazing incidence x-ray diffraction, time-of-flight secondary ion mass spectrometry and atomic force microscopy measurements. MgO layers are characterized by sharp interface with the substrate and limited surface roughness, besides good chemical uniformity and polycrystalline structure for thickness above 7 nm. C–V measurements performed on Al/MgO/Si MOS capacitors, with MgO in the 4.6–11 nm thickness range, allow determining a dielectric constant (κ) ∼ 11. Co layers are grown by chemical vapour deposition in direct contact with MgO without vacuum-break (base pressure 10 −5 –10 −6  Pa). The as-grown Co/MgO stacks show sharp interfaces and no elements interdiffusion among layers. C–V and I–V measurements have been conducted on Co/MgO/Si MOS capacitors. The dielectric properties of MgO are not influenced by the further process of Co deposition. (paper)

  2. Nano-soldering to single atomic layer

    Science.gov (United States)

    Girit, Caglar O [Berkeley, CA; Zettl, Alexander K [Kensington, CA

    2011-10-11

    A simple technique to solder submicron sized, ohmic contacts to nanostructures has been disclosed. The technique has several advantages over standard electron beam lithography methods, which are complex, costly, and can contaminate samples. To demonstrate the soldering technique graphene, a single atomic layer of carbon, has been contacted, and low- and high-field electronic transport properties have been measured.

  3. Characteristics of the surface layer of barium strontium titanate thin films deposited by laser ablation

    International Nuclear Information System (INIS)

    Craciun, V.; Singh, R. K.

    2000-01-01

    Ba 0.5 Sr 0.5 TiO 3 (BST) thin films grown on Si by an in situ ultraviolet-assisted pulsed laser deposition (UVPLD) technique exhibited significantly higher dielectric constant and refractive index values and lower leakage current densities than films grown by conventional PLD under similar conditions. X-ray photoelectron spectroscopy (XPS) investigations have shown that the surface layer of the grown films contained, besides the usual BST perovskite phase, an additional phase with Ba atoms in a different chemical state. PLD grown films always exhibited larger amounts of this phase, which was homogeneously mixed with the BST phase up to several nm depth, while UVPLD grown films exhibited a much thinner (∼1 nm) and continuous layer. The relative fraction of this phase was not correlated with the amount of C atoms present on the surface. Fourier transform infrared spectroscopy did not find any BaCO 3 contamination layer, which was believed to be related to this new phase. X-ray diffraction measurement showed that although PLD grown films contained less oxygen atoms, the lattice parameter was closer to the bulk value than that of UVPLD grown films. After 4 keV Ar ion sputtering for 6 min, XPS analysis revealed a small suboxide Ba peak for the PLD grown films. This finding indicates that the average Ba-O bonds are weaker in these films, likely due to the presence of oxygen vacancies. It is suggested here that this new Ba phase corresponds to a relaxed BST surface layer. (c) 2000 American Institute of Physics

  4. Characteristics of the surface layer of barium strontium titanate thin films deposited by laser ablation

    Science.gov (United States)

    Craciun, V.; Singh, R. K.

    2000-04-01

    Ba0.5Sr0.5TiO3 (BST) thin films grown on Si by an in situ ultraviolet-assisted pulsed laser deposition (UVPLD) technique exhibited significantly higher dielectric constant and refractive index values and lower leakage current densities than films grown by conventional PLD under similar conditions. X-ray photoelectron spectroscopy (XPS) investigations have shown that the surface layer of the grown films contained, besides the usual BST perovskite phase, an additional phase with Ba atoms in a different chemical state. PLD grown films always exhibited larger amounts of this phase, which was homogeneously mixed with the BST phase up to several nm depth, while UVPLD grown films exhibited a much thinner (˜1 nm) and continuous layer. The relative fraction of this phase was not correlated with the amount of C atoms present on the surface. Fourier transform infrared spectroscopy did not find any BaCO3 contamination layer, which was believed to be related to this new phase. X-ray diffraction measurement showed that although PLD grown films contained less oxygen atoms, the lattice parameter was closer to the bulk value than that of UVPLD grown films. After 4 keV Ar ion sputtering for 6 min, XPS analysis revealed a small suboxide Ba peak for the PLD grown films. This finding indicates that the average Ba-O bonds are weaker in these films, likely due to the presence of oxygen vacancies. It is suggested here that this new Ba phase corresponds to a relaxed BST surface layer.

  5. Area-selective atomic layer deposition of platinum using photosensitive polyimide

    NARCIS (Netherlands)

    Vervuurt, R.H.J.; Sharma, A.; Jiao, Y.; Kessels, W.M.M.; Bol, A.A.

    2016-01-01

    Area-selective atomic layer deposition (AS-ALD) of platinum (Pt) was studied using photosensitive polyimide as a masking layer. The polyimide films were prepared by spin-coating and patterned using photolithography. AS-ALD of Pt using poly(methyl-methacrylate) (PMMA) masking layers was used as a

  6. On Surface-Initiated Atom Transfer Radical Polymerization Using Diazonium Chemistry To Introduce the Initiator Layer

    DEFF Research Database (Denmark)

    Iruthayaraj, Joseph; Chernyy, Sergey; Lillethorup, Mie

    2011-01-01

    This work features the controllability of surface-initiated atom transfer radical polymerization (SI-ATRP) of methyl methacrylate, initiated by a multilayered 2-bromoisobutyryl moiety formed via diazonium chemistry. The thickness as a function of polymerization time has been studied by varying di...

  7. Atomization of Impinging Droplets on Superheated Superhydrophobic Surfaces

    Science.gov (United States)

    Emerson, Preston; Crockett, Julie; Maynes, Daniel

    2017-11-01

    Water droplets impinging smooth superheated surfaces may be characterized by dynamic vapor bubbles rising to the surface, popping, and causing a spray of tiny droplets to erupt from the droplet. This spray is called secondary atomization. Here, atomization is quantified experimentally for water droplets impinging superheated superhydrophobic surfaces. Smooth hydrophobic and superhydrophobic surfaces with varying rib and post microstructuring were explored. Each surface was placed on an aluminum heating block, and impingement events were captured with a high speed camera at 3000 fps. For consistency among tests, all events were normalized by the maximum atomization found over a range of temperatures on a smooth hydrophobic surface. An estimate of the level of atomization during an impingement event was created by quantifying the volume of fluid present in the atomization spray. Droplet diameter and Weber number were held constant, and atomization was found for a range of temperatures through the lifetime of the impinging droplet. The Leidenfrost temperature was also determined and defined to be the lowest temperature at which atomization ceases to occur. Both atomization and Leidenfrost temperature increase with decreasing pitch (distance between microstructures).

  8. Atomic-Scale Observations of (010) LiFePO4 Surfaces Before and After Chemical Delithiation.

    Science.gov (United States)

    Kobayashi, Shunsuke; Fisher, Craig A J; Kato, Takeharu; Ukyo, Yoshio; Hirayama, Tsukasa; Ikuhara, Yuichi

    2016-09-14

    The ability to view directly the surface structures of battery materials with atomic resolution promises to dramatically improve our understanding of lithium (de)intercalation and related processes. Here we report the use of state-of-the-art scanning transmission electron microscopy techniques to probe the (010) surface of commercially important material LiFePO4 and compare the results with theoretical models. The surface structure is noticeably different depending on whether Li ions are present in the topmost surface layer or not. Li ions are also found to migrate back to surface regions from within the crystal relatively quickly after partial delithiation, demonstrating the facile nature of Li transport in the [010] direction. The results are consistent with phase transformation models involving metastable phase formation and relaxation, providing atomic-level insights into these fundamental processes.

  9. SURFACE LAYER ACCRETION IN CONVENTIONAL AND TRANSITIONAL DISKS DRIVEN BY FAR-ULTRAVIOLET IONIZATION

    International Nuclear Information System (INIS)

    Perez-Becker, Daniel; Chiang, Eugene

    2011-01-01

    Whether protoplanetary disks accrete at observationally significant rates by the magnetorotational instability (MRI) depends on how well ionized they are. Disk surface layers ionized by stellar X-rays are susceptible to charge neutralization by small condensates, ranging from ∼0.01 μm sized grains to angstrom-sized polycyclic aromatic hydrocarbons (PAHs). Ion densities in X-ray-irradiated surfaces are so low that ambipolar diffusion weakens the MRI. Here we show that ionization by stellar far-ultraviolet (FUV) radiation enables full-blown MRI turbulence in disk surface layers. Far-UV ionization of atomic carbon and sulfur produces a plasma so dense that it is immune to ion recombination on grains and PAHs. The FUV-ionized layer, of thickness 0.01-0.1 g cm -2 , behaves in the ideal magnetohydrodynamic limit and can accrete at observationally significant rates at radii ∼> 1-10 AU. Surface layer accretion driven by FUV ionization can reproduce the trend of increasing accretion rate with increasing hole size seen in transitional disks. At radii ∼<1-10 AU, FUV-ionized surface layers cannot sustain the accretion rates generated at larger distance, and unless turbulent mixing of plasma can thicken the MRI-active layer, an additional means of transport is needed. In the case of transitional disks, it could be provided by planets.

  10. Atomic structure and composition of the yttria-stabilized zirconia (111) surface.

    Science.gov (United States)

    Vonk, Vedran; Khorshidi, Navid; Stierle, Andreas; Dosch, Helmut

    2013-06-01

    Anomalous and nonanomalous surface X-ray diffraction is used to investigate the atomic structure and composition of the yttria-stabilized zirconia (YSZ)(111) surface. By simulation it is shown that the method is sensitive to Y surface segregation, but that the data must contain high enough Fourier components in order to distinguish between different models describing Y/Zr disorder. Data were collected at room temperature after two different annealing procedures. First by applying oxidative conditions at 10 - 5  mbar O 2 and 700 K to the as-received samples, where we find that about 30% of the surface is covered by oxide islands, which are depleted in Y as compared with the bulk. After annealing in ultrahigh vacuum at 1270 K the island morphology of the surface remains unchanged but the islands and the first near surface layer get significantly enriched in Y. Furthermore, the observation of Zr and oxygen vacancies implies the formation of a porous surface region. Our findings have important implications for the use of YSZ as solid oxide fuel cell electrode material where yttrium atoms and zirconium vacancies can act as reactive centers, as well as for the use of YSZ as substrate material for thin film and nanoparticle growth where defects control the nucleation process.

  11. Coloration of metallic and/or ceramic surfaces obtained by atomic layer deposited nano-coatings

    Energy Technology Data Exchange (ETDEWEB)

    Guzman, L., E-mail: luisg47@gmail.com [Fondazione Bruno Kessler (FBK), Centro Materiali e Microsistemi, Functional Materials & Photonic Structures Unit, via Sommarive 18, 38123 Trento (Italy); Vettoruzzo, F. [Ronda High Tech, via Vegri 83, 36010 Zane’, Vicenza (Italy); Laidani, N. [Fondazione Bruno Kessler (FBK), Centro Materiali e Microsistemi, Functional Materials & Photonic Structures Unit, via Sommarive 18, 38123 Trento (Italy)

    2016-02-29

    By depositing single layer coatings by means of physical vapor techniques, tailoring of their coloration is generally complex because a given color can be obtained only by very high composition control. Physical vapor deposition (PVD) processes are expensive and cannot be easily used for obtaining conformal coating on three-dimensional objects. Moreover PVD coatings exhibit intrinsic defects (columnar structures, pores) that affect their functional properties and applications such as barrier layers. Atomic layer deposition (ALD) technology delivers conformal coatings on different materials with very low defectiveness. A straightforward coloration can be obtained by a combination of two types of layers with different refraction index, deposited to high thickness precision. Computer simulation studies were performed to design the thickness and architecture of multilayer structures, to a total thickness of approximately 100 nm, suitable to modify the typical coloration of some materials, without altering their other physical and chemical properties. The most promising nano-layered structures were then deposited by ALD and tested with regard to their optical properties. Their total thicknesses were specified in such a way to be technically feasible and compatible with future industrial production. The materials employed in this study to build the optical coatings, are two oxides (Al{sub 2}O{sub 3}, TiO{sub 2}) deposited at 120 °C and two nitrides (AlN, TiN), which need a deposition temperature of 400 °C. The possibility of using such modern deposition technology for esthetic and decorative purposes, while maintaining the functional properties, opens perspectives of industrial applications. - Highlights: • Computer simulation is done to design multilayers made of Al{sub 2}O{sub 3}, TiO{sub 2}, AlN, and TiN. • Total thickness (< 120 nm) is specified to be compatible with industrial production. • The most promising nano-layered structures are then produced and

  12. Coloration of metallic and/or ceramic surfaces obtained by atomic layer deposited nano-coatings

    International Nuclear Information System (INIS)

    Guzman, L.; Vettoruzzo, F.; Laidani, N.

    2016-01-01

    By depositing single layer coatings by means of physical vapor techniques, tailoring of their coloration is generally complex because a given color can be obtained only by very high composition control. Physical vapor deposition (PVD) processes are expensive and cannot be easily used for obtaining conformal coating on three-dimensional objects. Moreover PVD coatings exhibit intrinsic defects (columnar structures, pores) that affect their functional properties and applications such as barrier layers. Atomic layer deposition (ALD) technology delivers conformal coatings on different materials with very low defectiveness. A straightforward coloration can be obtained by a combination of two types of layers with different refraction index, deposited to high thickness precision. Computer simulation studies were performed to design the thickness and architecture of multilayer structures, to a total thickness of approximately 100 nm, suitable to modify the typical coloration of some materials, without altering their other physical and chemical properties. The most promising nano-layered structures were then deposited by ALD and tested with regard to their optical properties. Their total thicknesses were specified in such a way to be technically feasible and compatible with future industrial production. The materials employed in this study to build the optical coatings, are two oxides (Al_2O_3, TiO_2) deposited at 120 °C and two nitrides (AlN, TiN), which need a deposition temperature of 400 °C. The possibility of using such modern deposition technology for esthetic and decorative purposes, while maintaining the functional properties, opens perspectives of industrial applications. - Highlights: • Computer simulation is done to design multilayers made of Al_2O_3, TiO_2, AlN, and TiN. • Total thickness (< 120 nm) is specified to be compatible with industrial production. • The most promising nano-layered structures are then produced and optically tested. • An

  13. A combined scanning tunneling microscope-atomic layer deposition tool.

    Science.gov (United States)

    Mack, James F; Van Stockum, Philip B; Iwadate, Hitoshi; Prinz, Fritz B

    2011-12-01

    We have built a combined scanning tunneling microscope-atomic layer deposition (STM-ALD) tool that performs in situ imaging of deposition. It operates from room temperature up to 200 °C, and at pressures from 1 × 10(-6) Torr to 1 × 10(-2) Torr. The STM-ALD system has a complete passive vibration isolation system that counteracts both seismic and acoustic excitations. The instrument can be used as an observation tool to monitor the initial growth phases of ALD in situ, as well as a nanofabrication tool by applying an electric field with the tip to laterally pattern deposition. In this paper, we describe the design of the tool and demonstrate its capability for atomic resolution STM imaging, atomic layer deposition, and the combination of the two techniques for in situ characterization of deposition.

  14. PREFACE: Atom-surface scattering Atom-surface scattering

    Science.gov (United States)

    Miret-Artés, Salvador

    2010-08-01

    It has been a privilege and a real pleasure to organize this special issue or festschrift in the general field of atom-surface scattering (and its interaction) in honor of J R Manson. This is a good opportunity and an ideal place to express our deep gratitude to one of the leaders in this field for his fundamental and outstanding scientific contributions. J R Manson, or Dick to his friends and colleagues, is one of the founding fathers, together with N Cabrera and V Celli, of the 'Theory of surface scattering and detection of surface phonons'. This is the title of the very well-known first theoretical paper by Dick published in Physical Review Letters in 1969. My first meeting with Dick was around twenty years ago in Saclay. J Lapujoulade organized a small group seminar about selective adsorption resonances in metal vicinal surfaces. We discussed this important issue in surface physics and many other things as if we had always known each other. This familiarity and warm welcome struck me from the very beginning. During the coming years, I found this to be a very attractive aspect of his personality. During my stays in Göttingen, we had the opportunity to talk widely about science and life at lunch or dinner time, walking or cycling. During these nice meetings, he showed, with humility, an impressive cultural background. It is quite clear that his personal opinions about history, religion, politics, music, etc, come from considering and analyzing them as 'open dynamical systems'. In particular, with good food and better wine in a restaurant or at home, a happy cheerful soirée is guaranteed with him, or even with only a good beer or espresso, and an interesting conversation arises naturally. He likes to listen before speaking. Probably not many people know his interest in tractors. He has an incredible collection of very old tractors at home. In one of my visits to Clemson, he showed me the collection, explaining to me in great detail, their technical properties

  15. DEPTH MEASUREMENT OF DISRUPTED LAYER ON SILICON WAFER SURFACE USING AUGER SPECTROSCOPY METHOD

    Directory of Open Access Journals (Sweden)

    V. A. Solodukha

    2016-01-01

    Full Text Available The paper proposes a method for depth measurement of a disrupted layer on silicon wafer surface which is based on application of Auger spectroscopy with the precision sputtering of surface silicon layers and registration of the Auger electron yield intensity. In order to measure the disrupted layer with the help of Auger spectroscopy it is necessary to determine dependence of the released Auger electron amount on sputtering time (profile and then the dependence is analyzed. Silicon amount in the disrupted layer is less than in the volume. While going deeper the disruptive layer is decreasing that corresponds to an increase of atom density in a single layer. The essence of the method lies in the fact the disruptive layer is removed by ion beam sputtering and detection of interface region is carried out with the help of registration of the Auger electron yield intensity from the sputtered surface up to the moment when it reaches the value which is equal to the Auger electron yield intensity for single-crystal silicon. While removing surface silicon layers the registration of the Auger electron yield intensity from silicon surface makes it possible to control efficiently a presence of the disrupted layer on the silicon wafer surface. In this case depth control locality is about 1.0 nm due to some peculiarities of Auger spectroscopy method. The Auger electron yield intensity is determined automatically while using Auger spectrometer and while removing the disrupted layer the intensity is gradually increasing. Depth of the disrupted layer is determined by measuring height of the step which has been formed as a result of removal of the disrupted layer from the silicon wafer surface. Auger spectroscopy methods ensures an efficient depth control surface disruptions at the manufacturing stages of silicon wafers and integrated circuits. The depth measurement range of disruptions constitutes 0.001–1.000 um.

  16. Phonon dispersion on Ag (100) surface: A modified analytic embedded atom method study

    International Nuclear Information System (INIS)

    Zhang Xiao-Jun; Chen Chang-Le

    2016-01-01

    Within the harmonic approximation, the analytic expression of the dynamical matrix is derived based on the modified analytic embedded atom method (MAEAM) and the dynamics theory of surface lattice. The surface phonon dispersions along three major symmetry directions, and XM-bar are calculated for the clean Ag (100) surface by using our derived formulas. We then discuss the polarization and localization of surface modes at points X-bar and M-bar by plotting the squared polarization vectors as a function of the layer index. The phonon frequencies of the surface modes calculated by MAEAM are compared with the available experimental and other theoretical data. It is found that the present results are generally in agreement with the referenced experimental or theoretical results, with a maximum deviation of 10.4%. The agreement shows that the modified analytic embedded atom method is a reasonable many-body potential model to quickly describe the surface lattice vibration. It also lays a significant foundation for studying the surface lattice vibration in other metals. (paper)

  17. Hybrid inorganic–organic superlattice structures with atomic layer deposition/molecular layer deposition

    Energy Technology Data Exchange (ETDEWEB)

    Tynell, Tommi; Yamauchi, Hisao; Karppinen, Maarit, E-mail: maarit.karppinen@aalto.fi [Department of Chemistry, Aalto University, FI-00076 Aalto (Finland)

    2014-01-15

    A combination of the atomic layer deposition (ALD) and molecular layer deposition (MLD) techniques is successfully employed to fabricate thin films incorporating superlattice structures that consist of single layers of organic molecules between thicker layers of ZnO. Diethyl zinc and water are used as precursors for the deposition of ZnO by ALD, while three different organic precursors are investigated for the MLD part: hydroquinone, 4-aminophenol and 4,4′-oxydianiline. The successful superlattice formation with all the organic precursors is verified through x-ray reflectivity studies. The effects of the interspersed organic layers/superlattice structure on the electrical and thermoelectric properties of ZnO are investigated through resistivity and Seebeck coefficient measurements at room temperature. The results suggest an increase in carrier concentration for small concentrations of organic layers, while higher concentrations seem to lead to rather large reductions in carrier concentration.

  18. MoS2 solid-lubricating film fabricated by atomic layer deposition on Si substrate

    Science.gov (United States)

    Huang, Yazhou; Liu, Lei; Lv, Jun; Yang, Junjie; Sha, Jingjie; Chen, Yunfei

    2018-04-01

    How to reduce friction for improving efficiency in the usage of energy is a constant challenge. Layered material like MoS2 has long been recognized as an effective surface lubricant. Due to low interfacial shear strengths, MoS2 is endowed with nominal frictional coefficient. In this work, MoS2 solid-lubricating film was directly grown by atomic layer deposition (ALD) on Si substrate using MoCl5 and H2S. Various methods were used to observe the grown MoS2 film. Moreover, nanotribological properties of the film were observed by an atomic force microscope (AFM). Results show that MoS2 film can effectively reduce the friction force by about 30-45% under different loads, indicating the huge application value of the film as a solid lubricant. Besides the interlayer-interfaces-sliding, the smaller capillary is another reason why the grown MoS2 film has smaller friction force than that of Si.

  19. Area-selective atomic layer deposition of platinum using photosensitive polyimide.

    Science.gov (United States)

    Vervuurt, René H J; Sharma, Akhil; Jiao, Yuqing; Kessels, Wilhelmus Erwin M M; Bol, Ageeth A

    2016-10-07

    Area-selective atomic layer deposition (AS-ALD) of platinum (Pt) was studied using photosensitive polyimide as a masking layer. The polyimide films were prepared by spin-coating and patterned using photolithography. AS-ALD of Pt using poly(methyl-methacrylate) (PMMA) masking layers was used as a reference. The results show that polyimide has excellent selectivity towards the Pt deposition, after 1000 ALD cycles less than a monolayer of Pt is deposited on the polyimide surface. The polyimide film could easily be removed after ALD using a hydrogen plasma, due to a combination of weakening of the polyimide resist during Pt ALD and the catalytic activity of Pt traces on the polyimide surface. Compared to PMMA for AS-ALD of Pt, polyimide has better temperature stability. This resulted in an improved uniformity of the Pt deposits and superior definition of the Pt patterns. In addition, due to the absence of reflow contamination using polyimide the nucleation phase during Pt ALD is drastically shortened. Pt patterns down to 3.5 μm were created with polyimide, a factor of ten smaller than what is possible using PMMA, at the typical Pt ALD processing temperature of 300 °C. Initial experiments indicate that after further optimization of the polyimide process Pt features down to 100 nm should be possible, which makes AS-ALD of Pt using photosensitive polyimide a promising candidate for patterning at the nanoscale.

  20. Atomic force microscopy studies of homoepitaxial GaN layers grown on GaN template by laser MBE

    Energy Technology Data Exchange (ETDEWEB)

    Choudhary, B. S. [CSIR-National Physical Laboratory, Dr K.S. Krishnan Road, New Delhi 110012 (India); Rajasthan Technical University, Rawatbhata Road, Kota 324010 (India); Singh, A.; Tyagi, P. K. [Department of Applied Physics, Delhi Technological University, Delhi 110042 (India); Tanwar, S. [Rajasthan Technical University, Rawatbhata Road, Kota 324010 (India); Kumar, M. Senthil; Kushvaha, S. S., E-mail: kushvahas@nplindia.org [CSIR-National Physical Laboratory, Dr K.S. Krishnan Road, New Delhi 110012 (India)

    2016-04-13

    We have grown homoepitaxial GaN films on metal organic chemical vapor deposition (MOCVD) grown 3.5 µm thick GaN on sapphire (0001) substrate (GaN template) using an ultra-high vacuum (UHV) laser assisted molecular beam epitaxy (LMBE) system. The GaN films were grown by laser ablating a polycrystalline solid GaN target in the presence of active r.f. nitrogen plasma. The influence of laser repetition rates (10-30 Hz) on the surface morphology of homoepitaxial GaN layers have been studied using atomic force microscopy. It was found that GaN layer grown at 10 Hz shows a smooth surface with uniform grain size compared to the rough surface with irregular shape grains obtained at 30 Hz. The variation of surface roughness of the homoepitaxial GaN layer with and without wet chemical etching has been also studied and it was observed that the roughness of the film decreased after wet etching due to the curved structure/rough surface.

  1. Single-layer model for surface roughness.

    Science.gov (United States)

    Carniglia, C K; Jensen, D G

    2002-06-01

    Random roughness of an optical surface reduces its specular reflectance and transmittance by the scattering of light. The reduction in reflectance can be modeled by a homogeneous layer on the surface if the refractive index of the layer is intermediate to the indices of the media on either side of the surface. Such a layer predicts an increase in the transmittance of the surface and therefore does not provide a valid model for the effects of scatter on the transmittance. Adding a small amount of absorption to the layer provides a model that predicts a reduction in both reflectance and transmittance. The absorbing layer model agrees with the predictions of a scalar scattering theory for a layer with a thickness that is twice the rms roughness of the surface. The extinction coefficient k for the layer is proportional to the thickness of the layer.

  2. Diamond surface: atomic and electronic structure

    International Nuclear Information System (INIS)

    Pate, B.B.

    1984-01-01

    Experimental studies of the diamond surface (with primary emphasis on the (111) surface) are presented. Aspects of the diamond surface which are addressed include (1) the electronic structure, (2) the atomic structure, and (3) the effect of termination of the lattice by foreign atoms. Limited studies of graphite are discussed for comparison with the diamond results. Experimental results from valence band and core level photoemission spectroscopy (PES), Auger electron spectroscopy (AES), low energy electron diffraction (LEED), and carbon 1s near edge x-ray absorption fine structure (NEXAFS) spectroscopy (both the total electron yield (TEY) and Auger electron yield (AEY) techniques) are used to study and characterize both the clean and hydrogenated surface. In addition, the interaction of hydrogen with the diamond surface is examined using results from vibrational high resolution low energy electron loss spectroscopy (in collaboration with Waclawski, Pierce, Swanson, and Celotta at the National Bureau of Standards) and photon stimulated ion desorption (PSID) yield at photon energies near the carbon k-edge (hv greater than or equal to 280 eV). Both EELS and PSID verify that the mechanically polished 1 x 1 surface is hydrogen terminated and also that the reconstructed surface is hydrogen free. The (111) 2 x 2/2 x 1 reconstructed surface is obtained from the hydrogenated (111) 1 x 1:H surface by annealing to approx. = 1000 0 C. We observe occupied intrinsic surface states and a surface chemical shift (0.95 +- 0.1 eV) to lower binding energy of the carbon 1s level on the hydrogen-free reconstructed surface. Atomic hydrogen is found to be reactive with the reconstructed surface, while molecular hydrogen is relatively inert. Exposure of the reconstructed surface to atomic hydrogen results in chemisorption of hydrogen and removal of the intrinsic surface state emission in and near the band gap region

  3. Surface texture of single-crystal silicon oxidized under a thin V{sub 2}O{sub 5} layer

    Energy Technology Data Exchange (ETDEWEB)

    Nikitin, S. E., E-mail: nikitin@mail.ioffe.ru; Verbitskiy, V. N.; Nashchekin, A. V.; Trapeznikova, I. N.; Bobyl, A. V.; Terukova, E. E. [Russian Academy of Sciences, Ioffe Physical–Technical Institute (Russian Federation)

    2017-01-15

    The process of surface texturing of single-crystal silicon oxidized under a V{sub 2}O{sub 5} layer is studied. Intense silicon oxidation at the Si–V{sub 2}O{sub 5} interface begins at a temperature of 903 K which is 200 K below than upon silicon thermal oxidation in an oxygen atmosphere. A silicon dioxide layer 30–50 nm thick with SiO{sub 2} inclusions in silicon depth up to 400 nm is formed at the V{sub 2}O{sub 5}–Si interface. The diffusion coefficient of atomic oxygen through the silicon-dioxide layer at 903 K is determined (D ≥ 2 × 10{sup –15} cm{sup 2} s{sup –1}). A model of low-temperature silicon oxidation, based on atomic oxygen diffusion from V{sub 2}O{sub 5} through the SiO{sub 2} layer to silicon, and SiO{sub x} precipitate formation in silicon is proposed. After removing the V{sub 2}O{sub 5} and silicon-dioxide layers, texture is formed on the silicon surface, which intensely scatters light in the wavelength range of 300–550 nm and is important in the texturing of the front and rear surfaces of solar cells.

  4. X-ray photoelectron spectroscopy studies of nitridation on 4H-SiC (0001) surface by direct nitrogen atomic source

    International Nuclear Information System (INIS)

    Chai, J. W.; Pan, J. S.; Zhang, Z.; Wang, S. J.; Chen, Q.; Huan, C. H. A.

    2008-01-01

    A Si 3 N 4 passivation layer has been successfully grown on the 4H-SiC (0001) surface by direct atomic source nitridation at various substrate temperatures. In situ x-ray photoelectron spectroscopy measurements show that higher substrate temperature leads to higher nitridation rate and good crystallinity of the passivation layer. A thin oxynitride layer on the top of the Si 3 N 4 was observed due to the residual O in the vacuum system, but was decomposed during annealing. In the meantime, excess C was found to be effectively removed by the reactive atomic N source

  5. Observation of Atom Wave Phase Shifts Induced by Van Der Waals Atom-Surface Interactions

    International Nuclear Information System (INIS)

    Perreault, John D.; Cronin, Alexander D.

    2005-01-01

    The development of nanotechnology and atom optics relies on understanding how atoms behave and interact with their environment. Isolated atoms can exhibit wavelike (coherent) behavior with a corresponding de Broglie wavelength and phase which can be affected by nearby surfaces. Here an atom interferometer is used to measure the phase shift of Na atom waves induced by the walls of a 50 nm wide cavity. To our knowledge this is the first direct measurement of the de Broglie wave phase shift caused by atom-surface interactions. The magnitude of the phase shift is in agreement with that predicted by Lifshitz theory for a nonretarded van der Waals interaction. This experiment also demonstrates that atom waves can retain their coherence even when atom-surface distances are as small as 10 nm

  6. Enhanced photovoltaic performance of inverted pyramid-based nanostructured black-silicon solar cells passivated by an atomic-layer-deposited Al2O3 layer.

    Science.gov (United States)

    Chen, Hong-Yan; Lu, Hong-Liang; Ren, Qing-Hua; Zhang, Yuan; Yang, Xiao-Feng; Ding, Shi-Jin; Zhang, David Wei

    2015-10-07

    Inverted pyramid-based nanostructured black-silicon (BS) solar cells with an Al2O3 passivation layer grown by atomic layer deposition (ALD) have been demonstrated. A multi-scale textured BS surface combining silicon nanowires (SiNWs) and inverted pyramids was obtained for the first time by lithography and metal catalyzed wet etching. The reflectance of the as-prepared BS surface was about 2% lower than that of the more commonly reported upright pyramid-based SiNW BS surface over the whole of the visible light spectrum, which led to a 1.7 mA cm(-2) increase in short circuit current density. Moreover, the as-prepared solar cells were further passivated by an ALD-Al2O3 layer. The effect of annealing temperature on the photovoltaic performance of the solar cells was investigated. It was found that the values of all solar cell parameters including short circuit current, open circuit voltage, and fill factor exhibit a further increase under an optimized annealing temperature. Minority carrier lifetime measurements indicate that the enhanced cell performance is due to the improved passivation quality of the Al2O3 layer after thermal annealing treatments. By combining these two refinements, the optimized SiNW BS solar cells achieved a maximum conversion efficiency enhancement of 7.6% compared to the cells with an upright pyramid-based SiNWs surface and conventional SiNx passivation.

  7. Hydrogel brushes grafted from stainless steel via surface-initiated atom transfer radical polymerization for marine antifouling

    Energy Technology Data Exchange (ETDEWEB)

    Wang, Jingjing, E-mail: jjwang1@hotmail.com; Wei, Jun

    2016-09-30

    Highlights: • Crosslinked hydrogel brushes were grafted from SS surfaces for marine antifouling. • All brush-coated SS surfaces could effectively reduce the adhesion of biofouling. • The antifouling efficacy increased with the crosslinking density of hydrogels. - Abstract: Crosslinked hydrogel brushes were grafted from stainless steel (SS) surfaces for marine antifouling. The brushes were prepared by surface-initiated atom transfer radical polymerization (SI-ATRP) of 2-methacryloyloxyethyl phosphorylcholine (MPC) and poly(ethylene glycol) methyl ether methacrylate (PEGMA) respectively with different fractions of crosslinker in the feed. The grafted layers prepared with different thickness were characterized by X-ray photoelectron spectroscopy (XPS), atomic force microscopy (AFM), ellipsometry and water contact angle measurements. With the increase in the fraction of crosslinker in the feed, the thickness of the grafted layer increased and the surface became smooth. All the brush-coated SS surfaces could effectively reduce the adhesion of bacteria and microalgae and settlement of barnacle cyprids, as compared to the pristine SS surface. The antifouling efficacy of the PEGMA polymer (PPEGMA)-grafted surface was higher than that of the MPC polymer (PMPC)-grafted surfaces. Furthermore, the crosslinked hydrogel brush-grafted surfaces exhibited better fouling resistance than the non-crosslinked polymer brush-grafted surfaces, and the antifouling efficacy increased with the crosslinking density. These hydrogel coatings of low toxicity and excellent anti-adhesive characteristics suggested their useful applications as environmentally friendly antifouling coatings.

  8. Hydrogel brushes grafted from stainless steel via surface-initiated atom transfer radical polymerization for marine antifouling

    International Nuclear Information System (INIS)

    Wang, Jingjing; Wei, Jun

    2016-01-01

    Highlights: • Crosslinked hydrogel brushes were grafted from SS surfaces for marine antifouling. • All brush-coated SS surfaces could effectively reduce the adhesion of biofouling. • The antifouling efficacy increased with the crosslinking density of hydrogels. - Abstract: Crosslinked hydrogel brushes were grafted from stainless steel (SS) surfaces for marine antifouling. The brushes were prepared by surface-initiated atom transfer radical polymerization (SI-ATRP) of 2-methacryloyloxyethyl phosphorylcholine (MPC) and poly(ethylene glycol) methyl ether methacrylate (PEGMA) respectively with different fractions of crosslinker in the feed. The grafted layers prepared with different thickness were characterized by X-ray photoelectron spectroscopy (XPS), atomic force microscopy (AFM), ellipsometry and water contact angle measurements. With the increase in the fraction of crosslinker in the feed, the thickness of the grafted layer increased and the surface became smooth. All the brush-coated SS surfaces could effectively reduce the adhesion of bacteria and microalgae and settlement of barnacle cyprids, as compared to the pristine SS surface. The antifouling efficacy of the PEGMA polymer (PPEGMA)-grafted surface was higher than that of the MPC polymer (PMPC)-grafted surfaces. Furthermore, the crosslinked hydrogel brush-grafted surfaces exhibited better fouling resistance than the non-crosslinked polymer brush-grafted surfaces, and the antifouling efficacy increased with the crosslinking density. These hydrogel coatings of low toxicity and excellent anti-adhesive characteristics suggested their useful applications as environmentally friendly antifouling coatings.

  9. Epitaxial TiO 2/SnO 2 core-shell heterostructure by atomic layer deposition

    KAUST Repository

    Nie, Anmin

    2012-01-01

    Taking TiO 2/SnO 2 core-shell nanowires (NWs) as a model system, we systematically investigate the structure and the morphological evolution of this heterostructure synthesized by atomic layer deposition/epitaxy (ALD/ALE). All characterizations, by X-ray diffraction, high-resolution transmission electron microscopy, selected area electron diffraction and Raman spectra, reveal that single crystalline rutile TiO 2 shells can be epitaxially grown on SnO 2 NWs with an atomically sharp interface at low temperature (250 °C). The growth behavior of the TiO 2 shells highly depends on the surface orientations and the geometrical shape of the core SnO 2 NW cross-section. Atomically smooth surfaces are found for growth on the {110} surface. Rough surfaces develop on {100} surfaces due to (100) - (1 × 3) reconstruction, by introducing steps in the [010] direction as a continuation of {110} facets. Lattice mismatch induces superlattice structures in the TiO 2 shell and misfit dislocations along the interface. Conformal epitaxial growth has been observed for SnO 2 NW cores with an octagonal cross-section ({100} and {110} surfaces). However, for a rectangular core ({101} and {010} surfaces), the shell also derives an octagonal shape from the epitaxial growth, which was explained by a proposed model based on ALD kinetics. The surface steps and defects induced by the lattice mismatch likely lead to improved photoluminescence (PL) performance for the yellow emission. Compared to the pure SnO 2 NWs, the PL spectrum of the core-shell nanostructures exhibits a stronger emission peak, which suggests potential applications in optoelectronics. © The Royal Society of Chemistry 2012.

  10. Surface and electron emission properties of hydrogen-free diamond-like carbon films investigated by atomic force microscopy

    International Nuclear Information System (INIS)

    Liu Dongping; Zhang, Sam; Ong, S.-E.; Benstetter, Guenther; Du Hejun

    2006-01-01

    In this study, we have deposited hydrogen-free diamond-like carbon (DLC) films by using DC magnetron sputtering of graphite target at various r.f. bias voltages. Surface and nanoscale emission properties of these DLC films have been investigated using a combination of atomic force microscopy (AFM)-based nanowear tests and conducting-AFM, by simultaneously measuring the topography and the conductivity of the samples. Nanowear tests show that these DLC films are covered with the thin (1.5-2.0 nm) graphite-like layers at surfaces. Compared to the film bulk structure, the graphite-like surface layers are more conductive. The graphite-like surface layers significantly influence the electron emission properties of these films. Low-energy carbon species can be responsible for the formation of graphite-like surface layers. Nanoscale electron emission measurements have revealed the inhomogeneous emission nature of these films. The low-field emission from these films can be attributed to the existence of sp 2 -configured nanoclusters inside the films

  11. Electron microscopy observation of TiO2 nanocrystal evolution in high-temperature atomic layer deposition.

    Science.gov (United States)

    Shi, Jian; Li, Zhaodong; Kvit, Alexander; Krylyuk, Sergiy; Davydov, Albert V; Wang, Xudong

    2013-01-01

    Understanding the evolution of amorphous and crystalline phases during atomic layer deposition (ALD) is essential for creating high quality dielectrics, multifunctional films/coatings, and predictable surface functionalization. Through comprehensive atomistic electron microscopy study of ALD TiO2 nanostructures at designed growth cycles, we revealed the transformation process and sequence of atom arrangement during TiO2 ALD growth. Evolution of TiO2 nanostructures in ALD was found following a path from amorphous layers to amorphous particles to metastable crystallites and ultimately to stable crystalline forms. Such a phase evolution is a manifestation of the Ostwald-Lussac Law, which governs the advent sequence and amount ratio of different phases in high-temperature TiO2 ALD nanostructures. The amorphous-crystalline mixture also enables a unique anisotropic crystal growth behavior at high temperature forming TiO2 nanorods via the principle of vapor-phase oriented attachment.

  12. Moessbauer study of magnetic transformation of Ni3Al-(57Co+57Fe) surface layer

    International Nuclear Information System (INIS)

    Dudas, J.; Zemcik, T.

    1975-01-01

    The results of the magnetic transformation study of the Ni 3 Al-( 57 Co+ 57 Fe) surface layer by the 57 Fe Moessbauer effect in dependence on the penetration depth of ( 57 Co+ 57 Fe) are presented. These results are discussed in terms of the magnetic polarization of the Co (and Fe) atoms and the appearance of the 'giant' magnetic moment. The critical concentration of Co+Fe impurities sufficient for transformation of the originally paramagnetic surface layer into ferromagnetic at room temperature was determined to be 1.03 at.'=.. (author)

  13. Atomic to Nanoscale Investigation of Functionalities of Al2O3 Coating Layer on Cathode for Enhanced Battery Performance

    Energy Technology Data Exchange (ETDEWEB)

    Yan, Pengfei; Zheng, Jianming; Zhang, Xiaofeng; Xu, Rui; Amine, Khalil; Xiao, Jie; Zhang, Jiguang; Wang, Chong M.

    2016-01-06

    Surface coating of cathode has been identified as an effective approach for enhancing the capacity retention of layered structure cathode. However, the underlying operating mechanism of such a thin layer of coating, in terms of surface chemical functionality and capacity retention, remains unclear. In this work, we use aberration corrected scanning transmission electron microscopy and high efficient spectroscopy to probe the delicate functioning mechanism of Al2O3 coating layer on Li1.2Ni0.2Mn0.6O2 cathode. We discovered that in terms of surface chemical function, the Al2O3 coating suppresses the side reaction between cathode and the electrolyte upon the battery cycling. At the same time, the Al2O3 coating layer also eliminates the chemical reduction of Mn from the cathode particle surface, therefore avoiding the dissolution of the reduced Mn into the electrolyte. In terms of structural stability, we found that the Al2O3 coating layer can mitigate the layer to spinel phase transformation, which otherwise will initiate from the particle surface and propagate towards the interior of the particle with the progression of the battery cycling. The atomic to nanoscale effects of the coating layer observed here provide insight for optimized design of coating layer on cathode to enhance the battery properties.

  14. Recent Development of Advanced Electrode Materials by Atomic Layer Deposition for Electrochemical Energy Storage.

    Science.gov (United States)

    Guan, Cao; Wang, John

    2016-10-01

    Electrode materials play a decisive role in almost all electrochemical energy storage devices, determining their overall performance. Proper selection, design and fabrication of electrode materials have thus been regarded as one of the most critical steps in achieving high electrochemical energy storage performance. As an advanced nanotechnology for thin films and surfaces with conformal interfacial features and well controllable deposition thickness, atomic layer deposition (ALD) has been successfully developed for deposition and surface modification of electrode materials, where there are considerable issues of interfacial and surface chemistry at atomic and nanometer scale. In addition, ALD has shown great potential in construction of novel nanostructured active materials that otherwise can be hardly obtained by other processing techniques, such as those solution-based processing and chemical vapor deposition (CVD) techniques. This review focuses on the recent development of ALD for the design and delivery of advanced electrode materials in electrochemical energy storage devices, where typical examples will be highlighted and analyzed, and the merits and challenges of ALD for applications in energy storage will also be discussed.

  15. Multiple atomic scale solid surface interconnects for atom circuits and molecule logic gates

    International Nuclear Information System (INIS)

    Joachim, C; Martrou, D; Gauthier, S; Rezeq, M; Troadec, C; Jie Deng; Chandrasekhar, N

    2010-01-01

    The scientific and technical challenges involved in building the planar electrical connection of an atomic scale circuit to N electrodes (N > 2) are discussed. The practical, laboratory scale approach explored today to assemble a multi-access atomic scale precision interconnection machine is presented. Depending on the surface electronic properties of the targeted substrates, two types of machines are considered: on moderate surface band gap materials, scanning tunneling microscopy can be combined with scanning electron microscopy to provide an efficient navigation system, while on wide surface band gap materials, atomic force microscopy can be used in conjunction with optical microscopy. The size of the planar part of the circuit should be minimized on moderate band gap surfaces to avoid current leakage, while this requirement does not apply to wide band gap surfaces. These constraints impose different methods of connection, which are thoroughly discussed, in particular regarding the recent progress in single atom and molecule manipulations on a surface.

  16. Photoionization microscopy of hydrogen atom near a metal surface

    International Nuclear Information System (INIS)

    Yang Hai-Feng; Wang Lei; Liu Xiao-Jun; Liu Hong-Ping

    2011-01-01

    We have studied the ionization of Rydberg hydrogen atom near a metal surface with a semiclassical analysis of photoionization microscopy. Interference patterns of the electron radial distribution are calculated at different scaled energies above the classical saddle point and at various atom—surface distances. We find that different types of trajectories contribute predominantly to different manifolds in a certain interference pattern. As the scaled energy increases, the structure of the interference pattern evolves smoothly and more types of trajectories emerge. As the atom approaches the metal surface closer, there are more types of trajectories contributing to the interference pattern as well. When the Rydberg atom comes very close to the metal surface or the scaled energy approaches the zero field ionization energy, the potential induced by the metal surface will make atomic system chaotic. The results also show that atoms near a metal surface exhibit similar properties like the atoms in the parallel electric and magnetic fields. (atomic and molecular physics)

  17. Robust, functional nanocrystal solids by infilling with atomic layer deposition

    Energy Technology Data Exchange (ETDEWEB)

    Liu, Yao; Gibbs, Markelle; Perkins, Craig L.; Tolentino, Jason; Zarghami, Mohammad H.; Bustamante, Jr., J.; Law, Matt

    2011-12-14

    Thin films of colloidal semiconductor nanocrystals (NCs) are inherently metatstable materials prone to oxidative and photothermal degradation driven by their large surface-to-volume ratios and high surface energies. The fabrication of practical electronic devices based on NC solids hinges on preventing oxidation, surface diffusion, ripening, sintering, and other unwanted physicochemical changes that can plague these materials. Here we use low-temperature atomic layer deposition (ALD) to infill conductive PbSe NC solids with metal oxides to produce inorganic nanocomposites in which the NCs are locked in place and protected against oxidative and photothermal damage. Infilling NC field-effect transistors and solar cells with amorphous alumina yields devices that operate with enhanced and stable performance for at least months in air. Furthermore, ALD infilling with ZnO lowers the height of the inter-NC tunnel barrier for electron transport, yielding PbSe NC films with electron mobilities of 1 cm² V-1 s-1. Our ALD technique is a versatile means to fabricate robust NC solids for optoelectronic devices.

  18. Visualization of arrangements of carbon atoms in graphene layers by Raman mapping and atomic-resolution TEM

    KAUST Repository

    Cong, Chunxiao

    2013-02-01

    In-plane and out-of-plane arrangements of carbon atoms in graphene layers play critical roles in the fundamental physics and practical applications of these novel two-dimensional materials. Here, we report initial results on the edge/crystal orientations and stacking orders of bi-and tri-layer graphene (BLG and TLG) from Raman spectroscopy and transmission electron microscopy (TEM) experiments performed on the same sample. We introduce a new method of transferring graphene flakes onto a normal TEM grid. Using this novel method, we probed the BLG and TLG flakes that had been previously investigated by Raman scattering with high-resolution (atomic) TEM.

  19. Towards high-energy and durable lithium-ion batteries via atomic layer deposition: elegantly atomic-scale material design and surface modification

    International Nuclear Information System (INIS)

    Meng, Xiangbo

    2015-01-01

    Targeted at fueling future transportation and sustaining smart grids, lithium-ion batteries (LIBs) are undergoing intensive investigation for improved durability and energy density. Atomic layer deposition (ALD), enabling uniform and conformal nanofilms, has recently made possible many new advances for superior LIBs. The progress was summarized by Liu and Sun in their latest review [1], offering many insightful views, covering the design of nanostructured battery components (i.e., electrodes and solid electrolytes), and nanoscale modification of electrode/electrolyte interfaces. This work well informs peers of interesting research conducted and it will also further help boost the applications of ALD in next-generation LIBs and other advanced battery technologies. (viewpoint)

  20. Simulation of atomic layer deposition on nanoparticle agglomerates

    NARCIS (Netherlands)

    Jin, W.; van Ommen, J.R.; Kleijn, C.R.

    2016-01-01

    Coated nanoparticles have many potential applications; production of large quantities is feasible by atomic layer deposition (ALD) on nanoparticles in a fluidized bed reactor. However, due to the cohesive interparticle forces, nanoparticles form large agglomerates, which influences the coating

  1. Effect of ozone concentration on silicon surface passivation by atomic layer deposited Al{sub 2}O{sub 3}

    Energy Technology Data Exchange (ETDEWEB)

    Gastrow, Guillaume von, E-mail: guillaume.von.gastrow@aalto.fi [Aalto University, Department of Micro- and Nanosciences, Tietotie 3, 02150 Espoo (Finland); Li, Shuo [Aalto University, Department of Micro- and Nanosciences, Tietotie 3, 02150 Espoo (Finland); Putkonen, Matti [VTT Technical Research Centre of Finland, P.O. Box 1000, FI-02044 VTT, Espoo (Finland); Aalto University School of Chemical Technology, Laboratory of Inorganic Chemistry, FI-00076 Aalto, Espoo (Finland); Laitinen, Mikko; Sajavaara, Timo [University of Jyvaskyla, Department of Physics, FIN-40014 University of Jyvaskyla (Finland); Savin, Hele [Aalto University, Department of Micro- and Nanosciences, Tietotie 3, 02150 Espoo (Finland)

    2015-12-01

    Highlights: • The ALD Al{sub 2}O{sub 3} passivation quality can be controlled by the ozone concentration. • Ozone concentration affects the Si/Al{sub 2}O{sub 3} interface charge and defect density. • A surface recombination velocity of 7 cm/s is reached combining ozone and water ALD. • Carbon and hydrogen concentrations correlate with the surface passivation quality. - Abstract: We study the impact of ozone-based Al{sub 2}O{sub 3} Atomic Layer Deposition (ALD) on the surface passivation quality of crystalline silicon. We show that the passivation quality strongly depends on the ozone concentration: the higher ozone concentration results in lower interface defect density and thereby improved passivation. In contrast to previous studies, our results reveal that too high interface hydrogen content can be detrimental to the passivation. The interface hydrogen concentration can be optimized by the ozone-based process; however, the use of pure ozone increases the harmful carbon concentration in the film. Here we demonstrate that low carbon and optimal hydrogen concentration can be achieved by a single process combining the water- and ozone-based reactions. This process results in an interface defect density of 2 × 10{sup 11} eV{sup −1} cm{sup −2}, and maximum surface recombination velocities of 7.1 cm/s and 10 cm/s, after annealing and after an additional firing at 800 °C, respectively. In addition, our results suggest that the effective oxide charge density can be optimized in a simple way by varying the ozone concentration and by injecting water to the ozone process.

  2. Formation of hollow atoms above a surface

    Science.gov (United States)

    Briand, Jean Pierre; Phaneuf, Ronald; Terracol, Stephane; Xie, Zuqi

    2012-06-01

    Slow highly stripped ions approaching or penetrating surfaces are known to capture electrons into outer shells of the ions, leaving the innermost shells empty, and forming hollow atoms. Electron capture occurs above and below the surfaces. The existence of hollow atoms below surfaces e.g. Ar atoms whose K and L shells are empty, with all electrons lying in the M and N shells, was demonstrated in 1990 [1]. At nm above surfaces, the excited ions may not have enough time to decay before hitting the surfaces, and the formation of hollow atoms above surfaces has even been questioned [2]. To observe it, one must increase the time above the surface by decelerating the ions. We have for the first time decelerated O^7+ ions to energies as low as 1 eV/q, below the minimum energy gained by the ions due to the acceleration by their image charge. As expected, no ion backscattering (trampoline effect) above dielectric (Ge) was observed and at the lowest ion kinetic energies, most of the observed x-rays were found to be emitted by the ions after surface contact. [4pt] [1] J. P. Briand et al., Phys.Rev.Lett. 65(1990)159.[0pt] [2] J.P. Briand, AIP Conference Proceedings 215 (1990) 513.

  3. AlN Surface Passivation of GaN-Based High Electron Mobility Transistors by Plasma-Enhanced Atomic Layer Deposition.

    Science.gov (United States)

    Tzou, An-Jye; Chu, Kuo-Hsiung; Lin, I-Feng; Østreng, Erik; Fang, Yung-Sheng; Wu, Xiao-Peng; Wu, Bo-Wei; Shen, Chang-Hong; Shieh, Jia-Ming; Yeh, Wen-Kuan; Chang, Chun-Yen; Kuo, Hao-Chung

    2017-12-01

    We report a low current collapse GaN-based high electron mobility transistor (HEMT) with an excellent thermal stability at 150 °C. The AlN was grown by N 2 -based plasma enhanced atomic layer deposition (PEALD) and shown a refractive index of 1.94 at 633 nm of wavelength. Prior to deposit AlN on III-nitrides, the H 2 /NH 3 plasma pre-treatment led to remove the native gallium oxide. The X-ray photoelectron spectroscopy (XPS) spectroscopy confirmed that the native oxide can be effectively decomposed by hydrogen plasma. Following the in situ ALD-AlN passivation, the surface traps can be eliminated and corresponding to a 22.1% of current collapse with quiescent drain bias (V DSQ ) at 40 V. Furthermore, the high temperature measurement exhibited a shift-free threshold voltage (V th ), corresponding to a 40.2% of current collapse at 150 °C. The thermal stable HEMT enabled a breakdown voltage (BV) to 687 V at high temperature, promising a good thermal reliability under high power operation.

  4. AlN Surface Passivation of GaN-Based High Electron Mobility Transistors by Plasma-Enhanced Atomic Layer Deposition

    Science.gov (United States)

    Tzou, An-Jye; Chu, Kuo-Hsiung; Lin, I.-Feng; Østreng, Erik; Fang, Yung-Sheng; Wu, Xiao-Peng; Wu, Bo-Wei; Shen, Chang-Hong; Shieh, Jia-Ming; Yeh, Wen-Kuan; Chang, Chun-Yen; Kuo, Hao-Chung

    2017-04-01

    We report a low current collapse GaN-based high electron mobility transistor (HEMT) with an excellent thermal stability at 150 °C. The AlN was grown by N2-based plasma enhanced atomic layer deposition (PEALD) and shown a refractive index of 1.94 at 633 nm of wavelength. Prior to deposit AlN on III-nitrides, the H2/NH3 plasma pre-treatment led to remove the native gallium oxide. The X-ray photoelectron spectroscopy (XPS) spectroscopy confirmed that the native oxide can be effectively decomposed by hydrogen plasma. Following the in situ ALD-AlN passivation, the surface traps can be eliminated and corresponding to a 22.1% of current collapse with quiescent drain bias ( V DSQ) at 40 V. Furthermore, the high temperature measurement exhibited a shift-free threshold voltage ( V th), corresponding to a 40.2% of current collapse at 150 °C. The thermal stable HEMT enabled a breakdown voltage (BV) to 687 V at high temperature, promising a good thermal reliability under high power operation.

  5. In situ surface X-ray diffraction studies of the copper-electrolyte interface. Atomic structure and homoepitaxial grwoth

    Energy Technology Data Exchange (ETDEWEB)

    Golks, Frederik

    2011-05-19

    Copper electrodeposition is the predominantly used technique for on-chip wiring in the fabrication of ultra-large scale integrated (ULSI) microchips. In this 'damascene copper electroplating' process, multicomponent electrolytes containing organic additives realize void-free filling of trenches with high aspect ratio ('superconformal deposition'). Despite manifold studies, motivated by the continuous trend to shrink wiring dimensions and thus the demand of optimized plating baths, detailed knowledge on the growth mechanism - in presence and absence of additives - is still lacking. Using a recently developed hanging meniscus X-ray transmission cell, brilliant synchrotron x-rays and a fast, one-dimensional detector system, unique real-time in situ surface X-ray diffraction studies of copper electrodeposition were performed under realistic reaction conditions, approaching rates of technological relevance. Preparatory measurements of the electrochemical dissolution of Au(001) in chloride-containing electrolyte demonstrated the capability of this powerful technique, specifically the possibility to follow atomic-scale deposition or dissolution processes with a time resolution down to five milliseconds. The electrochemical as well as structural characterization of the Cu(001)- and Cu(111)-electrolyte interfaces provided detailed insight into the complex atomic-scale structures in presence of specifically adsorbed chloride on these surfaces. The interface of Cu(001) in chloride-containing electrolyte exhibits a continuous surface phase transition of a disordered Cl adlayer to a c(2 x 2) Cl adlayer with increasing potential. The latter was found to induce a small vertical corrugation of substrate atoms, which can be ascribed to lattice relaxations induced by the presence of coadsorbed water molecules and cations in the outer part of the electrochemical double layer. The study of the specific adsorption of chloride on Cu(111) from acidic aqueous

  6. In situ surface X-ray diffraction studies of the copper-electrolyte interface. Atomic structure and homoepitaxial grwoth

    Energy Technology Data Exchange (ETDEWEB)

    Golks, Frederik

    2011-05-19

    Copper electrodeposition is the predominantly used technique for on-chip wiring in the fabrication of ultra-large scale integrated (ULSI) microchips. In this 'damascene copper electroplating' process, multicomponent electrolytes containing organic additives realize void-free filling of trenches with high aspect ratio ('superconformal deposition'). Despite manifold studies, motivated by the continuous trend to shrink wiring dimensions and thus the demand of optimized plating baths, detailed knowledge on the growth mechanism - in presence and absence of additives - is still lacking. Using a recently developed hanging meniscus X-ray transmission cell, brilliant synchrotron x-rays and a fast, one-dimensional detector system, unique real-time in situ surface X-ray diffraction studies of copper electrodeposition were performed under realistic reaction conditions, approaching rates of technological relevance. Preparatory measurements of the electrochemical dissolution of Au(001) in chloride-containing electrolyte demonstrated the capability of this powerful technique, specifically the possibility to follow atomic-scale deposition or dissolution processes with a time resolution down to five milliseconds. The electrochemical as well as structural characterization of the Cu(001)- and Cu(111)-electrolyte interfaces provided detailed insight into the complex atomic-scale structures in presence of specifically adsorbed chloride on these surfaces. The interface of Cu(001) in chloride-containing electrolyte exhibits a continuous surface phase transition of a disordered Cl adlayer to a c(2 x 2) Cl adlayer with increasing potential. The latter was found to induce a small vertical corrugation of substrate atoms, which can be ascribed to lattice relaxations induced by the presence of coadsorbed water molecules and cations in the outer part of the electrochemical double layer. The study of the specific adsorption of chloride on Cu(111) from acidic aqueous electrolyte revealed a

  7. Implementation of atomic layer etching of silicon: Scaling parameters, feasibility, and profile control

    Energy Technology Data Exchange (ETDEWEB)

    Ranjan, Alok, E-mail: alok.ranjan@us.tel.com; Wang, Mingmei; Sherpa, Sonam D.; Rastogi, Vinayak [TEL Technology Center, America LLC, 255 Fuller Road, Suite 214, Albany, New York 12203 (United States); Koshiishi, Akira [Tokyo Electron Miyagi, Ltd., 1 Techno-Hills, Taiwa-cho, Kurokawa-gun, Miyagi, 9813629 (Japan); Ventzek, Peter L. G. [Tokyo Electron America, Inc., 2400 Grove Blvd., Austin, Texas 78741 (United States)

    2016-05-15

    Atomic or layer by layer etching of silicon exploits temporally segregated self-limiting adsorption and material removal steps to mitigate the problems associated with continuous or quasicontinuous (pulsed) plasma processes: selectivity loss, damage, and profile control. Successful implementation of atomic layer etching requires careful choice of the plasma parameters for adsorption and desorption steps. This paper illustrates how process parameters can be arrived at through basic scaling exercises, modeling and simulation, and fundamental experimental tests of their predictions. Using chlorine and argon plasma in a radial line slot antenna plasma source as a platform, the authors illustrate how cycle time, ion energy, and radical to ion ratio can be manipulated to manage the deviation from ideality when cycle times are shortened or purges are incomplete. Cell based Monte Carlo feature scale modeling is used to illustrate profile outcomes. Experimental results of atomic layer etching processes are illustrated on silicon line and space structures such that iso-dense bias and aspect ratio dependent free profiles are produced. Experimental results also illustrate the profile control margin as processes move from atomic layer to multilayer by layer etching. The consequence of not controlling contamination (e.g., oxygen) is shown to result in deposition and roughness generation.

  8. Resistivity scaling due to electron surface scattering in thin metal layers

    Science.gov (United States)

    Zhou, Tianji; Gall, Daniel

    2018-04-01

    The effect of electron surface scattering on the thickness-dependent electrical resistivity ρ of thin metal layers is investigated using nonequilibrium Green's function density functional transport simulations. Cu(001) thin films with thickness d =1 -2 nm are used as a model system, employing a random one-monolayer-high surface roughness and frozen phonons to cause surface and bulk scattering, respectively. The zero-temperature resistivity increases from 9.7 ±1.0 μ Ω cm at d =1.99 nm to 18.7 ±2.6 μ Ω cm at d =0.9 0 nm, contradicting the asymptotic T =0 prediction from the classical Fuchs-Sondheimer model. At T =9 00 K, ρ =5.8 ±0.1 μ Ω cm for bulk Cu and ρ =13.4 ±1.1 and 22.5 ±2.4 μ Ω cm for layers with d =1.99 and 0.90 nm, respectively, indicating an approximately additive phonon contribution which, however, is smaller than for bulk Cu or atomically smooth layers. The overall data indicate that the resistivity contribution from surface scattering is temperature-independent and proportional to 1 /d , suggesting that it can be described using a surface-scattering mean-free path λs for 2D transport which is channel-independent and proportional to d . Data fitting indicates λs=4 ×d for the particular simulated Cu(001) surfaces with a one-monolayer-high surface roughness. The 1 /d dependence deviates considerably from previous 1 /d2 predictions from quantum models, indicating that the small-roughness approximation in these models is not applicable to very thin (<2 nm) layers, where the surface roughness is a considerable fraction of d .

  9. Performance of inverted polymer solar cells with randomly oriented ZnO nanorods coupled with atomic layer deposited ZnO

    Energy Technology Data Exchange (ETDEWEB)

    Zafar, Muhammad [School of Chemical Engineering, Chonnam National University, 300 Youngbong-dong, Gwangju 500-757 (Korea, Republic of); Yun, Ju-Young [Center for Vacuum, Korea Research Institute of Standards and Science, 267 Gajeong-ro, Daejeon 305-600 (Korea, Republic of); Kim, Do-Heyoung, E-mail: kdhh@chonnam.ac.kr [School of Chemical Engineering, Chonnam National University, 300 Youngbong-dong, Gwangju 500-757 (Korea, Republic of)

    2017-03-15

    Highlights: • Hydrothermally grown, randomly oriented, and low areal density ZnO nanorods have been successfully adopted as the electron transport layer in inverted organic solar cells. • The addition of atomic layer deposited ZnO on the ZnO nanorods effectively enhance the photovoltaic performances of inverted organic solar cells. • The inverted organic solar cells with 5 nm thick-ALD ZnO showed the highest power conversion efficiency of 3.08%, which is an enhancement of approximately 80% compared to the cells without the ALD ZnO layer (PCE = 1.67%). - Abstract: Nanostructuring of the electron transport layer (ETL) in organic photovoltaic cells (OPV) is of great interest because it increases the surface area of the cell and electron transport. In this work, hydrothermally grown, randomly oriented, and low areal density ZnO nanorods (NRs) have been adopted as the ETL, and the effect of adding atomic layer deposited (ALD) ZnO on the ZnO NRs on the inverted organic solar cell performance has been investigated. The fabricated inverted organic solar cell with 5-nm-thick ALD-ZnO grown on the ZnO NRs showed the highest power conversion efficiency (PCE) of 3.08%, which is an enhancement of 85% from that of the cell without ALD-ZnO (PCE = 1.67%). The ultrathin ALD-ZnO was found to act as a curing layer of the surface defects on the hydrothermally grown ZnO NRs, resulting in an improvement in photovoltaic performance.

  10. Performance of inverted polymer solar cells with randomly oriented ZnO nanorods coupled with atomic layer deposited ZnO

    International Nuclear Information System (INIS)

    Zafar, Muhammad; Yun, Ju-Young; Kim, Do-Heyoung

    2017-01-01

    Highlights: • Hydrothermally grown, randomly oriented, and low areal density ZnO nanorods have been successfully adopted as the electron transport layer in inverted organic solar cells. • The addition of atomic layer deposited ZnO on the ZnO nanorods effectively enhance the photovoltaic performances of inverted organic solar cells. • The inverted organic solar cells with 5 nm thick-ALD ZnO showed the highest power conversion efficiency of 3.08%, which is an enhancement of approximately 80% compared to the cells without the ALD ZnO layer (PCE = 1.67%). - Abstract: Nanostructuring of the electron transport layer (ETL) in organic photovoltaic cells (OPV) is of great interest because it increases the surface area of the cell and electron transport. In this work, hydrothermally grown, randomly oriented, and low areal density ZnO nanorods (NRs) have been adopted as the ETL, and the effect of adding atomic layer deposited (ALD) ZnO on the ZnO NRs on the inverted organic solar cell performance has been investigated. The fabricated inverted organic solar cell with 5-nm-thick ALD-ZnO grown on the ZnO NRs showed the highest power conversion efficiency (PCE) of 3.08%, which is an enhancement of 85% from that of the cell without ALD-ZnO (PCE = 1.67%). The ultrathin ALD-ZnO was found to act as a curing layer of the surface defects on the hydrothermally grown ZnO NRs, resulting in an improvement in photovoltaic performance.

  11. Low temperature removal of surface oxides and hydrocarbons from Ge(100) using atomic hydrogen

    Energy Technology Data Exchange (ETDEWEB)

    Walker, M., E-mail: m.walker@warwick.ac.uk; Tedder, M.S.; Palmer, J.D.; Mudd, J.J.; McConville, C.F.

    2016-08-30

    Highlights: • Preparation of a clean, well-ordered Ge(100) surface with atomic hydrogen. • Surface oxide layers removed by AHC at room temperature, but not hydrocarbons. • Increasing surface temperature during AHC dramatically improves efficiency. • AHC with the surface heated to 250 °C led to a near complete removal of contaminants. • (2 × 1) LEED pattern from IBA and AHC indicates asymmetric dimer reconstruction. - Abstract: Germanium is a group IV semiconductor with many current and potential applications in the modern semiconductor industry. Key to expanding the use of Ge is a reliable method for the removal of surface contamination, including oxides which are naturally formed during the exposure of Ge thin films to atmospheric conditions. A process for achieving this task at lower temperatures would be highly advantageous, where the underlying device architecture will not diffuse through the Ge film while also avoiding electronic damage induced by ion irradiation. Atomic hydrogen cleaning (AHC) offers a low-temperature, damage-free alternative to the common ion bombardment and annealing (IBA) technique which is widely employed. In this work, we demonstrate with X-ray photoelectron spectroscopy (XPS) that the AHC method is effective in removing surface oxides and hydrocarbons, yielding an almost completely clean surface when the AHC is conducted at a temperature of 250 °C. We compare the post-AHC cleanliness and (2 × 1) low energy electron diffraction (LEED) pattern to that obtained via IBA, where the sample is annealed at 600 °C. We also demonstrate that the combination of a sample temperature of 250 °C and atomic H dosing is required to clean the surface. Lower temperatures prove less effective in removal of the oxide layer and hydrocarbons, whilst annealing in ultra-high vacuum conditions only removes weakly bound hydrocarbons. Finally, we examine the subsequent H-termination of an IBA-cleaned sample using XPS, LEED and ultraviolet

  12. Atomic layer deposition for nanostructured Li-ion batteries

    NARCIS (Netherlands)

    Knoops, H.C.M.; Donders, M.E.; Sanden, van de M.C.M.; Notten, P.H.L.; Kessels, W.M.M.

    2012-01-01

    Nanostructuring is targeted as a solution to achieve the improvements required for implementing Li-ion batteries in a wide range of applications. These applications range in size from electrical vehicles down to microsystems. Atomic layer deposition (ALD) could be an enabling technology for

  13. Layer-by-layer assembly of thin organic films on PTFE activated by cold atmospheric plasma

    Directory of Open Access Journals (Sweden)

    Tóth András

    2014-12-01

    Full Text Available An air diffuse coplanar surface barrier discharge is used to activate the surface of polytetrafluoroethylene (PTFE samples, which are subsequently coated with polyvinylpyrrolidone (PVP and tannic acid (TAN single, bi- and multilayers, respectively, using the dip-coating method. The surfaces are characterized by X-ray Photoelectron Spectroscopy (XPS, Attenuated Total Reflection – Fourier Transform Infrared Spectroscopy (ATR-FTIR and Atomic Force Microscopy (AFM. The XPS measurements show that with plasma treatment the F/C atomic ratio in the PTFE surface decreases, due to the diminution of the concentration of CF2 moieties, and also oxygen incorporation through formation of new C–O, C=O and O=C–O bonds can be observed. In the case of coated samples, the new bonds indicated by XPS show the bonding between the organic layer and the surface, and thus the stability of layers, while the gradual decrease of the concentration of F atoms with the number of deposited layers proves the creation of PVP/TAN bi- and multi-layers. According to the ATR-FTIR spectra, in the case of PVP/TAN multilayer hydrogen bonding develops between the PVP and TAN, which assures the stability of the multilayer. The AFM lateral friction measurements show that the macromolecular layers homogeneously coat the plasma treated PTFE surface.

  14. Ultra-Thin Atomic Layer Deposited TiN Films: Non-Linear I–V Behaviour and the Importance of Surface Passivation

    NARCIS (Netherlands)

    Van Hao, B.; Aarnink, Antonius A.I.; Kovalgin, Alexeij Y.; Wolters, Robertus A.M.

    2011-01-01

    We report the electrical resistivity of atomic layer deposited TiN thin films in the thickness range 2.5-20 nm. The measurements were carried out using the circular transfer length method structures. For the films with thickness in the range of 10-20 nm, the measurements exhibited linear

  15. Breakthrough to Non-Vacuum Deposition of Single-Crystal, Ultra-Thin, Homogeneous Nanoparticle Layers: A Better Alternative to Chemical Bath Deposition and Atomic Layer Deposition

    Directory of Open Access Journals (Sweden)

    Yu-Kuang Liao

    2017-04-01

    Full Text Available Most thin-film techniques require a multiple vacuum process, and cannot produce high-coverage continuous thin films with the thickness of a few nanometers on rough surfaces. We present a new ”paradigm shift” non-vacuum process to deposit high-quality, ultra-thin, single-crystal layers of coalesced sulfide nanoparticles (NPs with controllable thickness down to a few nanometers, based on thermal decomposition. This provides high-coverage, homogeneous thickness, and large-area deposition over a rough surface, with little material loss or liquid chemical waste, and deposition rates of 10 nm/min. This technique can potentially replace conventional thin-film deposition methods, such as atomic layer deposition (ALD and chemical bath deposition (CBD as used by the Cu(In,GaSe2 (CIGS thin-film solar cell industry for decades. We demonstrate 32% improvement of CIGS thin-film solar cell efficiency in comparison to reference devices prepared by conventional CBD deposition method by depositing the ZnS NPs buffer layer using the new process. The new ZnS NPs layer allows reduction of an intrinsic ZnO layer, which can lead to severe shunt leakage in case of a CBD buffer layer. This leads to a 65% relative efficiency increase.

  16. Influence of PEDOT:PSS on the effectiveness of barrier layers prepared by atomic layer deposition in organic light emitting diodes

    Energy Technology Data Exchange (ETDEWEB)

    Wegler, Barbara, E-mail: barbara.wegler@siemens.com [Siemens AG, Corporate Technology, Guenther-Scharowsky-Strasse 1, 91058 Erlangen, Germany and Center for Medical Physics and Engineering, University of Erlangen-Nuremberg, Henkestrasse 91, 91052 Erlangen (Germany); Schmidt, Oliver [Siemens AG, Corporate Technology, Guenther-Scharowsky-Strasse 1, 91058 Erlangen (Germany); Hensel, Bernhard [Center for Medical Physics and Engineering, University of Erlangen-Nuremberg, Henkestrasse 91, 91052 Erlangen (Germany)

    2015-01-15

    Organic light emitting diodes (OLEDs) are well suited for energy saving lighting applications, especially when thinking about highly flexible and large area devices. In order to avoid the degradation of the organic components by water and oxygen, OLEDs need to be encapsulated, e.g., by a thin sheet of glass. As the device is then no longer flexible, alternative coatings are required. Atomic layer deposition (ALD) is a very promising approach in this respect. The authors studied OLEDs that were encapsulated by 100 nm Al{sub 2}O{sub 3} deposited by ALD. The authors show that this coating effectively protects the active surface area of the OLEDs from humidity. However, secondary degradation processes still occur at sharp edges of the OLED stack where the extremely thin encapsulation layer does not provide perfect coverage. Particularly, the swelling of poly(3,4-ethylenedioxythiophene) mixed with poly(styrenesulfonate), which is a popular choice for the planarization of the bottom electrode and at the same time acts as a hole injection layer, affects the effectiveness of the encapsulation layer.

  17. ATOMIC LAYER DEPOSITION OF TITANIUM OXIDE THIN FILMS ONNANOPOROUS ALUMINA TEMPLATES FOR MEDICAL APPLICATIONS

    Energy Technology Data Exchange (ETDEWEB)

    Brigmon, R.

    2009-05-05

    Nanostructured materials may play a significant role in controlled release of pharmacologic agents for treatment of cancer. Many nanoporous polymer materials are inadequate for use in drug delivery. Nanoporous alumina provides several advantages over other materials for use in controlled drug delivery and other medical applications. Atomic layer deposition was used to coat all the surfaces of the nanoporous alumina membrane in order to reduce the pore size in a controlled manner. Both the 20 nm and 100 nm titanium oxide-coated nanoporous alumina membranes did not exhibit statistically lower viability compared to the uncoated nanoporous alumina membrane control materials. In addition, 20 nm pore size titanium oxide-coated nanoporous alumina membranes exposed to ultraviolet light demonstrated activity against Escherichia coli and Staphylococcus aureus bacteria. Nanostructured materials prepared using atomic layer deposition may be useful for delivering a pharmacologic agent at a precise rate to a specific location in the body. These materials may serve as the basis for 'smart' drug delivery devices, orthopedic implants, or self-sterilizing medical devices.

  18. Surfactant-induced layered growth in homoepitaxy of Fe on Fe(100)-c(2 x 2)O reconstruction surface

    International Nuclear Information System (INIS)

    Kamiko, Masao; Mizuno, Hiroyuki; Chihaya, Hiroaki; Xu, Junhua; Kojima, Isao; Yamamoto, Ryoichi

    2007-01-01

    In this study, the effects of several surfactants (Pb, Bi, and Ag) on the homoepitaxial growth of Fe(100) were studied and compared. The reflection high-energy electron diffraction measurements clearly reveal that these surfactants enhance the layer-by-layer growth of Fe on an Fe(100)-c(2 x 2)O reconstruction surface. The dependence of growth on the surfactant layer thickness suggests that there exists a suitable amount of surfactant layer that induces a smoother layer-by-layer growth. Comparisons between the atomic force microscopy images reveal that the root-mean-square surface roughness of Fe films mediated by Pb and Bi surfactants are considerably smaller than those of the films mediated by Ag surfactant. The Auger electron spectra show that Pb and Bi segregate at the top of the surface. It has been concluded that Pb and Bi are effective surfactants for enhancing layer-by-layer growth in Fe homoepitaxy. Ag has the same effect, but it is less efficient due to the weak surface segregation of Ag

  19. Atomic layer deposition of W - based layers on SiO2

    NARCIS (Netherlands)

    van Nieuwkasteele-Bystrova, Svetlana Nikolajevna; Holleman, J.; Wolters, Robertus A.M.; Aarnink, Antonius A.I.

    2003-01-01

    W and W1-xNx , where x= 15- 22 at%, thin films were grown using the ALD (Atomic Layer Deposition) principle. Growth rate of W films is about 4- 5 monolayers/ cycle at 300- 350 ºC. Growth rate of W1-xNx is 0.5 monolayer/cycle at 325- 350 ºC. Standard Deviation (STDV) of thickness is about 2%

  20. Atomically flat surface of (0 0 1) textured FePt thin films by residual stress control

    Energy Technology Data Exchange (ETDEWEB)

    Liu, S.H. [Department of Materials Science and Engineering, Feng Chia University, Taichung 407, Taiwan (China); Hsiao, S.N., E-mail: pmami.hsiao@gmail.com [Department of Materials Science and Engineering, Feng Chia University, Taichung 407, Taiwan (China); Department of Power Mechanical Engineering, National Tsing Hua University, Hsinchu 300, Taiwan (China); Chou, C.L.; Chen, S.K. [Department of Materials Science and Engineering, Feng Chia University, Taichung 407, Taiwan (China); Lee, H.Y. [National Synchrotron Radiation Research Center, Hsinchu 300, Taiwan (China); Department of Applied Science, National Hsinchu University of Education, Hsinchu 300, Taiwan (China)

    2015-11-01

    Highlights: • We demonstrate crystallographic structure, (0 0 1) texture, surface roughness, and residual stress in the single-layered FePt thin films annealed at various heating rates (10–110 K/s). • Texture coefficient of (0 0 1)-plane of the samples increases with increasing heating rate from 10 to 40 K/s, which is correlated with perpendicular magnetic anisotropy and in-plane tensile stress. • Dewetting phenomenon due to stress relaxation leads to the broadening of [0 0 1] easy axis and degradation of perpendicular magnetic anisotropy. • A strong dependence of surface roughness on in-plane residual stress was revealed. • When the samples are RTA at 40 K/s, the enhanced perpendicular magnetic anisotropy and atomically surface roughness are achieved. - Abstract: Single-layered Fe{sub 52}Pt{sub 48} films with thickness of 10 nm were sputter-deposited on glass substrates. Rapid thermal annealing with different heating rates (10–110 K/s) was applied to transform as-deposited fcc phase into L1{sub 0} phase and meanwhile to align [0 0 1]-axis of L1{sub 0} crystal along plane normal direction. Based on X-ray diffractometry using synchrotron radiation source, the texture coefficient of (0 0 1)-plane increases with increasing heating rate from 10 to 40 K/s, which is correlated with perpendicular magnetic anisotropy and in-plane tensile stress analyzed by asymmetric sin{sup 2} ψ method. Furthermore, it was revealed by atomic force microscopy that the dewetting process occurred as heating rate was raised up to 80 K/s and higher. The change in the microstructure due to stress relaxation leads to the degradation of (0 0 1) orientation and magnetic properties. Surface roughness is closely related to the in-plane tensile stress. Enhanced perpendicular magnetic anisotropy and atomically flat surface were achieved for the samples annealed at 40 K/s, which may be suitable for further practical applications. This work also suggests a feasible way for surface

  1. Atomic layer deposition for photovoltaics: applications and prospects for solar cell manufacturing

    International Nuclear Information System (INIS)

    Van Delft, J A; Garcia-Alonso, D; Kessels, W M M

    2012-01-01

    Atomic layer deposition (ALD) is a vapour-phase deposition technique capable of depositing high quality, uniform and conformal thin films at relatively low temperatures. These outstanding properties can be employed to face processing challenges for various types of next-generation solar cells; hence, ALD for photovoltaics (PV) has attracted great interest in academic and industrial research in recent years. In this review, the recent progress of ALD layers applied to various solar cell concepts and their future prospects are discussed. Crystalline silicon (c-Si), copper indium gallium selenide (CIGS) and dye-sensitized solar cells (DSSCs) benefit from the application of ALD surface passivation layers, buffer layers and barrier layers, respectively. ALD films are also excellent moisture permeation barriers that have been successfully used to encapsulate flexible CIGS and organic photovoltaic (OPV) cells. Furthermore, some emerging applications of the ALD method in solar cell research are reviewed. The potential of ALD for solar cells manufacturing is discussed, and the current status of high-throughput ALD equipment development is presented. ALD is on the verge of being introduced in the PV industry and it is expected that it will be part of the standard solar cell manufacturing equipment in the near future. (paper)

  2. Graphene Coatings: Probing the Limits of the One Atom Thick Protection Layer

    DEFF Research Database (Denmark)

    Nilsson, Louis; Andersen, Mie; Balog, Richard

    2012-01-01

    The limitations of graphene as an effective corrosion-inhibiting coating on metal surfaces, here exemplified by the hex-reconstructed Pt(100) surface, are probed by scanning tunneling microscopy measurements and density functional theory calculations. While exposure of small molecules directly onto...... against CO is observed at CO pressures below 106 mbar. However, at higher pressures CO is observed to intercalate under the graphene coating layer, thus lifting the reconstruction. The limitations of the coating effect are further tested by exposure to hot atomic hydrogen. While the coating can withstand...... these extreme conditions for a limited amount of time, after substantial exposure, the Pt(100) reconstruction is lifted. Annealing experiments and density functional theory calculations demonstrate that the basal plane of the graphene stays intact and point to a graphene-mediated mechanism for the H...

  3. An atomic-force-microscopy study of the structure of surface layers of intact fibroblasts

    Science.gov (United States)

    Khalisov, M. M.; Ankudinov, A. V.; Penniyaynen, V. A.; Nyapshaev, I. A.; Kipenko, A. V.; Timoshchuk, K. I.; Podzorova, S. A.; Krylov, B. V.

    2017-02-01

    Intact embryonic fibroblasts on a collagen-treated substrate have been studied by atomic-force microscopy (AFM) using probes of two types: (i) standard probes with tip curvature radii of 2-10 nm and (ii) special probes with a calibrated 325-nm SiO2 ball radius at the tip apex. It is established that, irrespective of probe type, the average maximum fibroblast height is on a level of 1.7 μm and the average stiffness of the probe-cell contact amounts to 16.5 mN/m. The obtained AFM data reveal a peculiarity of the fibroblast structure, whereby its external layers move as a rigid shell relative to the interior and can be pressed inside to a depth dependent on the load only.

  4. Atomic layer deposited oxide films as protective interface layers for integrated graphene transfer

    Science.gov (United States)

    Cabrero-Vilatela, A.; Alexander-Webber, J. A.; Sagade, A. A.; Aria, A. I.; Braeuninger-Weimer, P.; Martin, M.-B.; Weatherup, R. S.; Hofmann, S.

    2017-12-01

    The transfer of chemical vapour deposited graphene from its parent growth catalyst has become a bottleneck for many of its emerging applications. The sacrificial polymer layers that are typically deposited onto graphene for mechanical support during transfer are challenging to remove completely and hence leave graphene and subsequent device interfaces contaminated. Here, we report on the use of atomic layer deposited (ALD) oxide films as protective interface and support layers during graphene transfer. The method avoids any direct contact of the graphene with polymers and through the use of thicker ALD layers (≥100 nm), polymers can be eliminated from the transfer-process altogether. The ALD film can be kept as a functional device layer, facilitating integrated device manufacturing. We demonstrate back-gated field effect devices based on single-layer graphene transferred with a protective Al2O3 film onto SiO2 that show significantly reduced charge trap and residual carrier densities. We critically discuss the advantages and challenges of processing graphene/ALD bilayer structures.

  5. Overview of atomic layer etching in the semiconductor industry

    International Nuclear Information System (INIS)

    Kanarik, Keren J.; Lill, Thorsten; Hudson, Eric A.; Sriraman, Saravanapriyan; Tan, Samantha; Marks, Jeffrey; Vahedi, Vahid; Gottscho, Richard A.

    2015-01-01

    Atomic layer etching (ALE) is a technique for removing thin layers of material using sequential reaction steps that are self-limiting. ALE has been studied in the laboratory for more than 25 years. Today, it is being driven by the semiconductor industry as an alternative to continuous etching and is viewed as an essential counterpart to atomic layer deposition. As we enter the era of atomic-scale dimensions, there is need to unify the ALE field through increased effectiveness of collaboration between academia and industry, and to help enable the transition from lab to fab. With this in mind, this article provides defining criteria for ALE, along with clarification of some of the terminology and assumptions of this field. To increase understanding of the process, the mechanistic understanding is described for the silicon ALE case study, including the advantages of plasma-assisted processing. A historical overview spanning more than 25 years is provided for silicon, as well as ALE studies on oxides, III–V compounds, and other materials. Together, these processes encompass a variety of implementations, all following the same ALE principles. While the focus is on directional etching, isotropic ALE is also included. As part of this review, the authors also address the role of power pulsing as a predecessor to ALE and examine the outlook of ALE in the manufacturing of advanced semiconductor devices

  6. Overview of atomic layer etching in the semiconductor industry

    Energy Technology Data Exchange (ETDEWEB)

    Kanarik, Keren J., E-mail: keren.kanarik@lamresearch.com; Lill, Thorsten; Hudson, Eric A.; Sriraman, Saravanapriyan; Tan, Samantha; Marks, Jeffrey; Vahedi, Vahid; Gottscho, Richard A. [Lam Research Corporation, 4400 Cushing Parkway, Fremont, California 94538 (United States)

    2015-03-15

    Atomic layer etching (ALE) is a technique for removing thin layers of material using sequential reaction steps that are self-limiting. ALE has been studied in the laboratory for more than 25 years. Today, it is being driven by the semiconductor industry as an alternative to continuous etching and is viewed as an essential counterpart to atomic layer deposition. As we enter the era of atomic-scale dimensions, there is need to unify the ALE field through increased effectiveness of collaboration between academia and industry, and to help enable the transition from lab to fab. With this in mind, this article provides defining criteria for ALE, along with clarification of some of the terminology and assumptions of this field. To increase understanding of the process, the mechanistic understanding is described for the silicon ALE case study, including the advantages of plasma-assisted processing. A historical overview spanning more than 25 years is provided for silicon, as well as ALE studies on oxides, III–V compounds, and other materials. Together, these processes encompass a variety of implementations, all following the same ALE principles. While the focus is on directional etching, isotropic ALE is also included. As part of this review, the authors also address the role of power pulsing as a predecessor to ALE and examine the outlook of ALE in the manufacturing of advanced semiconductor devices.

  7. Atomic hydrogen storage method and apparatus

    Science.gov (United States)

    Woollam, J. A. (Inventor)

    1980-01-01

    Atomic hydrogen, for use as a fuel or as an explosive, is stored in the presence of a strong magnetic field in exfoliated layered compounds such as molybdenum disulfide or an elemental layer material such as graphite. The compounds maintained at liquid helium temperatures and the atomic hydrogen is collected on the surfaces of the layered compound which are exposed during delamination (exfoliation). The strong magnetic field and the low temperature combine to prevent the atoms of hydrogen from recombining to form molecules.

  8. Self-excitation of Rydberg atoms at a metal surface

    DEFF Research Database (Denmark)

    Bordo, Vladimir

    2017-01-01

    The novel effect of self-excitation of an atomic beam propagating above a metal surface is predicted and a theory is developed. Its underlying mechanism is positive feedback provided by the reflective surface for the atomic polarization. Under certain conditions the atomic beam flying in the near...... field of the metal surface acts as an active device that supports sustained atomic dipole oscillations, which generate, in their turn, an electromagnetic field. This phenomenon does not exploit stimulated emission and therefore does not require population inversion in atoms. An experiment with Rydberg...... atoms in which this effect should be most pronounced is proposed and the necessary estimates are given....

  9. Effect of substrate composition on atomic layer deposition using self-assembled monolayers as blocking layers

    International Nuclear Information System (INIS)

    Zhang, Wenyu; Engstrom, James R.

    2016-01-01

    The authors have examined the effect of two molecules that form self-assembled monolayers (SAMs) on the subsequent growth of TaN x by atomic layer deposition (ALD) on two substrate surfaces, SiO 2 and Cu. The SAMs that the authors have investigated include two vapor phase deposited, fluorinated alkyl silanes: Cl 3 Si(CH 2 ) 2 (CF 2 ) 5 CF 3 (FOTS) and (C 2 H 5 O) 3 Si(CH 2 ) 2 (CF 2 ) 7 CF 3 (HDFTEOS). Both the SAMs themselves and the TaN x thin films, grown using Ta[N(CH 3 ) 2 ] 5 and NH 3 , were analyzed ex situ using contact angle, spectroscopic ellipsometry, x-ray photoelectron spectroscopy (XPS), and low energy ion-scattering spectroscopy (LEISS). First, the authors find that both SAMs on SiO 2 are nominally stable at T s  ∼ 300 °C, the substrate temperature used for ALD, while on Cu, the authors find that HDFTEOS thermally desorbs, while FOTS is retained on the surface. The latter result reflects the difference in the head groups of these two molecules. The authors find that both SAMs strongly attenuate the ALD growth of TaN x on SiO 2 , by about a factor of 10, while on Cu, the SAMs have no effect on ALD growth. Results from LEISS and XPS are decisive in determining the nature of the mechanism of growth of TaN x on all surfaces. Growth on SiO 2 is 2D and approximately layer-by-layer, while on the surfaces terminated by the SAMs, it nucleates at defect sites, is islanded, and is 3D. In the latter case, our results support growth of the TaN x thin film over the SAM, with a considerable delay in formation of a continuous thin film. Growth on Cu, with or without the SAMs, is also 3D and islanded, and there is also a delay in the formation of a continuous thin film as compared to growth on SiO 2 . These results highlight the power of coupling measurements from both LEISS and XPS in examinations of ultrathin films formed by ALD

  10. Quantified Differentiation of Surface Topography for Nano-materials As-Obtained from Atomic Force Microscopy Images

    Science.gov (United States)

    Gupta, Mousumi; Chatterjee, Somenath

    2018-04-01

    Surface texture is an important issue to realize the nature (crest and trough) of surfaces. Atomic force microscopy (AFM) image is a key analysis for surface topography. However, in nano-scale, the nature (i.e., deflection or crack) as well as quantification (i.e., height or depth) of deposited layers is essential information for material scientist. In this paper, a gradient-based K-means algorithm is used to differentiate the layered surfaces depending on their color contrast of as-obtained from AFM images. A transformation using wavelet decomposition is initiated to extract the information about deflection or crack on the material surfaces from the same images. Z-axis depth analysis from wavelet coefficients provides information about the crack present in the material. Using the above method corresponding surface information for the material is obtained. In addition, the Gaussian filter is applied to remove the unwanted lines, which occurred during AFM scanning. Few known samples are taken as input, and validity of the above approaches is shown.

  11. Single atom self-diffusion on nickel surfaces

    International Nuclear Information System (INIS)

    Tung, R.T.; Graham, W.R.

    1980-01-01

    Results of a field ion microscope study of single atom self-diffusion on Ni(311), (331), (110), (111) and (100) planes are presented, including detailed information on the self-diffusion parameters on (311), (331), and (110) surfaces, and activation energies for diffusion on the (111), and (100) surfaces. Evidence is presented for the existence of two types of adsorption site and surface site geometry for single nickel atoms on the (111) surface. The presence of adsorbed hydrogen on the (110), (311), and (331) surfaces is shown to lower the onset temperature for self-diffusion on these planes. (orig.)

  12. Layering and Ordering in Electrochemical Double Layers

    Energy Technology Data Exchange (ETDEWEB)

    Liu, Yihua [Materials Science Division, Argonne National Laboratory, Argonne, Illinois 60439, United States; Kawaguchi, Tomoya [Materials Science Division, Argonne National Laboratory, Argonne, Illinois 60439, United States; Pierce, Michael S. [Rochester Institute of Technology, School of Physics and Astronomy, Rochester, New York 14623, United States; Komanicky, Vladimir [Faculty of Science, Safarik University, 041 54 Kosice, Slovakia; You, Hoydoo [Materials Science Division, Argonne National Laboratory, Argonne, Illinois 60439, United States

    2018-02-26

    Electrochemical double layers (EDL) form at electrified interfaces. While Gouy-Chapman model describes moderately charged EDL, formation of Stern layers was predicted for highly charged EDL. Our results provide structural evidence for a Stern layer of cations, at potentials close to hydrogen evolution in alkali fluoride and chloride electrolytes. Layering was observed by x-ray crystal truncation rods and atomic-scale recoil responses of Pt(111) surface layers. Ordering in the layer is confirmed by glancing-incidence in-plane diffraction measurements.

  13. Structure fragmentation of a surface layer of commercial purity titanium during ultrasonic impact treatment

    International Nuclear Information System (INIS)

    Kozelskaya, Anna; Kazachenok, Marina; Sinyakova, Elena; Pochivalov, Yurii; Perevalova, Olga; Panin, Alexey; Hairullin, Rustam

    2015-01-01

    The mechanisms of surface layer fragmentation of titanium specimens subjected to ultrasonic impact treatment is investigated by atomic force microscopy, transmission electron microscopy and electron backscatter diffraction. It is shown that the twin boundaries Σ7b and Σ11b are unable to be strong obstacles for propagation of dislocations and other twins

  14. Tribochemical interaction between nanoparticles and surfaces of selective layer during chemical mechanical polishing

    International Nuclear Information System (INIS)

    Ilie, Filip

    2013-01-01

    Nanoparticles have been widely used in polish slurries such as those in the chemical mechanical polishing (CMP) process. For understanding the mechanisms of CMP, an atomic force microscope (AFM) is used to characterize polished surfaces of selective layers, after a set of polishing experiments. To optimize the CMP polishing process, one needs to get information on the interaction between the nano-abrasive slurry nanoparticles and the surface of selective layer being polished. The slurry used in CMP process of the solid surfaces is slurry with large nanoparticle size colloidal silica sol nano-abrasives. Silica sol nano-abrasives with large nanoparticle are prepared and characterized by transmission electron microscopy, particles colloidal size, and Zeta potential in this paper. The movement of nanoparticles in liquid and the interaction between nanoparticles and solid surfaces coating with selective layer are very important to obtain an atomic alloy smooth surface in the CMP process. We investigate the nanoparticle adhesion and removal processes during CMP and post-CMP cleaning. The mechanical interaction between nanoparticles and the wafer surface was studied using a microcontact wear model. This model considers the nanoparticle effects between the polishing interfaces during load balancing. Experimental results on polishing and cleaning are compared with numerical analysis. This paper suggests that during post-CMP cleaning, a combined effort in chemical and mechanical interaction (tribochemical interactions) would be effective in removal of small nanoparticles during cleaning. For large nanoparticles, more mechanical forces would be more effective. CMP results show that the removal rate has been improved to 367 nm/min and root mean square (RMS) of roughness has been reduced from 4.4 to 0.80 nm. Also, the results show that the silica sol nano-abrasives about 100 nm are of higher stability (Zeta potential is −65 mV) and narrow distribution of nanoparticle

  15. Atomic Force Microscopy and Real Atomic Resolution. Simple Computer Simulations

    NARCIS (Netherlands)

    Koutsos, V.; Manias, E.; Brinke, G. ten; Hadziioannou, G.

    1994-01-01

    Using a simple computer simulation for AFM imaging in the contact mode, pictures with true and false atomic resolution are demonstrated. The surface probed consists of two f.c.c. (111) planes and an atomic vacancy is introduced in the upper layer. Changing the size of the effective tip and its

  16. Atomic to Nanoscale Investigation of Functionalities of an Al2O3 Coating Layer on a Cathode for Enhanced Battery Performance

    Energy Technology Data Exchange (ETDEWEB)

    Yan, Pengfei; Zheng, Jianming; Zhang, Xiaofeng; Xu, Rui; Amine, Khalil; Xiao, J; Zhang, Ji-Guang; Wang, Chong-Min

    2016-02-09

    Surface coating has been identified as an effective approach for enhancing the capacity retention of layered structure cathode. However, the underlying operating mechanism of such a thin coating layer, in terms of surface chemical functionality and capacity retention, remains unclear. In this work, we use aberration-corrected scanning transmission electron microscopy and high-efficiency spectroscopy to probe the delicate functioning mechanism of an Al2O3 coating layer on a Li1.2Ni0.2Mn0.6O2 cathode. We discovered that in terms of surface chemical function, the Al2O3 coating suppresses the side reaction between the cathode and the electrolyte during battery cycling. At the same time, the Al2O3 coating layer also eliminates the chemical reduction of Mn from the cathode particle surface, therefore preventing the dissolution of the reduced Mn into the electrolyte. In terms of structural stability, we found that the Al2O3 coating layer can mitigate the layer to spinel phase transformation, which otherwise will be initiated from the particle surface and propagate toward the interior of the particle with the progression of battery cycling. The atomic to nanoscale effects of the coating layer observed here provide insight into the optimized design of a coating layer on a cathode to enhance the battery properties.

  17. Atomic layer deposited high-k dielectric on graphene by functionalization through atmospheric plasma treatment

    Science.gov (United States)

    Shin, Jeong Woo; Kang, Myung Hoon; Oh, Seongkook; Yang, Byung Chan; Seong, Kwonil; Ahn, Hyo-Sok; Lee, Tae Hoon; An, Jihwan

    2018-05-01

    Atomic layer-deposited (ALD) dielectric films on graphene usually show noncontinuous and rough morphology owing to the inert surface of graphene. Here, we demonstrate the deposition of thin and uniform ALD ZrO2 films with no seed layer on chemical vapor-deposited graphene functionalized by atmospheric oxygen plasma treatment. Transmission electron microscopy showed that the ALD ZrO2 films were highly crystalline, despite a low ALD temperature of 150 °C. The ALD ZrO2 film served as an effective passivation layer for graphene, which was shown by negative shifts in the Dirac voltage and the enhanced air stability of graphene field-effect transistors after ALD of ZrO2. The ALD ZrO2 film on the functionalized graphene may find use in flexible graphene electronics and biosensors owing to its low process temperature and its capacity to improve device performance and stability.

  18. Quantitative characterization of the atomic-scale structure of oxyhydroxides in rusts formed on steel surfaces

    International Nuclear Information System (INIS)

    Saito, M.; Suzuki, S.; Kimura, M.; Suzuki, T.; Kihira, H.; Waseda, Y.

    2005-01-01

    Quantitative X-ray structural analysis coupled with anomalous X-ray scattering has been used for characterizing the atomic-scale structure of rust formed on steel surfaces. Samples were prepared from rust layers formed on the surfaces of two commercial steels. X-ray scattered intensity profiles of the two samples showed that the rusts consisted mainly of two types of ferric oxyhydroxide, α-FeOOH and γ-FeOOH. The amounts of these rust components and the realistic atomic arrangements in the components were estimated by fitting both the ordinary and the environmental interference functions with a model structure calculated using the reverse Monte Carlo simulation technique. The two rust components were found to be the network structure formed by FeO 6 octahedral units, the network structure itself deviating from the ideal case. The present results also suggest that the structural analysis method using anomalous X-ray scattering and the reverse Monte Carlo technique is very successful in determining the atomic-scale structure of rusts formed on the steel surfaces

  19. Modification on surface oxide layer structure and surface morphology of niobium by gas cluster ion beam treatments

    International Nuclear Information System (INIS)

    Wu, A.T.; Swenson, D.R.; Insepov, Z.

    2010-01-01

    Recently, it was demonstrated that significant reductions in field emission on Nb surfaces could be achieved by means of a new surface treatment technique called gas cluster ion beam (GCIB). Further study as shown in this paper revealed that GCIB treatments could modify surface irregularities and remove surface asperities leading to a smoother surface finish as demonstrated through measurements using a 3D profilometer, an atomic force microscope, and a scanning electron microscope. These experimental observations were supported by computer simulation via atomistic molecular dynamics and a phenomenological surface dynamics. Measurements employing a secondary ion mass spectrometry found that GCIB could also alter Nb surface oxide layer structure. Possible implications of the experimental results on the performance of Nb superconducting radio frequency cavities treated by GCIB will be discussed. First experimental results on Nb single cell superconducting radio frequency cavities treated by GCIB will be reported.

  20. Hyperthermal (10-500 eV) collisions of noble gases with Ni(100) surface. Comparison between light and heavy atom collisions

    International Nuclear Information System (INIS)

    Kim, C.

    1995-01-01

    Collisional events between 10-500 eV atomic beams (He, Ne, Ar, Kr, and Xe) and a Ni(100) surface are investigated by the classical trajectory method. The calculation employs a molecular dynamics approach combined with a Langevin method for treating energy dissipation to infinite solid. We find that low energy collisions of heavy atoms (Xe and Kr) are characterized by extensive many-body interactions with top layer surface atoms. On the other hand, light atom (Ne and He) collisions can be approximated as a sequence of binary collisions even at these energies. Such a difference in the collisional nature gives rise to the following consequences. Low energy heavy atoms transfer energy mostly to the surface atoms during 45 angle collision. They scatter from the surface with a narrow angular distribution centered in a supraspecular direction. The ratio of the scattered to incident particle energy rapidly decreases with increasing beam energy of heavy atoms. The sputtering yield for Ni atoms by heavy atom bombardment increases quite linearly with beam energy, which is attributed to a linear proportionality between the beam energy and the energy transfered to a surface. Near the threshold energy sputtering can occur more efficiently by light atom bombardment. The energy transfer ratio to solid continuously increases with beam energy for light atoms. For heavy projectiles, on the other hand, this ratio reaches a maximum at the energy of ca, 100 eV, above which it stays nearly constant but slightly decreases. ((orig.))

  1. Carbon nanotube forests growth using catalysts from atomic layer deposition

    Energy Technology Data Exchange (ETDEWEB)

    Chen, Bingan; Zhang, Can; Esconjauregui, Santiago; Xie, Rongsi; Zhong, Guofang; Robertson, John [Department of Engineering, University of Cambridge, Cambridge CB3 0FA (United Kingdom); Bhardwaj, Sunil [Istituto Officina dei Materiali-CNR Laboratorio TASC, s.s. 14, km 163.4, I-34012 Trieste (Italy); Sincrotone Trieste S.C.p.A., s.s. 14, km 163.4, I-34149 Trieste (Italy); Cepek, Cinzia [Istituto Officina dei Materiali-CNR Laboratorio TASC, s.s. 14, km 163.4, I-34012 Trieste (Italy)

    2014-04-14

    We have grown carbon nanotubes using Fe and Ni catalyst films deposited by atomic layer deposition. Both metals lead to catalytically active nanoparticles for growing vertically aligned nanotube forests or carbon fibres, depending on the growth conditions and whether the substrate is alumina or silica. The resulting nanotubes have narrow diameter and wall number distributions that are as narrow as those grown from sputtered catalysts. The state of the catalyst is studied by in-situ and ex-situ X-ray photoemission spectroscopy. We demonstrate multi-directional nanotube growth on a porous alumina foam coated with Fe prepared by atomic layer deposition. This deposition technique can be useful for nanotube applications in microelectronics, filter technology, and energy storage.

  2. Adsorption/desorption kinetics of Na atoms on reconstructed Si (111)-7 x 7 surface

    International Nuclear Information System (INIS)

    Chauhan, Amit Kumar Singh; Govind; Shivaprasad, S.M.

    2010-01-01

    Self-assembled nanostructures on a periodic template are fundamentally and technologically important as they put forward the possibility to fabricate and pattern micro/nano-electronics for sensors, ultra high-density memories and nanocatalysts. Alkali-metal (AM) nanostructure grown on a semiconductor surface has received considerable attention because of their simple hydrogen like electronic structure. However, little efforts have been made to understand the fundamental aspects of the growth mechanism of self-assembled nanostructures of AM on semiconductor surfaces. In this paper, we report organized investigation of kinetically controlled room-temperature (RT) adsorption/desorption of sodium (Na) metal atoms on clean reconstructed Si (111)-7 x 7 surface, by X-ray photoelectron spectroscopy (XPS). The RT uptake curve shows a layer-by-layer growth (Frank-vander Merve growth) mode of Na on Si (111)-7 x 7 surfaces and a shift is observed in the binding energy position of Na (1s) spectra. The thermal stability of the Na/Si (111) system was inspected by annealing the system to higher substrate temperatures. Within a temperature range from RT to 350 o C, the temperature induced mobility to the excess Na atoms sitting on top of the bilayer, allowing to arrange themselves. Na atoms desorbed over a wide temperature range of 370 o C, before depleting the Si (111) surface at temperature 720 o C. The acquired valence-band (VB) spectra during Na growth revealed the development of new electronic-states near the Fermi level and desorption leads the termination of these. For Na adsorption up to 2 monolayers, decrease in work function (-1.35 eV) was observed, whereas work function of the system monotonically increases with Na desorption from the Si surface as observed by other studies also. This kinetic and thermodynamic study of Na adsorbed Si (111)-7 x 7 system can be utilized in fabrication of sensors used in night vision devices.

  3. Atomic diffusion induced degradation in bimetallic layer coated cemented tungsten carbide

    International Nuclear Information System (INIS)

    Peng, Zirong; Rohwerder, Michael; Choi, Pyuck-Pa; Gault, Baptiste; Meiners, Thorsten; Friedrichs, Marcel; Kreilkamp, Holger; Klocke, Fritz; Raabe, Dierk

    2017-01-01

    Highlights: • We study the temporal degradation of PtIr/Cr/WC and PtIr/Ni/WC systems. • Short cut diffusion, segregation, oxidation and interdiffusion reactions occurred. • Outward diffusion of Cr (Ni) via PtIr grain boundaries triggered the degradation. • The microstructure of the PtIr layer controlled the systems stability. • We propose an atomic diffusion induced degradation mechanism. - Abstract: We investigated the temporal degradation of glass moulding dies, made of cemented tungsten carbide coated with PtIr on an adhesive Cr or Ni interlayer, by electron microscopy and atom probe tomography. During the exposure treatments at 630 °C under an oxygen partial pressure of 1.12 × 10"−"2"3 bar, Cr (Ni) was found to diffuse outwards via grain boundaries in the PtIr, altering the surface morphology. Upon dissolution of the interlayer, the WC substrate also started degrading. Extensive interdiffusion processes involving PtIr, Cr (Ni) and WC took place, leading to the formation of intermetallic phases and voids, deteriorating the adhesion of the coating.

  4. Alkali-resistant low-temperature atomic-layer-deposited oxides for optical fiber sensor overlays

    Science.gov (United States)

    Kosiel, K.; Dominik, M.; Ściślewska, I.; Kalisz, M.; Guziewicz, M.; Gołaszewska, K.; Niedziółka-Jonsson, J.; Bock, W. J.; Śmietana, M.

    2018-04-01

    This paper presents an investigation of properties of selected metallic oxides deposited at a low temperature (100 °C) by atomic layer deposition (ALD) technique, relating to their applicability as thin overlays for optical fiber sensors resistant in alkaline environments. Hafnium oxide (Hf x O y with y/x approx. 2.70), tantalum oxide (Ta x O y with y/x approx. 2.75) and zirconium oxide (Zr x O y with y/x approx. 2.07), which deposition was based, respectively, on tetrakis(ethylmethyl)hafnium, tantalum pentachloride and tetrakis(ethylmethyl)zirconium with deionized water, were tested as thin layers on planar Si (100) and glass substrates. Growth per cycle (GPC) in the ALD processes was 0.133-0.150 nm/cycle. Run-to-run GPC reproducibility of the ALD processes was best for Hf x O y (0.145 ± 0.001 nm/cycle) and the poorest for Ta x O y (0.133 ± 0.003 nm/cycle). Refractive indices n of the layers were 2.00-2.10 (at the wavelength λ = 632 nm), with negligible k value (at λ for 240-930 nm). The oxides examined by x-ray diffractometry proved to be amorphous, with only small addition of crystalline phases for the Zr x O y . The surfaces of the oxides had grainy but smooth topographies with root-mean square roughness ˜0.5 nm (at 10 × 10 μm2 area) according to atomic force microscopy. Ellipsometric measurements, by contrast, suggest rougher surfaces for the Zr x O y layers. The surfaces were also slightly rougher on the glass-based samples than on the Si-based ones. Nanohardness and Young modules were 4.90-8.64 GPa and 83.7-104.4 GPa, respectively. The tests of scratch resistance revealed better tribological properties for the Hf x O y and the Ta x O y than for the Zr x O y . The surfaces were hydrophilic, with wetting angles of 52.5°-62.9°. The planar oxides on Si, being resistive even to concentrated alkali (pH 14), proved to be significantly more alkali-resistive than Al2O3. The Ta x O y overlay was deposited on long-period grating sensor induced in optical

  5. Surface Passivation of Silicon Using HfO2 Thin Films Deposited by Remote Plasma Atomic Layer Deposition System.

    Science.gov (United States)

    Zhang, Xiao-Ying; Hsu, Chia-Hsun; Lien, Shui-Yang; Chen, Song-Yan; Huang, Wei; Yang, Chih-Hsiang; Kung, Chung-Yuan; Zhu, Wen-Zhang; Xiong, Fei-Bing; Meng, Xian-Guo

    2017-12-01

    Hafnium oxide (HfO 2 ) thin films have attracted much attention owing to their usefulness in equivalent oxide thickness scaling in microelectronics, which arises from their high dielectric constant and thermodynamic stability with silicon. However, the surface passivation properties of such films, particularly on crystalline silicon (c-Si), have rarely been reported upon. In this study, the HfO 2 thin films were deposited on c-Si substrates with and without oxygen plasma pretreatments, using a remote plasma atomic layer deposition system. Post-annealing was performed using a rapid thermal processing system at different temperatures in N 2 ambient for 10 min. The effects of oxygen plasma pretreatment and post-annealing on the properties of the HfO 2 thin films were investigated. They indicate that the in situ remote plasma pretreatment of Si substrate can result in the formation of better SiO 2 , resulting in a better chemical passivation. The deposited HfO 2 thin films with oxygen plasma pretreatment and post-annealing at 500 °C for 10 min were effective in improving the lifetime of c-Si (original lifetime of 1 μs) to up to 67 μs.

  6. Controlled amino-functionalization by electrochemical reduction of bromo and nitro azobenzene layers bound to Si(111) surfaces

    NARCIS (Netherlands)

    Ullien, D.; Thüne, P.C.; Jager, W.F.; Sudhölter, E.J.R.; De Smet, L.C.P.M.

    2014-01-01

    4-Nitrobenzenediazonium (4-NBD) and 4-bromobenzenediazonium (4-BBD) salts were grafted electrochemically onto H-terminated, p-doped silicon (Si) surfaces. Atomic force microscopy (AFM) and ellipsometry experiments clearly showed layer thicknesses of 2–7 nm, which indicate multilayer formation.

  7. Efficiency Enhancement of Nanotextured Black Silicon Solar Cells Using Al2O3/TiO2 Dual-Layer Passivation Stack Prepared by Atomic Layer Deposition.

    Science.gov (United States)

    Wang, Wei-Cheng; Tsai, Meng-Chen; Yang, Jason; Hsu, Chuck; Chen, Miin-Jang

    2015-05-20

    In this study, efficient nanotextured black silicon (NBSi) solar cells composed of silicon nanowire arrays and an Al2O3/TiO2 dual-layer passivation stack on the n(+) emitter were fabricated. The highly conformal Al2O3 and TiO2 surface passivation layers were deposited on the high-aspect-ratio surface of the NBSi wafers using atomic layer deposition. Instead of the single Al2O3 passivation layer with a negative oxide charge density, the Al2O3/TiO2 dual-layer passivation stack treated with forming gas annealing provides a high positive oxide charge density and a low interfacial state density, which are essential for the effective field-effect and chemical passivation of the n(+) emitter. In addition, the Al2O3/TiO2 dual-layer passivation stack suppresses the total reflectance over a broad range of wavelengths (400-1000 nm). Therefore, with the Al2O3/TiO2 dual-layer passivation stack, the short-circuit current density and efficiency of the NBSi solar cell were increased by 11% and 20%, respectively. In conclusion, a high efficiency of 18.5% was achieved with the NBSi solar cells by using the n(+)-emitter/p-base structure passivated with the Al2O3/TiO2 stack.

  8. Optimizing pentacene thin-film transistor performance: Temperature and surface condition induced layer growth modification.

    Science.gov (United States)

    Lassnig, R; Hollerer, M; Striedinger, B; Fian, A; Stadlober, B; Winkler, A

    2015-11-01

    In this work we present in situ electrical and surface analytical, as well as ex situ atomic force microscopy (AFM) studies on temperature and surface condition induced pentacene layer growth modifications, leading to the selection of optimized deposition conditions and entailing performance improvements. We prepared p ++ -silicon/silicon dioxide bottom-gate, gold bottom-contact transistor samples and evaluated the pentacene layer growth for three different surface conditions (sputtered, sputtered + carbon and unsputtered + carbon) at sample temperatures during deposition of 200 K, 300 K and 350 K. The AFM investigations focused on the gold contacts, the silicon dioxide channel region and the highly critical transition area. Evaluations of coverage dependent saturation mobilities, threshold voltages and corresponding AFM analysis were able to confirm that the first 3-4 full monolayers contribute to the majority of charge transport within the channel region. At high temperatures and on sputtered surfaces uniform layer formation in the contact-channel transition area is limited by dewetting, leading to the formation of trenches and the partial development of double layer islands within the channel region instead of full wetting layers. By combining the advantages of an initial high temperature deposition (well-ordered islands in the channel) and a subsequent low temperature deposition (continuous film formation for low contact resistance) we were able to prepare very thin (8 ML) pentacene transistors of comparably high mobility.

  9. Consequences of atomic layer etching on wafer scale uniformity in inductively coupled plasmas

    Science.gov (United States)

    Huard, Chad M.; Lanham, Steven J.; Kushner, Mark J.

    2018-04-01

    Atomic layer etching (ALE) typically divides the etching process into two self-limited reactions. One reaction passivates a single layer of material while the second preferentially removes the passivated layer. As such, under ideal conditions the wafer scale uniformity of ALE should be independent of the uniformity of the reactant fluxes onto the wafers, provided all surface reactions are saturated. The passivation and etch steps should individually asymptotically saturate after a characteristic fluence of reactants has been delivered to each site. In this paper, results from a computational investigation are discussed regarding the uniformity of ALE of Si in Cl2 containing inductively coupled plasmas when the reactant fluxes are both non-uniform and non-ideal. In the parameter space investigated for inductively coupled plasmas, the local etch rate for continuous processing was proportional to the ion flux. When operated with saturated conditions (that is, both ALE steps are allowed to self-terminate), the ALE process is less sensitive to non-uniformities in the incoming ion flux than continuous etching. Operating ALE in a sub-saturation regime resulted in less uniform etching. It was also found that ALE processing with saturated steps requires a larger total ion fluence than continuous etching to achieve the same etch depth. This condition may result in increased resist erosion and/or damage to stopping layers using ALE. While these results demonstrate that ALE provides increased etch depth uniformity, they do not show an improved critical dimension uniformity in all cases. These possible limitations to ALE processing, as well as increased processing time, will be part of the process optimization that includes the benefits of atomic resolution and improved uniformity.

  10. Charge-state distribution of MeV He ions scattered from the surface atoms

    International Nuclear Information System (INIS)

    Kimura, Kenji; Ohtsuka, Hisashi; Mannami, Michihiko

    1993-01-01

    The charge-state distribution of 500-keV He ions scattered from a SnTe (001) surface has been investigated using a new technique of high-resolution high-energy ion scattering spectroscopy. The observed charge-state distribution of ions scattered from the topmost atomic layer coincides with that of ions scattered from the subsurface region and does not depend on the incident charge state but depends on the exit angle. The observed exit-angle dependence is explained by a model which includes the charge-exchange process with the valence electrons in the tail of the electron distribution at the surface. (author)

  11. Atomic Layer Deposition on Porous Materials: Problems with Conventional Approaches to Catalyst and Fuel Cell Electrode Preparation

    Directory of Open Access Journals (Sweden)

    Tzia Ming Onn

    2018-03-01

    Full Text Available Atomic layer deposition (ALD offers exciting possibilities for controlling the structure and composition of surfaces on the atomic scale in heterogeneous catalysts and solid oxide fuel cell (SOFC electrodes. However, while ALD procedures and equipment are well developed for applications involving flat surfaces, the conditions required for ALD in porous materials with a large surface area need to be very different. The materials (e.g., rare earths and other functional oxides that are of interest for catalytic applications will also be different. For flat surfaces, rapid cycling, enabled by high carrier-gas flow rates, is necessary in order to rapidly grow thicker films. By contrast, ALD films in porous materials rarely need to be more than 1 nm thick. The elimination of diffusion gradients, efficient use of precursors, and ligand removal with less reactive precursors are the major factors that need to be controlled. In this review, criteria will be outlined for the successful use of ALD in porous materials. Examples of opportunities for using ALD to modify heterogeneous catalysts and SOFC electrodes will be given.

  12. The importance of dye chemistry and TiCl4 surface treatment in the behavior of Al2O3 recombination barrier layers deposited by atomic layer deposition in solid-state dye-sensitized solar cells

    KAUST Repository

    Brennan, Thomas P.

    2012-01-01

    Atomic layer deposition (ALD) was used to fabricate Al 2O 3 recombination barriers in solid-state dye-sensitized solar cells (ss-DSSCs) employing an organic hole transport material (HTM) for the first time. Al 2O 3 recombination barriers of varying thickness were incorporated into efficient ss-DSSCs utilizing the Z907 dye adsorbed onto a 2 μm-thick nanoporous TiO 2 active layer and the HTM spiro-OMeTAD. The impact of Al 2O 3 barriers was also studied in devices employing different dyes, with increased active layer thicknesses, and with substrates that did not undergo the TiCl 4 surface treatment. In all instances, electron lifetimes (as determined by transient photovoltage measurements) increased and dark current was suppressed after Al 2O 3 deposition. However, only when the TiCl 4 treatment was eliminated did device efficiency increase; in all other instances efficiency decreased due to a drop in short-circuit current. These results are attributed in the former case to the similar effects of Al 2O 3 ALD and the TiCl 4 surface treatment whereas the insulating properties of Al 2O 3 hinder charge injection and lead to current loss in TiCl 4-treated devices. The impact of Al 2O 3 barrier layers was unaffected by doubling the active layer thickness or using an alternative ruthenium dye, but a metal-free donor-π-acceptor dye exhibited a much smaller decrease in current due to its higher excited state energy. We develop a model employing prior research on Al 2O 3 growth and dye kinetics that successfully predicts the reduction in device current as a function of ALD cycles and is extendable to different dye-barrier systems. © This journal is the Owner Societies 2012.

  13. Atomic Layer Deposition to Enable the Production, Optimization and Protection of Spaceflight Hardware

    Data.gov (United States)

    National Aeronautics and Space Administration — Atomic Layer Deposition (ALD) a cost effective nano-manufacturing technique allows for the conformal coating of substrates with atomic control in a benign...

  14. Electrical charging characteristics of the hetero layer film for reducing water-borne paint contamination in electrostatic rotary atomizers

    Energy Technology Data Exchange (ETDEWEB)

    Yamada, Y.; Imanishi, T.; Yoshida, O.; Mizuno, A. [ABB Japan, Tokyo (Japan)

    2010-07-01

    The electrostatic rotary atomizer is the most efficient of all liquid spray painting methods. Its use minimizes the waste of paint and reduces emissions of volatile organic compounds (VOCs). Water-borne painting processes which use water-soluble paint also reduce VOC emissions, but the atomizer body is easily contaminated by the paint mists. The Institute of Electrical and Electronics Engineers (IEEE) considered the causes of water-borne paint contamination and presented the experimental results of a contamination proof system in which the atomizer is surrounded by the repelling film that is charged and repels the incoming paint droplets. Among the key factors for repelling film were electrical properties, such as low capacitance and high insulation to keep high surface potential. Charging uniformity was found to be among the most important characteristic to avoid contamination. The pulse electro-acoustic (PEA) method was used to check these features using space charge measurements inside the repelling film. It was concluded that hetero layer films have more uniform charging characteristics than single layer films.

  15. Self-forming Al oxide barrier for nanoscale Cu interconnects created by hybrid atomic layer deposition of Cu–Al alloy

    Energy Technology Data Exchange (ETDEWEB)

    Park, Jae-Hyung; Han, Dong-Suk; Kang, You-Jin [Division of Nanoscale Semiconductor Engineering, Hanyang University, Seoul 133-791 (Korea, Republic of); Shin, So-Ra; Park, Jong-Wan, E-mail: jwpark@hanyang.ac.kr [Division of Materials Science and Engineering, Hanyang University, Seoul 133-791 (Korea, Republic of)

    2014-01-15

    The authors synthesized a Cu–Al alloy by employing alternating atomic layer deposition (ALD) surface reactions using Cu and Al precursors, respectively. By alternating between these two ALD surface chemistries, the authors fabricated ALD Cu–Al alloy. Cu was deposited using bis(1-dimethylamino-2-methyl-2-butoxy) copper as a precursor and H{sub 2} plasma, while Al was deposited using trimethylaluminum as the precursor and H{sub 2} plasma. The Al atomic percent in the Cu–Al alloy films varied from 0 to 15.6 at. %. Transmission electron microscopy revealed that a uniform Al-based interlayer self-formed at the interface after annealing. To evaluate the barrier properties of the Al-based interlayer and adhesion between the Cu–Al alloy film and SiO{sub 2} dielectric, thermal stability and peel-off adhesion tests were performed, respectively. The Al-based interlayer showed similar thermal stability and adhesion to the reference Mn-based interlayer. Our results indicate that Cu–Al alloys formed by alternating ALD are suitable seed layer materials for Cu interconnects.

  16. Self-forming Al oxide barrier for nanoscale Cu interconnects created by hybrid atomic layer deposition of Cu–Al alloy

    International Nuclear Information System (INIS)

    Park, Jae-Hyung; Han, Dong-Suk; Kang, You-Jin; Shin, So-Ra; Park, Jong-Wan

    2014-01-01

    The authors synthesized a Cu–Al alloy by employing alternating atomic layer deposition (ALD) surface reactions using Cu and Al precursors, respectively. By alternating between these two ALD surface chemistries, the authors fabricated ALD Cu–Al alloy. Cu was deposited using bis(1-dimethylamino-2-methyl-2-butoxy) copper as a precursor and H 2 plasma, while Al was deposited using trimethylaluminum as the precursor and H 2 plasma. The Al atomic percent in the Cu–Al alloy films varied from 0 to 15.6 at. %. Transmission electron microscopy revealed that a uniform Al-based interlayer self-formed at the interface after annealing. To evaluate the barrier properties of the Al-based interlayer and adhesion between the Cu–Al alloy film and SiO 2 dielectric, thermal stability and peel-off adhesion tests were performed, respectively. The Al-based interlayer showed similar thermal stability and adhesion to the reference Mn-based interlayer. Our results indicate that Cu–Al alloys formed by alternating ALD are suitable seed layer materials for Cu interconnects

  17. Significant change of local atomic configurations at surface of reduced activation Eurofer steels induced by hydrogenation treatments

    Energy Technology Data Exchange (ETDEWEB)

    Greculeasa, S.G.; Palade, P.; Schinteie, G. [National Institute for Materials Physics, P.O. Box MG-7, 77125, Bucharest-Magurele (Romania); Kuncser, A.; Stanciu, A. [National Institute for Materials Physics, P.O. Box MG-7, 77125, Bucharest-Magurele (Romania); University of Bucharest, Faculty of Physics, 77125, Bucharest-Magurele (Romania); Lungu, G.A. [National Institute for Materials Physics, P.O. Box MG-7, 77125, Bucharest-Magurele (Romania); Porosnicu, C.; Lungu, C.P. [National Institute for Laser, Plasma and Radiation Physics, 77125, Bucharest-Magurele (Romania); Kuncser, V., E-mail: kuncser@infim.ro [National Institute for Materials Physics, P.O. Box MG-7, 77125, Bucharest-Magurele (Romania)

    2017-04-30

    Highlights: • Engineering of Eurofer slab properties by hydrogenation treatments. • Hydrogenation modifies significantly the local atomic configurations at the surface. • Hydrogenation increases the expulsion of the Cr atoms toward the very surface. • Approaching binomial atomic distribution by hydrogenation in the next surface 100 nm. - Abstract: Reduced-activation steels such as Eurofer alloys are candidates for supporting plasma facing components in tokamak-like nuclear fusion reactors. In order to investigate the impact of hydrogen/deuterium insertion in their crystalline lattice, annealing treatments in hydrogen atmosphere have been applied on Eurofer slabs. The resulting samples have been analyzed with respect to local structure and atomic configuration both before and after successive annealing treatments, by X-ray diffractometry (XRD), scanning electron microscopy and energy dispersive spectroscopy (SEM-EDS), X-ray photoelectron spectroscopy (XPS) and conversion electron Mössbauer spectroscopy (CEMS). The corroborated data point out for a bcc type structure of the non-hydrogenated alloy, with an average alloy composition approaching Fe{sub 0.9}Cr{sub 0.1} along a depth of about 100 nm. EDS elemental maps do not indicate surface inhomogeneities in concentration whereas the Mössbauer spectra prove significant deviations from a homogeneous alloying. The hydrogenation increases the expulsion of the Cr atoms toward the surface layer and decreases their oxidation, with considerable influence on the surface properties of the steel. The hydrogenation treatment is therefore proposed as a potential alternative for a convenient engineering of the surface of different Fe-Cr based alloys.

  18. NREL's Advanced Atomic Layer Deposition Enables Lithium-Ion Battery

    Science.gov (United States)

    Battery Technology News Release: NREL's Advanced Atomic Layer Deposition Enables Lithium-Ion Battery increasingly demanding needs of any battery application. These lithium-ion batteries feature a hybrid solid further customized lithium-ion battery materials for high performance devices by utilizing our patented

  19. Photodesorption of Na atoms from rough Na surfaces

    DEFF Research Database (Denmark)

    Balzer, Frank; Gerlach, R.; Manson, J.R.

    1997-01-01

    We investigate the desorption of Na atoms from large Na clusters deposited on dielectric surfaces. High-resolution translational energy distributions of the desorbing atoms are determined by three independent methods, two-photon laser-induced fluorescence, as well as single-photon and resonance......-enhanced two-photon ionization techniques. Upon variation of surface temperature and for different substrates (mica vs lithium fluoride) clear non-Maxwellian time-of-flight distributions are observed with a cos θ angular dependence and most probable kinetic energies below that expected of atoms desorbing from...... atoms are scattered by surface vibrations. Recent experiments providing time constants for the decay of the optical excitations in the clusters support this model. The excellent agreement between experiment and theory indicates the importance of both absorption of the laser photons via direct excitation...

  20. Surface state of GaN after rapid-thermal-annealing using AlN cap-layer

    Energy Technology Data Exchange (ETDEWEB)

    El-Zammar, G., E-mail: georgio.elzammar@univ-tours.fr [Université François Rabelais, Tours, GREMAN, CNRS UMR 7347, 10 rue Thalès de Milet CS 97155, 37071 Tours Cedex 2 (France); Khalfaoui, W. [Université François Rabelais, Tours, GREMAN, CNRS UMR 7347, 10 rue Thalès de Milet CS 97155, 37071 Tours Cedex 2 (France); Oheix, T. [Université François Rabelais, Tours, GREMAN, CNRS UMR 7347, 10 rue Thalès de Milet CS 97155, 37071 Tours Cedex 2 (France); STMicroelectronics, 10 rue Thalès de Milet CS 97155, 37071 Tours Cedex 2 (France); Yvon, A.; Collard, E. [STMicroelectronics, 10 rue Thalès de Milet CS 97155, 37071 Tours Cedex 2 (France); Cayrel, F.; Alquier, D. [Université François Rabelais, Tours, GREMAN, CNRS UMR 7347, 10 rue Thalès de Milet CS 97155, 37071 Tours Cedex 2 (France)

    2015-11-15

    Graphical abstract: Surface state of a crack-free AlN cap-layer reactive sputtered on GaN and annealed at high temperature showing a smooth, pit-free surface. - Highlights: • We deposit a crystalline AlN layer by reactive magnetron sputtering on GaN. • We show the effect of deposition parameters of AlN by reactive magnetron sputtering on the quality of the grown layer. • We demonstrate the efficiency of double cap-layer for GaN protection during high temperature thermal treatments. • We show an efficient selective etch of AlN without damaging GaN surface. - Abstract: Critical issues need to be overcome to produce high performance Schottky diodes on gallium nitride (GaN). To activate dopant, high temperature thermal treatments are required but damage GaN surface where hexagonal pits appear and prevent any device processing. In this paper, we investigated the efficiency of cap-layers on GaN during thermal treatments to avoid degradation. Aluminum nitride (AlN) and silicon oxide (SiO{sub x}) were grown on GaN by direct current reactive magnetron sputtering and plasma-enhanced chemical vapor deposition, respectively. AlN growth parameters were studied to understand their effect on the grown layers and their protection efficiency. Focused ion beam was used to measure AlN layer thickness. Crystalline quality and exact composition were verified using X-ray diffraction and energy dispersive X-ray spectroscopy. Two types of rapid thermal annealing at high temperatures were investigated. Surface roughness and pits density were evaluated using atomic force microscopy and scanning electron microscopy. Cap-layers wet etching was processed in H{sub 3}PO{sub 4} at 120 °C for AlN and in HF (10%) for SiO{sub x}. This work reveals effective protection of GaN during thermal treatments at temperatures as high as 1150 °C. Low surface roughness was obtained. Furthermore, no hexagonal pit was observed on the surface.

  1. Atomic Layer Deposited Thin Films for Dielectrics, Semiconductor Passivation, and Solid Oxide Fuel Cells

    Science.gov (United States)

    Xu, Runshen

    Atomic layer deposition (ALD) utilizes sequential precursor gas pulses to deposit one monolayer or sub-monolayer of material per cycle based on its self-limiting surface reaction, which offers advantages, such as precise thickness control, thickness uniformity, and conformality. ALD is a powerful means of fabricating nanoscale features in future nanoelectronics, such as contemporary sub-45 nm metal-oxide-semiconductor field effect transistors, photovoltaic cells, near- and far-infrared detectors, and intermediate temperature solid oxide fuel cells. High dielectric constant, kappa, materials have been recognized to be promising candidates to replace traditional SiO2 and SiON, because they enable good scalability of sub-45 nm MOSFET (metal-oxide-semiconductor field-effect transistor) without inducing additional power consumption and heat dissipation. In addition to high dielectric constant, high-kappa materials must meet a number of other requirements, such as low leakage current, high mobility, good thermal and structure stability with Si to withstand high-temperature source-drain activation annealing. In this thesis, atomic layer deposited Er2O3 doped TiO2 is studied and proposed as a thermally stable amorphous high-kappa dielectric on Si substrate. The stabilization of TiO2 in its amorphous state is found to achieve a high permittivity of 36, a hysteresis voltage of less than 10 mV, and a low leakage current density of 10-8 A/cm-2 at -1 MV/cm. In III-V semiconductors, issues including unsatisfied dangling bonds and native oxides often result in inferior surface quality that yields non-negligible leakage currents and degrades the long-term performance of devices. The traditional means for passivating the surface of III-V semiconductors are based on the use of sulfide solutions; however, that only offers good protection against oxidation for a short-term (i.e., one day). In this work, in order to improve the chemical passivation efficacy of III-V semiconductors

  2. Atom probe, AFM and STM study on vacuum fired stainless steel

    International Nuclear Information System (INIS)

    Stupnik, A.; Frank, P.; Leisch, M.

    2008-01-01

    Full text: Stainless steel is one of the most commonly used structural materials for vacuum equipment. An efficient method to reduce the outgassing rate from stainless steel is a high temperature bakeout in vacuum (vacuum firing). This procedure reduces significantly the amount of dissolved hydrogen in the bulk. For the outgassing process the recombination rate of hydrogen atoms to the molecules plays the determining role and recombination is strongly related to the surface structure and composition. To get more detailed information about the surface morphology and composition AFM, STM and atom probe studies were carried out. Experiments on AISI 304L stainless steel samples show that the surface reconstructs completely during vacuum firing and large atomically flat terraces bounded by bunched steps and facets are formed. The large flat terraces can be assigned to (111) planes. The bunched steps and facets are corresponding in orientation almost to (110) planes and (100) planes. Surface inspection after vacuum firing by Auger electron spectroscopy (AES) gives reason for a composition change indicated by a reduction of the chromium signal in relation to the iron and nickel signal. Since the information depth of AES covers several atomic layers not only the top atomic layer of the sample surface is probed. For this reason 3D atom probe was used as well suited tool to investigate the segregation behavior of this alloy with the goal to examine the change in local chemical composition due to the high temperature treatment. As a result of vacuum firing the atom probe experiments show a significant enrichment of nickel at the top surface layer. In the second atomic layer chromium enrichment is detected. After vacuum firing the average composition below the second atomic layer shows certain chromium depletion up to 2 nm in depth. The observed changes in surface chemistry influence recombination and desorption probability from the surface and may contribute to the present

  3. Triangle islands and cavities on the surface of evaporated Cu(In, Ga)Se2 absorber layer

    International Nuclear Information System (INIS)

    Han Anjun; Zhang Yi; Liu Wei; Li Boyan; Sun Yun

    2012-01-01

    Highlights: ► Lots of uncommon triangle islands and cavities are found on (1 1 2) planes terminated by Se atoms of evaporated Cu(In, Ga)Se 2 thin films. ► Se ad-dimer as a nucleus, Cu atom diffusion from Cu(In, Ga)Se 2 grains brings the epitaxial triangle island. ► The triangle islands grow with a two-dimensional layered mode. ► The triangle cavities are formed due to the insufficient coalescence of triangle islands. ► The performance of solar cell without triangle islands is improved. - Abstract: Cu(In, Ga)Se 2 (CIGS) thin films are co-evaporated at a constant substrate temperature of 500 °C on the Mo/soda lime glass substrates. The structural properties and chemical composition of the CIGS films are studied by an X-ray diffractometer (XRD) and an X-ray fluorescent spectrometer (XRF), respectively. A scanning electron microscope (SEM) is used to study the surface morphology. Lots of uncommon triangle islands and cavities are found on some planes of the CIGS thin films. We investigate the formation mechanism of these triangle islands. It is found that the planes with the triangle islands are (1 1 2) planes terminated by Se atoms. Se ad-dimer as a nucleus, Cu diffusion from CIGS grains brings the epitaxial triangle islands which grow with a two-dimensional layered mode. The film with Cu/(Ga + In) = 0.94–0.98 is one key of the formation of these islands. The triangle cavities are formed due to the insufficient coalescence of triangle islands. The growth of triangle islands brings a compact surface with large layered grains and many jagged edges, but no triangle cavity. Finally, we compare the performance of solar cell with triangle islands and layered gains. It is found that the performance of solar cell with large layered gains is improved.

  4. Deposition temperature dependence of material and Si surface passivation properties of O3-based atomic layer deposited Al2O3-based films and stacks

    International Nuclear Information System (INIS)

    Bordihn, Stefan; Mertens, Verena; Müller, Jörg W.; Kessels, W. M. M.

    2014-01-01

    The material composition and the Si surface passivation of aluminum oxide (Al 2 O 3 ) films prepared by atomic layer deposition using Al(CH 3 ) 3 and O 3 as precursors were investigated for deposition temperatures (T Dep ) between 200 °C and 500 °C. The growth per cycle decreased with increasing deposition temperature due to a lower Al deposition rate. In contrast the material composition was hardly affected except for the hydrogen concentration, which decreased from [H] = 3 at. % at 200 °C to [H]  2 O 3 /SiN x stacks complemented the work and revealed similar levels of surface passivation as single-layer Al 2 O 3 films, both for the chemical and field-effect passivation. The fixed charge density in the Al 2 O 3 /SiN x stacks, reflecting the field-effect passivation, was reduced by one order of magnitude from 3·10 12  cm −2 to 3·10 11  cm −2 when T Dep was increased from 300 °C to 500 °C. The level of the chemical passivation changed as well, but the total level of the surface passivation was hardly affected by the value of T Dep . When firing films prepared at of low T Dep , blistering of the films occurred and this strongly reduced the surface passivation. These results presented in this work demonstrate that a high level of surface passivation can be achieved for Al 2 O 3 -based films and stacks over a wide range of conditions when the combination of deposition temperature and annealing or firing temperature is carefully chosen

  5. Atomic layer deposition of Al{sub 2}O{sub 3} for single electron transistors utilizing Pt oxidation and reduction

    Energy Technology Data Exchange (ETDEWEB)

    McConnell, Michael S., E-mail: mmcconn5@nd.edu; Schneider, Louisa C.; Karbasian, Golnaz; Rouvimov, Sergei; Orlov, Alexei O.; Snider, Gregory L. [Department of Electrical Engineering, University of Notre Dame, 275 Fitzpatrick Hall, Notre Dame, Indiana 46556 (United States)

    2016-01-15

    This work describes the fabrication of single electron transistors using electron beam lithography and atomic layer deposition to form nanoscale tunnel transparent junctions of alumina (Al{sub 2}O{sub 3}) on platinum nanowires using either water or ozone as the oxygen precursor and trimethylaluminum as the aluminum precursor. Using room temperature, low frequency conductance measurements between the source and drain, it was found that devices fabricated using water had higher conductance than devices fabricated with ozone. Subsequent annealing caused both water- and ozone-based devices to increase in conductance by more than 2 orders of magnitude. Furthermore, comparison of devices at low temperatures (∼4 K) showed that annealed devices displayed much closer to the ideal behavior (i.e., constant differential conductance) outside of the Coulomb blockade region and that untreated devices showed nonlinear behavior outside of the Coulomb blockade region (i.e., an increase in differential conductance with source-drain voltage bias). Transmission electron microscopy cross-sectional images showed that annealing did not significantly change device geometry, but energy dispersive x-ray spectroscopy showed an unusually large amount of oxygen in the bottom platinum layer. This suggests that the atomic layer deposition process results in the formation of a thin platinum surface oxide, which either decomposes or is reduced during the anneal step, resulting in a tunnel barrier without the in-series native oxide contribution. Furthermore, the difference between ozone- and water-based devices suggests that ozone promotes atomic layer deposition nucleation by oxidizing the surface but that water relies on physisorption of the precursors. To test this theory, devices were exposed to forming gas at room temperature, which also reduces platinum oxide, and a decrease in resistance was observed, as expected.

  6. Spatial Atomic Layer Deposition of transparent conductive oxides

    NARCIS (Netherlands)

    Illiberi, A.; Scherpenborg, R.; Poodt, P.; Roozeboom, F.

    2013-01-01

    Undoped and indium doped ZnO films have been grown by Spatial Atomic Layer Deposition at atmospheric pressure. The electrical properties of ZnO films are controlled by varying the indium content in the range from 0 to 15 %. A minimum resistivity value of 3 mΩ•cm is measured in 180 nm thick films for

  7. Two-dimensional ferroelectric topological insulators in functionalized atomically thin bismuth layers

    Science.gov (United States)

    Kou, Liangzhi; Fu, Huixia; Ma, Yandong; Yan, Binghai; Liao, Ting; Du, Aijun; Chen, Changfeng

    2018-02-01

    We introduce a class of two-dimensional (2D) materials that possess coexisting ferroelectric and topologically insulating orders. Such ferroelectric topological insulators (FETIs) occur in noncentrosymmetric atomic layer structures with strong spin-orbit coupling (SOC). We showcase a prototype 2D FETI in an atomically thin bismuth layer functionalized by C H2OH , which exhibits a large ferroelectric polarization that is switchable by a ligand molecule rotation mechanism and a strong SOC that drives a band inversion leading to the topologically insulating state. An external electric field that switches the ferroelectric polarization also tunes the spin texture in the underlying atomic lattice. Moreover, the functionalized bismuth layer exhibits an additional quantum order driven by the valley splitting at the K and K' points in the Brillouin zone stemming from the symmetry breaking and strong SOC in the system, resulting in a remarkable state of matter with the simultaneous presence of the quantum spin Hall and quantum valley Hall effect. These phenomena are predicted to exist in other similarly constructed 2D FETIs, thereby offering a unique quantum material platform for discovering novel physics and exploring innovative applications.

  8. Changes of electrical conductivity of the metal surface layer by the laser alloying with foreign elements

    Science.gov (United States)

    Kostrubiec, Franciszek; Pawlak, Ryszard; Raczynski, Tomasz; Walczak, Maria

    1994-09-01

    Laser treatment of the surface of materials is of major importance for many fields technology. One of the latest and most significant methods of this treatment is laser alloying consisting of introducing foreign atoms into the metal surface layer during the reaction of laser radiation with the surface. This opens up vast possibilities for the modification of properties of such a layer (obtaining layers of increased microhardness, increased resistance to electroerosion in an electric arc, etc.). Conductivity of the material is a very important parameter in case of conductive materials used for electrical contacts. The paper presents the results of studies on change in electrical conductivity of the surface layer of metals alloyed with a laser. A comparative analysis of conductivity of base metal surface layers prior to and following laser treatment has been performed. Depending on the base metal and the alloying element, optical treatment parameters allowing a required change in the surface layer conductivity have been selected. A very important property of the contact material is its resistance to plastic strain. It affects the real value of contact surface coming into contact and, along with the material conductivity, determines contact resistance and the amount of heat generated in place of contact. These quantities are directly related to the initiation and the course of an arc discharge, hence they also affect resistance to electroerosion. The parameter that reflects plastic properties with loads concentrated on a small surface, as is the case with a reciprocal contact force of two real surfaces with their irregularities being in contact, is microhardness. In the paper, the results of investigations into microhardness of modified surface layers compared with base metal microhardness have been presented.

  9. Layered insulator hexagonal boron nitride for surface passivation in quantum dot solar cell

    International Nuclear Information System (INIS)

    Shanmugam, Mariyappan; Jain, Nikhil; Jacobs-Gedrim, Robin; Yu, Bin; Xu, Yang

    2013-01-01

    Single crystalline, two dimensional (2D) layered insulator hexagonal boron nitride (h-BN), is demonstrated as an emerging material candidate for surface passivation on mesoporous TiO 2 . Cadmium selenide (CdSe) quantum dot based bulk heterojunction (BHJ) solar cell employed h-BN passivated TiO 2 as an electron acceptor exhibits photoconversion efficiency ∼46% more than BHJ employed unpassivated TiO 2 . Dominant interfacial recombination pathways such as electron capture by TiO 2 surface states and recombination with hole at valence band of CdSe are efficiently controlled by h-BN enabled surface passivation, leading to improved photovoltaic performance. Highly crystalline, confirmed by transmission electron microscopy, dangling bond-free 2D layered h-BN with self-terminated atomic planes, achieved by chemical exfoliation, enables efficient passivation on TiO 2 , allowing electronic transport at TiO 2 /h-BN/CdSe interface with much lower recombination rate compared to an unpassivated TiO 2 /CdSe interface

  10. Investigation of thin oxide layer removal from Si substrates using an SiO2 atomic layer etching approach: the importance of the reactivity of the substrate

    International Nuclear Information System (INIS)

    Metzler, Dominik; Oehrlein, Gottlieb S; Li, Chen; Lai, C Steven; Hudson, Eric A

    2017-01-01

    The evaluation of a plasma-based atomic layer etching (ALE) approach for native oxide surface removal from Si substrates is described. Objectives include removal of the native oxide while minimizing substrate damage, surface residues and substrate loss. Oxide thicknesses were measured using in situ ellipsometry and surface chemistry was analyzed by x-ray photoelectron spectroscopy. The cyclic ALE approach when used for removal of native oxide SiO 2 from a Si substrate did not remove native oxide to the extent required. This is due to the high reactivity of the silicon substrate during the low-energy (<40 eV) ion bombardment phase of the cyclic ALE approach which leads to reoxidation of the silicon surface. A modified process, which used continuously biased Ar plasma with periodic CF 4 injection, achieved significant oxygen removal from the Si surface, with some residual carbon and fluorine. A subsequent H 2 /Ar plasma exposure successfully removed residual carbon and fluorine while passivating the silicon surface. The combined treatment reduced oxygen and carbon levels to about half compared to as received silicon surfaces. The downside of this process sequence is a net loss of about 40 Å of Si. A generic insight of this work is the importance of the substrate and final surface chemistry in addition to precise etch control of the target film for ALE processes. By a fluorocarbon-based ALE technique, thin SiO 2 layer removal at the Ångstrom level can be precisely performed from an inert substrate, e.g. a thick SiO 2 layer. However, from a reactive substrate, like Si, complete removal of the thin SiO 2 layer is prevented by the high reactivity of low energy Ar + ion bombarded Si. The Si surfaces are reoxidized during the ALE ion bombardment etch step, even for very clean and ultra-low O 2 process conditions. (paper)

  11. Silicon protected with atomic layer deposited TiO2

    DEFF Research Database (Denmark)

    Seger, Brian; Tilley, David S.; Pedersen, Thomas

    2013-01-01

    The semiconducting materials used for photoelectrochemical (PEC) water splitting must withstand the corrosive nature of the aqueous electrolyte over long time scales in order to be a viable option for large scale solar energy conversion. Here we demonstrate that atomic layer deposited titanium di...

  12. Iridium-coated micropore x-ray optics using dry etching of a silicon wafer and atomic layer deposition.

    Science.gov (United States)

    Ogawa, Tomohiro; Ezoe, Yuichiro; Moriyama, Teppei; Mitsuishi, Ikuyuki; Kakiuchi, Takuya; Ohashi, Takaya; Mitsuda, Kazuhisa; Putkonen, Matti

    2013-08-20

    To enhance x-ray reflectivity of silicon micropore optics using dry etching of silicon (111) wafers, iridium coating is tested by use of atomic layer deposition. An iridium layer is successfully formed on sidewalls of tiny micropores with a pore width of 20 μm and depth of 300 μm. The film thickness is ∼20  nm. An enhanced x-ray reflectivity compared to that of silicon is confirmed at Ti Kα 4.51 keV, for what we believe to be the first time, with this type of optics. Some discrepancies from a theoretical reflectivity curve of iridium-coated silicon are noticed at small incident angles <1.3°. When a geometrical shadowing effect due to occultation by a ridge existing on the sidewalls is taken into account, the observed reflectivity becomes well represented by the modified theoretical curve. An estimated surface micro roughness of ∼1  nm rms is consistent with atomic force microscope measurements of the sidewalls.

  13. ZnO: Hydroquinone superlattice structures fabricated by atomic/molecular layer deposition

    International Nuclear Information System (INIS)

    Tynell, Tommi; Karppinen, Maarit

    2014-01-01

    Here we employ atomic layer deposition in combination with molecular layer deposition to deposit crystalline thin films of ZnO interspersed with single layers of hydroquinone in an effort to create hybrid inorganic–organic superlattice structures. The ratio of the ZnO and hydroquinone deposition cycles is varied between 199:1 and 1:1, and the structure of the resultant thin films is verified with X-ray diffraction and reflectivity techniques. Clear evidence of the formation of a superlattice-type structure is observed in the X-ray reflectivity patterns and the presence of organic bonds in the films corresponding to the structure of hydroquinone is confirmed with Fourier transform infrared spectroscopy measurements. We anticipate that hybrid superlattice structures such as the ones described in this work have the potential to be of great importance for future applications where the precise control of different inorganic and organic layers in hybrid superlattice materials is required. - Highlights: • Inorganic–organic superlattices can be made by atomic/molecular layer deposition. • This is demonstrated here for ZnO and hydroquinone (HQ). • The ratio of the ZnO and HQ layers is varied between 199:1 and 14:1. • The resultant thin films are crystalline

  14. ZnO: Hydroquinone superlattice structures fabricated by atomic/molecular layer deposition

    Energy Technology Data Exchange (ETDEWEB)

    Tynell, Tommi; Karppinen, Maarit, E-mail: maarit.karppinen@aalto.fi

    2014-01-31

    Here we employ atomic layer deposition in combination with molecular layer deposition to deposit crystalline thin films of ZnO interspersed with single layers of hydroquinone in an effort to create hybrid inorganic–organic superlattice structures. The ratio of the ZnO and hydroquinone deposition cycles is varied between 199:1 and 1:1, and the structure of the resultant thin films is verified with X-ray diffraction and reflectivity techniques. Clear evidence of the formation of a superlattice-type structure is observed in the X-ray reflectivity patterns and the presence of organic bonds in the films corresponding to the structure of hydroquinone is confirmed with Fourier transform infrared spectroscopy measurements. We anticipate that hybrid superlattice structures such as the ones described in this work have the potential to be of great importance for future applications where the precise control of different inorganic and organic layers in hybrid superlattice materials is required. - Highlights: • Inorganic–organic superlattices can be made by atomic/molecular layer deposition. • This is demonstrated here for ZnO and hydroquinone (HQ). • The ratio of the ZnO and HQ layers is varied between 199:1 and 14:1. • The resultant thin films are crystalline.

  15. Science and Emerging Technology of 2D Atomic Layered Materials and Devices

    Science.gov (United States)

    2017-09-09

    AFRL-AFOSR-JP-TR-2017-0067 Science & Emerging Technology of 2D Atomic Layered Materials and Devices Angel Rubio UNIVERSIDAD DEL PAIS VASCO - EUSKAL...DD-MM-YYYY)      27-09-2017 2.  REPORT TYPE      Final 3.  DATES COVERED (From - To)      19 Feb 2015 to 18 Feb 2017 4.  TITLE AND SUBTITLE Science ...reporting documents for AOARD project 144088, “2D Materials and Devices Beyond Graphene Science & Emerging Technology of 2D Atomic Layered Materials and

  16. Atomic layer deposition of alternative glass microchannel plates

    Energy Technology Data Exchange (ETDEWEB)

    O' Mahony, Aileen, E-mail: aom@incomusa.com; Craven, Christopher A.; Minot, Michael J.; Popecki, Mark A.; Renaud, Joseph M.; Bennis, Daniel C.; Bond, Justin L.; Stochaj, Michael E.; Foley, Michael R.; Adams, Bernhard W. [Incom, Inc., 294 Southbridge Road, Charlton, Massachusetts 01507 (United States); Mane, Anil U.; Elam, Jeffrey W. [Argonne National Laboratory, 9700 S. Cass Ave., Argonne, Illinois 60439 (United States); Ertley, Camden; Siegmund, Oswald H. W. [Space Sciences Laboratory, University of California, 7 Gauss Way, Berkeley, California 94720 (United States)

    2016-01-15

    The technique of atomic layer deposition (ALD) has enabled the development of alternative glass microchannel plates (MCPs) with independently tunable resistive and emissive layers, resulting in excellent thickness uniformity across the large area (20 × 20 cm), high aspect ratio (60:1 L/d) glass substrates. Furthermore, the use of ALD to deposit functional layers allows the optimal substrate material to be selected, such as borosilicate glass, which has many benefits compared to the lead-oxide glass used in conventional MCPs, including increased stability and lifetime, low background noise, mechanical robustness, and larger area (at present up to 400 cm{sup 2}). Resistively stable, high gain MCPs are demonstrated due to the deposition of uniform ALD resistive and emissive layers on alternative glass microcapillary substrates. The MCP performance characteristics reported include increased stability and lifetime, low background noise (0.04 events cm{sup −2} s{sup −1}), and low gain variation (±5%)

  17. Adhesion and Atomic Structures of Gold on Ceria Nanostructures:The Role of Surface Structure and Oxidation State of Ceria Supports

    Energy Technology Data Exchange (ETDEWEB)

    Lin, Yuyuan [Northwestern University, Evanston; Wu, Zili [ORNL; Wen, Jianguo [Argonne National Laboratory (ANL); Poeppelmeier, Kenneth R [Northwestern University, Evanston; Marks, Laurence D [Northwestern University, Evanston

    2015-01-01

    Recent advances in heterogeneous catalysis have demonstrated that oxides supports with the same material but different shapes can result in metal catalysts with distinct catalytic properties. The shape-dependent catalysis was not well-understood owing to the lack of direct visualization of the atomic structures at metal-oxide interface. Herein, we utilized aberration-corrected electron microscopy and revealed the atomic structures of gold particles deposited on ceria nanocubes and nanorods with {100} or {111} facets exposed. For the ceria nanocube support, gold nanoparticles have extended atom layers at the metal-support interface. In contrast, regular gold nanoparticles and rafts are present on the ceria nanorod support. After hours of water gas shift reaction, the extended gold atom layers and rafts vanish, which is associated with the decrease of the catalytic activities. By understanding the atomic structures of the support surfaces, metal-support interfaces, and morphologies of the gold particles, a direct structure-property relationship is established.

  18. A nitride-based epitaxial surface layer formed by ammonia treatment of silicene-terminated ZrB{sub 2}

    Energy Technology Data Exchange (ETDEWEB)

    Wiggers, F. B., E-mail: F.B.Wiggers@utwente.nl; Van Bui, H.; Schmitz, J.; Kovalgin, A. Y.; Jong, M. P. de [MESA+ Institute for Nanotechnology, University of Twente, 7500 AE Enschede (Netherlands); Friedlein, R.; Yamada-Takamura, Y. [School of Materials Science, Japan Advanced Institute of Science and Technology, Nomi, Ishikawa 923-1292 (Japan)

    2016-04-07

    We present a method for the formation of an epitaxial  surface layer involving B, N, and Si atoms on a ZrB{sub 2}(0001) thin film on Si(111). It has the potential to be an insulating growth template for 2D semiconductors. The chemical reaction of NH{sub 3} molecules with the silicene-terminated ZrB{sub 2}  surface was characterized by synchrotron-based, high-resolution core-level photoelectron spectroscopy and low-energy electron diffraction. In particular, the dissociative chemisorption of NH{sub 3} at 400 °C leads to surface  nitridation, and subsequent annealing up to 830 °C results in a solid phase reaction with the ZrB{sub 2} subsurface layers. In this way, a new nitride-based epitaxial  surface layer is formed with hexagonal symmetry and a single in-plane crystal orientation.

  19. Constructing oxide interfaces and heterostructures by atomic layer-by-layer laser molecular beam epitaxy

    OpenAIRE

    Lei, Qingyu; Golalikhani, Maryam; Davidson, Bruce A.; Liu, Guozhen; Schlom, D. G.; Qiao, Qiao; Zhu, Yimei; Chandrasena, Ravini U.; Yang, Weibing; Gray, Alexander X.; Arenholz, Elke; Farrar, Andrew K.; Tenne, Dmitri A.; Hu, Minhui; Guo, Jiandong

    2016-01-01

    Advancements in nanoscale engineering of oxide interfaces and heterostructures have led to discoveries of emergent phenomena and new artificial materials. Combining the strengths of reactive molecular-beam epitaxy and pulsed-laser deposition, we show here, with examples of Sr1+xTi1-xO3+delta, Ruddlesden-Popper phase Lan+1NinO3n+1 (n = 4), and LaAl1+yO3(1+0.5y)/SrTiO3 interfaces, that atomic layer-by-layer laser molecular-beam epitaxy (ALL-Laser MBE) significantly advances the state of the art...

  20. Atomic Resolution Structural and Chemical Imaging Revealing the Sequential Migration of Ni, Co, and Mn upon the Battery Cycling of Layered Cathode

    Energy Technology Data Exchange (ETDEWEB)

    Yan, Pengfei; Zheng, Jianming; Zhang, Ji-Guang; Wang, Chongmin

    2017-05-11

    Layered lithium transition metal oxides (LTMO) are promising candidate cathode materials for next generation high energy density lithium ion battery. The challenge for using this category of cathode is the capacity and voltage fading, which is believed to be associated with the layered structure disordering, a process that is initiated from the surface or solid-electrolyte interface and facilitated by transition metal (TM) reduction and oxygen vacancy formation. However, the atomic level dynamic mechanism of such a layered structure disordering is still not fully clear. In this work, utilizing atomic resolution electron energy loss spectroscopy (EELS), we map, for the first time at atomic scale, the spatial evolution of Ni, Co and Mn in a cycled LiNi1/3M1/3Co1/3O2 layered cathode. In combination with atomic level structural imaging, we discovered the direct correlation of TM ions migration behavior with lattice disordering, featuring the residing of TM ions in the tetrahedral site and a sequential migration of Ni, Co, and Mn upon the increased lattice disordering of the layered structure. This work highlights that Ni ions, though acting as the dominant redox species in many LTMO, are labile to migrate to cause lattice disordering upon battery cycling; while the Mn ions are more stable as compared with Ni and Co and can act as pillar to stabilize layered structure. Direct visualization of the behavior of TM ions during the battery cycling provides insight for designing of cathode with structural stability and correspondingly a superior performance.

  1. On mechanism of low-energy heavy ions scattering on a target surface with small atomic mass

    CERN Document Server

    Umarov, F F; Kudryashova, L B; Krylov, N M

    2002-01-01

    In the present work, an experimental study of low-energy (E sub 0 =20-500 eV) heavy Cs sup + ions scattering on target surfaces with small atomic masses (Al, Si, Ni) has been performed for more accurate definition of mechanism of scattering and evaluation of an opportunity for use of heavy ions scattering as a tool of surface layer analysis. It is shown that the dependence of the relative energies of scattered ions versus the initial energy E/E sub 0 (E sub 0) for Si (E sub b =4.64 eV/atom) and Ni (E sub b =4.43 eV/atom) approximately coincide despite the fact that the mass of Ni atom is twice as large as that of the Si atom mass. At the same time their binding energies E sub b are approximately equal to each other. It is found that the scattering angles of Cs sup + ions considerably exceed a limiting scattering angle theta sub l sub i sub m in a single collision. It has been established that the scattering of low-energy heavy ions by light targets is described by a non-binary mechanism of many-particle inter...

  2. Functionalised nanoscale coatings using layer-by-layer assembly for imparting antibacterial properties to polylactide-co-glycolide surfaces.

    Science.gov (United States)

    Gentile, Piergiorgio; Frongia, Maria E; Cardellach, Mar; Miller, Cheryl A; Stafford, Graham P; Leggett, Graham J; Hatton, Paul V

    2015-07-01

    In order to achieve high local biological activity and reduce the risk of side effects of antibiotics in the treatment of periodontal and bone infections, a localised and temporally controlled delivery system is desirable. The aim of this research was to develop a functionalised and resorbable surface to contact soft tissues to improve the antibacterial behaviour during the first week after its implantation in the treatment of periodontal and bone infections. Solvent-cast poly(d,l-lactide-co-glycolide acid) (PLGA) films were aminolysed and then modified by Layer-by-Layer technique to obtain a nano-layered coating using poly(sodium4-styrenesulfonate) (PSS) and poly(allylamine hydrochloride) (PAH) as polyelectrolytes. The water-soluble antibiotic, metronidazole (MET), was incorporated from the ninth layer. Infrared spectroscopy showed that the PSS and PAH absorption bands increased with the layer number. The contact angle values had a regular alternate behaviour from the ninth layer. X-ray Photoelectron Spectroscopy evidenced two distinct peaks, N1s and S2p, indicating PAH and PSS had been introduced. Atomic Force Microscopy showed the presence of polyelectrolytes on the surface with a measured roughness about 10nm after 20 layers' deposition. The drug release was monitored by Ultraviolet-visible spectroscopy showing 80% loaded-drug delivery in 14 days. Finally, the biocompatibility was evaluated in vitro with L929 mouse fibroblasts and the antibacterial properties were demonstrated successfully against the keystone periodontal bacteria Porphyromonas gingivalis, which has an influence on implant failure, without compromising in vitro biocompatibility. In this study, PLGA was successfully modified to obtain a localised and temporally controlled drug delivery system, demonstrating the potential value of LbL as a coating technology for the manufacture of medical devices with advanced functional properties. Copyright © 2015 Acta Materialia Inc. Published by Elsevier Ltd

  3. Modeling noncontact atomic force microscopy resolution on corrugated surfaces

    Directory of Open Access Journals (Sweden)

    Kristen M. Burson

    2012-03-01

    Full Text Available Key developments in NC-AFM have generally involved atomically flat crystalline surfaces. However, many surfaces of technological interest are not atomically flat. We discuss the experimental difficulties in obtaining high-resolution images of rough surfaces, with amorphous SiO2 as a specific case. We develop a quasi-1-D minimal model for noncontact atomic force microscopy, based on van der Waals interactions between a spherical tip and the surface, explicitly accounting for the corrugated substrate (modeled as a sinusoid. The model results show an attenuation of the topographic contours by ~30% for tip distances within 5 Å of the surface. Results also indicate a deviation from the Hamaker force law for a sphere interacting with a flat surface.

  4. Aligned carbon nanotube array functionalization for enhanced atomic layer deposition of platinum electrocatalysts

    Energy Technology Data Exchange (ETDEWEB)

    Dameron, Arrelaine A., E-mail: arrelaine.dameron@nrel.gov [National Renewable Energy Laboratory, 1617 Cole Blvd Golden, Golden, CO 80401 (United States); Pylypenko, Svitlana; Bult, Justin B.; Neyerlin, K.C.; Engtrakul, Chaiwat; Bochert, Christopher; Leong, G. Jeremy; Frisco, Sarah L.; Simpson, Lin; Dinh, Huyen N.; Pivovar, Bryan [National Renewable Energy Laboratory, 1617 Cole Blvd Golden, Golden, CO 80401 (United States)

    2012-04-15

    Uniform metal deposition onto high surface area supports is a key challenge of developing successful efficient catalyst materials. Atomic layer deposition (ALD) circumvents permeation difficulties, but relies on gas-surface reactions to initiate growth. Our work demonstrates that modified surfaces within vertically aligned carbon nanotube (CNT) arrays, from plasma and molecular precursor treatments, can lead to improved catalyst deposition. Gas phase functionalization influences the number of ALD nucleation sites and the onset of ALD growth and, in turn, affects the uniformity of the coating along the length of the CNTs within the aligned arrays. The induced chemical changes for each functionalization route are identified by X-ray photoelectron and Raman spectroscopies. The most effective functionalization routes increase the prevalence of oxygen moieties at defect sites on the carbon surfaces. The striking effects of the functionalization are demonstrated with ALD Pt growth as a function of surface treatment and ALD cycles examined by electron microscopy of the arrays and the individual CNTs. Finally, we demonstrate applicability of these materials as fuel cell electrocatalysts and show that surface functionalization affects their performance towards oxygen reduction reaction.

  5. Visualization of arrangements of carbon atoms in graphene layers by Raman mapping and atomic-resolution TEM

    KAUST Repository

    Cong, Chunxiao; Li, Kun; Zhang, Xixiang; Yu, Ting

    2013-01-01

    In-plane and out-of-plane arrangements of carbon atoms in graphene layers play critical roles in the fundamental physics and practical applications of these novel two-dimensional materials. Here, we report initial results on the edge

  6. Biofunctionalization of carbon nanotubes/chitosan hybrids on Ti implants by atom layer deposited ZnO nanostructures

    Energy Technology Data Exchange (ETDEWEB)

    Zhu, Yizhou; Liu, Xiangmei [Hubei Collaborative Innovation Center for Advanced Organic Chemical Materials, Ministry-of-Education Key Laboratory for the Green Preparation and Application of Functional Materials, Hubei Key Laboratory of Polymer Materials, School of Materials Science & Engineering, Hubei University, Wuhan 430062 (China); Yeung, Kelvin W.K. [Division of Spine Surgery, Department of Orthopaedics & Traumatology, Li Ka Shing Faculty of Medicine, The University of Hong Kong, Pokfulam, Hong Kong (China); Chu, Paul K. [Department of Physics & Materials Science, City University of Hong Kong, Tat Chee Avenue, Kowloon, Hong Kong (China); Wu, Shuilin, E-mail: shuilin.wu@gmail.com [Hubei Collaborative Innovation Center for Advanced Organic Chemical Materials, Ministry-of-Education Key Laboratory for the Green Preparation and Application of Functional Materials, Hubei Key Laboratory of Polymer Materials, School of Materials Science & Engineering, Hubei University, Wuhan 430062 (China)

    2017-04-01

    Highlights: • Carbon naonotubes/chitosan/ZnO coating was first constructed on Ti implants. • This system endowed Ti implants with excellent self-antibacterial activity. • The amount of Zn could be precisely controlled by atom layer deposition. • This system could regulate cell behaviors on metallic implants. - Abstract: One-dimensional (1D) nanostructures of ZnO using atomic layer deposition (ALD) on chitosan (CS) modified carbon nanotubes (CNTs) were first introduced onto the surfaces of biomedical implants. When the content of ZnO is not sufficient, CNTs can strengthen the antibacterial activity against E. coli and S. aureus by 8% and 39%, respectively. CS can improve the cytocompatibility of CNTs and ZnO. The amount of Zn content can be controlled by changing the cycling numbers of ALD processes. This hybrid coating can not only endow medical implants with high self-antibacterial efficacy against Escherichia coli (E. coli) and Staphylococcus aureus (S. aureus) of over 73% and 98%, respectively, but also regulate the proliferation and osteogenic differentiation of osteoblasts by controlling the amount of ZnO.

  7. Biofunctionalization of carbon nanotubes/chitosan hybrids on Ti implants by atom layer deposited ZnO nanostructures

    International Nuclear Information System (INIS)

    Zhu, Yizhou; Liu, Xiangmei; Yeung, Kelvin W.K.; Chu, Paul K.; Wu, Shuilin

    2017-01-01

    Highlights: • Carbon naonotubes/chitosan/ZnO coating was first constructed on Ti implants. • This system endowed Ti implants with excellent self-antibacterial activity. • The amount of Zn could be precisely controlled by atom layer deposition. • This system could regulate cell behaviors on metallic implants. - Abstract: One-dimensional (1D) nanostructures of ZnO using atomic layer deposition (ALD) on chitosan (CS) modified carbon nanotubes (CNTs) were first introduced onto the surfaces of biomedical implants. When the content of ZnO is not sufficient, CNTs can strengthen the antibacterial activity against E. coli and S. aureus by 8% and 39%, respectively. CS can improve the cytocompatibility of CNTs and ZnO. The amount of Zn content can be controlled by changing the cycling numbers of ALD processes. This hybrid coating can not only endow medical implants with high self-antibacterial efficacy against Escherichia coli (E. coli) and Staphylococcus aureus (S. aureus) of over 73% and 98%, respectively, but also regulate the proliferation and osteogenic differentiation of osteoblasts by controlling the amount of ZnO.

  8. Atomic hydrogen storage. [cryotrapping and magnetic field strength

    Science.gov (United States)

    Woollam, J. A. (Inventor)

    1980-01-01

    Atomic hydrogen, for use as a fuel or as an explosive, is stored in the presence of a strong magnetic field in exfoliated layered compounds such as molybdenum disulfide or an elemental layer material such as graphite. The compound is maintained at liquid temperatures and the atomic hydrogen is collected on the surfaces of the layered compound which are exposed during delamination (exfoliation). The strong magnetic field and the low temperature combine to prevent the atoms of hydrogen from recombining to form molecules.

  9. Interactions between C and Cu atoms in single-layer graphene: direct observation and modelling.

    Science.gov (United States)

    Kano, Emi; Hashimoto, Ayako; Kaneko, Tomoaki; Tajima, Nobuo; Ohno, Takahisa; Takeguchi, Masaki

    2016-01-07

    Metal doping into the graphene lattice has been studied recently to develop novel nanoelectronic devices and to gain an understanding of the catalytic activities of metals in nanocarbon structures. Here we report the direct observation of interactions between Cu atoms and single-layer graphene by transmission electron microscopy. We document stable configurations of Cu atoms in the graphene sheet and unique transformations of graphene promoted by Cu atoms. First-principles calculations based on density functional theory reveal a reduction of energy barrier that caused rotation of C-C bonds near Cu atoms. We discuss two driving forces, electron irradiation and in situ heating, and conclude that the observed transformations were mainly promoted by electron irradiation. Our results suggest that individual Cu atoms can promote reconstruction of single-layer graphene.

  10. Atomic layer deposition to prevent metal transfer from implants: An X-ray fluorescence study

    Energy Technology Data Exchange (ETDEWEB)

    Bilo, Fabjola [INSTM and Chemistry for Technologies Laboratory, University of Brescia, via Branze, 38, 25123 Brescia (Italy); Borgese, Laura, E-mail: laura.borgese@unibs.itl [INSTM and Chemistry for Technologies Laboratory, University of Brescia, via Branze, 38, 25123 Brescia (Italy); Prost, Josef; Rauwolf, Mirjam; Turyanskaya, Anna; Wobrauschek, Peter; Kregsamer, Peter; Streli, Christina [Atominstitut, TU Wien, Stadionallee 2, 1020 Vienna (Austria); Pazzaglia, Ugo [Dipartimento Specialità Medico Chirurgiche Sc. Radiol. e Sanità Pubblica, University of Brescia, v.le Europa, 11, 25121 Brescia (Italy); Depero, Laura E. [INSTM and Chemistry for Technologies Laboratory, University of Brescia, via Branze, 38, 25123 Brescia (Italy)

    2015-12-30

    Highlights: • Co and Cr migrate from bare alloy implant to the surrounding tissue showing a cluster distribution. • Co and Cr migrate from the TiO{sub 2} coated implant to the surrounding tissue showing a decreasing gradient distribution from the alloy surface. • TiO{sub 2} coating layers obtained by ALD on Co–Cr alloy show a barrier effect for the migration of metals. • The thicker the TiO{sub 2} layer deposited by ALD, the lower the metal migration. • The migration of metals from bare alloy toward the surrounding tissue increases with time. This effect is not detected in the coated samples. - Abstract: We show that Atomic Layer Deposition is a suitable coating technique to prevent metal diffusion from medical implants. The metal distribution in animal bone tissue with inserted bare and coated Co–Cr alloys was evaluated by means of micro X-ray fluorescence mapping. In the uncoated implant, the migration of Co and Cr particles from the bare alloy in the biological tissues is observed just after one month and the number of particles significantly increases after two months. In contrast, no metal diffusion was detected in the implant coated with TiO{sub 2}. Instead, a gradient distribution of the metals was found, from the alloy surface going into the tissue. No significant change was detected after two months of aging. As expected, the thicker is the TiO{sub 2} layer, the lower is the metal migration.

  11. Mechanism of yttrium atom formation in electrothermal atomization from metallic and metal-carbide surfaces of a heated graphite atomizer in atomic absorption spectrometry

    International Nuclear Information System (INIS)

    Wahab, H.S.; Chakrabarti, C.L.

    1981-01-01

    Mechanism of Y atom formation from pyrocoated graphite, tantalum and tungsten metal surfaces of a graphite tube atomizer has been studied and a mechanism for the formation for Y atoms is proposed for the first time. (author)

  12. Effects of temperature and surface orientation on migration behaviours of helium atoms near tungsten surfaces

    Energy Technology Data Exchange (ETDEWEB)

    Wang, Xiaoshuang; Wu, Zhangwen; Hou, Qing, E-mail: qhou@scu.edu.cn

    2015-10-15

    Molecular dynamics simulations were performed to study the dependence of migration behaviours of single helium atoms near tungsten surfaces on the surface orientation and temperature. For W{100} and W{110} surfaces, He atoms can quickly escape out near the surface without accumulation even at a temperature of 400 K. The behaviours of helium atoms can be well-described by the theory of continuous diffusion of particles in a semi-infinite medium. For a W{111} surface, the situation is complex. Different types of trap mutations occur within the neighbouring region of the W{111} surface. The trap mutations hinder the escape of He atoms, resulting in their accumulation. The probability of a He atom escaping into vacuum from a trap mutation depends on the type of the trap mutation, and the occurrence probabilities of the different types of trap mutations are dependent on the temperature. This finding suggests that the escape rate of He atoms on the W{111} surface does not show a monotonic dependence on temperature. For instance, the escape rate at T = 1500 K is lower than the rate at T = 1100 K. Our results are useful for understanding the structural evolution and He release on tungsten surfaces and for designing models in other simulation methods beyond molecular dynamics.

  13. Atomic Layer Deposition of Chemical Passivation Layers and High Performance Anti-Reflection Coatings on Back-Illuminated Detectors

    Science.gov (United States)

    Hoenk, Michael E. (Inventor); Greer, Frank (Inventor); Nikzad, Shouleh (Inventor)

    2014-01-01

    A back-illuminated silicon photodetector has a layer of Al2O3 deposited on a silicon oxide surface that receives electromagnetic radiation to be detected. The Al2O3 layer has an antireflection coating deposited thereon. The Al2O3 layer provides a chemically resistant separation layer between the silicon oxide surface and the antireflection coating. The Al2O3 layer is thin enough that it is optically innocuous. Under deep ultraviolet radiation, the silicon oxide layer and the antireflection coating do not interact chemically. In one embodiment, the silicon photodetector has a delta-doped layer near (within a few nanometers of) the silicon oxide surface. The Al2O3 layer is expected to provide similar protection for doped layers fabricated using other methods, such as MBE, ion implantation and CVD deposition.

  14. Uniform GaN thin films grown on (100) silicon by remote plasma atomic layer deposition

    International Nuclear Information System (INIS)

    Shih, Huan-Yu; Chen, Miin-Jang; Lin, Ming-Chih; Chen, Liang-Yih

    2015-01-01

    The growth of uniform gallium nitride (GaN) thin films was reported on (100) Si substrate by remote plasma atomic layer deposition (RP-ALD) using triethylgallium (TEG) and NH 3 as the precursors. The self-limiting growth of GaN was manifested by the saturation of the deposition rate with the doses of TEG and NH 3 . The increase in the growth temperature leads to the rise of nitrogen content and improved crystallinity of GaN thin films, from amorphous at a low deposition temperature of 200 °C to polycrystalline hexagonal structures at a high growth temperature of 500 °C. No melting-back etching was observed at the GaN/Si interface. The excellent uniformity and almost atomic flat surface of the GaN thin films also infer the surface control mode of the GaN thin films grown by the RP-ALD technique. The GaN thin films grown by RP-ALD will be further applied in the light-emitting diodes and high electron mobility transistors on (100) Si substrate. (paper)

  15. Topography and surface free energy of DPPC layers deposited on a glass, mica, or PMMA support.

    Science.gov (United States)

    Jurak, Malgorzata; Chibowski, Emil

    2006-08-15

    An investigation of energetic properties of 1,2-dipalmitoyl-sn-glycero-3-phosphocholine (DPPC) layers deposited on glass, mica, and PMMA (poly(methyl methacrylate)) surfaces was carried out by means of contact angles measurements (advancing and receding) for three probe liquids (diiodomethane, water, and formamide). DPPC was deposited on the surfaces from water (on glass and mica) or methanol (on PMMA) solutions. The topography of the tested surfaces was determined with a help of scanning electron microscopy (SEM) and atomic force microscopy (AFM). Using the measured contact angles, the total apparent surface free energy and its components of the studied layers were determined from van Oss et al.'s (Lifshitz-van der Waals and acid-base components, LWAB) and contact angle hysteresis (CAH) approaches. It allowed us to learn about changes in the surface free energy of the layers (hydrophobicity/hydrophilicity) depending on their number and kind of support. It was found that the changes in the energy greatly depended on the surface properties of the substrate as well as the statistical number of monolayers of DPPC. However, principal changes took place for first three monolayers.

  16. Atom-surface interaction: Zero-point energy formalism

    International Nuclear Information System (INIS)

    Paranjape, V.V.

    1985-01-01

    The interaction energy between an atom and a surface formed by a polar medium is derived with use of a new approach based on the zero-point energy formalism. It is shown that the energy depends on the separation Z between the atom and the surface. With increasing Z, the energy decreases according to 1/Z 3 , while with decreasing Z the energy saturates to a finite value. It is also shown that the energy is affected by the velocity of the atom, but this correction is small. Our result for large Z is consistent with the work of Manson and Ritchie [Phys. Rev. B 29, 1084 (1984)], who follow a more traditional approach to the problem

  17. Removal of foreign atoms from a metal surface bombarded with fast atomic particles

    Energy Technology Data Exchange (ETDEWEB)

    Dolotov, S.K.; Evstigneev, S.A.; Luk' yanov, S.Yu.; Martynenko, Yu.V.; Chicherov, V.M.

    1976-07-01

    A metal surface coated with foreign atoms was irradiated with periodically repeating ion current pulses. The energy of the ions bombarding the target was 20 to 30 keV, and inert gas ions were used. A study of the time dependences of the current of the dislodged foreign atoms showed that the rate of their removal from the target surface is determined by the sputtering coefficient of the substrate metal.

  18. Removal of foreign atoms from a metal surface bombarded with fast atomic particles

    International Nuclear Information System (INIS)

    Dolotov, S.K.; Evstigneev, S.A.; Luk'yanov, S.Yu.; Martynenko, Yu.V.; Chicherov, V.M.

    A metal surface coated with foreign atoms was irradiated with periodically repeating ion current pulses. The energy of the ions bombarding the target was 20 to 30 keV, and inert gas ions were used. A study of the time dependences of the current of the dislodged foreign atoms showed that the rate of their removal from the target surface is determined by the sputtering coefficient of the substrate metal

  19. Isotope analysis of diamond-surface passivation effect of high-temperature H2O-grown atomic layer deposition-Al2O3 films

    International Nuclear Information System (INIS)

    Hiraiwa, Atsushi; Saito, Tatsuya; Matsumura, Daisuke; Kawarada, Hiroshi

    2015-01-01

    The Al 2 O 3 film formed using an atomic layer deposition (ALD) method with trimethylaluminum as Al precursor and H 2 O as oxidant at a high temperature (450 °C) effectively passivates the p-type surface conduction (SC) layer specific to a hydrogen-terminated diamond surface, leading to a successful operation of diamond SC field-effect transistors at 400 °C. In order to investigate this excellent passivation effect, we carried out an isotope analysis using D 2 O instead of H 2 O in the ALD and found that the Al 2 O 3 film formed at a conventional temperature (100 °C) incorporates 50 times more CH 3 groups than the high-temperature film. This CH 3 is supposed to dissociate from the film when heated afterwards at a higher temperature (550 °C) and causes peeling patterns on the H-terminated surface. The high-temperature film is free from this problem and has the largest mass density and dielectric constant among those investigated in this study. The isotope analysis also unveiled a relatively active H-exchange reaction between the diamond H-termination and H 2 O oxidant during the high-temperature ALD, the SC still being kept intact. This dynamic and yet steady H termination is realized by the suppressed oxidation due to the endothermic reaction with H 2 O. Additionally, we not only observed the kinetic isotope effect in the form of reduced growth rate of D 2 O-oxidant ALD but found that the mass density and dielectric constant of D 2 O-grown Al 2 O 3 films are smaller than those of H 2 O-grown films. This is a new type of isotope effect, which is not caused by the presence of isotopes in the films unlike the traditional isotope effects that originate from the presence of isotopes itself. Hence, the high-temperature ALD is very effective in forming Al 2 O 3 films as a passivation and/or gate-insulation layer of high-temperature-operation diamond SC devices, and the knowledge of the aforementioned new isotope effect will be a basis for further enhancing ALD

  20. Spatial atmospheric atomic layer deposition of alxzn1-xo

    NARCIS (Netherlands)

    Illiberi, A.; Scherpenborg, R.; Wu, Y.; Roozeboom, F.; Poodt, P.

    2013-01-01

    The possibility of growing multicomponent oxides by spatial atmospheric atomic layer deposition has been investigated. To this end, Al xZn1-xO films have been deposited using diethyl zinc (DEZ), trimethyl aluminum (TMA), and water as Zn, Al, and O precursors, respectively. When the metal precursors

  1. Dimensional crossover of electron weak localization in ZnO/TiO{sub x} stacked layers grown by atomic layer deposition

    Energy Technology Data Exchange (ETDEWEB)

    Saha, D., E-mail: sahaphys@gmail.com, E-mail: pmisra@rrcat.gov.in; Misra, P., E-mail: sahaphys@gmail.com, E-mail: pmisra@rrcat.gov.in; Joshi, M. P.; Kukreja, L. M. [Laser Materials Processing Division, Raja Ramanna Centre for Advanced Technology, Indore 452 013 (India); Bhartiya, S. [Laser Materials Development & Devices Division, Raja Ramanna Centre for Advanced Technology, Indore 452 013 (India); Gupta, M. [UGC-DAE Consortium for Scientific Research, Indore 452 017 (India)

    2016-01-25

    We report on the dimensional crossover of electron weak localization in ZnO/TiO{sub x} stacked layers having well-defined and spatially-localized Ti dopant profiles along film thickness. These films were grown by in situ incorporation of sub-monolayer TiO{sub x} on the growing ZnO film surface and subsequent overgrowth of thin conducting ZnO spacer layer using atomic layer deposition. Film thickness was varied in the range of ∼6–65 nm by vertically stacking different numbers (n = 1–7) of ZnO/TiO{sub x} layers of nearly identical dopant-profiles. The evolution of zero-field sheet resistance (R{sub ◻}) versus temperature with decreasing film thickness showed a metal to insulator transition. On the metallic side of the metal-insulator transition, R{sub ◻}(T) and magnetoresistance data were found to be well corroborated with the theoretical framework of electron weak localization in the diffusive transport regime. The temperature dependence of both R{sub ◻} and inelastic scattering length provided strong evidence for a smooth crossover from 2D to 3D weak localization behaviour. Results of this study provide deeper insight into the electron transport in low-dimensional n-type ZnO/TiO{sub x} stacked layers which have potential applications in the field of transparent oxide electronics.

  2. Atomic layer deposited ZrO2 nanofilm on Mg-Sr alloy for enhanced corrosion resistance and biocompatibility.

    Science.gov (United States)

    Yang, Qiuyue; Yuan, Wei; Liu, Xiangmei; Zheng, Yufeng; Cui, Zhenduo; Yang, Xianjin; Pan, Haobo; Wu, Shuilin

    2017-08-01

    The biodegradability and good mechanical property of magnesium alloys make them potential biomedical materials. However, their rapid corrosion rate in the human body's environment impairs these advantages and limits their clinical use. In this work, a compact zirconia (ZrO 2 ) nanofilm was fabricated on the surface of a magnesium-strontium (Mg-Sr) alloy by the atomic layer deposition (ALD) method, which can regulate the thickness of the film precisely and thus also control the corrosion rate. Corrosion tests reveal that the ZrO 2 film can effectively reduce the corrosion rate of Mg-Sr alloys that is closely related to the thickness of the film. The cell culture test shows that this kind of ZrO 2 film can also enhance the activity and adhesion of osteoblasts on the surfaces of Mg-Sr alloys. The significance of the current work is to develop a zirconia nanofilm on biomedical MgSr alloy with controllable thickness precisely through atomic layer deposition technique. By adjusting the thickness of nanofilm, the corrosion rate of Mg-Sr alloy can be modulated, thereafter, the degradation rate of Mg-based alloys can be controlled precisely according to actual clinical requirement. In addition, this zirconia nanofilm modified Mg-Sr alloys show excellent biocompatibility than the bare samples. Hence, this work provides a new surface strategy to control the degradation rate while improving the biocompatibility of substrates. Copyright © 2017 Acta Materialia Inc. Published by Elsevier Ltd. All rights reserved.

  3. Layered surface structure of gas-atomized high Nb-containing TiAl powder and its impact on laser energy absorption for selective laser melting

    Science.gov (United States)

    Zhou, Y. H.; Lin, S. F.; Hou, Y. H.; Wang, D. W.; Zhou, P.; Han, P. L.; Li, Y. L.; Yan, M.

    2018-05-01

    Ti45Al8Nb alloy (in at.%) is designed to be an important high-temperature material. However, its fabrication through laser-based additive manufacturing is difficult to achieve. We present here that a good understanding of the surface structure of raw material (i.e. Ti45Al8Nb powder) is important for optimizing its process by selective laser melting (SLM). Detailed X-ray photoelectron spectroscopy (XPS) depth profiling and transmission electron microscopy (TEM) analyses were conducted to determine the surface structure of Ti45Al8Nb powder. An envelope structure (∼54.0 nm in thickness) was revealed for the powder, consisting of TiO2 + Nb2O5 (as the outer surface layer)/Al2O3 + Nb2O5 (as the intermediate layer)/Al2O3 (as the inner surface layer)/Ti45Al8Nb (as the matrix). During SLM, this layered surface structure interacted with the incident laser beam and improved the laser absorptivity of Ti45Al8Nb powder by ∼32.21%. SLM experiments demonstrate that the relative density of the as-printed parts can be realized to a high degree (∼98.70%), which confirms good laser energy absorption. Such layered surface structure with appropriate phase constitution is essential for promoting SLM of the Ti45Al8Nb alloy.

  4. Ab initio study of the atomic motion in liquid metal surfaces: comparison with Lennard-Jones systems

    International Nuclear Information System (INIS)

    Gonzalez, Luis E; Gonzalez, David J

    2006-01-01

    It is established that liquid metals exhibit surface layering at the liquid-vapour interface, while dielectric simple systems, like those interacting through Lennard-Jones potentials, show a monotonic decay from the liquid density to that of the vapour. First principles molecular dynamics simulations of the free liquid surface of several liquid metals (Li, Na, K, Rb, Cs, Mg, Ba, Al, Tl and Si), and the Na 3 K 7 alloy near their triple points have been performed in order to study the atomic motion at the interface, mainly at the outer layer. Comparison with the results of classical molecular dynamics simulations of a Lennard-Jones system shows interesting differences and similarities. The probability distribution function of the time of residence in a layer shows a peak at very short times and a long-lasting tail. The mean residence time in a layer increases when approaching the interfacial region, slightly in the Lennard-Jones system but strongly in the metallic systems. The motion within the layers, parallel to the interface, can be described as diffusion enhanced (strongly in the case of the outermost layer) with respect to the bulk, for both types of systems, despite its reduced dimensionality in metals

  5. Surface characteristics of kaolinite and other selected 2-layer silicate minerals

    Energy Technology Data Exchange (ETDEWEB)

    Miller, J.D.; Nalaskowski, J.; Abdul, B.; Du, H. [Utah Univ., Salt Lake City, UT (United States). Dept. of Metallurgical Engineering

    2007-10-15

    The wetting of mineral phases by bitumens plays an important role in efficient bitumen recovery. In this study, molecular dynamics simulations were used to investigate the electrokinetic behaviour and interfacial water features of 2-layer silicate minerals. The study compared the planar structures of antigorite and kaolinite with equivalent tubular structures of halloysite and chrysolite. Equivalency of pH dependency of zeta potential was determined using electrophoretic mobility measurements. The atomic mismatch between tetrahydral and octahydral sheets in a bilayer was examined in order to determine electrokinetic behaviour. Results of the study indicated that the silica tetrahydral surface was not wetted by water, but by structural imperfections. Polarity reversal within a tetrahedral octahedral layer and an out-of-order layer within the stack were then considered to explain both the wetting characteristics and electrokinetic behaviour. It was concluded that further research is needed to explain why the hexagonal rings structure for the silica tetrahydral face of kaolinite is wetted by water. 55 refs., 2 tabs., 12 figs.

  6. Monocrystalline zinc oxide films grown by atomic layer deposition

    International Nuclear Information System (INIS)

    Wachnicki, L.; Krajewski, T.; Luka, G.; Witkowski, B.; Kowalski, B.; Kopalko, K.; Domagala, J.Z.; Guziewicz, M.; Godlewski, M.; Guziewicz, E.

    2010-01-01

    In the present work we report on the monocrystalline growth of (00.1) ZnO films on GaN template by the Atomic Layer Deposition technique. The ZnO films were obtained at temperature of 300 o C using dietylzinc (DEZn) as a zinc precursor and deionized water as an oxygen precursor. High resolution X-ray diffraction analysis proves that ZnO layers are monocrystalline with rocking curve FWHM of the 00.2 peak equals to 0.07 o . Low temperature photoluminescence shows a sharp and bright excitonic line with FWHM of 13 meV.

  7. Enhanced photoelectrocatalytic performance of α-Fe2O3 thin films by surface plasmon resonance of Au nanoparticles coupled with surface passivation by atom layer deposition of Al2O3.

    Science.gov (United States)

    Liu, Yuting; Xu, Zhen; Yin, Min; Fan, Haowen; Cheng, Weijie; Lu, Linfeng; Song, Ye; Ma, Jing; Zhu, Xufei

    2015-12-01

    The short lifetime of photogenerated charge carriers of hematite (α-Fe2O3) thin films strongly hindered the PEC performances. Herein, α-Fe2O3 thin films with surface nanowire were synthesized by electrodeposition and post annealing method for photoelectrocatalytic (PEC) water splitting. The thickness of the α-Fe2O3 films can be precisely controlled by adjusting the duration of the electrodeposition. The Au nanoparticles (NPs) and Al2O3 shell by atom layer deposition were further introduced to modify the photoelectrodes. Different constructions were made with different deposition orders of Au and Al2O3 on Fe2O3 films. The Fe2O3-Au-Al2O3 construction shows the best PEC performance with 1.78 times enhancement by localized surface plasmon resonance (LSPR) of NPs in conjunction with surface passivation of Al2O3 shells. Numerical simulation was carried out to investigate the promotion mechanisms. The high PEC performance for Fe2O3-Au-Al2O3 construction electrode could be attributed to the Al2O3 intensified LSPR, effective surface passivation by Al2O3 coating, and the efficient charge transfer due to the Fe2O3-Au Schottky junctions.

  8. Electronic structure investigation of atomic layer deposition ruthenium(oxide) thin films using photoemission spectroscopy

    Science.gov (United States)

    Schaefer, Michael; Schlaf, Rudy

    2015-08-01

    Analyzing and manipulating the electronic band line-up of interfaces in novel micro- and nanoelectronic devices is important to achieve further advancement in this field. Such band alignment modifications can be achieved by introducing thin conformal interfacial dipole layers. Atomic layer deposition (ALD), enabling angstrom-precise control over thin film thickness, is an ideal technique for this challenge. Ruthenium (Ru0) and its oxide (RuO2) have gained interest in the past decade as interfacial dipole layers because of their favorable properties like metal-equivalent work functions, conductivity, etc. In this study, initial results of the electronic structure investigation of ALD Ru0 and RuO2 films via photoemission spectroscopy are presented. These experiments give insight into the band alignment, growth behavior, surface structure termination, and dipole formation. The experiments were performed in an integrated vacuum system attached to a home-built, stop-flow type ALD reactor without exposing the samples to the ambient in between deposition and analysis. Bis(ethylcyclopentadienyl)ruthenium(II) was used as precursor and oxygen as reactant. The analysis chamber was outfitted with X-ray photoemission spectroscopy (LIXPS, XPS). The determined growth modes are consistent with a strong growth inhibition situation with a maximum average growth rate of 0.21 Å/cycle for RuO2 and 0.04 Å/cycle for Ru.0 An interface dipole of up to -0.93 eV was observed, supporting the assumption of a strongly physisorbed interface. A separate experiment where the surface of a RuO film was sputtered suggests that the surface is terminated by an intermediate, stable, non-stoichiometric RuO2/OH compound whose surface is saturated with hydroxyl groups.

  9. Two-Dimensional SnO Anodes with a Tunable Number of Atomic Layers for Sodium Ion Batteries

    KAUST Repository

    Zhang, Fan; Zhu, Jiajie; Zhang, Daliang; Schwingenschlö gl, Udo; Alshareef, Husam N.

    2017-01-01

    We have systematically changed the number of atomic layers stacked in 2D SnO nanosheet anodes and studied their sodium ion battery (SIB) performance. The results indicate that as the number of atomic SnO layers in a sheet decreases, both

  10. Organic light emitting diode with surface modification layer

    Science.gov (United States)

    Basil, John D.; Bhandari, Abhinav; Buhay, Harry; Arbab, Mehran; Marietti, Gary J.

    2017-09-12

    An organic light emitting diode (10) includes a substrate (12) having a first surface (14) and a second surface (16), a first electrode (32), and a second electrode (38). An emissive layer (36) is located between the first electrode (32) and the second electrode (38). The organic light emitting diode (10) further includes a surface modification layer (18). The surface modification layer (18) includes a non-planar surface (30, 52).

  11. Atomic-scale observation of structural and electronic orders in the layered compound α-RuCl3

    Science.gov (United States)

    Ziatdinov, M.; Banerjee, A.; Maksov, A.; Berlijn, T.; Zhou, W.; Cao, H. B.; Yan, J.-Q.; Bridges, C. A.; Mandrus, D. G.; Nagler, S. E.; Baddorf, A. P.; Kalinin, S. V.

    2016-12-01

    A pseudospin-1/2 Mott phase on a honeycomb lattice is proposed to host the celebrated two-dimensional Kitaev model which has an elusive quantum spin liquid ground state, and fascinating physics relevant to the development of future templates towards topological quantum bits. Here we report a comprehensive, atomically resolved real-space study by scanning transmission electron and scanning tunnelling microscopies on a novel layered material displaying Kitaev physics, α-RuCl3. Our local crystallography analysis reveals considerable variations in the geometry of the ligand sublattice in thin films of α-RuCl3 that opens a way to realization of a spatially inhomogeneous magnetic ground state at the nanometre length scale. Using scanning tunnelling techniques, we observe the electronic energy gap of ~0.25 eV and intra-unit cell symmetry breaking of charge distribution in individual α-RuCl3 surface layer. The corresponding charge-ordered pattern has a fine structure associated with two different types of charge disproportionation at Cl-terminated surface.

  12. Modular injector integrated linear apparatus with motion profile optimization for spatial atomic layer deposition

    Science.gov (United States)

    Wang, Xiaolei; Li, Yun; Lin, Jilong; Shan, Bin; Chen, Rong

    2017-11-01

    A spatial atomic layer deposition apparatus integrated with a modular injector and a linear motor has been designed. It consists of four parts: a precursor delivery manifold, a modular injector, a reaction zone, and a driving unit. An injector with multi-layer structured channels is designed to help improve precursor distribution homogeneity. During the back and forth movement of the substrate at high speed, the inertial impact caused by jerk and sudden changes of acceleration will degrade the film deposition quality. Such residual vibration caused by inertial impact will aggravate the fluctuation of the gap distance between the injector and the substrate in the deposition process. Thus, an S-curve motion profile is implemented to reduce the large inertial impact, and the maximum position error could be reduced by 84%. The microstructure of the film under the S-curve motion profile shows smaller root-mean-square and scanning voltage amplitude under an atomic force microscope, which verifies the effectiveness of the S-curve motion profile in reducing the residual vibration and stabilizing the gap distance between the injector and the substrate. The film deposition rate could reach 100 nm/min while maintaining good uniformity without obvious periodic patterns on the surface.

  13. Atomic Layer Deposition to Enable the Production, Optimization and Protection of Spaceflight Hardware Project

    Data.gov (United States)

    National Aeronautics and Space Administration — Atomic Layer Deposition (ALD) a cost effective nano-manufacturing technique allows for the conformal coating of substrates with atomic control in a benign...

  14. Mechanical torques generated by optically pumped atomic spin relaxation at surfaces

    International Nuclear Information System (INIS)

    Herman, R.M.

    1982-01-01

    It is argued that a valuable method of observing certain types of surface-atom interactions may lie in mechanical torques generated through the spin-orbit relaxation of valence electronic spins of optically pumped atoms at surfaces. The unusual feature of this phenomenon is that the less probable spin-orbit relaxation becomes highly visible as compared with the much more rapid paramagnetic relaxation, because of an enhancement, typically by as much as a factor 10 9 , in the torques delivered to mechanical structures, by virtue of a very large effective moment arm. Spin-orbit relaxation operates through an exchange of translational momentum which, in turn, can be identified with the delivery of a gigantic angular momentum (in units of h) relative to a distant axis about which mechanical motion is referred. The spin-orbit relaxation strongly depends upon the atomic number of the surface atoms and the strength of interaction with the optically pumped atoms. Being dominated by high-atomic-number surface atoms, spin-orbit relaxation rates may not be too strongly influenced by minor surface contamination of lighter-weight optically active atoms

  15. Mechanical torques generated by optically pumped atomic spin relaxation at surfaces

    Science.gov (United States)

    Herman, R. M.

    1982-03-01

    It is argued that a valuable method of observing certain types of surface-atom interactions may lie in mechanical torques generated through the spin-orbit relaxation of valence electronic spins of optically pumped atoms at surfaces. The unusual feature of this phenomenon is that the less probable spin-orbit relaxation becomes highly visible as compared with the much more rapid paramagnetic relaxation, because of an enhancement, typically by as much as a factor 109, in the torques delivered to mechanical structures, by virtue of a very large effective moment arm. Spin-orbit relaxation operates through an exchange of translational momentum which, in turn, can be identified with the delivery of a gigantic angular momentum (in units of ℏ) relative to a distant axis about which mechanical motion is referred. The spin-orbit relaxation strongly depends upon the atomic number of the surface atoms and the strength of interaction with the optically pumped atoms. Being dominated by high-atomic-number surface atoms, spin-orbit-relaxation rates may not be too strongly influenced by minor surface contamination of lighter-weight optically active atoms.

  16. Standing and sitting adlayers in atomic layer deposition of ZnO

    Energy Technology Data Exchange (ETDEWEB)

    Gao, Zhengning; Banerjee, Parag, E-mail: parag.banerjee@wustl.edu [Department of Mechanical Engineering & Material Science, Washington University in St. Louis, Missouri 63130 and Institute of Materials Science & Engineering, Washington University in St. Louis, Missouri 63130 (United States); Wu, Fei; Myung, Yoon [Department of Mechanical Engineering & Material Science, Washington University in St. Louis, Missouri 63130 (United States); Fei, Ruixiang [Department of Physics, Washington University in St. Louis, Missouri 63130 (United States); Kanjolia, Ravindra [SAFC Hitech, 1429 Hilldale Ave., Haverhill, Massachusetts 01832 (United States); Yang, Li [Institute of Materials Science & Engineering, Washington University in St. Louis, Missouri 63130 and Department of Physics, Washington University in St. Louis, Missouri 63130 (United States)

    2016-01-15

    The extent of reactivity of diethyl zinc (DEZ) with a hydroxylated surface during atomic layer deposition (ALD) of ZnO using DEZ and water is measured. Two adlayer configurations of DEZ are possible. The “standing” adlayer releases one ethyl group from DEZ. The “sitting” adlayer releases both ethyl groups, thus forming a Zn bridge between two O anions. Density functional theory calculations suggest the sitting configuration is more stable than the standing configuration by 790 meV. In situ quadrupole mass spectroscopy of by-product ethane generated in ALD half cycles indicate that ∼1.56 OH sites react with a DEZ molecule resulting in 71.6% of sitting sites. A simple simulation of a “ball-and-stick” DEZ molecule randomly collapsing on a neighboring site remarkably captures this adlayer behavior. It is concluded that DEZ fraction sitting is a competitive process of a standing DEZ molecule collapsing onto an available neighboring hydroxyl site, as sites vie for occupancy via adsorption and surface diffusion.

  17. TiO2 nanofiber solid-state dye sensitized solar cells with thin TiO2 hole blocking layer prepared by atomic layer deposition

    International Nuclear Information System (INIS)

    Li, Jinwei; Chen, Xi; Xu, Weihe; Nam, Chang-Yong; Shi, Yong

    2013-01-01

    We incorporated a thin but structurally dense TiO 2 layer prepared by atomic layer deposition (ALD) as an efficient hole blocking layer in the TiO 2 nanofiber based solid-state dye sensitized solar cell (ss-DSSC). The nanofiber ss-DSSCs having ALD TiO 2 layers displayed increased open circuit voltage, short circuit current density, and power conversion efficiency compared to control devices with blocking layers prepared by spin-coating liquid TiO 2 precursor. We attribute the improved photovoltaic device performance to the structural integrity of ALD-coated TiO 2 layer and consequently enhanced hole blocking effect that results in reduced dark leakage current and increased charge carrier lifetime. - Highlights: • TiO 2 blocking locking layer prepared by atomic layer deposition (ALD) method. • ALD-coated TiO 2 layer enhanced hole blocking effect. • ALD blocking layer improved the voltage, current and efficiency. • ALD blocking layer reduced dark leakage current and increased electron lifetime

  18. Heat-resistant organic molecular layer as a joint interface for metal reduction on plastics surfaces

    International Nuclear Information System (INIS)

    Sang, Jing; Aisawa, Sumio; Hirahara, Hidetoshi; Kudo, Takahiro; Mori, Kunio

    2016-01-01

    Graphical abstract: - Highlights: • In situ adsorption behaviors of TES on PA6 surface were clarified by QCM. • Highest adsorption of TES on PA6 was obtained in pH 3 and 0.1 M solution. • Molecular layers of TES with uniform structures were prepared on PA6 surface. • TES layer improved PA6 local heat resistance from 150 °C to 230 °C. • TES molecular layer successfully reduced Ag ion to Ag"0. - Abstract: Heat-resistant organic molecular layers have been fabricated by triazine-based silane coupling agent for metal reduction on plastic surfaces using adsorption method. These molecular layers were used as an interfacial layer between polyamide (PA6) and metal solution to reduce Ag"+ ion to Ag"0. The interfacial behaviors of triazine molecular layer at the interfaces between PA6 and Ag solution were investigated using quartz crystal microbalance (QCM). The kinetics of molecular adsorption on PA6 was investigated by using triazine-based silane coupling agent solutions at different pH and concentration. X-ray photoelectron spectroscopy (XPS), atomic force microscope (AFM), and local nano thermal analysis were employed to characterize the surfaces and interfaces. The nano thermal analysis results show that molecular layers of triazine-based silane coupling agent greatly improved heat resistance of PA6 resin from 170 °C up to 230 °C. This research developed an in-depth insight for molecular behaviors of triazine-based silane coupling agent at the PA6 and Ag solution interfaces and should be of significant value for interfacial research between plastics and metal solution in plating industry.

  19. Heat-resistant organic molecular layer as a joint interface for metal reduction on plastics surfaces

    Energy Technology Data Exchange (ETDEWEB)

    Sang, Jing [Department of Frontier Materials and Function Engineering, Graduate School of Engineering, Iwate University, 4-3-5 Ueda, Morioka 020-8551 (Japan); Aisawa, Sumio, E-mail: aisawa@iwate-u.ac.jp [Department of Frontier Materials and Function Engineering, Graduate School of Engineering, Iwate University, 4-3-5 Ueda, Morioka 020-8551 (Japan); Hirahara, Hidetoshi [Department of Frontier Materials and Function Engineering, Graduate School of Engineering, Iwate University, 4-3-5 Ueda, Morioka 020-8551 (Japan); Kudo, Takahiro [Sulfur Chemical Institute, 210, Collabo MIU, 4-3-5, Ueda, Morioka 020-0066 (Japan); Mori, Kunio [Department of Frontier Materials and Function Engineering, Graduate School of Engineering, Iwate University, 4-3-5 Ueda, Morioka 020-8551 (Japan); Sulfur Chemical Institute, 210, Collabo MIU, 4-3-5, Ueda, Morioka 020-0066 (Japan)

    2016-04-15

    Graphical abstract: - Highlights: • In situ adsorption behaviors of TES on PA6 surface were clarified by QCM. • Highest adsorption of TES on PA6 was obtained in pH 3 and 0.1 M solution. • Molecular layers of TES with uniform structures were prepared on PA6 surface. • TES layer improved PA6 local heat resistance from 150 °C to 230 °C. • TES molecular layer successfully reduced Ag ion to Ag{sup 0}. - Abstract: Heat-resistant organic molecular layers have been fabricated by triazine-based silane coupling agent for metal reduction on plastic surfaces using adsorption method. These molecular layers were used as an interfacial layer between polyamide (PA6) and metal solution to reduce Ag{sup +} ion to Ag{sup 0}. The interfacial behaviors of triazine molecular layer at the interfaces between PA6 and Ag solution were investigated using quartz crystal microbalance (QCM). The kinetics of molecular adsorption on PA6 was investigated by using triazine-based silane coupling agent solutions at different pH and concentration. X-ray photoelectron spectroscopy (XPS), atomic force microscope (AFM), and local nano thermal analysis were employed to characterize the surfaces and interfaces. The nano thermal analysis results show that molecular layers of triazine-based silane coupling agent greatly improved heat resistance of PA6 resin from 170 °C up to 230 °C. This research developed an in-depth insight for molecular behaviors of triazine-based silane coupling agent at the PA6 and Ag solution interfaces and should be of significant value for interfacial research between plastics and metal solution in plating industry.

  20. Changing of micromorphology of silicon-on-sapphire epitaxial layer surface at irradiation by subthreshold energy X-radiation

    CERN Document Server

    Kiselev, A N; Skupov, V D; Filatov, D O

    2001-01-01

    The morphology of silicon-on-sapphire epitaxial layer surface after pulse irradiation by the X-rays with the energy of <= 140 keV is studied. The study on the irradiated material surface is carried out by the methods of the atomic force microscopy and ellipsometry. The average roughness value after irradiation constitutes 7 nm. The change in the films surface microrelief occurs due to reconstruction of their dislocation structure under the action of elastic waves, originating in the X radiation

  1. Growth kinetics for temperature-controlled atomic layer deposition of GaN using trimethylgallium and remote-plasma-excited NH3

    Science.gov (United States)

    Pansila, P.; Kanomata, K.; Miura, M.; Ahmmad, B.; Kubota, S.; Hirose, F.

    2015-12-01

    Fundamental surface reactions in the atomic layer deposition of GaN with trimethylgallium (TMG) and plasma-excited NH3 are investigated by multiple-internal-reflection infrared absorption spectroscopy (MIR-IRAS) at surface temperatures varying from room temperature (RT) to 400 °C. It is found that TMG is saturated at RT on GaN surfaces when the TMG exposure exceeds 8 × 104 Langmuir (L), where 1 L corresponds to 1.33 × 10-4 Pa s (or 1.0 × 10-6 Torr s), and its saturation density reaches the maximum value at RT. Nitridation with the plasma-excited NH3 on the TMG-saturated GaN surface is investigated by X-ray photoelectron spectroscopy (XPS). The nitridation becomes effective at surface temperatures in excess of 100 °C. The reaction models of TMG adsorption and nitridation on the GaN surface are proposed in this paper. Based on the surface analysis, a temperature-controlled ALD process consisting of RT-TMG adsorption and nitridation at 115 °C is examined, where the growth per cycle of 0.045 nm/cycle is confirmed. XPS analysis indicates that all N atoms are bonded as GaN. Atomic force microscopy indicates an average roughness of 0.23 nm. We discuss the reaction mechanism of GaN ALD in the low-temperature region at around 115 °C with TMG and plasma-excited NH3.

  2. The effect of van der Waal's gap expansions on the surface electronic structure of layered topological insulators

    International Nuclear Information System (INIS)

    Eremeev, S V; Vergniory, M G; Chulkov, E V; Menshchikova, T V; Shaposhnikov, A A

    2012-01-01

    On the basis of relativistic ab initio calculations, we show that an expansion of van der Waal's (vdW) spacings in layered topological insulators caused by intercalation of deposited atoms, leads to the simultaneous emergence of parabolic and M-shaped two-dimensional electron gas (2DEG) bands as well as Rashba-splitting of the former states. The expansion of vdW spacings and the emergence of the 2DEG states localized in the (sub)surface region are also accompanied by a relocation of the topological surface state to the lower quintuple layers, that can explain the absence of inter-band scattering found experimentally. (paper)

  3. Atomic forces between noble gas atoms, alkali ions, and halogen ions for surface interactions

    Science.gov (United States)

    Wilson, J. W.; Outlaw, R. A.; Heinbockel, J. H.

    1988-01-01

    The components of the physical forces between noble gas atoms, alkali ions, and halogen ions are analyzed and a data base developed from analysis of the two-body potential data, the alkali-halide molecular data, and the noble gas crystal and salt crystal data. A satisfactory global fit to this molecular and crystal data is then reproduced by the model to within several percent. Surface potentials are evaluated for noble gas atoms on noble gas surfaces and salt crystal surfaces with surface tension neglected. Within this context, the noble gas surface potentials on noble gas and salt crystals are considered to be accurate to within several percent.

  4. 2D layered insulator hexagonal boron nitride enabled surface passivation in dye sensitized solar cells.

    Science.gov (United States)

    Shanmugam, Mariyappan; Jacobs-Gedrim, Robin; Durcan, Chris; Yu, Bin

    2013-11-21

    A two-dimensional layered insulator, hexagonal boron nitride (h-BN), is demonstrated as a new class of surface passivation materials in dye-sensitized solar cells (DSSCs) to reduce interfacial carrier recombination. We observe ~57% enhancement in the photo-conversion efficiency of the DSSC utilizing h-BN coated semiconductor TiO2 as compared with the device without surface passivation. The h-BN coated TiO2 is characterized by Raman spectroscopy to confirm the presence of highly crystalline, mixed monolayer/few-layer h-BN nanoflakes on the surface of TiO2. The passivation helps to minimize electron-hole recombination at the TiO2/dye/electrolyte interfaces. The DSSC with h-BN passivation exhibits significantly lower dark saturation current in the low forward bias region and higher saturation in the high forward bias region, respectively, suggesting that the interface quality is largely improved without impeding carrier transport at the material interface. The experimental results reveal that the emerging 2D layered insulator could be used for effective surface passivation in solar cell applications attributed to desirable material features such as high crystallinity and self-terminated/dangling-bond-free atomic planes as compared with high-k thin-film dielectrics.

  5. Thickness and morphology of polyelectrolyte coatings on silica surfaces before and after protein exposure studied by atomic force microscopy

    Energy Technology Data Exchange (ETDEWEB)

    Haselberg, Rob, E-mail: r.haselberg@vu.nl [Biomolecular Analysis, Utrecht University, Universiteitsweg 99, 3584 CG Utrecht (Netherlands); AIMMS Division of BioMolecular Analysis, VU University Amsterdam, de Boelelaan 1083, 1081 HV Amsterdam (Netherlands); Flesch, Frits M. [Biomolecular Analysis, Utrecht University, Universiteitsweg 99, 3584 CG Utrecht (Netherlands); Boerke, Arjan [Department of Biochemistry and Cell Biology, Utrecht University, Yalelaan 2, 3508 TD Utrecht (Netherlands); Somsen, Govert W. [Biomolecular Analysis, Utrecht University, Universiteitsweg 99, 3584 CG Utrecht (Netherlands); AIMMS Division of BioMolecular Analysis, VU University Amsterdam, de Boelelaan 1083, 1081 HV Amsterdam (Netherlands)

    2013-05-24

    Graphical abstract: -- Highlights: •Atomic force microscopy is used to characterize polyelectrolyte coatings. •Coating procedure leads to nm-thick layers on a silica surface. •Polyelectrolyte coatings effectively prevent protein adsorption. •AFM provides the high resolution to investigate these thin films. •AFM results support earlier findings obtained with capillary electrophoresis. -- Abstract: Analyte–wall interaction is a significant problem in capillary electrophoresis (CE) as it may compromise separation efficiencies and migration time repeatability. In CE, self-assembled polyelectrolyte multilayer films of Polybrene (PB) and dextran sulfate (DS) or poly(vinylsulfonic acid) (PVS) have been used to coat the capillary inner wall and thereby prevent analyte adsorption. In this study, atomic force microscopy (AFM) was employed to investigate the layer thickness and surface morphology of monolayer (PB), bilayer, (PB-DS and PB-PVS), and trilayer (PB-DS-PB and PB-PVS-PB) coatings on glass surfaces. AFM nanoshaving experiments providing height distributions demonstrated that the coating procedures led to average layer thicknesses between 1 nm (PB) and 5 nm (PB-DS-PB), suggesting the individual polyelectrolytes adhere flat on the silica surface. Investigation of the surface morphology of the different coatings by AFM revealed that the PB coating does not completely cover the silica surface, whereas full coverage was observed for the trilayer coatings. The DS-containing coatings appeared on average 1 nm thicker than the corresponding PVS-containing coatings, which could be attributed to the molecular structure of the anionic polymers applied. Upon exposure to the basic protein cytochrome c, AFM measurements showed an increase of the layer thickness for bare (3.1 nm) and PB-DS-coated (4.6 nm) silica, indicating substantial protein adsorption. In contrast, a very small or no increase of the layer thickness was observed for the PB and PB-DS-PB coatings

  6. Fast atom diffraction for grazing scattering of Ne atoms from a LiF(0 0 1) surface

    International Nuclear Information System (INIS)

    Gravielle, M.S.; Schueller, A.; Winter, H.; Miraglia, J.E.

    2011-01-01

    Angular distributions of fast Ne atoms after grazing collisions with a LiF(0 0 1) surface under axial surface channeling conditions are experimentally and theoretically studied. We use the surface eikonal approximation to describe the quantum interference of scattered projectiles, while the atom-surface interaction is represented by means of a pairwise additive potential, including the polarization of the projectile atom. Experimental data serve as a benchmark to investigate the performance of the proposed potential model, analyzing the role played by the projectile polarization.

  7. Fast atom diffraction for grazing scattering of Ne atoms from a LiF(0 0 1) surface

    Energy Technology Data Exchange (ETDEWEB)

    Gravielle, M.S., E-mail: msilvia@iafe.uba.ar [Instituto de Astronomia y Fisica del Espacio (CONICET-UBA), Casilla de correo 67, sucursal 28 C1428EGA, Buenos Aires (Argentina); Departamento de Fisica, Fac. de Ciencias Exactas y Naturales, Universidad de Buenos Aires (Argentina); Schueller, A.; Winter, H. [Institut fuer Physik, Humboldt Universitaet zu Berlin, Newtonstrasse 15, D-12489 Berlin-Adlershof (Germany); Miraglia, J.E. [Instituto de Astronomia y Fisica del Espacio (CONICET-UBA), Casilla de correo 67, sucursal 28 C1428EGA, Buenos Aires (Argentina); Departamento de Fisica, Fac. de Ciencias Exactas y Naturales, Universidad de Buenos Aires (Argentina)

    2011-06-01

    Angular distributions of fast Ne atoms after grazing collisions with a LiF(0 0 1) surface under axial surface channeling conditions are experimentally and theoretically studied. We use the surface eikonal approximation to describe the quantum interference of scattered projectiles, while the atom-surface interaction is represented by means of a pairwise additive potential, including the polarization of the projectile atom. Experimental data serve as a benchmark to investigate the performance of the proposed potential model, analyzing the role played by the projectile polarization.

  8. XPS and SEM analysis of the surface of gas atomized powder precursor of ODS ferritic steels obtained through the STARS route

    Science.gov (United States)

    Gil, E.; Cortés, J.; Iturriza, I.; Ordás, N.

    2018-01-01

    An innovative powder metallurgy route to produce ODS FS, named STARS, has succeeded in atomizing steel powders containing the oxide formers (Y and Ti) and, hence, avoids the mechanical alloying (MA) step to dissolve Y in the matrix. A metastable oxide layer forms at the surface of atomized powders and dissociates during HIP consolidation at high temperatures, leading to precipitation of more stable Y-Ti-O nanoparticles.

  9. Surface poisoning in the nucleation and growth of palladium atomic layer deposition with Pd(hfac){sub 2} and formalin

    Energy Technology Data Exchange (ETDEWEB)

    Goldstein, D.N. [Department of Chemistry and Biochemistry, University of Colorado, 215 UCB, Boulder, CO 80309 (United States); George, S.M., E-mail: Steven.George@Colorado.Edu [Department of Chemistry and Biochemistry, University of Colorado, 215 UCB, Boulder, CO 80309 (United States); Department of Chemical and Biological Engineering, University of Colorado, 424 UCB, Boulder, CO (United States)

    2011-06-01

    Palladium (Pd) atomic layer deposition (ALD) can be performed with Pd(hfac){sub 2} (hfac = hexafluoroacetyl-acetone) and formalin as the reactants. For Pd ALD on oxide surfaces, the nucleation of Pd ALD has been observed to require between 20 and 100 ALD cycles. To understand the long nucleation periods, this study explored the surface reactions occurring during Pd ALD nucleation and growth on hydroxylated Al{sub 2}O{sub 3} substrates. In situ Fourier transform infrared (FTIR) spectroscopy on high surface area nanopowders was used to observe the surface species. The adsorption of Pd(hfac){sub 2} on hydroxylated Al{sub 2}O{sub 3} substrates was found to yield both Pd(hfac)* and Al(hfac)* surface species. The identity of the Al(hfac)* species was confirmed by separate FTIR studies of hfacH adsorption on the hydroxylated Al{sub 2}O{sub 3} substrates. Isothermal loss of the Al(hfac)* species revealed second-order kinetics at 448-523 K with an activation barrier of E{sub d} = 39.4 kcal/mol. The lack of correlation between Al(hfac)* and AlOH* species during the loss of Al(hfac)* species suggested that the Al(hfac)* species may desorb as Al(hfac){sub 3}. After Pd(hfac){sub 2} exposure and the subsequent formalin exposure on hydroxylated Al{sub 2}O{sub 3} substrates, only hfac ligands from Pd(hfac)* species were removed from the surface. In addition, the formalin exposure added formate species. The Al(hfac)* species was identified as the cause of the long nucleation period because Al(hfac)* behaves as a site blocker. The surface poisoning by Al(hfac)* species was corroborated by adsorbing hfacH prior to the Pd(hfac){sub 2} exposures. The amount of Pd(hfac)* species after Pd(hfac){sub 2} exposures decreased progressively versus the previous hfacH exposure. Pd ALD occurred gradually during the subsequent Pd ALD cycles as the Al(hfac)* species were slowly removed from the Al{sub 2}O{sub 3} surface. Ex situ transmission electron microscopy analysis revealed Pd nanoclusters

  10. Atomic layer deposition of ruthenium on plasma-treated vertically aligned carbon nanotubes for high-performance ultracapacitors.

    Science.gov (United States)

    Kim, Jun Woo; Kim, Byungwoo; Park, Suk Won; Kim, Woong; Shim, Joon Hyung

    2014-10-31

    It is challenging to realize a conformal metal coating by atomic layer deposition (ALD) because of the high surface energy of metals. In this study, ALD of ruthenium (Ru) on vertically aligned carbon nanotubes (CNTs) was carried out. To activate the surface of CNTs that lack surface functional groups essential for ALD, oxygen plasma was applied ex situ before ALD. X-ray photoelectron spectroscopy and Raman spectroscopy confirmed surface activation of CNTs by the plasma pretreatment. Transmission electron microscopy analysis with energy-dispersive x-ray spectroscopy composition mapping showed that ALD Ru grew conformally along CNTs walls. ALD Ru/CNTs were electrochemically oxidized to ruthenium oxide (RuOx) that can be a potentially useful candidate for use in the electrodes of ultracapacitors. Electrode performance of RuOx/CNTs was evaluated using cyclic voltammetry and galvanostatic charge-discharge measurements.

  11. Durability of simulated waste glass: effects of pressure and formation of surface layers

    International Nuclear Information System (INIS)

    Wicks, G.G.; Mosley, W.C.; Whitkop, P.G.; Saturday, K.A.

    1981-01-01

    The leaching behavior of simulated Savannah River Plant (SRP) waste glass was studied at elevated pressures and anticipated storage temperatures. An integrated approach, which combined leachate solution analyses with both bulk and surface studies, was used to study the corrosion process. Compositions of leachates were evaluated by colorimetry and atomic absorption. Used in the bulk and surface analyses were optical microscopy, scanning electron microscopy, x-ray energy spectroscopy, wide-angle x-ray, diffraction, electron microprobe analysis, infrared reflectance spectroscopy, electron spectroscopy for chemical analysis, and Auger electron spectroscopy. Results from this study show that there is no significant adverse effect of pressure, up to 1500 psi and 90 0 C, on the chemical durability of simulated SPR waste glass leached for one month in deionized water. In addition, the leached glass surface layer was characterized by an adsorbed film rich in minor constituents from the glass. This film remained on the glass surface even after leaching in relatively alkaline solutions at elevated pressures at 90 0 C for one month. The sample surface area to volume of leachant ratios (SA/V) was 10:1 cm -1 and 1:10 cm -1 . The corrosion mechanisms and surface and subsurface layers produced will be discussed along with the potential importance of these results to repository storage

  12. Highly photocatalytic TiO_2 interconnected porous powder fabricated by sponge-templated atomic layer deposition

    International Nuclear Information System (INIS)

    Pan, Shengqiang; Zhao, Yuting; Huang, Gaoshan; Li, Menglin; Mei, Yongfeng; Wang, Jiao; Zheng, Lirong; Baunack, Stefan; Schmidt, Oliver G; Gemming, Thomas

    2015-01-01

    A titanium dioxide (TiO_2) interconnected porous structure has been fabricated by means of atomic layer deposition of TiO_2 onto a reticular sponge template. The obtained freestanding TiO_2 with large surface area can be easily taken out of the water to solve a complex separation procedure. A compact and conformal nanocoating was evidenced by morphologic characterization. A phase transition, as well as production of oxygen vacancies with increasing annealing temperature, was detected by x-ray diffraction and x-ray photoelectron spectroscopy, respectively. The photocatalytic experimental results demonstrated that the powder with appropriate annealing treatment possessed excellent photocatalytic ability due to the co-action of high surface area, oxygen vacancies and the optimal crystal structure. (paper)

  13. Modification of Ni-Rich FCG NMC and NCA Cathodes by Atomic Layer Deposition: Preventing Surface Phase Transitions for High-Voltage Lithium-Ion Batteries

    Science.gov (United States)

    Mohanty, Debasish; Dahlberg, Kevin; King, David M.; David, Lamuel A.; Sefat, Athena S.; Wood, David L.; Daniel, Claus; Dhar, Subhash; Mahajan, Vishal; Lee, Myongjai; Albano, Fabio

    2016-05-01

    The energy density of current lithium-ion batteries (LIBs) based on layered LiMO2 cathodes (M = Ni, Mn, Co: NMC; M = Ni, Co, Al: NCA) needs to be improved significantly in order to compete with internal combustion engines and allow for widespread implementation of electric vehicles (EVs). In this report, we show that atomic layer deposition (ALD) of titania (TiO2) and alumina (Al2O3) on Ni-rich FCG NMC and NCA active material particles could substantially improve LIB performance and allow for increased upper cutoff voltage (UCV) during charging, which delivers significantly increased specific energy utilization. Our results show that Al2O3 coating improved the NMC cycling performance by 40% and the NCA cycling performance by 34% at 1 C/-1 C with respectively 4.35 V and 4.4 V UCV in 2 Ah pouch cells. High resolution TEM/SAED structural characterization revealed that Al2O3 coatings prevented surface-initiated layered-to-spinel phase transitions in coated materials which were prevalent in uncoated materials. EIS confirmed that Al2O3-coated materials had significantly lower increase in the charge transfer component of impedance during cycling. The ability to mitigate degradation mechanisms for Ni-rich NMC and NCA illustrated in this report provides insight into a method to enable the performance of high-voltage LIBs.

  14. From Single Atoms to Nanoparticles: Autocatalysis and Metal Aggregation in Atomic Layer Deposition of Pt on TiO2 Nanopowder.

    Science.gov (United States)

    Grillo, Fabio; Van Bui, Hao; La Zara, Damiano; Aarnink, Antonius A I; Kovalgin, Alexey Y; Kooyman, Patricia; Kreutzer, Michiel T; van Ommen, Jan Rudolf

    2018-05-10

    A fundamental understanding of the interplay between ligand-removal kinetics and metal aggregation during the formation of platinum nanoparticles (NPs) in atomic layer deposition of Pt on TiO 2 nanopowder using trimethyl(methylcyclo-pentadienyl)platinum(IV) as the precursor and O 2 as the coreactant is presented. The growth follows a pathway from single atoms to NPs as a function of the oxygen exposure (P O2 × time). The growth kinetics is modeled by accounting for the autocatalytic combustion of the precursor ligands via a variant of the Finke-Watzky two-step model. Even at relatively high oxygen exposures ( 120 mbar s. The deposition of more Pt leads to the formation of NPs that can be as large as 6 nm. Crucially, high P O2 (≥5 mbar) hinders metal aggregation, thus leading to narrow particle size distributions. The results show that ALD of Pt NPs is reproducible across small and large surface areas if the precursor ligands are removed at high P O2 . © 2018 WILEY-VCH Verlag GmbH & Co. KGaA, Weinheim.

  15. Phosphorus atomic layer doping in SiGe using reduced pressure chemical vapor deposition

    International Nuclear Information System (INIS)

    Yamamoto, Yuji; Heinemann, Bernd; Murota, Junichi; Tillack, Bernd

    2014-01-01

    Phosphorus (P) atomic layer doping in SiGe is investigated at temperatures between 100 °C to 600 °C using a single wafer reduced pressure chemical vapor deposition system. SiGe(100) surface is exposed to PH 3 at different PH 3 partial pressures by interrupting SiGe growth. The impact of the SiGe buffer/cap growth condition (total pressure/SiGe deposition precursors) on P adsorption, incorporation, and segregation are investigated. In the case of SiH 4 -GeH 4 -H 2 gas system, steeper P spikes due to lower segregation are observed by SiGe cap deposition at atmospheric (ATM) pressure compared with reduced pressure (RP). The steepness of P spike of ∼ 5.7 nm/dec is obtained for ATM pressure without reducing deposition temperature. This result may be due to the shift of equilibrium of P adsorption/desorption to desorption direction by higher H 2 pressure. Using Si 2 H 6 -GeH 4 -H 2 gas system for SiGe cap deposition in RP, lowering the SiGe growth temperature is possible, resulting in higher P incorporation and steeper P profile due to reduced desorption and segregation. In the case of Si 2 H 6 -GeH 4 -H 2 gas system, the P dose could be simulated assuming a Langmuir-type kinetics model. Incorporated P shows high electrical activity, indicating P is adsorbed mostly in lattice position. - Highlights: • Phosphorus (P) atomic layer doping in SiGe (100) is investigated using CVD. • P adsorption is suppressed by the hydrogen termination of Ge surface. • By SiGe cap deposition at atmospheric pressure, P segregation was suppressed. • By using Si 2 H 6 -based SiGe cap, P segregation was also suppressed. • The P adsorption process is self-limited and follows Langmuir-type kinetics model

  16. Correlation between catalytic activity and bonding and coordination number of atoms and molecules on transition metal surfaces: theory and experimental evidence

    International Nuclear Information System (INIS)

    Falicov, L.M.; Somorjai, G.A.

    1985-01-01

    Correlation between catalytic activity and low-energy local electronic fluctuation in transition metals is proposed. A theory and calculations are presented which indicate that maximum electronic fluctuants take place at high-coordination metal sites. Either (i) atomically rough surfaces that expose to the reactant molecules atoms with large numbers of nonmagnetic or weakly magnetic neighbors in the first or second layer at the surface or (ii) stepped and kinked surfaces are the most active in carrying out structure-sensitive catalytic reactions. The synthesis of ammonia from N 2 and H 2 over iron and rhenium surfaces, 1 H 2 / 2 H 2 exchange over stepped platinum crystal surfaces at low pressures, and the hydrogenolysis (C - C bond breaking) of isobutane at kinked platinum crystal surfaces are presented as experimental evidence in support of the theory

  17. Immunogold labels: cell-surface markers in atomic force microscopy

    NARCIS (Netherlands)

    Putman, Constant A.J.; Putman, C.A.J.; de Grooth, B.G.; Hansma, Paul K.; van Hulst, N.F.; Greve, Jan

    1993-01-01

    The feasibility of using immunogold labels as cell-surface markers in atomic force microscopy is shown in this paper. The atomic force microscope (AFM) was used to image the surface of immunogold-labeled human lymphocytes. The lymphocytes were isolated from whole blood and labeled by an indirect

  18. Charge transfer rates for xenon Rydberg atoms at metal and semiconductor surfaces

    Energy Technology Data Exchange (ETDEWEB)

    Dunning, F.B. [Department of Physics and Astronomy, Rice University, MS 61, 6100 Main Street, Houston, TX 77005-1892 (United States)]. E-mail: fbd@rice.edu; Wethekam, S. [Institut fuer Physik der Humboldt-Universitaet zu Berlin, Newtonstr. 15, D-12489 Berlin (Germany); Dunham, H.R. [Department of Physics and Astronomy, Rice University, MS 61, 6100 Main Street, Houston, TX 77005-1892 (United States); Lancaster, J.C. [Department of Physics and Astronomy, Rice University, MS 61, 6100 Main Street, Houston, TX 77005-1892 (United States)

    2007-05-15

    Recent progress in the study of charge exchange between xenon Rydberg atoms and surfaces is reviewed. Experiments using Au(1 1 1) surfaces show that under appropriate conditions each incident atom can be detected as an ion. The ionization dynamics, however, are strongly influenced by the perturbations in the energies and structure of the atomic states that occur as the ion collection field is applied and as the atom approaches the surface. These lead to avoided crossings between different atomic levels causing the atom to successively assume the character of a number of different states and lose much of its initial identity. The effects of this mixing are discussed. Efficient surface ionization is also observed at Si(1 0 0) surfaces although the ion signal is influenced by stray fields present at the surface.

  19. Photovoltaic device comprising compositionally graded intrinsic photoactive layer

    Science.gov (United States)

    Hoffbauer, Mark A; Williamson, Todd L

    2013-04-30

    Photovoltaic devices and methods of making photovoltaic devices comprising at least one compositionally graded photoactive layer, said method comprising providing a substrate; growing onto the substrate a uniform intrinsic photoactive layer having one surface disposed upon the substrate and an opposing second surface, said intrinsic photoactive layer consisting essentially of In.sub.1-xA.sub.xN,; wherein: i. 0.ltoreq.x.ltoreq.1; ii. A is gallium, aluminum, or combinations thereof; and iii. x is at least 0 on one surface of the intrinsic photoactive layer and is compositionally graded throughout the layer to reach a value of 1 or less on the opposing second surface of the layer; wherein said intrinsic photoactive layer is isothermally grown by means of energetic neutral atom beam lithography and epitaxy at a temperature of 600.degree. C. or less using neutral nitrogen atoms having a kinetic energy of from about 1.0 eV to about 5.0 eV, and wherein the intrinsic photoactive layer is grown at a rate of from about 5 nm/min to about 100 nm/min.

  20. Reliably counting atomic planes of few-layer graphene (n > 4).

    Science.gov (United States)

    Koh, Yee Kan; Bae, Myung-Ho; Cahill, David G; Pop, Eric

    2011-01-25

    We demonstrate a reliable technique for counting atomic planes (n) of few-layer graphene (FLG) on SiO(2)/Si substrates by Raman spectroscopy. Our approach is based on measuring the ratio of the integrated intensity of the G graphene peak and the optical phonon peak of Si, I(G)/I(Si), and is particularly useful in the range n > 4 where few methods exist. We compare our results with atomic force microscopy (AFM) measurements and Fresnel equation calculations. Then, we apply our method to unambiguously identify n of FLG devices on SiO(2) and find that the mobility (μ ≈ 2000 cm(2) V(-1) s(-1)) is independent of layer thickness for n > 4. Our findings suggest that electrical transport in gated FLG devices is dominated by carriers near the FLG/SiO(2) interface and is thus limited by the environment, even for n > 4.

  1. Atom depth analysis delineates mechanisms of protein intermolecular interactions

    International Nuclear Information System (INIS)

    Alocci, Davide; Bernini, Andrea; Niccolai, Neri

    2013-01-01

    Highlights: •3D atom depth analysis is proposed to identify different layers in protein structures. •Amino acid contents for each layers have been analyzed for a large protein dataset. •Charged amino acids in the most external layer are present at very different extents. •Atom depth indexes of K residues reflect their side chains flexibility. •Mobile surface charges can be responsible for long range protein–protein recognition. -- Abstract: The systematic analysis of amino acid distribution, performed inside a large set of resolved protein structures, sheds light on possible mechanisms driving non random protein–protein approaches. Protein Data Bank entries have been selected using as filters a series of restrictions ensuring that the shape of protein surface is not modified by interactions with large or small ligands. 3D atom depth has been evaluated for all the atoms of the 2,410 selected structures. The amino acid relative population in each of the structural layers formed by grouping atoms on the basis of their calculated depths, has been evaluated. We have identified seven structural layers, the inner ones reproducing the core of proteins and the outer one incorporating their most protruding moieties. Quantitative analysis of amino acid contents of structural layers identified, as expected, different behaviors. Atoms of Q, R, K, N, D residues are increasingly more abundant in going from core to surfaces. An opposite trend is observed for V, I, L, A, C, and G. An intermediate behavior is exhibited by P, S, T, M, W, H, F and Y. The outer structural layer hosts predominantly E and K residues whose charged moieties, protruding from outer regions of the protein surface, reorient free from steric hindrances, determining specific electrodynamics maps. This feature may represent a protein signature for long distance effects, driving the formation of encounter complexes and the eventual short distance approaches that are required for protein

  2. Site-selective substitutional doping with atomic precision on stepped Al (111) surface by single-atom manipulation.

    Science.gov (United States)

    Chen, Chang; Zhang, Jinhu; Dong, Guofeng; Shao, Hezhu; Ning, Bo-Yuan; Zhao, Li; Ning, Xi-Jing; Zhuang, Jun

    2014-01-01

    In fabrication of nano- and quantum devices, it is sometimes critical to position individual dopants at certain sites precisely to obtain the specific or enhanced functionalities. With first-principles simulations, we propose a method for substitutional doping of individual atom at a certain position on a stepped metal surface by single-atom manipulation. A selected atom at the step of Al (111) surface could be extracted vertically with an Al trimer-apex tip, and then the dopant atom will be positioned to this site. The details of the entire process including potential energy curves are given, which suggests the reliability of the proposed single-atom doping method.

  3. Study on the GaAs(110) surface using emitted atom spectrometry

    International Nuclear Information System (INIS)

    Gayone, J.E.; Sanchez, E.A.; Grizzi, O.; Universidad Nacional de Cuyo, Mendoza

    1998-01-01

    The facilities implemented at Bariloche for the ion scattering spectrometry is described, and recent examples of the technique application to determine the atomic structure and the composition of metallic and semiconductor surfaces, pure and with different adsorbates. The surface analysis technique using emitted atom spectrometry is discussed. The sensitivity to the GaAs(110) surface atomic relaxation is presented, and the kinetic of hydrogen adsorption by the mentioned surface is studied

  4. Engineering the mechanical properties of ultrabarrier films grown by atomic layer deposition for the encapsulation of printed electronics

    International Nuclear Information System (INIS)

    Bulusu, A.; Singh, A.; Kim, H.; Wang, C. Y.; Dindar, A.; Fuentes-Hernandez, C.; Kippelen, B.; Cullen, D.; Graham, S.

    2015-01-01

    Direct deposition of barrier films by atomic layer deposition (ALD) onto printed electronics presents a promising method for packaging devices. Films made by ALD have been shown to possess desired ultrabarrier properties, but face challenges when directly grown onto surfaces with varying composition and topography. Challenges include differing nucleation and growth rates across the surface, stress concentrations from topography and coefficient of thermal expansion mismatch, elastic constant mismatch, and particle contamination that may impact the performance of the ALD barrier. In such cases, a polymer smoothing layer may be needed to coat the surface prior to ALD barrier film deposition. We present the impact of architecture on the performance of aluminum oxide (Al 2 O 3 )/hafnium oxide (HfO 2 ) ALD nanolaminate barrier films deposited on fluorinated polymer layer using an optical calcium (Ca) test under damp heat. It is found that with increasing polymer thickness, the barrier films with residual tensile stress are prone to cracking resulting in rapid failure of the Ca sensor at 50 °C/85% relative humidity. Inserting a SiN x layer with residual compressive stress between the polymer and ALD layers is found to prevent cracking over a range of polymer thicknesses with more than 95% of the Ca sensor remaining after 500 h of testing. These results suggest that controlling mechanical properties and film architecture play an important role in the performance of direct deposited ALD barriers

  5. Engineering the mechanical properties of ultrabarrier films grown by atomic layer deposition for the encapsulation of printed electronics

    Energy Technology Data Exchange (ETDEWEB)

    Bulusu, A.; Singh, A.; Kim, H. [Woodruff School of Mechanical Engineering, Georgia Institute of Technology, Atlanta, Georgia 30332 (United States); Wang, C. Y.; Dindar, A.; Fuentes-Hernandez, C.; Kippelen, B. [School of Electrical and Computer Engineering, Georgia Institute of Technology, and Center for Organic Photonics and Electronics, Atlanta, Georgia 30332 (United States); Cullen, D. [Oak Ridge National Laboratory, P.O. Box 2008 MS-6064, Oak Ridge, Tennessee 37831 (United States); Graham, S., E-mail: sgraham@gatech.edu [Woodruff School of Mechanical Engineering, Georgia Institute of Technology, Atlanta, Georgia 30332 (United States); Oak Ridge National Laboratory, P.O. Box 2008 MS-6064, Oak Ridge, Tennessee 37831 (United States)

    2015-08-28

    Direct deposition of barrier films by atomic layer deposition (ALD) onto printed electronics presents a promising method for packaging devices. Films made by ALD have been shown to possess desired ultrabarrier properties, but face challenges when directly grown onto surfaces with varying composition and topography. Challenges include differing nucleation and growth rates across the surface, stress concentrations from topography and coefficient of thermal expansion mismatch, elastic constant mismatch, and particle contamination that may impact the performance of the ALD barrier. In such cases, a polymer smoothing layer may be needed to coat the surface prior to ALD barrier film deposition. We present the impact of architecture on the performance of aluminum oxide (Al{sub 2}O{sub 3})/hafnium oxide (HfO{sub 2}) ALD nanolaminate barrier films deposited on fluorinated polymer layer using an optical calcium (Ca) test under damp heat. It is found that with increasing polymer thickness, the barrier films with residual tensile stress are prone to cracking resulting in rapid failure of the Ca sensor at 50 °C/85% relative humidity. Inserting a SiN{sub x} layer with residual compressive stress between the polymer and ALD layers is found to prevent cracking over a range of polymer thicknesses with more than 95% of the Ca sensor remaining after 500 h of testing. These results suggest that controlling mechanical properties and film architecture play an important role in the performance of direct deposited ALD barriers.

  6. Spectral force analysis using atomic force microscopy reveals the importance of surface heterogeneity in bacterial and colloid adhesion to engineered surfaces.

    Science.gov (United States)

    Ma, Huilian; Winslow, Charles J; Logan, Bruce E

    2008-04-01

    Coatings developed to reduce biofouling of engineered surfaces do not always perform as expected based on their native properties. One reason is that a relatively small number of highly adhesive sites, or the heterogeneity of the coated surface, may control the overall response of the system to initial bacterial deposition. It is shown here using an approach we call spectral force analysis (SFA), based on force volume imaging of the surface with atomic force microscopy, that the behavior of surfaces and coatings can be better understood relative to bacterial adhesion. The application of vapor deposited TiO(2) metal oxide increased bacterial and colloid adhesion, but coating the surface with silica oxide reduced adhesion in a manner consistent with SFA based on analysis of the "stickiest" sites. Application of a TiO(2)-based paint to a surface produced a relatively non-fouling surface. Addition of a hydrophilic layer coating to this surface should have decreased fouling. However, it was observed that this coating actually increased fouling. Using SFA it was shown that the reason for the increased adhesion of bacteria and particles to the hydrophilic layer was that the surface produced by this coating was highly heterogeneous, resulting in a small number of sites that created a stickier surface. These results show that while it is important to manufacture surfaces with coatings that are relatively non-adhesive to bacteria, it is also essential that these coatings have a highly uniform surface chemistry.

  7. Surface layer effects on waste glass corrosion

    International Nuclear Information System (INIS)

    Feng, X.

    1993-01-01

    Water contact subjects waste glass to chemical attack that results in the formation of surface alteration layers. Two principal hypotheses have been advanced concerning the effect of surface alteration layers on continued glass corrosion: (1) they act as a mass transport barrier and (2) they influence the chemical affinity of the glass reaction. In general, transport barrier effects have been found to be less important than affinity effects in the corrosion of most high-level nuclear waste glasses. However, they can be important under some circumstances, for example, in a very alkaline solution, in leachants containing Mg ions, or under conditions where the matrix dissolution rate is very low. The latter suggests that physical barrier effect may affect the long-term glass dissolution rate. Surface layers influence glass reaction affinity through the effects of the altered glass and secondary phases on the solution chemistry. The reaction affinity may be controlled by various precipitates and crystalline phases, amorphous silica phases, gel layer, or all the components of the glass. The surface alteration layers influence radionuclide release mainly through colloid formation, crystalline phase incorporation, and gel layer retention. This paper reviews current understanding and uncertainties

  8. Electronic structure investigation of atomic layer deposition ruthenium(oxide) thin films using photoemission spectroscopy

    Energy Technology Data Exchange (ETDEWEB)

    Schaefer, Michael, E-mail: mvschaefer@mail.usf.edu, E-mail: schlaf@mail.usf.edu [Department of Physics, University of South Florida, Tampa, Florida 33620 (United States); Schlaf, Rudy, E-mail: mvschaefer@mail.usf.edu, E-mail: schlaf@mail.usf.edu [Department of Electrical Engineering, University of South Florida, Tampa, Florida 33620 (United States)

    2015-08-14

    Analyzing and manipulating the electronic band line-up of interfaces in novel micro- and nanoelectronic devices is important to achieve further advancement in this field. Such band alignment modifications can be achieved by introducing thin conformal interfacial dipole layers. Atomic layer deposition (ALD), enabling angstrom-precise control over thin film thickness, is an ideal technique for this challenge. Ruthenium (Ru{sup 0}) and its oxide (RuO{sub 2}) have gained interest in the past decade as interfacial dipole layers because of their favorable properties like metal-equivalent work functions, conductivity, etc. In this study, initial results of the electronic structure investigation of ALD Ru{sup 0} and RuO{sub 2} films via photoemission spectroscopy are presented. These experiments give insight into the band alignment, growth behavior, surface structure termination, and dipole formation. The experiments were performed in an integrated vacuum system attached to a home-built, stop-flow type ALD reactor without exposing the samples to the ambient in between deposition and analysis. Bis(ethylcyclopentadienyl)ruthenium(II) was used as precursor and oxygen as reactant. The analysis chamber was outfitted with X-ray photoemission spectroscopy (LIXPS, XPS). The determined growth modes are consistent with a strong growth inhibition situation with a maximum average growth rate of 0.21 Å/cycle for RuO{sub 2} and 0.04 Å/cycle for Ru.{sup 0} An interface dipole of up to −0.93 eV was observed, supporting the assumption of a strongly physisorbed interface. A separate experiment where the surface of a RuO film was sputtered suggests that the surface is terminated by an intermediate, stable, non-stoichiometric RuO{sub 2}/OH compound whose surface is saturated with hydroxyl groups.

  9. Electronic structure investigation of atomic layer deposition ruthenium(oxide) thin films using photoemission spectroscopy

    International Nuclear Information System (INIS)

    Schaefer, Michael; Schlaf, Rudy

    2015-01-01

    Analyzing and manipulating the electronic band line-up of interfaces in novel micro- and nanoelectronic devices is important to achieve further advancement in this field. Such band alignment modifications can be achieved by introducing thin conformal interfacial dipole layers. Atomic layer deposition (ALD), enabling angstrom-precise control over thin film thickness, is an ideal technique for this challenge. Ruthenium (Ru 0 ) and its oxide (RuO 2 ) have gained interest in the past decade as interfacial dipole layers because of their favorable properties like metal-equivalent work functions, conductivity, etc. In this study, initial results of the electronic structure investigation of ALD Ru 0 and RuO 2 films via photoemission spectroscopy are presented. These experiments give insight into the band alignment, growth behavior, surface structure termination, and dipole formation. The experiments were performed in an integrated vacuum system attached to a home-built, stop-flow type ALD reactor without exposing the samples to the ambient in between deposition and analysis. Bis(ethylcyclopentadienyl)ruthenium(II) was used as precursor and oxygen as reactant. The analysis chamber was outfitted with X-ray photoemission spectroscopy (LIXPS, XPS). The determined growth modes are consistent with a strong growth inhibition situation with a maximum average growth rate of 0.21 Å/cycle for RuO 2 and 0.04 Å/cycle for Ru. 0 An interface dipole of up to −0.93 eV was observed, supporting the assumption of a strongly physisorbed interface. A separate experiment where the surface of a RuO film was sputtered suggests that the surface is terminated by an intermediate, stable, non-stoichiometric RuO 2 /OH compound whose surface is saturated with hydroxyl groups

  10. Atomic-Level Co3O4 Layer Stabilized by Metallic Cobalt Nanoparticles: A Highly Active and Stable Electrocatalyst for Oxygen Reduction.

    Science.gov (United States)

    Liu, Min; Liu, Jingjun; Li, Zhilin; Wang, Feng

    2018-02-28

    Developing atomic-level transition oxides may be one of the most promising ways for providing ultrahigh electrocatalytic performance for oxygen reduction reaction (ORR), compared with their bulk counterparts. In this article, we developed a set of atomically thick Co 3 O 4 layers covered on Co nanoparticles through partial reduction of Co 3 O 4 nanoparticles using melamine as a reductive additive at an elevated temperature. Compared with the original Co 3 O 4 nanoparticles, the synthesized Co 3 O 4 with a thickness of 1.1 nm exhibits remarkably enhanced ORR activity and durability, which are even higher than those obtained by a commercial Pt/C in an alkaline environment. The superior activity can be attributed to the unique physical and chemical structures of the atomic-level oxide featuring the narrowed band gap and decreased work function, caused by the escaped lattice oxygen and the enriched coordination-unsaturated Co 2+ in this atomic layer. Besides, the outstanding durability of the catalyst can result from the chemically epitaxial deposition of the Co 3 O 4 on the cobalt surface. Therefore, the proposed synthetic strategy may offer a smart way to develop other atomic-level transition metals with high electrocatalytic activity and stability for energy conversion and storage devices.

  11. A low-temperature synthesis of electrochemical active Pt nanoparticles and thin films by atomic layer deposition on Si(111) and glassy carbon surfaces

    Energy Technology Data Exchange (ETDEWEB)

    Liu, Rui [Joint Center for Artificial Photosynthesis, California Institute of Technology, Pasadena, CA 91125 (United States); Han, Lihao [Joint Center for Artificial Photosynthesis, California Institute of Technology, Pasadena, CA 91125 (United States); Photovoltaic Materials and Devices (PVMD) Laboratory, Delft University of Technology, P.O. Box 5031, GA Delft 2600 (Netherlands); Huang, Zhuangqun; Ferrer, Ivonne M. [Joint Center for Artificial Photosynthesis, California Institute of Technology, Pasadena, CA 91125 (United States); Division of Chemistry and Chemical Engineering, California Institute of Technology, 210 Noyes Laboratory 127-72, Pasadena, CA 91125 (United States); Smets, Arno H.M.; Zeman, Miro [Photovoltaic Materials and Devices (PVMD) Laboratory, Delft University of Technology, P.O. Box 5031, GA Delft 2600 (Netherlands); Brunschwig, Bruce S., E-mail: bsb@caltech.edu [Beckman Institute, California Institute of Technology, Pasadena, CA 91125 (United States); Lewis, Nathan S., E-mail: nslewis@caltech.edu [Joint Center for Artificial Photosynthesis, California Institute of Technology, Pasadena, CA 91125 (United States); Beckman Institute, California Institute of Technology, Pasadena, CA 91125 (United States); Division of Chemistry and Chemical Engineering, California Institute of Technology, 210 Noyes Laboratory 127-72, Pasadena, CA 91125 (United States); Kavli Nanoscience Institute, California Institute of Technology, Pasadena, CA 91125 (United States)

    2015-07-01

    Atomic layer deposition (ALD) was used to deposit nanoparticles and thin films of Pt onto etched p-type Si(111) wafers and glassy carbon discs. Using precursors of MeCpPtMe{sub 3} and ozone and a temperature window of 200–300 °C, the growth rate was 80–110 pm/cycle. X-ray photoelectron spectroscopy (XPS), atomic force microscopy (AFM), and scanning electron microscopy (SEM) were used to analyze the composition, structure, morphology, and thickness of the ALD-grown Pt nanoparticle films. The catalytic activity of the ALD-grown Pt for the hydrogen evolution reaction was shown to be equivalent to that of e-beam evaporated Pt on glassy carbon electrode. - Highlights: • Pure Pt films were grown by atomic layer deposition (ALD) using MeCpPtMe3 and ozone. • ALD-grown Pt thin films had high growth rates of 110 pm/cycle. • ALD-grown Pt films were electrocatalytic for hydrogen evolution from water. • Electrocatalytic activity of the ALD Pt films was equivalent to e-beam deposited Pt. • No carbon species were detected in the ALD-grown Pt films.

  12. A low-temperature synthesis of electrochemical active Pt nanoparticles and thin films by atomic layer deposition on Si(111) and glassy carbon surfaces

    International Nuclear Information System (INIS)

    Liu, Rui; Han, Lihao; Huang, Zhuangqun; Ferrer, Ivonne M.; Smets, Arno H.M.; Zeman, Miro; Brunschwig, Bruce S.; Lewis, Nathan S.

    2015-01-01

    Atomic layer deposition (ALD) was used to deposit nanoparticles and thin films of Pt onto etched p-type Si(111) wafers and glassy carbon discs. Using precursors of MeCpPtMe 3 and ozone and a temperature window of 200–300 °C, the growth rate was 80–110 pm/cycle. X-ray photoelectron spectroscopy (XPS), atomic force microscopy (AFM), and scanning electron microscopy (SEM) were used to analyze the composition, structure, morphology, and thickness of the ALD-grown Pt nanoparticle films. The catalytic activity of the ALD-grown Pt for the hydrogen evolution reaction was shown to be equivalent to that of e-beam evaporated Pt on glassy carbon electrode. - Highlights: • Pure Pt films were grown by atomic layer deposition (ALD) using MeCpPtMe3 and ozone. • ALD-grown Pt thin films had high growth rates of 110 pm/cycle. • ALD-grown Pt films were electrocatalytic for hydrogen evolution from water. • Electrocatalytic activity of the ALD Pt films was equivalent to e-beam deposited Pt. • No carbon species were detected in the ALD-grown Pt films

  13. Atmospheric spatial atomic layer deposition of Zn(O,S) buffer layer for Cu(In,Ga)Se2 solar cells

    NARCIS (Netherlands)

    Frijters, C.H.; Poodt, P.; Illeberi, A.

    2016-01-01

    Zinc oxysulfide has been grown by spatial atomic layer deposition (S-ALD) and successfully applied as buffer layer in Cu(In, Ga)Se2 (CIGS) solar cells. S-ALD combines high deposition rates (up to nm/s) with the advantages of conventional ALD, i.e. excellent control of film composition and superior

  14. Native oxide transport and removal during the atomic layer deposition of Ta{sub 2}O{sub 5} on InAs(100) surfaces

    Energy Technology Data Exchange (ETDEWEB)

    Henegar, Alex J.; Gougousi, Theodosia, E-mail: gougousi@umbc.edu [Department of Physics, UMBC, Baltimore, Maryland 21250 (United States)

    2016-05-15

    Atomic layer deposition (ALD) was used to deposit Ta{sub 2}O{sub 5} on etched and native oxide-covered InAs(100) using pentakis dimethyl amino tantalum and H{sub 2}O at 200–300 °C. The transport and removal of the native oxides during the ALD process was investigated using x-ray photoelectron spectroscopy (XPS). Depositions above 200 °C on etched surfaces protected the interface from reoxidation. On native oxide-covered surfaces, depositions resulted in enhanced native oxide removal at higher temperatures. The arsenic oxides were completely removed above 250 °C after 3 nm of film growth, but some of the As{sub 2}O{sub 3} remained in the film at lower temperatures. Angle-resolved and sputter depth profiling XPS confirmed indium and arsenic oxide migration into the Ta{sub 2}O{sub 5} film at deposition temperatures as low as 200 °C. Continuous removal of both arsenic and indium oxides was confirmed even after the deposition of several monolayers of a coalesced Ta{sub 2}O{sub 5} film, and it was demonstrated that native oxide transport is a prevalent component of the interface “clean-up” mechanism.

  15. Measurement of near neighbor separations of surface atoms

    International Nuclear Information System (INIS)

    Cohen, P.I.

    Two techniques are being developed to measure the nearest neighbor distances of atoms at the surfaces of solids. Both measures extended fine structure in the excitation probability of core level electrons which are excited by an incident electron beam. This is an important problem because the structures of most surface systems are as yet unknown, even though the location of surface atoms is the basis for any quantitative understanding of the chemistry and physics of surfaces and interfaces. These methods would allow any laboratory to make in situ determinations of surface structure in conjunction with most other laboratory probes of surfaces. Each of these two techniques has different advantages; further, the combination of the two will increase confidence in the results by reducing systematic error in the data analysis

  16. Functionalized polymer film surfaces via surface-initiated atom transfer radical polymerization

    International Nuclear Information System (INIS)

    Hu, Y.; Li, J.S.; Yang, W.T.; Xu, F.J.

    2013-01-01

    The ability to manipulate and control the surface properties of polymer films, without altering the substrate properties, is crucial to their wide-spread applications. In this work, a simple one-step method for the direct immobilization of benzyl chloride groups (as the effective atom transfer radical polymerization (ATRP) initiators) on the polymer films was developed via benzophenone-induced coupling of 4-vinylbenzyl chloride (VBC). Polyethylene (PE) and nylon films were selected as examples of polymer films to illustrate the functionalization of film surfaces via surface-initiated ATRP. Functional polymer brushes of (2-dimethylamino)ethyl methacrylate, sodium 4-styrenesulfonate, 2-hydroxyethyl methacrylate and glycidyl methacrylate, as well as their block copolymer brushes, have been prepared via surface-initiated ATRP from the VBC-coupled PE or nylon film surfaces. With the development of a simple approach to the covalent immobilization of ATRP initiators on polymer film surfaces and the inherent versatility of surface-initiated ATRP, the surface functionality of polymer films can be precisely tailored. - Highlights: ► Atom transfer radical polymerization initiators were simply immobilized. ► Different functional polymer brushes were readily prepared. ► Their block copolymer brushes were also readily prepared

  17. Nanoscale imaging of surface piezoresponse on GaN epitaxial layers

    International Nuclear Information System (INIS)

    Stoica, T.; Calarco, R.; Meijers, R.; Lueth, H.

    2007-01-01

    Surfaces of GaN films were investigated by atomic force microscopy (AFM) with implemented piezoelectric force microscopy technique. A model of PFM based on the surface depletion region in GaN films is discussed. The local piezoelectric effect of the low frequency regime was found to be in phase with the applied voltage on large domains, corresponding to a Ga-face of the GaN layer. Low piezoresponse is obtained within the inter-domain regions. The use of frequencies near a resonance frequency enhances very much the resolution of piezo-imaging, but only for very low scanning speed the piezo-imaging can follow the local piezoelectric effect. An inversion of the PFM image contrast is obtained for frequencies higher than the resonance frequencies. The effect of a chemical surface treatment on the topography and the piezoresponse of the GaN films was also investigated. Textured surfaces with very small domains were observed after the chemical treatment. For this kind of surfaces, piezo-induced torsion rather than bending of the AFM cantilever dominates the contrast of the PFM images. A small memory effect was observed, and explained by surface charging and confinement of the piezoelectric effect within the carrier depletion region at the GaN surface

  18. First-principles study on the interaction of nitrogen atom with α–uranium: From surface adsorption to bulk diffusion

    International Nuclear Information System (INIS)

    Su, Qiulei; Deng, Huiqiu; Xiao, Shifang; Li, Xiaofan; Hu, Wangyu; Ao, Bingyun; Chen, Piheng

    2014-01-01

    Experimental studies of nitriding on uranium surfaces show that the modified layers provide considerable protection against air corrosion. The bimodal distribution of nitrogen is affected by both its implantation and diffusion, and the diffusion of nitrogen during implantation is also governed by vacancy trapping. In the present paper, nitrogen adsorption, absorption, diffusion, and vacancy trapping on the surface of and in the bulk of α–uranium are studied with a first-principles density functional theory approach and the climbing image nudged elastic band method. The calculated results indicate that, regardless of the nitrogen coverage, a nitrogen atom prefers to reside at the hollow1 site and octahedral (Oct) site on and below the surface, respectively. The lowest energy barriers for on-surface and penetration diffusion occur at a coverage of 1/2 monolayer. A nitrogen atom prefers to occupy the Oct site in bulk α–uranium. High energy barriers are observed during the diffusion between neighboring Oct sites. A vacancy can capture its nearby interstitial nitrogen atom with a low energy barrier, providing a significant attractive nitrogen-vacancy interaction at the trapping center site. This study provides a reference for understanding the nitriding process on uranium surfaces

  19. Growth of micrometric oxide layers to explore laser decontamination of metallic surfaces

    Directory of Open Access Journals (Sweden)

    Carvalho Luisa

    2017-01-01

    Full Text Available The nuclear industry produces a wide range of radioactive waste in terms of hazard level, contaminants and material. For metallic equipment like steam generators, the radioactivity is mainly located in the oxide surface. In order to study and develop safe techniques for dismantling and for decontamination, it is important to have access to oxide layers with a representative distribution of non-radioactive contaminants. In this paper we propose a method for the creation of oxide layers on stainless steel 304L with europium (Eu as contaminant. This technique consists in spraying an Eu-solution on stainless steel samples. The specimens are firstly treated with a pulsed nanosecond laser after which the steel samples are placed in a 873 K furnace for various durations in order to grow an oxide layer. The oxide structure and in-depth distribution of Eu in the oxide layer were analyzed by scanning electron microscopy coupled to an energy-dispersive X-ray microanalyzer, as well as by glow discharge optical emission or mass spectrometry. The oxide layers were grown to thicknesses in the range of 200 nm–4.5 μm depending on the laser treatment parameters and the heating duration. These contaminated oxides had a ‘duplex structure’ with a mean concentration of the order of 6 × 1016 atoms/cm2 (15 μg/cm2 of europium in the volume of the oxide layer. It appears that europium implementation prevented the oxide growth in the furnace. Nevertheless, the presence of the contamination had no impact on the thickness of the oxide layers obtained by preliminary laser treatment. These oxide layers were used to study the decontamination of metallic surfaces such as stainless steel 304L using a nanosecond pulsed laser.

  20. Surface passivation of Fe{sub 3}O{sub 4} nanoparticles with Al{sub 2}O{sub 3} via atomic layer deposition in a rotating fluidized bed reactor

    Energy Technology Data Exchange (ETDEWEB)

    Duan, Chen-Long; Deng, Zhang; Cao, Kun [State Key Laboratory of Digital Manufacturing Equipment and Technology, School of Mechanical Science and Engineering, Huazhong University of Science and Technology, 1037 Luoyu Road, Wuhan, Hubei 430074 (China); Yin, Hong-Feng [Ningbo Institute of Material Technology and Engineering, Chinese Academy of Sciences, Ningbo, Zhejiang 315201 (China); Shan, Bin [State Key Laboratory of Material Processing and Die and Mould Technology, School of Materials Science and Engineering, Huazhong University of Science and Technology, 1037 Luoyu Road, Wuhan, Hubei 430074 (China); Chen, Rong, E-mail: rongchen@mail.hust.edu.cn [State Key Laboratory of Digital Manufacturing Equipment and Technology, School of Mechanical Science and Engineering, School of Optical and Electronic Information, Huazhong University of Science and Technology, 1037 Luoyu Road, Wuhan, Hubei 430074 (China)

    2016-07-15

    Iron(II,III) oxide (Fe{sub 3}O{sub 4}) nanoparticles have shown great promise in many magnetic-related applications such as magnetic resonance imaging, hyperthermia treatment, and targeted drug delivery. Nevertheless, these nanoparticles are vulnerable to oxidation and magnetization loss under ambient conditions, and passivation is usually required for practical applications. In this work, a home-built rotating fluidized bed (RFB) atomic layer deposition (ALD) reactor was employed to form dense and uniform nanoscale Al{sub 2}O{sub 3} passivation layers on Fe{sub 3}O{sub 4} nanoparticles. The RFB reactor facilitated the precursor diffusion in the particle bed and intensified the dynamic dismantling of soft agglomerates, exposing every surface reactive site to precursor gases. With the aid of in situ mass spectroscopy, it was found that a thicker fluidization bed formed by larger amount of particles increased the residence time of precursors. The prolonged residence time allowed more thorough interactions between the particle surfaces and the precursor gas, resulting in an improvement of the precursor utilization from 78% to nearly 100%, even under a high precursor feeding rate. Uniform passivation layers around the magnetic cores were demonstrated by both transmission electron microscopy and the statistical analysis of Al mass concentrations. Individual particles were coated instead of the soft agglomerates, as was validated by the specific surface area analysis and particle size distribution. The results of thermogravimetric analysis suggested that 5 nm-thick ultrathin Al{sub 2}O{sub 3} coatings could effectively protect the Fe{sub 3}O{sub 4} nanoparticles from oxidation. The x-ray diffraction patterns also showed that the magnetic core crystallinity of such passivated nanoparticles could be well preserved under accelerated oxidation conditions. The precise thickness control via ALD maintained the saturation magnetization at 66.7 emu/g with a 5 nm-thick Al

  1. Surface structure investigations using noncontact atomic force microscopy

    International Nuclear Information System (INIS)

    Kolodziej, J.J.; Such, B.; Goryl, M.; Krok, F.; Piatkowski, P.; Szymonski, M.

    2006-01-01

    Surfaces of several A III B V compound semiconductors (InSb, GaAs, InP, InAs) of the (0 0 1) orientation have been studied with noncontact atomic force microscopy (NC-AFM). Obtained atomically resolved patterns have been compared with structural models available in the literature. It is shown that NC-AFM is an efficient tool for imaging complex surface structures in real space. It is also demonstrated that the recent structural models of III-V compound surfaces provide a sound base for interpretation of majority of features present in recorded patterns. However, there are also many new findings revealed by the NC-AFM method that is still new experimental technique in the context of surface structure determination

  2. Production of metal fullerene surface layer from various media in the process of steel carbonization

    Directory of Open Access Journals (Sweden)

    KUZEEV Iskander Rustemovich

    2018-04-01

    Full Text Available Studies devoted to production of metal fullerene layer in steels when introducing carbon from organic and inorganic media were performed. Barium carbonate was used as an inorganic medium and petroleum pitch was used as an organic medium. In order to generate the required amount of fullerenes in the process of steel samples carbonization, optimal temperature mode was found. The higher temperature, absorption and cohesive effects become less important and polymeric carbon structures destruction processes become more important. On the bottom the temperature is limited by petroleum pitch softening temperature and its transition to low-viscous state in order to enhance molecular mobility and improve the possibility of their diffusion to metal surface. Identification of fullerenes in the surface modified layer was carried out following the methods of IR-Fourier spectrometry and high-performance liquid chromatography. It was found out that nanocarbon structures, formed during carbonization in barium carbonate and petroleum pitch mediums, possess different morphology. In the process of metal carbonization from carbonates medium, the main role in fullerenes synthesis is belonged to catalytic effect of surface with generation of endohedral derivatives in the surface layer; but in the process of carbonization from pitch medium fullerenes are formed during crystallization of the latter and crystallization centers are of fullerene type. Based on theoretical data and dataof spectral and chromatographic analysis, optimal conditions of metal fullerene layer formation in barium carbonate and petroleum pitch mediums were determined. Low cohesion of layer, modified in barium carbonate medium, with metal basis was discovered. That was caused by limited carbon diffusion in the volume of α-Fe. According to the detected mechanism of fullerenes formation on steel surface in gaseous medium, fullerenes are formed on catalytic centers – ferrum atoms, forming thin metal

  3. Laser modification of macroscopic properties of metal surface layer

    Science.gov (United States)

    Kostrubiec, Franciszek

    1995-03-01

    Surface laser treatment of metals comprises a number of diversified technological operations out of which the following can be considered the most common: oxidation and rendering surfaces amorphous, surface hardening of steel, modification of selected physical properties of metal surface layers. In the paper basic results of laser treatment of a group of metals used as base materials for electric contacts have been presented. The aim of the study was to test the usability of laser treatment from the viewpoint of requirements imposed on materials for electric contacts. The results presented in the paper refer to two different surface treatment technologies: (1) modification of infusible metal surface layer: tungsten and molybdenum through laser fusing of their surface layer and its crystallization, and (2) modification of surface layer properties of other metals through laser doping of their surface layer with foreign elements. In the paper a number of results of experimental investigations obtained by the team under the author's supervision are presented.

  4. Change in crystalline structure and band alignment in atomic-layer-deposited HfO{sub 2} on InPusing an annealing treatment

    Energy Technology Data Exchange (ETDEWEB)

    Kang, Yu-Seon; Kim, Dae-Kyoung; Cho, Mann-Ho [Institute of Physics and Applied Physics, Yonsei University, Seoul 120-749 (Korea, Republic of); Seo, Jung-Hye [Division of Materials Science, Korea Basic Science Institute, Daejeon 305-333 (Korea, Republic of); Shon, Hyun Kyong; Lee, Tae Geol [Korea Research Institute of Standards and Science, Daejeon 305-540 (Korea, Republic of); Cho, Young Dae; Kim, Sun-Wook; Ko, Dae-Hong [Department of Material Science and Engineering, Yonsei University, Seoul 120-749 (Korea, Republic of); Kim, Hyoungsub [School of Advanced Materials Science and Engineering, Sungkyunkwan University, Suwon 440-746 (Korea, Republic of)

    2013-08-15

    Changes in structural characteristics and band alignments of atomic-layer-deposited HfO{sub 2} films on InP (001) as a function of annealing temperature and film thickness were investigated using various analytical techniques. After an annealing at temperatures over 500 C, the HfO{sub 2} films were converted into a fully crystalline structure with a tetragonal phase with no detectable interfacial layer between the film and the InP substrate. In-P-O states, produced by interfacial reactions, were increased during the post deposition annealing (PDA) process and oxides were detected in the surface region of the HfO{sub 2} film, indicating that In and P atoms had out-diffused. The E{sub g} value of the as-grown HfO{sub 2} film was found to be 5.80 {+-} 0.1 eV. After the PDA treatment, the optical band gap and valence band offset values were significantly affected by the interfacial oxide states between the HfO{sub 2} film and InP substrate. Moreover, band bending in InP, due to negative space charges generated by an unstable P-rich interfacial state during atomic layer deposition process was decreased after the annealing treatment. (copyright 2013 WILEY-VCH Verlag GmbH and Co. KGaA, Weinheim)

  5. On the physical and chemical details of alumina atomic layer deposition: A combined experimental and numerical approach

    International Nuclear Information System (INIS)

    Pan, Dongqing; Ma, Lulu; Xie, Yuanyuan; Yuan, Chris; Jen, Tien Chien

    2015-01-01

    Alumina thin film is typically studied as a model atomic layer deposition (ALD) process due to its high dielectric constant, high thermal stability, and good adhesion on various wafer surfaces. Despite extensive applications of alumina ALD in microelectronics industries, details on the physical and chemical processes are not yet well understood. ALD experiments are not able to shed adequate light on the detailed information regarding the transient ALD process. Most of current numerical approaches lack detailed surface reaction mechanisms, and their results are not well correlated with experimental observations. In this paper, the authors present a combined experimental and numerical study on the details of flow and surface reactions in alumina ALD using trimethylaluminum and water as precursors. Results obtained from experiments and simulations are compared and correlated. By experiments, growth rate on five samples under different deposition conditions is characterized. The deposition rate from numerical simulation agrees well with the experimental results. Details of precursor distributions in a full cycle of ALD are studied numerically to bridge between experimental observations and simulations. The 3D transient numerical model adopts surface reaction kinetics and mechanisms based on atomic-level studies to investigate the surface deposition process. Surface deposition is shown as a strictly self-limited process in our numerical studies. ALD is a complex strong-coupled fluid, thermal and chemical process, which is not only heavily dependent on the chemical kinetics and surface conditions but also on the flow and material distributions

  6. Atomic scale imaging of competing polar states in a Ruddlesden–Popper layered oxide

    Science.gov (United States)

    Stone, Greg; Ophus, Colin; Birol, Turan; Ciston, Jim; Lee, Che-Hui; Wang, Ke; Fennie, Craig J.; Schlom, Darrell G.; Alem, Nasim; Gopalan, Venkatraman

    2016-01-01

    Layered complex oxides offer an unusually rich materials platform for emergent phenomena through many built-in design knobs such as varied topologies, chemical ordering schemes and geometric tuning of the structure. A multitude of polar phases are predicted to compete in Ruddlesden–Popper (RP), An+1BnO3n+1, thin films by tuning layer dimension (n) and strain; however, direct atomic-scale evidence for such competing states is currently absent. Using aberration-corrected scanning transmission electron microscopy with sub-Ångstrom resolution in Srn+1TinO3n+1 thin films, we demonstrate the coexistence of antiferroelectric, ferroelectric and new ordered and low-symmetry phases. We also directly image the atomic rumpling of the rock salt layer, a critical feature in RP structures that is responsible for the competing phases; exceptional quantitative agreement between electron microscopy and density functional theory is demonstrated. The study shows that layered topologies can enable multifunctionality through highly competitive phases exhibiting diverse phenomena in a single structure. PMID:27578622

  7. Atomic scale imaging of competing polar states in a Ruddlesden-Popper layered oxide.

    Science.gov (United States)

    Stone, Greg; Ophus, Colin; Birol, Turan; Ciston, Jim; Lee, Che-Hui; Wang, Ke; Fennie, Craig J; Schlom, Darrell G; Alem, Nasim; Gopalan, Venkatraman

    2016-08-31

    Layered complex oxides offer an unusually rich materials platform for emergent phenomena through many built-in design knobs such as varied topologies, chemical ordering schemes and geometric tuning of the structure. A multitude of polar phases are predicted to compete in Ruddlesden-Popper (RP), An+1BnO3n+1, thin films by tuning layer dimension (n) and strain; however, direct atomic-scale evidence for such competing states is currently absent. Using aberration-corrected scanning transmission electron microscopy with sub-Ångstrom resolution in Srn+1TinO3n+1 thin films, we demonstrate the coexistence of antiferroelectric, ferroelectric and new ordered and low-symmetry phases. We also directly image the atomic rumpling of the rock salt layer, a critical feature in RP structures that is responsible for the competing phases; exceptional quantitative agreement between electron microscopy and density functional theory is demonstrated. The study shows that layered topologies can enable multifunctionality through highly competitive phases exhibiting diverse phenomena in a single structure.

  8. The surface roughness and planetary boundary layer

    Science.gov (United States)

    Telford, James W.

    1980-03-01

    Applications of the entrainment process to layers at the boundary, which meet the self similarity requirements of the logarithmic profile, have been studied. By accepting that turbulence has dominating scales related in scale length to the height above the surface, a layer structure is postulated wherein exchange is rapid enough to keep the layers internally uniform. The diffusion rate is then controlled by entrainment between layers. It has been shown that theoretical relationships derived on the basis of using a single layer of this type give quantitatively correct factors relating the turbulence, wind and shear stress for very rough surface conditions. For less rough surfaces, the surface boundary layer can be divided into several layers interacting by entrainment across each interface. This analysis leads to the following quantitatively correct formula compared to published measurements. 1 24_2004_Article_BF00877766_TeX2GIFE1.gif {σ _w }/{u^* } = ( {2/{9Aa}} )^{{1/4}} ( {1 - 3^{{1/2}{ a/k{d_n }/z{σ _w }/{u^* }z/L} )^{{1/4}} = 1.28(1 - 0.945({{σ _w }/{u^* }}}) {{z/L}})^{{1/4 where u^* = ( {{tau/ρ}}^{{1/2}}, σ w is the standard deviation of the vertical velocity, z is the height and L is the Obukhov scale lenght. The constants a, A, k and d n are the entrainment constant, the turbulence decay constant, Von Karman's constant, and the layer depth derived from the theory. Of these, a and A, are universal constants and not empirically determined for the boundary layer. Thus the turbulence needed for the plume model of convection, which resides above these layers and reaches to the inversion, is determined by the shear stress and the heat flux in the surface layers. This model applies to convection in cool air over a warm sea. The whole field is now determined except for the temperature of the air relative to the water, and the wind, which need a further parameter describing sea surface roughness. As a first stop to describing a surface where roughness elements

  9. Impact of the atomic layer deposition precursors diffusion on solid-state carbon nanotube based supercapacitors performances

    International Nuclear Information System (INIS)

    Fiorentino, Giuseppe; Vollebregt, Sten; Ishihara, Ryoichi; Sarro, Pasqualina M; Tichelaar, F D

    2015-01-01

    A study on the impact of atomic layer deposition (ALD) precursors diffusion on the performance of solid-state miniaturized nanostructure capacitor array is presented. Three-dimensional nanostructured capacitor array based on double conformal coating of multiwalled carbon nanotubes (MWCNTs) bundles is realized using ALD to deposit Al 2 O 3 as dielectric layer and TiN as high aspect-ratio conformal counter-electrode on 2 μm long MWCNT bundles. The devices have a small footprint (from 100 μm 2 to 2500 μm 2 ) and are realized using an IC wafer-scale manufacturing process with high reproducibility (≤0.3E-12F deviation). To evaluate the enhancement of the electrode surface, the measured capacitance values are compared to a lumped circuital model. The observed discrepancies are explained with a partial coating of the CNT, that determine a limited use of the available electrode surface area. To analyze the CNT coating effectiveness, the ALD precursors diffusions inside the CNT bundle is studied using a Knudsen diffusion mechanism. (paper)

  10. Impact of the atomic layer deposition precursors diffusion on solid-state carbon nanotube based supercapacitors performances

    Science.gov (United States)

    Fiorentino, Giuseppe; Vollebregt, Sten; Tichelaar, F. D.; Ishihara, Ryoichi; Sarro, Pasqualina M.

    2015-02-01

    A study on the impact of atomic layer deposition (ALD) precursors diffusion on the performance of solid-state miniaturized nanostructure capacitor array is presented. Three-dimensional nanostructured capacitor array based on double conformal coating of multiwalled carbon nanotubes (MWCNTs) bundles is realized using ALD to deposit Al2O3 as dielectric layer and TiN as high aspect-ratio conformal counter-electrode on 2 μm long MWCNT bundles. The devices have a small footprint (from 100 μm2 to 2500 μm2) and are realized using an IC wafer-scale manufacturing process with high reproducibility (≤0.3E-12F deviation). To evaluate the enhancement of the electrode surface, the measured capacitance values are compared to a lumped circuital model. The observed discrepancies are explained with a partial coating of the CNT, that determine a limited use of the available electrode surface area. To analyze the CNT coating effectiveness, the ALD precursors diffusions inside the CNT bundle is studied using a Knudsen diffusion mechanism.

  11. Effect of gold subsurface layer on the surface activity and segregation in Pt/Au/Pt3M (where M = 3d transition metals) alloy catalyst from first-principles.

    Science.gov (United States)

    Kim, Chang-Eun; Lim, Dong-Hee; Jang, Jong Hyun; Kim, Hyoung Juhn; Yoon, Sung Pil; Han, Jonghee; Nam, Suk Woo; Hong, Seong-Ahn; Soon, Aloysius; Ham, Hyung Chul

    2015-01-21

    The effect of a subsurface hetero layer (thin gold) on the activity and stability of Pt skin surface in Pt3M system (M = 3d transition metals) is investigated using the spin-polarized density functional theory calculation. First, we find that the heterometallic interaction between the Pt skin surface and the gold subsurface in Pt/Au/Pt3M system can significantly modify the electronic structure of the Pt skin surface. In particular, the local density of states projected onto the d states of Pt skin surface near the Fermi level is drastically decreased compared to the Pt/Pt/Pt3M case, leading to the reduction of the oxygen binding strength of the Pt skin surface. This modification is related to the increase of surface charge polarization of outmost Pt skin atoms by the electron transfer from the gold subsurface atoms. Furthermore, a subsurface gold layer is found to cast the energetic barrier to the segregation loss of metal atoms from the bulk (inside) region, which can enhance the durability of Pt3M based catalytic system in oxygen reduction condition at fuel cell devices. This study highlights that a gold subsurface hetero layer can provide an additional mean to tune the surface activity toward oxygen species and in turn the oxygen reduction reaction, where the utilization of geometric strain already reaches its practical limit.

  12. Growth and characterization of polar and nonpolar ZnO film grown on sapphire substrates by using atomic layer deposition

    International Nuclear Information System (INIS)

    Kim, Ki-Wook; Son, Hyo-Soo; Choi, Nak-Jung; Kim, Jihoon; Lee, Sung-Nam

    2013-01-01

    We investigated the electrical and the optical properties of polar and nonpolar ZnO films grown on sapphire substrates with different crystallographic planes. High resolution X-ray results revealed that polar c-plane (0001), nonpolar m-plane (10-10) and a-plane (11-20) ZnO thin films were grown on c-plane, m- and r-sapphire substrates by atomic layer deposition, respectively. Compared with the c-plane ZnO film, nonpolar m-plane and a-plane ZnO films showed smaller surface roughness and anisotropic surface structures. Regardless of ZnO crystal planes, room temperature photoluminescence spectra represented two emissions which consisted of the near bandedge (∼ 380 nm) and the deep level emission (∼ 500 nm). The a-plane ZnO films represented better optical and electrical properties than c-plane ZnO, while m-plane ZnO films exhibited poorer optical and electrical properties than c-plane ZnO. - Highlights: • Growth and characterization of a-, c- and m-plane ZnO film by atomic layer deposition. • The a-plane ZnO represented better optical and electrical properties than c-plane ZnO. • The m-plane ZnO exhibited poorer optical and electrical properties than c-plane ZnO

  13. Exploring Scintillometry in the Stable Atmospheric Surface Layer

    NARCIS (Netherlands)

    Hartogensis, O.K.

    2006-01-01

    The main objective of this thesis is to investigate observation methods of heat and momentum exchange and key variables that characterise turbulence in the atmospheric stable surface layer (SSL), a layer defined as the lower part of the stable boundary layer (SBL) where surface fluxes do not change

  14. Simulating evaporation of surface atoms of thorium-alloyed tungsten in strong electronic fields

    International Nuclear Information System (INIS)

    Bochkanov, P.V.; Mordyuk, V.S.; Ivanov, Yu.I.

    1984-01-01

    By the Monte Carlo method simulating evaporation of surface atoms of thorium - alloyed tungsten in strong electric fields is realized. The strongest evaporation of surface atoms of pure tungsten as compared with thorium-alloyed tungsten in the contentration range of thorium atoms in tungsten matrix (1.5-15%) is shown. The evaporation rate increases with thorium atoms concentration. Determined is in relative units the surface atoms evaporation rate depending on surface temperature and electric field stront

  15. Atomic layer deposition on nanoparticles in a rotary reactor

    Science.gov (United States)

    McCormick, Jarod Alan

    Challenges are encountered during atomic layer deposition (ALD) on large quantities of nanoparticles. The particles must be agitated or vigorously mixed to perform the ALD surface reactions in reasonable times and to prevent the particles from being agglomerated by the ALD film. The high surface area of nanoparticles also demands efficient reactant usage because large quantities of reactant are required for the surface reactions to reach completion. To address these challenges, a novel rotary reactor was developed to achieve constant particle agitation during static ALD reactant exposures. In the design of this new reactor, a cylindrical drum with porous metal walls was positioned inside a vacuum chamber. The porous cylindrical drum was rotated by a magnetically coupled rotary feedthrough. By rotating the cylindrical drum to obtain a centrifugal force of less than one gravitational force, the particles were agitated by a continuous "avalanche" of particles. The effectiveness of this rotary reactor was demonstrated by Al 2O3 ALD on ZrO2 particles. A number of techniques including transmission electron microscopy, Fourier transform infrared spectroscopy, scanning Auger spectroscopy and x-ray photoelectron spectroscopy confirmed that the Al2O3 ALD film conformally coats the ZrO 2 particles. Combining static reactant exposures with a very high surface area sample in the rotary reactor also provides unique opportunities for studying the surface chemistry during ALD. Sequential, subsaturating doses can be used to examine the self-limiting behavior of the ALD reactions in the rotary reactor. This dosing method is the first demonstration of self-limiting ALD on bulk quantities of nanoparticles. By combining these sequential, subsaturating doses with quadrupole mass spectrometry, ALD reactions can be analyzed from the gas phase using full mass spectrum analysis. The reaction products are present in a high enough concentration to discern a gas phase mechanism for reactions

  16. Atomic layer MoS2-graphene van der Waals heterostructure nanomechanical resonators.

    Science.gov (United States)

    Ye, Fan; Lee, Jaesung; Feng, Philip X-L

    2017-11-30

    Heterostructures play significant roles in modern semiconductor devices and micro/nanosystems in a plethora of applications in electronics, optoelectronics, and transducers. While state-of-the-art heterostructures often involve stacks of crystalline epi-layers each down to a few nanometers thick, the intriguing limit would be hetero-atomic-layer structures. Here we report the first experimental demonstration of freestanding van der Waals heterostructures and their functional nanomechanical devices. By stacking single-layer (1L) MoS 2 on top of suspended single-, bi-, tri- and four-layer (1L to 4L) graphene sheets, we realize an array of MoS 2 -graphene heterostructures with varying thickness and size. These heterostructures all exhibit robust nanomechanical resonances in the very high frequency (VHF) band (up to ∼100 MHz). We observe that fundamental-mode resonance frequencies of the heterostructure devices fall between the values of graphene and MoS 2 devices. Quality (Q) factors of heterostructure resonators are lower than those of graphene but comparable to those of MoS 2 devices, suggesting interface damping related to interlayer interactions in the van der Waals heterostructures. This study validates suspended atomic layer heterostructures as an effective device platform and provides opportunities for exploiting mechanically coupled effects and interlayer interactions in such devices.

  17. Change of Surface Roughness and Planetary Boundary Layer

    DEFF Research Database (Denmark)

    Jensen, Niels Otto

    1978-01-01

    The ratio between upstream and far downstream surface friction velocities relative to a change in surface roughness is given on the basis of results from surface Rossby number similarity theory. By simple theories for the internal boundary layer, which are found to compare quite well with recent...... numerical results from higher-order closure models, it is found that, even at a downwind distance such that the internal boundary layer has grown to the full height of the planetary boundary layers, the surface stress still considerably exceeds the equilibrium value...

  18. Bimetallic alloy electrocatalysts with multilayered platinum-skin surfaces

    Science.gov (United States)

    Stamenkovic, Vojislav R.; Wang, Chao; Markovic, Nenad M.

    2016-01-26

    Compositions and methods of preparing a bimetallic alloy having enhanced electrocatalytic properties are provided. The composition comprises a PtNi substrate having a surface layer, a near-surface layer, and an inner layer, where the surface layer comprises a nickel-depleted composition, such that the surface layer comprises a platinum skin having at least one atomic layer of platinum.

  19. Modification of Structure and Tribological Properties of the Surface Layer of Metal-Ceramic Composite under Electron Irradiation in the Plasmas of Inert Gases

    Science.gov (United States)

    Ovcharenko, V. E.; Ivanov, K. V.; Mohovikov, A. A.; Yu, B.; Xu, Yu; Zhong, L.

    2018-01-01

    Metal-ceramic composites are the main materials for high-load parts in tribomechanical systems. Modern approaches to extend the operation life of tribomechanical systems are based on increasing the strength and tribological properties of the surface layer having 100 to 200 microns in depth. The essential improvement of the properties occurs when high dispersed structure is formed in the surface layer using high-energy processing. As a result of the dispersed structure formation the more uniform distribution of elastic stresses takes place under mechanical or thermal action, the energy of stress concentrators emergence significantly increases and the probability of internal defects formation reduces. The promising method to form the dispersed structure in the surface layer is pulse electron irradiation in the plasmas of inert gases combining electron irradiation and ion bombardment in one process. The present work reports upon the effect of pulse electron irradiation in plasmas of different inert gases with different atomic mass and ionization energy on the structure and tribological properties of the surface layer of TiC/(Ni-Cr) metal-ceramic composite with the volume ratio of the component being 50:50. It is experimentally shown that high-dispersed heterophase structure with a fraction of nanosized particles is formed during the irradiation. Electron microscopy study reveals that refining of the initial coarse TiC particles occurs via their dissolution in the molten metal binder followed by the precipitation of secondary fine particles in the interparticle layers of the binder. The depth of modified layer and the fraction of nanosized particles increase when the atomic number of the plasma gas increases and ionization energy decreases. The wear resistance of metal-ceramic composite improves in accordance to the formation of nanocrystalline structure in the surface layer.

  20. In-situ surface hardening of cast iron by surface layer metallurgy

    International Nuclear Information System (INIS)

    Fischer, Sebastian F.; Muschna, Stefan; Bührig-Polaczek, Andreas; Bünck, Matthias

    2014-01-01

    Abrasive wear is a serious problem in many cast iron castings used in industry. To minimize failure and repair of these components, different strategies exist to improve their surface microhardness thus enhancing their wear resistance. However, most of these methods lead to very brittle and/or expensive castings. In the current work a new method for surface hardening is presented which utilizes surface layer metallurgy to generate in-situ a boron-enriched white cast iron surface layer with a high microhardness on a gray cast iron casting. To do this, sand molds are coated with a ferroboron suspension and cast with a cast iron melt. After solidification, a 100–900 µm thick layer of boron-enriched ledeburite is formed on the surface of the casting which produces an increase in the average microhardness from 284 HV 0.1 ±52 HV 0.1 to 505 HV 0.1 ±87 HV 0.1 . Analyses of the samples' core reveal a typical cast iron microstructure which leads to the conclusion that the coating mainly affects the castings' surface. By varying the grain size of the ferroboron powder in the coatings, it is shown that a powder size ≤100 µm is most suitable to create a boron-enriched ledeburite surface layer possessing high hardness values

  1. Atomic layer deposition for high-efficiency crystalline silicon solar cells

    NARCIS (Netherlands)

    Macco, B.; van de Loo, B.W.H.; Kessels, W.M.M.; Bachmann, J.

    2017-01-01

    This chapter illustrates that Atomic Layer Deposition (ALD) is in fact an enabler of novel high-efficiency Si solar cells, owing to its merits such as a high material quality, precise thickness control, and the ability to prepare film stacks in a well-controlled way. It gives an overview of the

  2. Atmospheric spatial atomic layer deposition of in-doped ZnO

    NARCIS (Netherlands)

    Illiberi, A.; Scherpenborg, R.; Roozeboom, F.; Poodt, P.

    2014-01-01

    Indium-doped zinc oxide (ZnO:In) has been grown by spatial atomic layer deposition at atmospheric pressure (spatial-ALD). Trimethyl indium (TMIn), diethyl zinc (DEZ) and deionized water have been used as In, Zn and O precursor, respectively. The metal content of the films is controlled in the range

  3. Atomic-scale structure of single-layer MoS2 nanoclusters

    DEFF Research Database (Denmark)

    Helveg, S.; Lauritsen, J. V.; Lægsgaard, E.

    2000-01-01

    We have studied using scanning tunneling microscopy (STM) the atomic-scale realm of molybdenum disulfide (MoS2) nanoclusters, which are of interest as a model system in hydrodesulfurization catalysis. The STM gives the first real space images of the shape and edge structure of single-layer MoS2...

  4. Enhanced electrical properties of dual-layer channel ZnO thin film transistors prepared by atomic layer deposition

    Science.gov (United States)

    Li, Huijin; Han, Dedong; Dong, Junchen; Yu, Wen; Liang, Yi; Luo, Zhen; Zhang, Shengdong; Zhang, Xing; Wang, Yi

    2018-05-01

    The thin film transistors (TFTs) with a dual-layer channel structure combing ZnO thin layer grown at 200 °C and ZnO film grown at 120 °C by atomic layer deposition are fabricated. The dual-layer channel TFT exhibits a low leakage current of 2.8 × 10-13 A, Ion/Ioff ratio of 3.4 × 109, saturation mobility μsat of 12 cm2 V-1 s-1, subthreshold swing (SS) of 0.25 V/decade. The SS value decreases to 0.18 V/decade after the annealing treatment in O2 due to the reduction of the trap states at the channel/dielectric interface and in the bulk channel layer. The enhanced performance obtained from the dual-layer channel TFTs is due to the ability of maintaining high mobility and suppressing the increase in the off-current at the same time.

  5. Theory of inelastic effects in resonant atom-surface scattering

    International Nuclear Information System (INIS)

    Evans, D.K.

    1983-01-01

    The progress of theoretical and experimental developments in atom-surface scattering is briefly reviewed. The formal theory of atom-surface resonant scattering is reviewed and expanded, with both S and T matrix approaches being explained. The two-potential formalism is shown to be useful for dealing with the problem in question. A detailed theory based on the S-matrix and the two-potential formalism is presented. This theory takes account of interactions between the incident atoms and the surface phonons, with resonant effects being displayed explicitly. The Debye-Waller attenuation is also studied. The case in which the atom-surface potential is divided into an attractive part V/sub a/ and a repulsive part V/sub r/ is considered at length. Several techniques are presented for handling the scattering due to V/sub r/, for the case in which V/sub r/ is taken to be the hard corrugated surface potential. The theory is used to calculate the scattered intensities for the system 4 He/LiF(001). A detailed comparison with experiment is made, with polar scans, azimuthal scans, and time-of-flight measurements being considered. The theory is seen to explain the location and signature of resonant features, and to provide reasonable overall agreement with the experimental results

  6. Ion doping of surface layers in conducting electrical materials

    International Nuclear Information System (INIS)

    Zukowski, P.; Karwat, Cz.; Kozak, Cz. M.; Kolasik, M.; Kiszczak, K.

    2009-01-01

    The presented article gives basic component elements of an implanter MKPCz-99, its parameters and methods for doping surface layers of conducting electrical materials. The discussed device makes possible to dope the materials with ions of gaseous elements. At the application of cones made of solid-element sheets it is possible to perform doping with atoms that do not chemically react with the modified material. By performing voltage drop measurements with a specialized circuit between a movable testing electrode and the modified sample the dependence of transition resistance on pressure force of the testing electrode on the sample can be determined. The testing can be performed at the current passage of a determined value for surfaces modified with ions of gaseous elements or atoms of solid elements. A computer stand for switch testing makes possible to measure temperature of switch contacts and voltage drop at the contact and thereby to determine contact resistance of a switch depending on the number of switch cycles (ON-OFF). Pattern recording of current and voltage at the switch contacts and the application of an adequate computer software makes possible to determined the value of energy between fixed and moving contacts at their getting apart. In order to eliminate action of the environment onto the switch operation measurements can be performed at placing the tested switch together with the driving system in an atmosphere of noble gas like argon. (authors)

  7. Atomistic kinetic Monte Carlo study of atomic layer deposition derived from density functional theory.

    Science.gov (United States)

    Shirazi, Mahdi; Elliott, Simon D

    2014-01-30

    To describe the atomic layer deposition (ALD) reactions of HfO2 from Hf(N(CH3)2)4 and H2O, a three-dimensional on-lattice kinetic Monte-Carlo model is developed. In this model, all atomistic reaction pathways in density functional theory (DFT) are implemented as reaction events on the lattice. This contains all steps, from the early stage of adsorption of each ALD precursor, kinetics of the surface protons, interaction between the remaining precursors (steric effect), influence of remaining fragments on adsorption sites (blocking), densification of each ALD precursor, migration of each ALD precursors, and cooperation between the remaining precursors to adsorb H2O (cooperative effect). The essential chemistry of the ALD reactions depends on the local environment at the surface. The coordination number and a neighbor list are used to implement the dependencies. The validity and necessity of the proposed reaction pathways are statistically established at the mesoscale. The formation of one monolayer of precursor fragments is shown at the end of the metal pulse. Adsorption and dissociation of the H2O precursor onto that layer is described, leading to the delivery of oxygen and protons to the surface during the H2O pulse. Through these processes, the remaining precursor fragments desorb from the surface, leaving the surface with bulk-like and OH-terminated HfO2, ready for the next cycle. The migration of the low coordinated remaining precursor fragments is also proposed. This process introduces a slow reordering motion (crawling) at the mesoscale, leading to the smooth and conformal thin film that is characteristic of ALD. Copyright © 2013 Wiley Periodicals, Inc.

  8. Comparison of some effects of modification of a polylactide surface layer by chemical, plasma, and laser methods

    Energy Technology Data Exchange (ETDEWEB)

    Moraczewski, Krzysztof, E-mail: kmm@ukw.edu.pl [Department of Materials Engineering, Kazimierz Wielki University, Department of Materials Engineering, ul. Chodkiewicza 30, 85-064 Bydgoszcz (Poland); Rytlewski, Piotr [Department of Materials Engineering, Kazimierz Wielki University, Department of Materials Engineering, ul. Chodkiewicza 30, 85-064 Bydgoszcz (Poland); Malinowski, Rafał [Institute for Engineering of Polymer Materials and Dyes, ul. M. Skłodowskiej–Curie 55, 87-100 Toruń (Poland); Żenkiewicz, Marian [Department of Materials Engineering, Kazimierz Wielki University, Department of Materials Engineering, ul. Chodkiewicza 30, 85-064 Bydgoszcz (Poland)

    2015-08-15

    Highlights: • We modified polylactide surface layer with chemical, plasma or laser methods. • We tested selected properties and surface structure of modified samples. • We stated that the plasma treatment appears to be the most beneficial. - Abstract: The article presents the results of studies and comparison of selected properties of the modified PLA surface layer. The modification was carried out with three methods. In the chemical method, a 0.25 M solution of sodium hydroxide in water and ethanol was utilized. In the plasma method, a 50 W generator was used, which produced plasma in the air atmosphere under reduced pressure. In the laser method, a pulsed ArF excimer laser with fluency of 60 mJ/cm{sup 2} was applied. Polylactide samples were examined by using the following techniques: scanning electron microscopy (SEM), atomic force microscopy (AFM), goniometry and X-ray photoelectron spectroscopy (XPS). Images of surfaces of the modified samples were recorded, contact angles were measured, and surface free energy was calculated. Qualitative and quantitative analyses of chemical composition of the PLA surface layer were performed as well. Based on the survey it was found that the best modification results are obtained using the plasma method.

  9. On mechanism of low-energy heavy ions scattering on a target surface with small atomic mass

    Energy Technology Data Exchange (ETDEWEB)

    Umarov, F.F. E-mail: farid1945@yahoo.com; Bazarbaev, N.N.; Kudryashova, L.B.; Krylov, N.M

    2002-11-01

    In the present work, an experimental study of low-energy (E{sub 0}=20-500 eV) heavy Cs{sup +} ions scattering on target surfaces with small atomic masses (Al, Si, Ni) has been performed for more accurate definition of mechanism of scattering and evaluation of an opportunity for use of heavy ions scattering as a tool of surface layer analysis. It is shown that the dependence of the relative energies of scattered ions versus the initial energy E/E{sub 0} (E{sub 0}) for Si (E{sub b}=4.64 eV/atom) and Ni (E{sub b}=4.43 eV/atom) approximately coincide despite the fact that the mass of Ni atom is twice as large as that of the Si atom mass. At the same time their binding energies E{sub b} are approximately equal to each other. It is found that the scattering angles of Cs{sup +} ions considerably exceed a limiting scattering angle {theta}{sub lim} in a single collision. It has been established that the scattering of low-energy heavy ions by light targets is described by a non-binary mechanism of many-particle interactions (simultaneous ion interaction with several target atoms). It has been shown that during the many-particle interactions the structure of energy spectra disappears; high relative energy of scattering ions and their dependence on energy of bombardment is observed. It has been found that the energy of scattered ions depends on binding energy, melting temperature and packing density of target atoms.

  10. The influence of the surface atomic structure on surface diffusion

    International Nuclear Information System (INIS)

    Ghaleb, Dominique

    1984-03-01

    This work represents the first quantitative study of the influence of the surface atomic structure on surface diffusion (in the range: 0.2 Tf up 0.5 Tf; Tf: melting temperature of the substrate). The analysis of our results on a microscopic scale shows low formation and migration energies for adatoms; we can describe the diffusion on surfaces with a very simple model. On (110) surfaces at low temperature the diffusion is controlled by the exchange mechanism; at higher temperature direct jumps of adatoms along the channels contribute also to the diffusion process. (author) [fr

  11. An important atomic process in the CVD growth of graphene: Sinking and up-floating of carbon atom on copper surface

    International Nuclear Information System (INIS)

    Li, Yingfeng; Li, Meicheng; Gu, TianSheng; Bai, Fan; Yu, Yue; Trevor, Mwenya; Yu, Yangxin

    2013-01-01

    By density functional theory (DFT) calculations, the early stages of the growth of graphene on copper (1 1 1) surface are investigated. At the very first time of graphene growth, the carbon atom sinks into subsurface. As more carbon atoms are adsorbed nearby the site, the sunken carbon atom will spontaneously form a dimer with one of the newly adsorbed carbon atoms, and the formed dimer will up-float on the top of the surface. We emphasize the role of the co-operative relaxation of the co-adsorbed carbon atoms in facilitating the sinking and up-floating of carbon atoms. In detail: when two carbon atoms are co-adsorbed, their co-operative relaxation will result in different carbon–copper interactions for the co-adsorbed carbon atoms. This difference facilitates the sinking of a single carbon atom into the subsurface. As a third carbon atom is co-adsorbed nearby, it draws the sunken carbon atom on top of the surface, forming a dimer. Co-operative relaxations of the surface involving all adsorbed carbon atoms and their copper neighbors facilitate these sinking and up-floating processes. This investigation is helpful for the deeper understanding of graphene synthesis and the choosing of optimal carbon sources or process.

  12. Metal-Insulator-Metal Single Electron Transistors with Tunnel Barriers Prepared by Atomic Layer Deposition

    Directory of Open Access Journals (Sweden)

    Golnaz Karbasian

    2017-03-01

    Full Text Available Single electron transistors are nanoscale electron devices that require thin, high-quality tunnel barriers to operate and have potential applications in sensing, metrology and beyond-CMOS computing schemes. Given that atomic layer deposition is used to form CMOS gate stacks with low trap densities and excellent thickness control, it is well-suited as a technique to form a variety of tunnel barriers. This work is a review of our recent research on atomic layer deposition and post-fabrication treatments to fabricate metallic single electron transistors with a variety of metals and dielectrics.

  13. Atomic layer deposition of high-mobility hydrogen-doped zinc oxide

    NARCIS (Netherlands)

    Macco, B.; Knoops, H.C.M.; Verheijen, M.A.; Beyer, W.; Creatore, M.; Kessels, W.M.M.

    2017-01-01

    In this work, atomic layer deposition (ALD) has been employed to prepare high-mobility H-doped zinc oxide (ZnO:H) films. Hydrogen doping was achieved by interleaving the ZnO ALD cycles with H2 plasma treatments. It has been shown that doping with H2 plasma offers key advantages over traditional

  14. Nucleation and growth kinetics for intercalated islands during deposition on layered materials with isolated pointlike surface defects

    International Nuclear Information System (INIS)

    Han, Yong; Lii-Rosales, A.; Zhou, Y.; Wang, C.-J.

    2017-01-01

    Theory and stochastic lattice-gas modeling is developed for the formation of intercalated metal islands in the gallery between the top layer and the underlying layer at the surface of layered materials. Our model for this process involves deposition of atoms, some fraction of which then enter the gallery through well-separated pointlike defects in the top layer. Subsequently, these atoms diffuse within the subsurface gallery leading to nucleation and growth of intercalated islands nearby the defect point source. For the case of a single point defect, continuum diffusion equation analysis provides insight into the nucleation kinetics. However, complementary tailored lattice-gas modeling produces a more comprehensive and quantitative characterization. We analyze the large spread in nucleation times and positions relative to the defect for the first nucleated island. We also consider the formation of subsequent islands and the evolution of island growth shapes. The shapes reflect in part our natural adoption of a hexagonal close-packed island structure. As a result, motivation and support for the model is provided by scanning tunneling microscopy observations of the formation of intercalated metal islands in highly-ordered pyrolytic graphite at higher temperatures.

  15. Effect of gold subsurface layer on the surface activity and segregation in Pt/Au/Pt{sub 3}M (where M = 3d transition metals) alloy catalyst from first-principles

    Energy Technology Data Exchange (ETDEWEB)

    Kim, Chang-Eun [Fuel Cell Research Center, Korea Institute of Science and Technology (KIST), 39-1 Hawolgok, Seongbuk-gu, Seoul 136-791 (Korea, Republic of); Global E3 Institute and Department of Materials Science and Engineering, Yonsei University, 120-749 Seoul (Korea, Republic of); Lim, Dong-Hee [Fuel Cell Research Center, Korea Institute of Science and Technology (KIST), 39-1 Hawolgok, Seongbuk-gu, Seoul 136-791 (Korea, Republic of); Department of Environmental Engineering, Chungbuk National University, 1 Chungdae-ro, Seowon-Gu, Cheongju, Chungbuk 362-763 (Korea, Republic of); Jang, Jong Hyun; Kim, Hyoung Juhn; Yoon, Sung Pil; Han, Jonghee; Nam, Suk Woo [Fuel Cell Research Center, Korea Institute of Science and Technology (KIST), 39-1 Hawolgok, Seongbuk-gu, Seoul 136-791 (Korea, Republic of); Hong, Seong-Ahn [Fuel Cell Research Center, Korea Institute of Science and Technology (KIST), 39-1 Hawolgok, Seongbuk-gu, Seoul 136-791 (Korea, Republic of); Department of Advanced Materials Chemistry, Korea University, Sejong-city 339-700 (Korea, Republic of); Soon, Aloysius, E-mail: aloysius.soon@yonsei.ac.kr, E-mail: hchahm@kist.re.kr [Global E3 Institute and Department of Materials Science and Engineering, Yonsei University, 120-749 Seoul (Korea, Republic of); Ham, Hyung Chul, E-mail: aloysius.soon@yonsei.ac.kr, E-mail: hchahm@kist.re.kr [Fuel Cell Research Center, Korea Institute of Science and Technology (KIST), 39-1 Hawolgok, Seongbuk-gu, Seoul 136-791 (Korea, Republic of); Clean Energy and Chemical Engineering, University of Science and Technology (UST), 217 Gajungro, Yuseong-gu, Daejeon 305-333 (Korea, Republic of)

    2015-01-21

    The effect of a subsurface hetero layer (thin gold) on the activity and stability of Pt skin surface in Pt{sub 3}M system (M = 3d transition metals) is investigated using the spin-polarized density functional theory calculation. First, we find that the heterometallic interaction between the Pt skin surface and the gold subsurface in Pt/Au/Pt{sub 3}M system can significantly modify the electronic structure of the Pt skin surface. In particular, the local density of states projected onto the d states of Pt skin surface near the Fermi level is drastically decreased compared to the Pt/Pt/Pt{sub 3}M case, leading to the reduction of the oxygen binding strength of the Pt skin surface. This modification is related to the increase of surface charge polarization of outmost Pt skin atoms by the electron transfer from the gold subsurface atoms. Furthermore, a subsurface gold layer is found to cast the energetic barrier to the segregation loss of metal atoms from the bulk (inside) region, which can enhance the durability of Pt{sub 3}M based catalytic system in oxygen reduction condition at fuel cell devices. This study highlights that a gold subsurface hetero layer can provide an additional mean to tune the surface activity toward oxygen species and in turn the oxygen reduction reaction, where the utilization of geometric strain already reaches its practical limit.

  16. SASP - Symposium on atomic, cluster and surface physics `94

    Energy Technology Data Exchange (ETDEWEB)

    Maerk, T D; Schrittwieser, R; Smith, D

    1994-12-31

    This international symposium (Founding Chairman: W. Lindinger, Innsbruck) is one in a continuing biennial series of conferences which seeks to promote the growth of scientific knowledge and its effective exchange among scientists in the field of atomic, molecular, cluster and surface physics and related areas. The symposium deals in particular with interactions between ions, electrons, photons, atoms, molecules, and clusters and their interactions with surfaces. (author).

  17. The photovoltaic impact of atomic layer deposited TiO2 interfacial layer on Si-based photodiodes

    Science.gov (United States)

    Karabulut, Abdulkerim; Orak, İkram; Türüt, Abdulmecit

    2018-06-01

    In present work, photocurrent, current-voltage (I-V) and capacitance/conductance-voltage-frequency (C/G-V-f) measurements were analyzed for the photodiode and diode parameters of Al/TiO2/p-Si structure. The TiO2 thin film structure was deposited on p-Si by using atomic layer deposition technique (ALD) and its thickness was about 10 nm. The surface morphology of TiO2 coated on p-Si structure was observed via atomic force microscope (AFM). Barrier height (Φb) and ideality factor (n) values of device were found to be 0.80 eV, 0.70 eV, 0.56 eV and 1.04, 2.24, 10.27 under dark, 10 and 100 mW/cm2, respectively. Some photodiodes parameters such as fill factor (FF), power efficiency (%η), open circuit voltage (Voc), short circuit current (Isc) were obtained from I-V measurement under different light intensity. FF and η were accounted 49.2, 39,0 and 0.05, 0.45 under 10 and 100 mW/cm2 light power intensity, respectively. C-2-V graph was plotted from C-V-f measurements and zero bias voltage (V0), donor concentration (Nd), Fermi energy (EF), barrier height (Φb) and maximum electric field (Em) were determined from C-2-V data for different frequencies. The electrical and photocurrent values demonstrated that it can be used for photodiode, photo detector and photo sensing applications.

  18. Distinction of heterogeneity on Au nanostructured surface based on phase contrast imaging of atomic force microscopy

    International Nuclear Information System (INIS)

    Jung, Mi; Choi, Jeong-Woo

    2010-01-01

    The discrimination of the heterogeneity of different materials on nanostructured surfaces has attracted a great deal of interest in biotechnology as well as nanotechnology. Phase imaging through tapping mode of atomic force microscopy (TMAFM) can be used to distinguish the heterogeneity on a nanostructured surface. Nanostructures were fabricated using anodic aluminum oxide (AAO). An 11-mercaptoundecanoic acid (11-MUA) layer adsorbed onto the Au nanodots through self-assembly to improve the bio-compatibility. The Au nanostructures that were modified with 11-MUA and the concave surfaces were investigated using the TMAFM phase images to compare the heterogeneous and homogeneous nanostructured surfaces. Although the topography and phase images were taken simultaneously, the images were different. Therefore, the contrast in the TMAFM phase images revealed the different compositional materials on the heterogeneous nanostructure surface.

  19. Classical theory of atom-surface scattering: The rainbow effect

    Science.gov (United States)

    Miret-Artés, Salvador; Pollak, Eli

    2012-07-01

    The scattering of heavy atoms and molecules from surfaces is oftentimes dominated by classical mechanics. A large body of experiments have gathered data on the angular distributions of the scattered species, their energy loss distribution, sticking probability, dependence on surface temperature and more. For many years these phenomena have been considered theoretically in the framework of the “washboard model” in which the interaction of the incident particle with the surface is described in terms of hard wall potentials. Although this class of models has helped in elucidating some of the features it left open many questions such as: true potentials are clearly not hard wall potentials, it does not provide a realistic framework for phonon scattering, and it cannot explain the incident angle and incident energy dependence of rainbow scattering, nor can it provide a consistent theory for sticking. In recent years we have been developing a classical perturbation theory approach which has provided new insight into the dynamics of atom-surface scattering. The theory includes both surface corrugation as well as interaction with surface phonons in terms of harmonic baths which are linearly coupled to the system coordinates. This model has been successful in elucidating many new features of rainbow scattering in terms of frictions and bath fluctuations or noise. It has also given new insight into the origins of asymmetry in atomic scattering from surfaces. New phenomena deduced from the theory include friction induced rainbows, energy loss rainbows, a theory of super-rainbows, and more. In this review we present the classical theory of atom-surface scattering as well as extensions and implications for semiclassical scattering and the further development of a quantum theory of surface scattering. Special emphasis is given to the inversion of scattering data into information on the particle-surface interactions.

  20. Growth kinetics for temperature-controlled atomic layer deposition of GaN using trimethylgallium and remote-plasma-excited NH3

    International Nuclear Information System (INIS)

    Pansila, P.; Kanomata, K.; Miura, M.; Ahmmad, B.; Kubota, S.; Hirose, F.

    2015-01-01

    Highlights: • We discuss the reaction mechanism of the low temperature GaN ALD. • The plasma-excited NH 3 is effective in the nitridation of the TMG saturated GaN surface with surface temperatures in excess of 100 °C. • The temperature controlled ALD of GaN is examined using RT-TMG adsorption and plasma-excited NH 3 treatment with the temperature of 115 °C. - Abstract: Fundamental surface reactions in the atomic layer deposition of GaN with trimethylgallium (TMG) and plasma-excited NH 3 are investigated by multiple-internal-reflection infrared absorption spectroscopy (MIR-IRAS) at surface temperatures varying from room temperature (RT) to 400 °C. It is found that TMG is saturated at RT on GaN surfaces when the TMG exposure exceeds 8 × 10 4 Langmuir (L), where 1 L corresponds to 1.33 × 10 −4 Pa s (or 1.0 × 10 −6 Torr s), and its saturation density reaches the maximum value at RT. Nitridation with the plasma-excited NH 3 on the TMG-saturated GaN surface is investigated by X-ray photoelectron spectroscopy (XPS). The nitridation becomes effective at surface temperatures in excess of 100 °C. The reaction models of TMG adsorption and nitridation on the GaN surface are proposed in this paper. Based on the surface analysis, a temperature-controlled ALD process consisting of RT-TMG adsorption and nitridation at 115 °C is examined, where the growth per cycle of 0.045 nm/cycle is confirmed. XPS analysis indicates that all N atoms are bonded as GaN. Atomic force microscopy indicates an average roughness of 0.23 nm. We discuss the reaction mechanism of GaN ALD in the low-temperature region at around 115 °C with TMG and plasma-excited NH 3 .

  1. Low temperature plasma-enhanced atomic layer deposition of thin vanadium nitride layers for copper diffusion barriers

    Energy Technology Data Exchange (ETDEWEB)

    Rampelberg, Geert; Devloo-Casier, Kilian; Deduytsche, Davy; Detavernier, Christophe [Department of Solid State Sciences, Ghent University, Krijgslaan 281/S1, B-9000 Ghent (Belgium); Schaekers, Marc [IMEC, Kapeldreef 75, B-3001 Leuven (Belgium); Blasco, Nicolas [Air Liquide Electronics US, L.P., 46401 Landing Parkway, Fremont, California 94538 (United States)

    2013-03-18

    Thin vanadium nitride (VN) layers were grown by atomic layer deposition using tetrakis(ethylmethylamino)vanadium and NH{sub 3} plasma at deposition temperatures between 70 Degree-Sign C and 150 Degree-Sign C on silicon substrates and polymer foil. X-ray photoelectron spectroscopy revealed a composition close to stoichiometric VN, while x-ray diffraction showed the {delta}-VN crystal structure. The resistivity was as low as 200 {mu}{Omega} cm for the as deposited films and further reduced to 143 {mu}{Omega} cm and 93 {mu}{Omega} cm by annealing in N{sub 2} and H{sub 2}/He/N{sub 2}, respectively. A 5 nm VN layer proved to be effective as a diffusion barrier for copper up to a temperature of 720 Degree-Sign C.

  2. Comparison of some effects of modification of a polylactide surface layer by chemical, plasma, and laser methods

    Science.gov (United States)

    Moraczewski, Krzysztof; Rytlewski, Piotr; Malinowski, Rafał; Żenkiewicz, Marian

    2015-08-01

    The article presents the results of studies and comparison of selected properties of the modified PLA surface layer. The modification was carried out with three methods. In the chemical method, a 0.25 M solution of sodium hydroxide in water and ethanol was utilized. In the plasma method, a 50 W generator was used, which produced plasma in the air atmosphere under reduced pressure. In the laser method, a pulsed ArF excimer laser with fluency of 60 mJ/cm2 was applied. Polylactide samples were examined by using the following techniques: scanning electron microscopy (SEM), atomic force microscopy (AFM), goniometry and X-ray photoelectron spectroscopy (XPS). Images of surfaces of the modified samples were recorded, contact angles were measured, and surface free energy was calculated. Qualitative and quantitative analyses of chemical composition of the PLA surface layer were performed as well. Based on the survey it was found that the best modification results are obtained using the plasma method.

  3. Multi-Directional Growth of Aligned Carbon Nanotubes Over Catalyst Film Prepared by Atomic Layer Deposition

    Directory of Open Access Journals (Sweden)

    Zhou Kai

    2010-01-01

    Full Text Available Abstract The structure of vertically aligned carbon nanotubes (CNTs severely depends on the properties of pre-prepared catalyst films. Aiming for the preparation of precisely controlled catalyst film, atomic layer deposition (ALD was employed to deposit uniform Fe2O3 film for the growth of CNT arrays on planar substrate surfaces as well as the curved ones. Iron acetylacetonate and ozone were introduced into the reactor alternately as precursors to realize the formation of catalyst films. By varying the deposition cycles, uniform and smooth Fe2O3 catalyst films with different thicknesses were obtained on Si/SiO2 substrate, which supported the growth of highly oriented few-walled CNT arrays. Utilizing the advantage of ALD process in coating non-planar surfaces, uniform catalyst films can also be successfully deposited onto quartz fibers. Aligned few-walled CNTs can be grafted on the quartz fibers, and they self-organized into a leaf-shaped structure due to the curved surface morphology. The growth of aligned CNTs on non-planar surfaces holds promise in constructing hierarchical CNT architectures in future.

  4. Ab initio supercell calculations of the (0001) α-Cr2O3 surface with a partially or totally Al-substituted external layer

    International Nuclear Information System (INIS)

    Sun Jizhong; Stirner, Thomas

    2009-01-01

    Ab initio supercell calculations employing the periodic Hartree-Fock formalism are presented of the (0001) α-Cr 2 O 3 surface with a partially or totally Al-substituted external layer. In the simulations a fraction of the Cr atoms at the surface of the chromia slab are replaced by Al atoms, and the Al surface coverage is varied between zero (pure chromia) and 100% (Al-terminated chromia). The surface Al atoms are found to relax inwards considerably, with the magnitude of the relaxation decreasing with increasing Al surface coverage. The calculations also reveal that the surface energy of the slab decreases with increasing Al coverage. Finally, the electronic properties at the surface of the Al-substituted (0001) α-Cr 2 O 3 slabs are investigated. Here the calculations show that the substitution of Cr by Al gives rise to an increase in the covalency of the Al-O bonds compared to slabs of pure alumina. In contrast, the influence of the surface Al atoms on the electrostatic potential in the (0001) plane of metal ions is relatively small. These findings support the utilisation of α-chromia substrates for the templated growth of α-alumina, which is consistent with recent experiments.

  5. Surface thermodynamic stability, electronic and magnetic properties in various (001) surfaces of Zr2CoSn Heusler alloy

    Science.gov (United States)

    Yang, Yan; Feng, Zhong-Ying; Zhang, Jian-Min

    2018-05-01

    The spin-polarized first-principles are used to study the surface thermodynamic stability, electronic and magnetic properties in various (001) surfaces of Zr2CoSn Heusler alloy, and the bulk Zr2CoSn Heusler alloy are also discussed to make comparison. The conduction band minimum (CBM) of half-metallic (HM) bulk Zr2CoSn alloy is contributed by ZrA, ZrB and Co atoms, while the valence band maximum (VBM) is contributed by ZrB and Co atoms. The SnSn termination is the most stable surface with the highest spin polarizations P = 77.1% among the CoCo, ZrCo, ZrZr, ZrSn and SnSn terminations of the Zr2CoSn (001) surface. In the SnSn termination of the Zr2CoSn (001) surface, the atomic partial density of states (APDOS) of atoms in the surface, subsurface and third layers are much influenced by the surface effect and the total magnetic moment (TMM) is mainly contributed by the atomic magnetic moments of atoms in fourth to ninth layers.

  6. Copper Benzenetricarboxylate Metal-Organic Framework Nucleation Mechanisms on Metal Oxide Powders and Thin Films formed by Atomic Layer Deposition.

    Science.gov (United States)

    Lemaire, Paul C; Zhao, Junjie; Williams, Philip S; Walls, Howard J; Shepherd, Sarah D; Losego, Mark D; Peterson, Gregory W; Parsons, Gregory N

    2016-04-13

    Chemically functional microporous metal-organic framework (MOF) crystals are attractive for filtration and gas storage applications, and recent results show that they can be immobilized on high surface area substrates, such as fiber mats. However, fundamental knowledge is still lacking regarding initial key reaction steps in thin film MOF nucleation and growth. We find that thin inorganic nucleation layers formed by atomic layer deposition (ALD) can promote solvothermal growth of copper benzenetricarboxylate MOF (Cu-BTC) on various substrate surfaces. The nature of the ALD material affects the MOF nucleation time, crystal size and morphology, and the resulting MOF surface area per unit mass. To understand MOF nucleation mechanisms, we investigate detailed Cu-BTC MOF nucleation behavior on metal oxide powders and Al2O3, ZnO, and TiO2 layers formed by ALD on polypropylene substrates. Studying both combined and sequential MOF reactant exposure conditions, we find that during solvothermal synthesis ALD metal oxides can react with the MOF metal precursor to form double hydroxy salts that can further convert to Cu-BTC MOF. The acidic organic linker can also etch or react with the surface to form MOF from an oxide metal source, which can also function as a nucleation agent for Cu-BTC in the mixed solvothermal solution. We discuss the implications of these results for better controlled thin film MOF nucleation and growth.

  7. Growth mechanism and surface atomic structure of AgInSe{sub 2}

    Energy Technology Data Exchange (ETDEWEB)

    Pena Martin, Pamela; Rockett, Angus A.; Lyding, Joseph [Department of Materials Science and Engineering, University of Illinois at Urbana-Champaign, 1304 W. Green St., Urbana, Illinois 61801 (United States); Department of Electrical and Computer Engineering and the Beckman Institute, University of Illinois at Urbana-Champaign, 405 N. Matthews St., Urbana, Illinois 61801 (United States)

    2012-07-15

    The growth of (112)A-oriented AgInSe{sub 2} on GaAs (111)A and its surface reconstruction were studied by scanning tunneling microscopy, atomic force microscopy, and other techniques. Films were grown by a sputtering and evaporation method. Topographic STM images reveal that the film grew by atomic incorporation into surface steps resulting from screw dislocations on the surface. The screw dislocation density was {approx}10{sup 10} cm{sup 2}. Atomically resolved images also show that the surface atomic arrangement appears to be similar to that of the bulk, with a spacing of 0.35-0.41 nm. There is no observable reconstruction, which is unexpected for a polar semiconductor surface.

  8. Behavior of Rydberg atoms at surfaces: energy level shifts and ionization

    Energy Technology Data Exchange (ETDEWEB)

    Dunning, F.B. E-mail: fbd@rice.edu; Dunham, H.R.; Oubre, C.; Nordlander, P

    2003-04-01

    The ionization of xenon atoms excited to the extreme red and blue states in high-lying Xe(n) Stark manifolds at a metal surface is investigated. The data show that, despite their very different initial spatial characteristics, the extreme members of a given Stark manifold ionize at similar atom/surface separations. This is explained, with the aid of complex scaling calculations, in terms of the strong perturbations in the energies and structure of the atomic states induced by the presence of the surface which lead to avoided crossings between neighboring levels as the surface is approached.

  9. Behavior of Rydberg atoms at surfaces: energy level shifts and ionization

    CERN Document Server

    Dunning, F B; Oubre, C D; Nordlander, P

    2003-01-01

    The ionization of xenon atoms excited to the extreme red and blue states in high-lying Xe(n) Stark manifolds at a metal surface is investigated. The data show that, despite their very different initial spatial characteristics, the extreme members of a given Stark manifold ionize at similar atom/surface separations. This is explained, with the aid of complex scaling calculations, in terms of the strong perturbations in the energies and structure of the atomic states induced by the presence of the surface which lead to avoided crossings between neighboring levels as the surface is approached.

  10. Direct Measurements of Half-Cycle Reaction Heats during Atomic Layer Deposition by Calorimetry

    Energy Technology Data Exchange (ETDEWEB)

    Lownsbury, James M. [Department; Gladden, James A. [Department; Campbell, Charles T. [Department; Department; Kim, In Soo [Materials; Martinson, Alex B. F. [Materials

    2017-10-05

    We introduce a new high-temperature adsorption calorimeter that approaches the ideal limit of a heat detector whereby the signal at any time is proportional to the heat power being delivered to the sample and prove its sensitivity for measuring pulse-to-pulse heats of half-reactions during atomic layer deposition (ALD) at 400 K. The heat dynamics of amorphous Al2O3 growth via sequential self-limiting surface reaction of trimethylaluminum (TMA) and H2O is clearly resolved. Calibration enables quantitation of the exothermic TMA and H2O half-reactions with high precision, -343 kJ/mol TMA and -251 kJ/mol H2O, respectively. A time resolution better than 1 ms is demonstrated, allowing for the deconvolution of at least two distinct surface reactions during TMA microdosing. It is further demonstrated that this method can provide the heat of reaction versus extent of reaction during each precursors half-reaction, thus providing even richer mechanistic information on the surface processes involved. The broad applicability of this novel calorimeter is demonstrated through excellent signal-to-noise ratios of less exothermic ALD half-reactions to produce TiO2 and MnO.

  11. Interaction of K(nd) Rydberg atoms with an amorphous gold surface

    International Nuclear Information System (INIS)

    Gray, D.F.

    1988-01-01

    This thesis reports the first controlled study of the interactions of Rydberg atoms with a metal surface. In these experiments, a collimated beam of potassium Rydberg atoms is directed at a plane surface at near grazing incidence. Positive ions formed by surface ionization are attracted to the surface by their image charge, which is counterbalanced by an external electric field applied perpendicular to the surface. The ions are detected by a position-sensitive detector (PSD). At some critical value of the external field, the ion trajectories just miss the surface, suggesting that analysis of the dependence of the ion signals of external electric field can be used to determine the distance from the surface at which ionization occurs. This distance, and thus the corresponding critical electric field, is expected to be n-dependent. Experimentally, however, it was observed that the ion signal had a sudden n-independent onset when only a small positive perpendicular electric field was applied at the surface. This observation requires, surprisingly, that the ions produced by surface ionization can readily escape from the surface. The data do, however, show that Rydberg atoms are efficiently ionized in collisions with the surface. This process may provide a useful new detection technique for Rydberg atoms

  12. Quantum reflection of fast atoms from insulator surfaces: Eikonal description

    Energy Technology Data Exchange (ETDEWEB)

    Gravielle, M S; Miraglia, J E, E-mail: msilvia@iafe.uba.a, E-mail: miraglia@iafe.uba.a [Instituto de Astronomia y Fisica del Espacio, CONICET, Casilla de Correo 67, Sucursal 28, 1428 Buenos Aires (Argentina) and Dpto. de Fisica, FCEN, Universidad de Buenos Aires (Argentina)

    2009-11-01

    Interference effects recently observed in grazing scattering of swift atoms from insulator surfaces are studied within a distorted-wave method - the surface eikonal approximation. This approach makes use of the eikonal wave function, involving axial channeled trajectories. The theory is applied to helium atoms colliding with a LiF(001) surface along low-index crystallographic directions. The roles played by the projectile polarization and the surface rumpling are investigated, finding that both effects are important for the description of the experimental projectile distributions.

  13. Spatial dispersion in atom-surface quantum friction

    International Nuclear Information System (INIS)

    Reiche, D.; Dalvit, D. A. R.; Busch, K.; Intravaia, F.

    2017-01-01

    We investigate the influence of spatial dispersion on atom-surface quantum friction. We show that for atom-surface separations shorter than the carrier's mean free path within the material, the frictional force can be several orders of magnitude larger than that predicted by local optics. In addition, when taking into account spatial dispersion effects, we show that the commonly used local thermal equilibrium approximation underestimates by approximately 95% the drag force, obtained by employing the recently reported nonequilibrium fluctuation-dissipation relation for quantum friction. Unlike the treatment based on local optics, spatial dispersion in conjunction with corrections to local thermal equilibrium change not only the magnitude but also the distance scaling of quantum friction.

  14. Atomic Layer Control of Thin Film Growth Using Binary Reaction Sequence Chemistry

    National Research Council Canada - National Science Library

    George, Steven

    1997-01-01

    Our research is focusing on the atomic layer control of thin film growth. Our goal is to deposit films with precise control of thickness and conformality on both flat and high aspect ratio structures...

  15. TiO{sub 2} nanofiber solid-state dye sensitized solar cells with thin TiO{sub 2} hole blocking layer prepared by atomic layer deposition

    Energy Technology Data Exchange (ETDEWEB)

    Li, Jinwei; Chen, Xi; Xu, Weihe [Department of Mechanical Engineering, Stevens Institute of Technology, Hoboken, NJ 07030 (United States); Nam, Chang-Yong, E-mail: cynam@bnl.gov [Center for Functional Nanomaterials, Brookhaven National Laboratory, Upton, NY 11973 (United States); Shi, Yong, E-mail: Yong.Shi@stevens.edu [Department of Mechanical Engineering, Stevens Institute of Technology, Hoboken, NJ 07030 (United States)

    2013-06-01

    We incorporated a thin but structurally dense TiO{sub 2} layer prepared by atomic layer deposition (ALD) as an efficient hole blocking layer in the TiO{sub 2} nanofiber based solid-state dye sensitized solar cell (ss-DSSC). The nanofiber ss-DSSCs having ALD TiO{sub 2} layers displayed increased open circuit voltage, short circuit current density, and power conversion efficiency compared to control devices with blocking layers prepared by spin-coating liquid TiO{sub 2} precursor. We attribute the improved photovoltaic device performance to the structural integrity of ALD-coated TiO{sub 2} layer and consequently enhanced hole blocking effect that results in reduced dark leakage current and increased charge carrier lifetime. - Highlights: • TiO{sub 2} blocking locking layer prepared by atomic layer deposition (ALD) method. • ALD-coated TiO{sub 2} layer enhanced hole blocking effect. • ALD blocking layer improved the voltage, current and efficiency. • ALD blocking layer reduced dark leakage current and increased electron lifetime.

  16. Synthesis of Environmentally Responsive Polymers by Atom Transfer Radical Polymerization: Generation of Reversible Hydrophilic and Hydrophobic Surfaces

    Directory of Open Access Journals (Sweden)

    Vikas Mittal

    2010-05-01

    Full Text Available Environmentally responsive poly(N-isopropylacrylamide brushes were grafted from the surface of polymer particles or flat surfaces in order to generate reversible hydrophilic and hydrophobic surfaces. The use of atom transfer radical polymerization was demonstrated for the grafting of polymer brushes as it allows efficient control on the amount of grafted polymer. The polymer particles were generated with or without surfactant in the emulsion polymerization and their surface could be modified with the atom transfer radical polymerization (ATRP initiator. The uniform functionalization of the surface with ATRP initiator was responsible for the uniform grafting of polymer brushes. The grafted brushes responded reversibly with changes in temperature indicating that the reversible responsive behavior could be translated to the particle surfaces. The particles were observed to adsorb and desorb protein and virus molecules by changing the temperatures below or higher than 32 °C. The initiator functionalized particles could also be adsorbed on the flat surfaces. The adsorption process also required optimization of the heat treatment conditions to form a uniform layer of the particles on the substrate. The grafted polymer brushes also responded to the changes in temperatures similar to the spherical particles studied through water droplets placed on the flat substrates.

  17. Growth of AlN/Pt heterostructures on amorphous substrates at low temperatures via atomic layer epitaxy

    International Nuclear Information System (INIS)

    Nepal, N.; Goswami, R.; Qadri, S.B.; Mahadik, N.A.; Kub, F.J.; Eddy, C.R.

    2014-01-01

    Recent results on atomic layer epitaxy (ALE) growth and characterization of (0 0 0 1)AlN on highly oriented (1 1 1)Pt layers on amorphous HfO 2 /Si(1 0 0) are reported. HfO 2 was deposited by atomic layer deposition on Si(1 0 0) followed by ALE growth of Pt(15 nm) and, subsequently, AlN(60 nm) at 500 °C. Based on the X-ray diffraction and transmission electron microscopy measurements, the Pt and AlN layers are highly oriented along the (1 1 1) and (0 0 0 2) directions, respectively. Demonstrations of AlN/Pt heterostructures open up the possibility of new state-of-the-art microelectromechanical systems devices

  18. Highly transparent and thermal-stable silver nanowire conductive film covered with ZnMgO by atomic-layer-deposition

    Science.gov (United States)

    Wang, Lei; Huang, Dongchen; Li, Min; Xu, Hua; Zou, Jianhua; Tao, Hong; Peng, Junbiao; Xu, Miao

    2017-12-01

    Solution-processed silver nanowires (AgNWs) have been considered as a promising material for next generation flexible transparent conductive electrodes. However AgNWs films have several intrinsic drawbacks, such as thermal stability and storage stability. Herein, we demonstrate a laminated ZnO/MgO (ZnMgO, ZMO) as a protective layer on the AgNWs films using atomic layer deposition (ALD). The fabricated films exhibited a low sheet resistance of 16 Ω/sq with high transmittance of 91% at 550 nm, an excellent thermal stability and bending property. The ZMO film grows perpendicularly on the surface of the AgNWs, making a perfect coverage of bulk silver nanowires and junction, which can effectively prompt the electrical transport behavior and enhance stability of the silver nanowires network.

  19. Young Investigator Proposal, Research Area 7.4 Reactive Chemical Systems: Multifunctional, Bimetallic Nanomaterials Prepared by Atomic Layer Electroless Deposition

    Science.gov (United States)

    2017-09-30

    Report: Young Investigator Proposal, Research Area 7.4 Reactive Chemical Systems: Multifunctional, Bimetallic Nanomaterials Prepared by Atomic Layer ...Chemical Systems: Multifunctional, Bimetallic Nanomaterials Prepared by Atomic Layer Electroless Deposition Report Term: 0-Other Email: pcappillino... Layer Electroless Deposition (ALED, Figure 1) is the ability to tune growth mechanism, hence growth morphology, by altering conditions. In this

  20. Effects of surface modification on the critical behaviour in multiple-surface-layer ferroelectric thin films

    International Nuclear Information System (INIS)

    Lu, Z X

    2013-01-01

    Using the usual mean-field theory approximation, the critical behaviour (i.e. the Curie temperature T c and the critical surface transverse field Ω sc ) in a multiple-surface-layer ferroelectric thin film is studied on the basis of the spin- 1/2 transverse Ising model. The dependence of the Curie temperature T c on the surface transverse field Ω s and the surface layer number N s are discussed in detail. Meanwhile the dependence of the critical surface transverse field Ω sc on the surface layer number N s is also examined. The numerical results indicate that the critical behaviour of ferroelectric thin films is obviously affected by modifications of the surface transverse field Ω s and surface layer number N s .

  1. Controlled dielectrophoretic nanowire self-assembly using atomic layer deposition and suspended microfabricated electrodes

    International Nuclear Information System (INIS)

    Baca, Alicia I; Brown, Joseph J; Bright, Victor M; Bertness, Kris A

    2012-01-01

    Effects of design and materials on the dielectrophoretic self-assembly of individual gallium nitride nanowires (GaN NWs) onto microfabricated electrodes have been experimentally investigated. The use of TiO 2 surface coating generated by atomic layer deposition (ALD) improves dielectrophoretic assembly yield of individual GaN nanowires on microfabricated structures by as much as 67%. With a titanium dioxide coating, individual nanowires were placed across suspended electrode pairs in 46% of tests (147 out of 320 total), versus 28% of tests (88 out of 320 total tests) that used uncoated GaN NWs. An additional result from these tests was that suspending the electrodes 2.75 μm above the substrate corresponded with up to 15.8% improvement in overall assembly yield over that of electrodes fabricated directly on the substrate. (paper)

  2. On the Debye-Waller factor in atom-surface scattering

    International Nuclear Information System (INIS)

    Garcia, N.; Maradudin, A.A.; Celli, V.

    1982-01-01

    A theory for the Debye-Waller factor in atom-surface scattering is presented, to lowest order in the phonon contributions. Multiple-scattering effects as well as the cross-correlated surface atom displacements are included. The theory accounts for experimental data without the necessity of introducing the Armand effect, which is due to the finite size of the incident atom. The work presented here implies that the Kirchhoff approximation fails when the energy of the incident particle is in the energy range of the phonon spectrum. The results of the calculation are presented in the high-temperature limit, and it is observed that the Rayleigh surface phonons contribute three-quarters of the Debye-Waller factor, while the bulk phonons account for the rest. This result is interesting because the calculation of the former contribution is simpler than that of the latter. (author)

  3. Integration of atomic layer deposited high-k dielectrics on GaSb via hydrogen plasma exposure

    Directory of Open Access Journals (Sweden)

    Laura B. Ruppalt

    2014-12-01

    Full Text Available In this letter we report the efficacy of a hydrogen plasma pretreatment for integrating atomic layer deposited (ALD high-k dielectric stacks with device-quality p-type GaSb(001 epitaxial layers. Molecular beam eptiaxy-grown GaSb surfaces were subjected to a 30 minute H2/Ar plasma treatment and subsequently removed to air. High-k HfO2 and Al2O3/HfO2 bilayer insulating films were then deposited via ALD and samples were processed into standard metal-oxide-semiconductor (MOS capacitors. The quality of the semiconductor/dielectric interface was probed by current-voltage and variable-frequency admittance measurements. Measurement results indicate that the H2-plamsa pretreatment leads to a low density of interface states nearly independent of the deposited dielectric material, suggesting that pre-deposition H2-plasma exposure, coupled with ALD of high-k dielectrics, may provide an effective means for achieving high-quality GaSb MOS structures for advanced Sb-based digital and analog electronics.

  4. Atomic-layer deposition of silicon nitride

    CERN Document Server

    Yokoyama, S; Ooba, K

    1999-01-01

    Atomic-layer deposition (ALD) of silicon nitride has been investigated by means of plasma ALD in which a NH sub 3 plasma is used, catalytic ALD in which NH sub 3 is dissociated by thermal catalytic reaction on a W filament, and temperature-controlled ALD in which only a thermal reaction on the substrate is employed. The NH sub 3 and the silicon source gases (SiH sub 2 Cl sub 2 or SiCl sub 4) were alternately supplied. For all these methods, the film thickness per cycle was saturated at a certain value for a wide range of deposition conditions. In the catalytic ALD, the selective deposition of silicon nitride on hydrogen-terminated Si was achieved, but, it was limited to only a thin (2SiO (evaporative).

  5. Preparation of Atomically Flat Si(111)-H Surfaces in Aqueous Ammonium Fluoride Solutions Investigated by Using Electrochemical, In Situ EC-STM and ATR-FTIR Spectroscopic Methods

    International Nuclear Information System (INIS)

    Bae, Sang Eun; Oh, Mi Kyung; Min, Nam Ki; Paek, Se Hwan; Hong, Suk In; Lee, Chi-Woo J.

    2004-01-01

    Electrochemical, in situ electrochemical scanning tunneling microscope (EC-STM), and attenuated total reflectance-FTIR (ATR-FTIR) spectroscopic methods were employed to investigate the preparation of atomically flat Si(111)-H surface in ammonium fluoride solutions. Electrochemical properties of atomically flat Si(111)-H surface were characterized by anodic oxidation and cathodic hydrogen evolution with the open circuit potential (OCP) of ca. .0.4 V in concentrated ammonium fluoride solutions. As soon as the natural oxide-covered Si(111) electrode was immersed in fluoride solutions, OCP quickly shifted to near .1 V, which was more negative than the flat band potential of silicon surface, indicating that the surface silicon oxide had to be dissolved into the solution. OCP changed to become less negative as the oxide layer was being removed from the silicon surface. In situ EC-STM data showed that the surface was changed from the initial oxide covered silicon to atomically rough hydrogen-terminated surface and then to atomically flat hydrogen terminated surface as the OCP moved toward less negative potentials. The atomically flat Si(111)-H structure was confirmed by in situ EC-STM and ATR-FTIR data. The dependence of atomically flat Si(111)-H terrace on mis-cut angle was investigated by STM, and the results agreed with those anticipated by calculation. Further, the stability of Si(111)-H was checked by STM in ambient laboratory conditions

  6. Resonance studies of H atoms adsorbed on frozen H2 surfaces

    International Nuclear Information System (INIS)

    Crampton, S.B.

    1980-01-01

    Observations are reported of the ground state hyperfine resonance of hydrogen atoms stored in a 5 cm. diameter bottle coated with frozen molecular hydrogen. Dephasing of the hyperfine resonance while the atoms are adsorbed produces frequency shifts which vary by a factor of two over the temperature range 3.7 K to 4.6 K and radiative decay rates which vary by a factor of five over this range. The magnitudes and temperature dependences of the frequency shifts and decay rates are consistent with a non-uniform distribution of surface adsorption energies with mean about 38(8) K, in agreement with theoretical estimates for a smooth surface. Extrapolation of the 30 nanosec. mean adsorption times at 4.2 K predicts very long adsorption times for H on H 2 below 1 K. Studies of level population recovery rates provide evidence for surface electron spin exchange collisions between adsorbed atoms with collision duration long compared to the hyperfine period, suggesting that the atoms are partially mobile on the surface. The lowest rates observed for level population recovery set a lower limit of about 500 atom-surface collisions at 4.2 K without recombination

  7. Layer-by-layer deposition of zirconium oxide films from aqueous solutions for friction reduction in silicon-based microelectromechanical system devices

    International Nuclear Information System (INIS)

    Liu Junfu; Nistorica, Corina; Gory, Igor; Skidmore, George; Mantiziba, Fadziso M.; Gnade, Bruce E.

    2005-01-01

    This work reports layer-by-layer deposition of zirconium oxide on a Si surface from aqueous solutions using the successive ionic layer adsorption and reaction technique. The process consists of repeated cycles of adsorption of zirconium precursors, water rinse, and hydrolysis. The film composition was determined by X-ray photoelectron spectroscopy. The film thickness was determined by Rutherford backscattering spectrometry, by measuring the Zr atom concentration. The average deposition rate from a 0.1 M Zr(SO 4 ) 2 solution on a SiO 2 /Si surface is 0.62 nm per cycle. Increasing the acidity of the zirconium precursor solution inhibits the deposition of the zirconium oxide film. Atomic force microscopy shows that the zirconium oxide film consists of nanoparticles of 10-50 nm in the lateral dimension. The surface roughness increased with increasing number of deposition cycles. Friction measurements made with a microelectromechanical system device reveal a reduction of 45% in the friction coefficient of zirconium oxide-coated surfaces vs. uncoated surfaces in air

  8. Nanoscale multiple gaseous layers on a hydrophobic surface.

    Science.gov (United States)

    Zhang, Lijuan; Zhang, Xuehua; Fan, Chunhai; Zhang, Yi; Hu, Jun

    2009-08-18

    The nanoscale gas state at the interfaces of liquids (water, acid, and salt solutions) and highly oriented pyrolytic graphite (HOPG) was investigated via tapping-mode atomic force microscopy (AFM). For the first time, we report that the interfacial gases could form bilayers and trilayers, i.e., on the top of a flat gas layer, there are one or two more gas layers. The formation of these gas layers could be induced by a local supersaturation of gases, which can be achieved by (1) temperature difference between the liquids and the HOPG substrates or (2) exchange ethanol with water. Furthermore, we found that the gas layers were less stable than spherical bubbles. They could transform to bubbles with time or under the perturbation of the AFM tip.

  9. Atomic layer deposition of a MoS₂ film.

    Science.gov (United States)

    Tan, Lee Kheng; Liu, Bo; Teng, Jing Hua; Guo, Shifeng; Low, Hong Yee; Tan, Hui Ru; Chong, Christy Yuen Tung; Yang, Ren Bin; Loh, Kian Ping

    2014-09-21

    A mono- to multilayer thick MoS₂ film has been grown by using the atomic layer deposition (ALD) technique at 300 °C on a sapphire wafer. ALD provides precise control of the MoS₂ film thickness due to pulsed introduction of the reactants and self-limiting reactions of MoCl₅ and H₂S. A post-deposition annealing of the ALD-deposited monolayer film improves the crystallinity of the film, which is evident from the presence of triangle-shaped crystals that exhibit strong photoluminescence in the visible range.

  10. X-ray scattering of calcite thin films deposited by atomic layer deposition: Studies in air and in calcite saturated water solution

    Energy Technology Data Exchange (ETDEWEB)

    Wang, Peng [Lujan Neutron Scattering Center, Los Alamos National Laboratory, P.O. Box 1663, Los Alamos, NM 87545 (United States); Hudak, Michael R.; Lerner, Allan [Earth and Environmental Sciences Division, Los Alamos National Laboratory, P.O. Box 1663, Los Alamos, NM 87545 (United States); Grubbs, Robert K. [Sandia National Laboratories, P.O. Box 5800, Albuquerque, NM 87185 (United States); Wang, Shanmin [Lujan Neutron Scattering Center, Los Alamos National Laboratory, P.O. Box 1663, Los Alamos, NM 87545 (United States); Zhang, Zhan; Karapetrova, Evguenia [Advance Photon Source, Argonne National Laboratory, 9700S Cass Ave, Argonne, IL 60439 (United States); Hickmott, Donald [Earth and Environmental Sciences Division, Los Alamos National Laboratory, P.O. Box 1663, Los Alamos, NM 87545 (United States); Majewski, Jaroslaw, E-mail: jarek@lanl.gov [Lujan Neutron Scattering Center, Los Alamos National Laboratory, P.O. Box 1663, Los Alamos, NM 87545 (United States)

    2014-08-28

    Carbonates are one of the most abundant groups of minerals in earth systems and are important in many geological settings and industrial processes. Calcite (CaCO{sub 3}) thin films produced by atomic layer deposition offer a method to evaluate the surficial properties of carbonates as well as interactions at the carbonate–fluid interface. Using synchrotron X-ray reflectivity and X-ray diffraction, these films are observed to be porous, polycrystalline, and have crystallites oriented with the major (104) calcite cleavage plane parallel to the surface of the z-cut single crystal quartz substrate. An Al{sub 2}O{sub 3} buffer layer, present between quartz and the calcite film, does not affect the as-deposited film, but does influence how the films reorganize in contact with fluid. Without a buffer layer, calcite reorients its crystallites to have populations of (006) and (030) parallel to the substrate, while those with an Al{sub 2}O{sub 3} buffer layer become more amorphous. Amorphous films may represent an analog to amorphous calcium carbonate and provide insights into that material's thermophysical behavior. Due to a higher percentage of pore spaces available for fluid infiltration, films deposited at higher temperature make the calcite thin films more susceptible to amorphization. These films are chemically similar, but structurally dissimilar to bulk natural calcite. Nevertheless, they can be a complementary system to traditional single crystal X-ray surface scattering studies on carbonates, particularly for important but less common minerals, to evaluate mineral–fluid interfacial interactions. - Highlights: • Atomic layer deposition (ALD) used to produce calcite films. • Calcite film orientation and crystallinity depend on ALD parameters. • ALD calcite films can be both crystalline and amorphous. • Interaction of water with films can re-orient or amorphize the films. • ALD calcite films may be useful to study carbonate–fluid interfacial

  11. X-ray scattering of calcite thin films deposited by atomic layer deposition: Studies in air and in calcite saturated water solution

    International Nuclear Information System (INIS)

    Wang, Peng; Hudak, Michael R.; Lerner, Allan; Grubbs, Robert K.; Wang, Shanmin; Zhang, Zhan; Karapetrova, Evguenia; Hickmott, Donald; Majewski, Jaroslaw

    2014-01-01

    Carbonates are one of the most abundant groups of minerals in earth systems and are important in many geological settings and industrial processes. Calcite (CaCO 3 ) thin films produced by atomic layer deposition offer a method to evaluate the surficial properties of carbonates as well as interactions at the carbonate–fluid interface. Using synchrotron X-ray reflectivity and X-ray diffraction, these films are observed to be porous, polycrystalline, and have crystallites oriented with the major (104) calcite cleavage plane parallel to the surface of the z-cut single crystal quartz substrate. An Al 2 O 3 buffer layer, present between quartz and the calcite film, does not affect the as-deposited film, but does influence how the films reorganize in contact with fluid. Without a buffer layer, calcite reorients its crystallites to have populations of (006) and (030) parallel to the substrate, while those with an Al 2 O 3 buffer layer become more amorphous. Amorphous films may represent an analog to amorphous calcium carbonate and provide insights into that material's thermophysical behavior. Due to a higher percentage of pore spaces available for fluid infiltration, films deposited at higher temperature make the calcite thin films more susceptible to amorphization. These films are chemically similar, but structurally dissimilar to bulk natural calcite. Nevertheless, they can be a complementary system to traditional single crystal X-ray surface scattering studies on carbonates, particularly for important but less common minerals, to evaluate mineral–fluid interfacial interactions. - Highlights: • Atomic layer deposition (ALD) used to produce calcite films. • Calcite film orientation and crystallinity depend on ALD parameters. • ALD calcite films can be both crystalline and amorphous. • Interaction of water with films can re-orient or amorphize the films. • ALD calcite films may be useful to study carbonate–fluid interfacial interactions

  12. Atmospheric spatial atomic-layer-deposition of Zn(O, S) buffer layer for flexible Cu(In, Ga)Se2 solar cells: From lab-scale to large area roll to roll processing

    NARCIS (Netherlands)

    Frijters, C.H.; Bolt, P.J.; Poodt, P.W.G.; Knaapen, R.; Brink, J. van den; Ruth, M.; Bremaud, D.; Illiberi, A.

    2016-01-01

    In this manuscript we present the first successful application of a spatial atomic-layer-deposition process to thin film solar cells. Zn(O,S) has been grown by spatial atomic layer deposition (S-ALD) at atmospheric pressure and applied as buffer layer in rigid and flexible CIGS cells by a lab-scale

  13. Dynamics of gas-surface interactions atomic-level understanding of scattering processes at surfaces

    CERN Document Server

    Díez Muniño, Ricardo

    2013-01-01

    This book gives a representative survey of the state of the art of research on gas-surface interactions. It provides an overview of the current understanding of gas surface dynamics and, in particular, of the reactive and non-reactive processes of atoms and small molecules at surfaces. Leading scientists in the field, both from the theoretical and the experimental sides, write in this book about their most recent advances. Surface science grew as an interdisciplinary research area over the last decades, mostly because of new experimental technologies (ultra-high vacuum, for instance), as well as because of a novel paradigm, the ‘surface science’ approach. The book describes the second transformation which is now taking place pushed by the availability of powerful quantum-mechanical theoretical methods implemented numerically. In the book, experiment and theory progress hand in hand with an unprecedented degree of accuracy and control. The book presents how modern surface science targets the atomic-level u...

  14. Development of Nitride Coating Using Atomic Layer Deposition for Low-Enriched Uranium Fuel Powder

    Science.gov (United States)

    Bhattacharya, Sumit

    High-performance research reactors require fuel that operates at high specific power and can withstand high fission density, but at relatively low temperatures. The design of the research reactor fuels is done for efficient heat emission, and consists of assemblies of thin-plates cladding made from aluminum alloy. The low-enriched fuels (LEU) were developed for replacing high-enriched fuels (HEU) for these reactors necessitates a significantly increased uranium density in the fuel to counterbalance the decrease in enrichment. One of the most promising new fuel candidate is U-Mo alloy, in a U-Mo/Al dispersion fuel form, due to its high uranium loading as well as excellent irradiation resistance performance, is being developed extensively to convert from HEU fuel to LEU fuel for high-performance research reactors. However, the formation of an interaction layer (IL) between U-Mo particles and the Al matrix, and the associated pore formation, under high heat flux and high burnup conditions, degrade the irradiation performance of the U-Mo/Al dispersion fuel. From the recent tests results accumulated from the surface engineering of low enriched uranium fuel (SELENIUM) and MIR reactor displayed that a surface barrier coating like physical vapor deposited (PVD) zirconium nitride (ZrN) can significantly reduce the interaction layer. The barrier coating performed well at low burn up but above a fluence rate of 5x 1021 ions/cm2 the swelling reappeared due to formation interaction layer. With this result in mind the objective of this research was to develop an ultrathin ZrN coating over particulate uranium-molybdenum nuclear fuel using a modified savannah 200 atomic layer deposition (ALD) system. This is done in support of the US Department of Energy's (DOE) effort to slow down the interaction at fluence rate and reach higher burn up for high power research reactor. The low-pressure Savannah 200 ALD system is modified to be designed as a batch powder coating system using the

  15. Step-height standards based on the rapid formation of monolayer steps on the surface of layered crystals

    Energy Technology Data Exchange (ETDEWEB)

    Komonov, A.I. [Rzhanov Institute of Semiconductor Physics, Siberian Branch of the Russian Academy of Sciences (ISP SBRAS), pr. Lavrentieva 13, Novosibirsk 630090 (Russian Federation); Prinz, V.Ya., E-mail: prinz@isp.nsc.ru [Rzhanov Institute of Semiconductor Physics, Siberian Branch of the Russian Academy of Sciences (ISP SBRAS), pr. Lavrentieva 13, Novosibirsk 630090 (Russian Federation); Seleznev, V.A. [Rzhanov Institute of Semiconductor Physics, Siberian Branch of the Russian Academy of Sciences (ISP SBRAS), pr. Lavrentieva 13, Novosibirsk 630090 (Russian Federation); Kokh, K.A. [Sobolev Institute of Geology and Mineralogy, Siberian Branch of the Russian Academy of Sciences (IGM SB RAS), pr. Koptyuga 3, Novosibirsk 630090 (Russian Federation); Shlegel, V.N. [Nikolaev Institute of Inorganic Chemistry, Siberian Branch of the Russian Academy of Sciences (NIIC SB RAS), pr. Lavrentieva 3, Novosibirsk 630090 (Russian Federation)

    2017-07-15

    Highlights: • Easily reproducible step-height standard for SPM calibrations was proposed. • Step-height standard is monolayer steps on the surface of layered single crystal. • Long-term change in surface morphology of Bi{sub 2}Se{sub 3} and ZnWO{sub 4} was investigated. • Conducting surface of Bi{sub 2}Se{sub 3} crystals appropriate for calibrating STM. • Ability of robust SPM calibrations under ambient conditions were demonstrated. - Abstract: Metrology is essential for nanotechnology, especially for structures and devices with feature sizes going down to nm. Scanning probe microscopes (SPMs) permits measurement of nanometer- and subnanometer-scale objects. Accuracy of size measurements performed using SPMs is largely defined by the accuracy of used calibration measures. In the present publication, we demonstrate that height standards of monolayer step (∼1 and ∼0.6 nm) can be easily prepared by cleaving Bi{sub 2}Se{sub 3} and ZnWO{sub 4} layered single crystals. It was shown that the conducting surface of Bi{sub 2}Se{sub 3} crystals offers height standard appropriate for calibrating STMs and for testing conductive SPM probes. Our AFM study of the morphology of freshly cleaved (0001) Bi{sub 2}Se{sub 3} surfaces proved that such surfaces remained atomically smooth during a period of at least half a year. The (010) surfaces of ZnWO{sub 4} crystals remained atomically smooth during one day, but already two days later an additional nanorelief of amplitude ∼0.3 nm appeared on those surfaces. This relief, however, did not further grow in height, and it did not hamper the calibration. Simplicity and the possibility of rapid fabrication of the step-height standards, as well as their high stability, make these standards available for a great, permanently growing number of users involved in 3D printing activities.

  16. Theoretical study for heterojunction surface of NEA GaN photocathode dispensed with Cs activation

    Science.gov (United States)

    Xia, Sihao; Liu, Lei; Wang, Honggang; Wang, Meishan; Kong, Yike

    2016-09-01

    For the disadvantages of conventional negative electron affinity (NEA) GaN photocathodes activated by Cs or Cs/O, new-type NEA GaN photocathodes with heterojunction surface dispensed with Cs activation are investigated based on first-principle study with density functional theory. Through the growth of an ultrathin n-type GaN cap layer on p-type GaN emission layer, a p-n heterojunction is formed on the surface. According to the calculation results, it is found that Si atoms tend to replace Ga atoms to result in an n-type doped cap layer which contributes to the decreasing of work function. After the growth of n-type GaN cap layer, the atom structure near the p-type emission layer is changed while that away from the surface has no obvious variations. By analyzing the E-Mulliken charge distribution of emission surface with and without cap layer, it is found that the positive charge of Ga and Mg atoms in the emission layer decrease caused by the cap layer, while the negative charge of N atom increases. The conduction band moves downwards after the growth of cap layer. Si atom produces donor levels around the valence band maximum. The absorption coefficient of GaN emission layer decreases and the reflectivity increases caused by n-type GaN cap layer.

  17. Plasma enhanced atomic layer deposited MoOx emitters for silicon heterojunction solar cells

    OpenAIRE

    Ziegler, J.; Mews, M.; Kaufmann, K.; Schneider, T.; Sprafke, A.N.; Korte, L.; Wehrsporn, R.B

    2015-01-01

    A method for the deposition of molybdenum oxide MoOx with high growth rates at temperatures below 200 C based on plasma enhanced atomic layer deposition is presented. The stoichiometry of the overstoichiometric MoOx films can be adjusted by the plasma parameters. First results of these layers acting as hole selective contacts in silicon heterojunction solar cells are presented and discussed

  18. Low-Temperature Process for Atomic Layer Chemical Vapor Deposition of an Al2O3 Passivation Layer for Organic Photovoltaic Cells.

    Science.gov (United States)

    Kim, Hoonbae; Lee, Jihye; Sohn, Sunyoung; Jung, Donggeun

    2016-05-01

    Flexible organic photovoltaic (OPV) cells have drawn extensive attention due to their light weight, cost efficiency, portability, and so on. However, OPV cells degrade quickly due to organic damage by water vapor or oxygen penetration when the devices are driven in the atmosphere without a passivation layer. In order to prevent damage due to water vapor or oxygen permeation into the devices, passivation layers have been introduced through methods such as sputtering, plasma enhanced chemical vapor deposition, and atomic layer chemical vapor deposition (ALCVD). In this work, the structural and chemical properties of Al2O3 films, deposited via ALCVD at relatively low temperatures of 109 degrees C, 200 degrees C, and 300 degrees C, are analyzed. In our experiment, trimethylaluminum (TMA) and H2O were used as precursors for Al2O3 film deposition via ALCVD. All of the Al2O3 films showed very smooth, featureless surfaces without notable defects. However, we found that the plastic flexible substrate of an OPV device passivated with 300 degrees C deposition temperature was partially bended and melted, indicating that passivation layers for OPV cells on plastic flexible substrates need to be formed at temperatures lower than 300 degrees C. The OPV cells on plastic flexible substrates were passivated by the Al2O3 film deposited at the temperature of 109 degrees C. Thereafter, the photovoltaic properties of passivated OPV cells were investigated as a function of exposure time under the atmosphere.

  19. Atomic Structure of Au−Pd Bimetallic Alloyed Nanoparticles

    KAUST Repository

    Ding, Yong; Fan, Fengru; Tian, Zhongqun; Wang, Zhong Lin

    2010-01-01

    shell of the NPs was systematically investigated by high-resolution transmission electron microscopy. In the NPs coated with a single atomic layer of Pd, the strain between the surface Pd layer and the Au core is released by Shockley partial dislocations

  20. Study on tribological properties of multi-layer surface texture on Babbitt alloys surface

    Science.gov (United States)

    Zhang, Dongya; Zhao, Feifei; Li, Yan; Li, Pengyang; Zeng, Qunfeng; Dong, Guangneng

    2016-12-01

    To improve tribological properties of Babbitt alloys, multi-layer surface texture consisted of the main grooves and secondary micro-dimples are fabricated on the Babbitt substrate through laser pulse ablation. The tribological behaviors of multi-layer surface texture are investigated using a rotating type pin-on-disc tribo-meter under variation sliding speeds, and the film pressure distributions on the textured surfaces are simulated using computational fluid dynamics (CFD) method for elucidating the possible mechanisms. The results suggest that: (i) the multi-layer surface texture can reduce friction coefficient of Babbitt alloy, which has lowest friction coefficient of 0.03, in case of the groove parameter of 300 μm width and 15% of area density; (ii) the improvement effect may be more sensitive to the groove area density and the siding speed, and the textured surface with lower area density has lower friction coefficient under high sliding speed. Based on the reasons of (i) the secondary micro-dimples on Babbitt alloy possesses a hydrophobicity surface and (ii) the CFD analysis indicates that main grooves enhancing hydrodynamic effect, thus the multi-layer surface texture is regarded as dramatically improve the lubricating properties of the Babbitt alloy.

  1. Structural characterization of ZnTe grown by atomic-layer-deposition regime on GaAs and GaSb (100) oriented substrates

    Energy Technology Data Exchange (ETDEWEB)

    Castillo-Ojeda, Roberto Saúl [Universidad Politécnica de Pachuca (Mexico); Díaz-Reyes, Joel; Peralta-Clara, María de la Cruz; Veloz-Rendón, Julieta Salomé, E-mail: joel_diaz_reyes@hotmail.com [Centro de Investigación en Biotecnología Aplicada, Instituto Politécnico Nacional, Tlaxcala, (Mexico); Galván-Arellano, Miguel [Centro de Investigación y de Estudios Avanzados, Instituto Politécnico Nacional (Mexico); Anda-Salazar, Francisco de [Instituto de Investigación en Comunicación Óptica, Universidad Autónoma de San Luis Potosí (Mexico); Contreras-Rascon, Jorge Indalecio [Departamento de Física, Universidad de Sonora (Mexico)

    2017-10-15

    This work presents the characterization of ZnTe nano layers grown on GaAs and GaSb (100) substrates by the Atomic Layer Deposition (ALD) regime. Under certain conditions, the alternating exposition of a substrate surface to the element vapours makes possible the growth of atomic layers in a reactor where the atmosphere is high-purity hydrogen. ZnTe was grown simultaneously on GaAs and GaSb at the same run, allowing, a comparison between the effects produced by the superficial processes due to the different used substrates, thereby eliminating possible unintended changes of growth parameters. Nano layers on GaSb maintained their shiny appearance even at temperatures near 420°C. It was found that for exposure times below 2.5 s there was not growth on GaAs, while for GaSb the shortest time was 1.5 s at 385°C. By HRXRD the peak corresponding to (004) diffraction plane of ZnTe was identified and investigated, the FWHM resulted very wide (600-800 arcsec) indicating a highly distorted lattice mainly due to mosaicity. Raman scattering shows the peak corresponding to LO-ZnTe, which is weak and slightly shifted in comparison with the reported for the bulk ZnTe at 210 cm{sup -1}. Additionally, the measurements suggest that the crystalline quality have a dependence with the growth temperature. (author)

  2. Thermal effects in equilibrium surface segregation in a copper/10-atomic-percent-aluminum alloy using Auger electron spectroscopy

    Science.gov (United States)

    Ferrante, J.

    1972-01-01

    Equilibrium surface segregation of aluminum in a copper-10-atomic-percent-aluminum single crystal alloy oriented in the /111/ direction was demonstrated by using Auger electron spectroscopy. This crystal was in the solid solution range of composition. Equilibrium surface segregation was verified by observing that the aluminum surface concentration varied reversibly with temperature in the range 550 to 850 K. These results were curve fitted to an expression for equilibrium grain boundary segregation and gave a retrieval energy of 5780 J/mole (1380 cal/mole) and a maximum frozen-in surface coverage three times the bulk layer concentration. Analyses concerning the relative merits of sputtering calibration and the effects of evaporation are also included.

  3. Rotary reactor for atomic layer deposition on large quantities of nanoparticles

    International Nuclear Information System (INIS)

    McCormick, J. A.; Cloutier, B. L.; Weimer, A. W.; George, S. M.

    2007-01-01

    Challenges are encountered during atomic layer deposition (ALD) on large quantities of nanoparticles. The particles must be agitated or fluidized to perform the ALD surface reactions in reasonable times and to prevent the particles from being agglomerated by the ALD film. The high surface area of nanoparticles also demands efficient reactant usage because large quantities of reactant are required for the surface reactions to reach completion. The residence time of the reactant in a fluidized particle bed reactor may be too short for high efficiency if the ALD surface reactions have low reactive sticking coefficients. To address these challenges, a novel rotary reactor was developed to achieve constant particle agitation during static ALD reactant exposures. In the design of this new reactor, a cylindrical drum with porous metal walls was positioned inside a vacuum chamber. The porous cylindrical drum was rotated by a magnetically coupled rotary feedthrough. By rotating the cylindrical drum to obtain a centrifugal force of less than one gravitational force, the particles were agitated by a continuous 'avalanche' of particles. In addition, an inert N 2 gas pulse helped to dislodge the particles from the porous walls and provided an efficient method to purge reactants and products from the particle bed. The effectiveness of this rotary reactor was demonstrated by Al 2 O 3 ALD on ZrO 2 particles. A number of techniques including transmission electron microscopy, Fourier transform infrared spectroscopy, and x-ray photoelectron spectroscopy confirmed that the Al 2 O 3 ALD film conformally coats the ZrO 2 particles. Combining static reactant exposures with a very high surface area sample in the rotary reactor also provides unique opportunities for studying the surface chemistry during ALD

  4. Interface doping of conjugated organic films by means of diffusion of atomic components from the surfaces of semiconductors and of metal oxides.

    Science.gov (United States)

    Komolov, A S; Akhremtchik, S N; Lazneva, E F

    2011-08-15

    The paper reports the results on the interface formation of 5-10 nm thick conjugated layers of Cu-phthalocyanine (CuPc) with a number of solid surfaces: polycrystalline Au, (SiO(2))n-Si, ZnO(0 0 0 1), Si(1 0 0), Ge(1 1 1), CdS(0 0 0 1) and GaAs(1 0 0). The results were obtained using Auger electron spectroscopy (AES) and low-energy target current electron spectroscopy (TCS). The organic overlayers were thermally deposited in situ in UHV onto substrate surfaces. The island-like organic deposits were excluded from the analysis so that only uniform organic deposits were considered. In the cases of polycrystalline Au, Si(1 0 0) and Ge(1 1 1) substrates the AES peaks of the substrate material attenuated down to the zero noise level upon the increase of the CuPc film thickness of 8-10 nm. The peaks corresponding to oxygen atoms in the case of SiO(2) substrate, and to atoms from the ZnO, GaAs and CdS substrates were clearly registered in the AES spectra of the 8-10 nm thick CuPc deposits. The relative concentration of the substrate atomic components diffused into the film was different from their relative concentration at the pure substrate surface. The concentration of the substrate dopant atoms in the CuPc film was estimated as one atom per one CuPc molecule. Using the target current electron spectroscopy, it was shown that the substrate atoms admixed in the CuPc film account for the appearance of a new peak in the density of unoccupied electronic states. Formation of intermediate TCS spectra until the CuPc deposit reaches 2-3 nm was observed in the cases of GaAs(1 0 0), ZnO(0 0 0 1), Ge(1 1 1) surfaces. The intermediate spectra show a less pronounced peak structure different from the one typical for the CuPc films. It was suggested that the intermediate layer was formed by the CuPc molecules fully or partially decomposed due to the interaction with the relatively reactive semiconductor surfaces. Copyright © 2010 Elsevier B.V. All rights reserved.

  5. He atom-surface scattering: Surface dynamics of insulators, overlayers and crystal growth

    International Nuclear Information System (INIS)

    Safron, S.A.; Skofronick, J.G.

    1994-01-01

    This progress report describes work carried out in the study of surface structure and dynamics of ionic insulators, the microscopic interactions controlling epitaxial growth and the formation of overlayers, and energy exchange in multiphonon surface scattering. The approach used is to employ high resolution helium atom scattering to study the geometry and structural features of the surfaces. Experiments have been carried out on the surface dynamics of RbCl and preliminary studies done on CoO and NiO. Epitaxial growth and overlayer dynamics experiments on the systems NaCl/NaCl(001), KBr/NaCl(001), NaCl/KBr(001) and KBr/RbCl(001) have been performed. They have collaborated with two theoretical groups to explore models of overlayer dynamics with which to compare and to interpret their experimental results. They have carried out extensive experiments on the multiphonon scattering of helium atoms from NaCl and, particularly, LiF. Work has begun on self-assembling organic films on gold and silver surfaces (alkyl thiols/Au(111) and Ag(111))

  6. Surface Preparation of InAs (110 Using Atomic Hydrogen

    Directory of Open Access Journals (Sweden)

    T.D. Veal

    2002-06-01

    Full Text Available Atomic hydrogen cleaning has been used to produce structurally and electronically damage-free InAs(110 surfaces.  X-ray photoelectron spectroscopy (XPS was used to obtain chemical composition and chemical state information about the surface, before and after the removal of the atmospheric contamination. Low energy electron diffraction (LEED and high-resolution electron-energy-loss spectroscopy (HREELS were also used, respectively, to determine the surface reconstruction and degree of surface ordering, and to probe the adsorbed contaminant vibrational modes and the collective excitations of the clean surface. Clean, ordered and stoichiometric  InAs(110-(1×1 surfaces were obtained by exposure to thermally generated atomic hydrogen at a substrate temperature as low as 400ºC.  Semi-classical dielectric theory analysis of HREEL spectra of the phonon and plasmon excitations of the clean surface indicate that no electronic damage or dopant passivation were induced by the surface preparation method.

  7. Fine tuning the ionic liquid-vacuum outer atomic surface using ion mixtures.

    Science.gov (United States)

    Villar-Garcia, Ignacio J; Fearn, Sarah; Ismail, Nur L; McIntosh, Alastair J S; Lovelock, Kevin R J

    2015-03-28

    Ionic liquid-vacuum outer atomic surfaces can be created that are remarkably different from the bulk composition. In this communication we demonstrate, using low-energy ion scattering (LEIS), that for ionic liquid mixtures the outer atomic surface shows significantly more atoms from anions with weaker cation-anion interactions (and vice versa).

  8. Boundary layers of aqueous surfactant and block copolymer solutions against hydrophobic and hydrophilic solid surfaces

    International Nuclear Information System (INIS)

    Steitz, Roland; Schemmel, Sebastian; Shi Hongwei; Findenegg, Gerhard H

    2005-01-01

    The boundary layer of aqueous surfactants and amphiphilic triblock copolymers against flat solid surfaces of different degrees of hydrophobicity was investigated by neutron reflectometry (NR), grazing incidence small angle neutron scattering (GISANS) and atomic force microscopy (AFM). Solid substrates of different hydrophobicities were prepared by appropriate surface treatment or by coating silicon wafers with polymer films of different chemical natures. For substrates coated with thin films (20-30 nm) of deuterated poly(styrene) (water contact angle θ w ∼ 90), neutron reflectivity measurements on the polymer/water interface revealed a water depleted liquid boundary layer of 2-3 nm thickness and a density about 90% of the bulk water density. No pronounced depletion layer was found at the interface of water against a less hydrophobic polyelectrolyte coating (θ w ∼ 63). It is believed that the observed depletion layer at the hydrophobic polymer/water interface is a precursor of the nanobubbles which have been observed by AFM at this interface. Decoration of the polymer coatings by adsorbed layers of nonionic C m E n surfactants improves their wettability by the aqueous phase at surfactant concentrations well below the critical micellar concentration (CMC) of the surfactant. Here, GISANS experiments conducted on the system SiO 2 /C 8 E 4 /D 2 O reveal that there is no preferred lateral organization of the C 8 E 4 adsorption layers. For amphiphilic triblock copolymers (PEO-PPO-PEO) it is found that under equilibrium conditions they form solvent-swollen brushes both at the air/water and the solid/water interface. In the latter case, the brushes transform to uniform, dense layers after extensive rinsing with water and subsequent solvent evaporation. The primary adsorption layers maintain properties of the precursor brushes. In particular, their thickness scales with the number of ethylene oxide units (EO) of the block copolymer. In the case of dip-coating without

  9. Atomic layer-deposited Al–HfO{sub 2}/SiO{sub 2} bi-layers towards 3D charge trapping non-volatile memory

    Energy Technology Data Exchange (ETDEWEB)

    Congedo, Gabriele, E-mail: gabriele.congedo@mdm.imm.cnr.it; Wiemer, Claudia; Lamperti, Alessio; Cianci, Elena; Molle, Alessandro; Volpe, Flavio G.; Spiga, Sabina, E-mail: sabina.spiga@mdm.imm.cnr

    2013-04-30

    A metal/oxide/high-κ dielectric/oxide/silicon (MOHOS) planar charge trapping memory capacitor including SiO{sub 2} as tunnel oxide, Al–HfO{sub 2} as charge trapping layer, SiO{sub 2} as blocking oxide and TaN metal gate was fabricated and characterized as test vehicle in the view of integration into 3D cells. The thin charge trapping layer and blocking oxide were grown by atomic layer deposition, the technique of choice for the implementation of these stacks into 3D structures. The oxide stack shows a good thermal stability for annealing temperature of 900 °C in N{sub 2}, as required for standard complementary metal–oxide–semiconductor processes. MOHOS capacitors can be efficiently programmed and erased under the applied voltages of ± 20 V to ± 12 V. When compared to a benchmark structure including thin Si{sub 3}N{sub 4} as charge trapping layer, the MOHOS cell shows comparable program characteristics, with the further advantage of the equivalent oxide thickness scalability due to the high dielectric constant (κ) value of 32, and an excellent retention even for strong testing conditions. Our results proved that high-κ based oxide structures grown by atomic layer deposition can be of interest for the integration into three dimensionally stacked charge trapping devices. - Highlights: ► Charge trapping device with Al–HfO{sub 2} storage layer is fabricated and characterized. ► Al–HfO{sub 2} and SiO{sub 2} blocking oxides are deposited by atomic layer deposition. ► The oxide stack shows a good thermal stability after annealing at 900 °C. ► The device can be efficiently programmed/erased and retention is excellent. ► The oxide stack could be used for 3D-stacked Flash non-volatile memories.

  10. Scattering of atoms by molecules adsorbed at solid surfaces

    International Nuclear Information System (INIS)

    Parra, Zaida.

    1988-01-01

    The formalism of collisional time-correlation functions, appropriate for scattering by many-body targets, is implemented to study energy transfer in the scattering of atoms and ions from molecules adsorbed on metal surfaces. Double differential cross-sections for the energy and angular distributions of atoms and ions scattered by a molecule adsorbed on a metal surface are derived in the limit of impulsive collisions and within a statistical model that accounts for single and double collisions. They are found to be given by the product of an effective cross-section that accounts for the probability of deflection into a solid angle times a probability per unit energy transfer. A cluster model is introduced for the vibrations of an adsorbed molecule which includes the molecular atoms, the surface atoms binding the molecule, and their nearest neighbors. The vibrational modes of CO adsorbed on a Ni(001) metal surface are obtained using two different cluster models to represent the on-top and bridge-bonding situations. A He/OC-Ni(001) potential is constructed from a strongly repulsive potential of He interacting with the oxygen atom in the CO molecule and a van der Waals attraction accounting for the He interaction with the free Ni(001) surface. A potential is presented for the Li + /OC-Ni(001) where a coulombic term is introduced to account for the image force. Trajectory studies are performed and analyzed in three dimensions to obtain effective classical cross-sections for the He/OC-Ni(001) and Li + /OC-Ni(001) systems. Results for the double differential cross-sections are presented as functions of scattering angles, energy transfer and collisional energy. Temperature dependence results are also analyzed. Extensions of the approach and inclusion of effects such as anharmonicity, collisions at lower energies, and applications of the approach to higher coverages are discussed

  11. Cross-Sectional Imaging of Boundary Lubrication Layer Formed by Fatty Acid by Means of Frequency-Modulation Atomic Force Microscopy.

    Science.gov (United States)

    Hirayama, Tomoko; Kawamura, Ryota; Fujino, Keita; Matsuoka, Takashi; Komiya, Hiroshi; Onishi, Hiroshi

    2017-10-10

    To observe in situ the adsorption of fatty acid onto metal surfaces, cross-sectional images of the adsorption layer were acquired by frequency-modulation atomic force microscopy (FM-AFM). Hexadecane and palmitic acid were used as the base oil and typical fatty acid, respectively. A Cu-coated silicon wafer was prepared as the target substrate. The solvation structure formed by hexadecane molecules at the interface between the Cu substrate and the hexadecane was observed, and the layer pitch was found to be about 0.6 nm, which corresponds to the height of hexadecane molecules. This demonstrates that hexadecane molecules physically adsorbed onto the surface due to van der Waals forces with lying orientation because hexadecane is a nonpolar hydrocarbon. When hexadecane with palmitic acid was put on the Cu substrate instead of pure hexadecane, an adsorption layer of palmitic acid was observed at the interface. The layer pitch was about 2.5-2.8 nm, which matches the chain length of palmitic acid molecules well. This indicates that the original adsorption layer was monolayer or single bilayer in the local area. In addition, a cross-sectional image captured 1 h after observation started to reveal that the adsorbed additive layer gradually grew up to be thicker than about 20 nm due to an external stimulus, such as cantilever oscillation. This is the first report of in situ observation of an adsorbed layer by FM-AFM in the tribology field and demonstrates that FM-AFM is useful for clarifying the actual boundary lubrication mechanism.

  12. Characterization and gas-sensing behavior of an iron oxide thin film prepared by atomic layer deposition

    International Nuclear Information System (INIS)

    Aronniemi, Mikko; Saino, J.; Lahtinen, J.

    2008-01-01

    In this work we investigate an iron oxide thin film grown with atomic layer deposition for a gas sensor application. The objective is to characterize the structural, chemical, and electrical properties of the film, and to demonstrate its gas-sensitivity. The obtained scanning electron microscopy and atomic force microscopy results indicate that the film has a granular structure and that it has grown mainly on the glass substrate leaving the platinum electrodes uncovered. X-ray diffraction results show that iron oxide is in the α-Fe 2 O 3 (hematite) phase. X-ray photoelectron spectra recorded at elevated temperature imply that the surface iron is mainly in the Fe 3+ state and that oxygen has two chemical states: one corresponding to the lattice oxygen and the other to adsorbed oxygen species. Electric conductivity has an activation energy of 0.3-0.5 eV and almost Ohmic current-voltage dependency. When exposed to O 2 and CO, a typical n-type response is observed

  13. LAYER STRUCTURES FORMED BY SILICA NANOPARTICLES AND CELLULOSE NANOFIBRILS WITH CATIONIC POLYACRYLAMIDE (C-PAM ON CELLULOSE SURFACE AND THEIR INFLUENCE ON INTERACTIONS

    Directory of Open Access Journals (Sweden)

    Jani Salmi

    2009-05-01

    Full Text Available A quartz crystal microbalance with dissipation monitoring (QCM-D was used to study the adsorption of the layer formed by silica nanoparticles (SNP and cellulose nanofibrils (NFC together with cationic polyacrylamide (C-PAM on cellulose surface, accompanied by use of atomic force microscope (AFM to study the interactions between cellulose surfaces. The purpose was to understand the multilayer build-up compared to complex structure adsorption. The layer thickness and consequently also the repulsion between surfaces increased with each addition step during layer formation in the SNP-C-PAM systems, whereas the second addition of C-PAM decreased the repulsion in the case of NFC-C-PAM multilayer formation. An exceptionally high repulsion between surfaces was observed when nanofibrillar cellulose was added. This together with the extremely high dissipation values recorded with QCM-D indicated that nanofibrillar cellulose formed a loose and thick layer containing a lot of water. The multilayer systems formed fully and uniformly covered the surfaces. Silica nanoparticles were able to penetrate inside the loose C-PAM structure due to their small size. In contrast, NFC formed individual layers between C-PAM layers. The complex of C-PAM and SNP formed only a partly covered surface, leading to long-ranged pull-off force. This might explain the good flocculation properties reported for polyelectrolyte-nanoparticle systems.

  14. Depth of origin of sputtered atoms: Experimental and theoretical study of Cu/Ru(0001)

    International Nuclear Information System (INIS)

    Burnett, J.W.; Biersack, J.P.; Gruen, D.M.; Joergensen, B.; Krauss, A.R.; Pellin, M.J.; Schweitzer, E.L.; Yates, J.T. Jr.; Young, C.E.

    1987-01-01

    The depth of origin of sputtered atoms is a subject of considerable interest. The surface sensitivity of analytical techniques such as Secondary Ion Mass Spectrometry (SIMS) and Surface Analysis by Resonance Ionization of Sputtered Atoms (SARISA), and the sputtering properties of strongly segregating alloy systems, are critically dependent on the sputtering depth of origin. A significant discrepancy exists between the predictions of the Sigmund theory and computer sputtering models; in general, the computer models predict a much shallower depth of origin. The existing experimental evidence suggests that most of the sputtered atoms originate in the topmost atomic layer, but until recently, the results have not been definitive. We have experimentally determined the depth of origin of atoms sputtered from surfaces consisting of Cu films of less than two monolayers on a Ru(0001) substrate. The Cu/Ru target was statically sputtered using 3.6 keV Ar + . The sputtered neutrals were non-resonantly laser ionized and detected using SARISA. The Cu/Ru sputtering yield ratio and the suppression of the Ru sputtering yield were determined for various Cu coverages. The results indicate that the majority of the sputtered atoms originate in the topmost atomic layer. The Cu/Ru system is also modeled using a modified Transport of Ions in Matter (TRIM) code. It was found that TRIM C does not correctly treat the first atomic layer, resulting in a serious underestimate of the number of sputtered atoms which originate in this layer. The corrected version adequately describes the results, predicting that for the experimental conditions roughly two-thirds of the sputtered atoms originate in the first atomic layer. These results are significantly greater than the Sigmund theory estimate of >40%. 26 refs., 3 figs., 1 tab

  15. Titanium dioxide thin films by atomic layer deposition: a review

    Science.gov (United States)

    Niemelä, Janne-Petteri; Marin, Giovanni; Karppinen, Maarit

    2017-09-01

    Within its rich phase diagram titanium dioxide is a truly multifunctional material with a property palette that has been shown to span from dielectric to transparent-conducting characteristics, in addition to the well-known catalytic properties. At the same time down-scaling of microelectronic devices has led to an explosive growth in research on atomic layer deposition (ALD) of a wide variety of frontier thin-film materials, among which TiO2 is one of the most popular ones. In this topical review we summarize the advances in research of ALD of titanium dioxide starting from the chemistries of the over 50 different deposition routes developed for TiO2 and the resultant structural characteristics of the films. We then continue with the doped ALD-TiO2 thin films from the perspective of dielectric, transparent-conductor and photocatalytic applications. Moreover, in order to cover the latest trends in the research field, both the variously constructed TiO2 nanostructures enabled by ALD and the Ti-based hybrid inorganic-organic films grown by the emerging ALD/MLD (combined atomic/molecular layer deposition) technique are discussed.

  16. Growth and characterization of titanium oxide by plasma enhanced atomic layer deposition

    KAUST Repository

    Zhao, Chao; Hedhili, Mohamed N.; Li, Jingqi; Wang, Qingxiao; Yang, Yang; Chen, Long; LI, LIANG

    2013-01-01

    The growth of TiO2 films by plasma enhanced atomic layer deposition using Star-Ti as a precursor has been systematically studied. The conversion from amorphous to crystalline TiO2 was observed either during high temperature growth or annealing

  17. Surface-Induced Near-Field Scaling in the Knudsen Layer of a Rarefied Gas

    Science.gov (United States)

    Gazizulin, R. R.; Maillet, O.; Zhou, X.; Cid, A. Maldonado; Bourgeois, O.; Collin, E.

    2018-01-01

    We report on experiments performed within the Knudsen boundary layer of a low-pressure gas. The noninvasive probe we use is a suspended nanoelectromechanical string, which interacts with He 4 gas at cryogenic temperatures. When the pressure P is decreased, a reduction of the damping force below molecular friction ∝P had been first reported in Phys. Rev. Lett. 113, 136101 (2014), 10.1103/PhysRevLett.113.136101 and never reproduced since. We demonstrate that this effect is independent of geometry, but dependent on temperature. Within the framework of kinetic theory, this reduction is interpreted as a rarefaction phenomenon, carried through the boundary layer by a deviation from the usual Maxwell-Boltzmann equilibrium distribution induced by surface scattering. Adsorbed atoms are shown to play a key role in the process, which explains why room temperature data fail to reproduce it.

  18. Creation of oxygen-enriched layers at the surface of GaAs single crystal

    International Nuclear Information System (INIS)

    Kulik, M.; Maczka, D.; Kobzev, A.P.

    1999-01-01

    The optical properties and the element depth profiles at the (100) plane high resistant and noncomposite GaAs single crystals implanted with In ions were investigated. The results have been compared with those obtained for virgin samples. The optic properties for all of the samples (implanted and not implanted, annealed and not annealed) have been measured using the ellipsometric method. The element depth profiles for the same samples have been obtained by the RBS and NRA techniques. It has been shown that the post-implantation annealing at a temperature more than 600 deg C leads to a ten time increase in contents of oxygen atoms in the implanted layer with respect to the not annealed sample. The thickness of the transparence layer at the surface of GaAs single crystal increases also after implantation with In ions and subsequent annealing

  19. A theoretical study of hydrogen atoms adsorption and diffusion on PuO_2 (110) surface

    International Nuclear Information System (INIS)

    Yu, H.L.; Tang, T.; Zheng, S.T.; Shi, Y.; Qiu, R.Z.; Luo, W.H.; Meng, D.Q.

    2016-01-01

    The mechanisms of adsorption and diffusion of hydrogen atoms on the PuO_2 (110) surface are investigated by density functional theory corrected for onsite Coulombic interactions (GGA + U). In order to find out the energetically more favorable adsorption site and optimum diffusion path, adsorption energy of atomic H on various sites and the diffusion energy barrier are derived and compared. Our results show that both chemisorption and physisorption exist for H atoms adsorption configurations on PuO_2 (110) surface. Two processes for H diffusion are investigated using the climbing nudged-elastic-band (cNEB) approach. We have identified two diffusion mechanisms, leading to migration of atomic H on the surface and diffusion from surface to subsurface. The energy barriers indicate that it is energetically more favorable for H atom to be on the surface. Hydrogen permeation through purity PuO_2 surface is mainly inhibited from hydrogen atom diffusion from surface to subsurface. - Highlights: • H atoms adsorption on PuO_2 (110) surface are investigated by GGA + U. • Both chemisorption and physisorption exist for H atoms adsorption configurations. • H atoms migration into PuO_2 (100) surface are inhibited with the barrier of 2.15 eV. • H atoms diffusion on PuO_2 (110) surface are difficult at room temperature.

  20. Superfluid Boundary Layer.

    Science.gov (United States)

    Stagg, G W; Parker, N G; Barenghi, C F

    2017-03-31

    We model the superfluid flow of liquid helium over the rough surface of a wire (used to experimentally generate turbulence) profiled by atomic force microscopy. Numerical simulations of the Gross-Pitaevskii equation reveal that the sharpest features in the surface induce vortex nucleation both intrinsically (due to the raised local fluid velocity) and extrinsically (providing pinning sites to vortex lines aligned with the flow). Vortex interactions and reconnections contribute to form a dense turbulent layer of vortices with a nonclassical average velocity profile which continually sheds small vortex rings into the bulk. We characterize this layer for various imposed flows. As boundary layers conventionally arise from viscous forces, this result opens up new insight into the nature of superflows.

  1. Scattering of Hyperthermal Nitrogen Atoms from the Ag(111) Surface

    NARCIS (Netherlands)

    Ueta, H.; Gleeson, M. A.; Kleyn, A. W.

    2009-01-01

    Measurements on scattering of hyperthermal N atoms from the Ag(111) Surface at temperatures of 500, 600, and 730 K are presented. The scattered atoms have a two-component angular distribution. One of the N components is very broad. In contrast, scattered Ar atoms exhibit only a sharp,

  2. Atomic layer deposition of Al2O3 on GaSb using in situ hydrogen plasma exposure

    International Nuclear Information System (INIS)

    Ruppalt, Laura B.; Cleveland, Erin R.; Champlain, James G.; Prokes, Sharka M.; Brad Boos, J.; Park, Doewon; Bennett, Brian R.

    2012-01-01

    In this report, we study the effectiveness of hydrogen plasma surface treatments for improving the electrical properties of GaSb/Al 2 O 3 interfaces. Prior to atomic layer deposition of an Al 2 O 3 dielectric, p-GaSb surfaces were exposed to hydrogen plasmas in situ, with varying plasma powers, exposure times, and substrate temperatures. Good electrical interfaces, as indicated by capacitance-voltage measurements, were obtained using higher plasma powers, longer exposure times, and increasing substrate temperatures up to 250 °C. X-ray photoelectron spectroscopy reveals that the most effective treatments result in decreased SbO x , decreased Sb, and increased GaO x content at the interface. This in situ hydrogen plasma surface preparation improves the semiconductor/insulator electrical interface without the use of wet chemical pretreatments and is a promising approach for enhancing the performance of Sb-based devices.

  3. Contrasting the surface ocean distribution of bromoform and methyl iodide; implications for boundary layer physics, chemistry and climate

    Energy Technology Data Exchange (ETDEWEB)

    Palmer, C J, E-mail: carl.j.palmer@gmail.co [Department of Oceanography, University of Cape Town, 7701 (South Africa)

    2010-08-15

    Bromoform and methyl iodide are both methane-like hydrocarbons with a halogen atom replacing one or more of the hydrogen atoms. Both of these compounds occur naturally in the environment as a result of their production from seaweed and kelp. They are of interest to climate science as a result of their catalytic destruction of boundary layer ozone (a potent greenhouse gas) and, specifically for methyl iodide, the proposed role in the formation of new cloud condensation nuclei with implications for climate. In this paper, the currently available data on the distribution of bromoform and methyl iodide are analysed and contrasted to show that the concentrations of bromoform and methyl iodide do not correlate, that, in contrast to bromoform, the parameterization of sea surface methyl iodide concentrations demands only the sea surface temperature, and that the pelagic distribution of methyl iodide appears to follow the solar zenith angle. These three observations together suggest that, while the pelagic source of bromoform is mostly biogenic, the source of methyl iodide is photochemical. This has implications for the understanding of planetary boundary layer chemistry and potential organohalogen mediated feedbacks to climate.

  4. Contrasting the surface ocean distribution of bromoform and methyl iodide; implications for boundary layer physics, chemistry and climate

    International Nuclear Information System (INIS)

    Palmer, C J

    2010-01-01

    Bromoform and methyl iodide are both methane-like hydrocarbons with a halogen atom replacing one or more of the hydrogen atoms. Both of these compounds occur naturally in the environment as a result of their production from seaweed and kelp. They are of interest to climate science as a result of their catalytic destruction of boundary layer ozone (a potent greenhouse gas) and, specifically for methyl iodide, the proposed role in the formation of new cloud condensation nuclei with implications for climate. In this paper, the currently available data on the distribution of bromoform and methyl iodide are analysed and contrasted to show that the concentrations of bromoform and methyl iodide do not correlate, that, in contrast to bromoform, the parameterization of sea surface methyl iodide concentrations demands only the sea surface temperature, and that the pelagic distribution of methyl iodide appears to follow the solar zenith angle. These three observations together suggest that, while the pelagic source of bromoform is mostly biogenic, the source of methyl iodide is photochemical. This has implications for the understanding of planetary boundary layer chemistry and potential organohalogen mediated feedbacks to climate.

  5. Modeling Turbulence Generation in the Atmospheric Surface and Boundary Layers

    Science.gov (United States)

    2015-10-01

    hydrostatic equation: dP dz = −ρa g −→ ∫ ZI 0 ρa dz = − 1 g ∫ dP = + 1 g [P (0)− P (ZI)]. (6.14) The pressure at the surface is... surface pressure is estimated, we can compute a vertical pressure profile using the hydrostatic equation and a selected temperature profile based on dP... surface -layer atmosphere. By surface layer what is intended is a layer of foliage plus the surface itself. That is, a flat ground surface that

  6. Atomic layer deposition of TiO{sub 2}

    Energy Technology Data Exchange (ETDEWEB)

    Tallarida, Massimo; Dessmann, Nils; Staedter, Matthias; Friedrich, Daniel; Michling, Marcel; Schmeisser, Dieter [BTU-Cottbus, Konrad-Wachsmann-Allee 17, 03046 Cottbus (Germany)

    2011-07-01

    We present a study of the initial growth of TiO{sub 2} on Si(111) by atomic layer deposition (ALD). The Si substrate was etched with NH{sub 4}F before ALD to remove the native oxide film and to produce a Si-H termination. In-situ experiments by means of photoemission and X-ray absorption spectroscopy were conducted with synchrotron radiation on Ti-oxide films produced using Ti-tetra-iso-propoxide (TTIP) and water as precursors. O 1s, Ti 2p, C 1s, and S i2p core level, and O 1s and Ti 2p absorption edges show the transition of the Ti-oxide properties during the first layers. The growth starts with a very small growth rate (0.03 nm/cycle) due to the growth inhibition of the Si-H termination and proceeds with higher growth rate (0.1 nm/cycle) after 1.5 nm Ti-oxide has been deposited.

  7. Patterned deposition by atmospheric pressure plasma-enhanced spatial atomic layer deposition

    NARCIS (Netherlands)

    Poodt, P.; Kniknie, B.J.; Branca, A.; Winands, G.J.J.; Roozeboom, F.

    2011-01-01

    An atmospheric pressure plasma enhanced atomic layer deposition reactor has been developed, to deposit Al2O3 films from trimethyl aluminum and an He/O2 plasma. This technique can be used for 2D patterned deposition in a single in-line process by making use of switched localized plasma sources. It

  8. Mapping the layer count of few-layer hexagonal boron nitride at high lateral spatial resolutions

    Science.gov (United States)

    Mohsin, Ali; Cross, Nicholas G.; Liu, Lei; Watanabe, Kenji; Taniguchi, Takashi; Duscher, Gerd; Gu, Gong

    2018-01-01

    Layer count control and uniformity of two dimensional (2D) layered materials are critical to the investigation of their properties and to their electronic device applications, but methods to map 2D material layer count at nanometer-level lateral spatial resolutions have been lacking. Here, we demonstrate a method based on two complementary techniques widely available in transmission electron microscopes (TEMs) to map the layer count of multilayer hexagonal boron nitride (h-BN) films. The mass-thickness contrast in high-angle annular dark-field (HAADF) imaging in the scanning transmission electron microscope (STEM) mode allows for thickness determination in atomically clean regions with high spatial resolution (sub-nanometer), but is limited by surface contamination. To complement, another technique based on the boron K ionization edge in the electron energy loss spectroscopy spectrum (EELS) of h-BN is developed to quantify the layer count so that surface contamination does not cause an overestimate, albeit at a lower spatial resolution (nanometers). The two techniques agree remarkably well in atomically clean regions with discrepancies within  ±1 layer. For the first time, the layer count uniformity on the scale of nanometers is quantified for a 2D material. The methodology is applicable to layer count mapping of other 2D layered materials, paving the way toward the synthesis of multilayer 2D materials with homogeneous layer count.

  9. Photoluminescence of phosphorus atomic layer doped Ge grown on Si

    Science.gov (United States)

    Yamamoto, Yuji; Nien, Li-Wei; Capellini, Giovanni; Virgilio, Michele; Costina, Ioan; Schubert, Markus Andreas; Seifert, Winfried; Srinivasan, Ashwyn; Loo, Roger; Scappucci, Giordano; Sabbagh, Diego; Hesse, Anne; Murota, Junichi; Schroeder, Thomas; Tillack, Bernd

    2017-10-01

    Improvement of the photoluminescence (PL) of Phosphorus (P) doped Ge by P atomic layer doping (ALD) is investigated. Fifty P delta layers of 8 × 1013 cm-2 separated by 4 nm Ge spacer are selectively deposited at 300 °C on a 700 nm thick P-doped Ge buffer layer of 1.4 × 1019 cm-3 on SiO2 structured Si (100) substrate. A high P concentration region of 1.6 × 1020 cm-3 with abrupt P delta profiles is formed by the P-ALD process. Compared to the P-doped Ge buffer layer, a reduced PL intensity is observed, which might be caused by a higher density of point defects in the P delta doped Ge layer. The peak position is shifted by ˜0.1 eV towards lower energy, indicating an increased active carrier concentration in the P-delta doped Ge layer. By introducing annealing at 400 °C to 500 °C after each Ge spacer deposition, P desorption and diffusion is observed resulting in relatively uniform P profiles of ˜2 × 1019 cm-3. Increased PL intensity and red shift of the PL peak are observed due to improved crystallinity and higher active P concentration.

  10. Atomic species recognition on oxide surfaces using low temperature scanning probe microscopy

    Energy Technology Data Exchange (ETDEWEB)

    Ma, Zong Min, E-mail: mzmncit@163.com [National Key Laboratory for Electronic Measurement Technology, North University of China, Taiyuan, 030051 (China); Key Laboratory of Instrumentation Science & Dynamic Measurement, North University of China, Ministry of Education, Taiyuan, 030051 (China); School of Instrument and Electronics, North University of China, Taiyuan, 030051 (China); Shi, Yun Bo; Mu, Ji Liang; Qu, Zhang; Zhang, Xiao Ming; Qin, Li [National Key Laboratory for Electronic Measurement Technology, North University of China, Taiyuan, 030051 (China); Key Laboratory of Instrumentation Science & Dynamic Measurement, North University of China, Ministry of Education, Taiyuan, 030051 (China); School of Instrument and Electronics, North University of China, Taiyuan, 030051 (China); Liu, Jun, E-mail: liuj@nuc.edu.cn [National Key Laboratory for Electronic Measurement Technology, North University of China, Taiyuan, 030051 (China); Key Laboratory of Instrumentation Science & Dynamic Measurement, North University of China, Ministry of Education, Taiyuan, 030051 (China); School of Instrument and Electronics, North University of China, Taiyuan, 030051 (China)

    2017-02-01

    Highlights: • The coexisted phase of p(2 × 1)and c(6 × 2) on Cu(110)-O surface using AFM under UHV at low temperature. • Two different c(6 × 2) phase depending on the status of the tip apex. • Electronic state of tip seriously effect the resolution and stability of the sample surface. - Abstract: In scanning probe microscopy (SPM), the chemical properties and sharpness of the tips of the cantilever greatly influence the scanning of a sample surface. Variation in the chemical properties of the sharp tip apex can induce transformation of the SPM images. In this research, we explore the relationship between the tip and the structure of a sample surface using dynamic atomic force microscopy (AFM) on a Cu(110)-O surface under ultra-high vacuum (UHV) at low temperature (78 K). We observed two different c(6 × 2) phase types in which super-Cu atoms show as a bright spot when the tip apex is of O atoms and O atoms show as a bright spot when the tip apex is of Cu atoms. We also found that the electronic state of the tip has a serious effect on the resolution and stability of the sample surface, and provide an explanation for these phenomena. This technique can be used to identify atom species on sample surfaces, and represents an important development in the SPM technique.

  11. Atomic layer deposition for photovoltaics : applications and prospects for solar cell manufacturing

    NARCIS (Netherlands)

    van Delft, J.A.; Garcia-Alonso Garcia, D.; Kessels, W.M.M.

    2012-01-01

    Atomic layer deposition (ALD) is a vapour-phase deposition technique capable of depositing high quality, uniform and conformal thin films at relatively low temperatures. These outstanding properties can be employed to face processing challenges for various types of next-generation solar cells;

  12. Photoluminescence enhancement in porous SiC passivated by atomic layer deposited Al2O3 films

    DEFF Research Database (Denmark)

    Lu, Weifang; Iwasa, Yoshimi; Ou, Yiyu

    2016-01-01

    Porous SiC co-doped with B and N was passivated by atomic layer deposited (ALD) Al2O3 films to enhance the photoluminescence. After optimizing the deposition conditions, as high as 14.9 times photoluminescence enhancement has been achieved.......Porous SiC co-doped with B and N was passivated by atomic layer deposited (ALD) Al2O3 films to enhance the photoluminescence. After optimizing the deposition conditions, as high as 14.9 times photoluminescence enhancement has been achieved....

  13. Subnanometer Ga 2 O 3 Tunnelling Layer by Atomic Layer Deposition to Achieve 1.1 V Open-Circuit Potential in Dye-Sensitized Solar Cells

    KAUST Repository

    Chandiran, Aravind Kumar; Tetreault, Nicolas; Humphry-Baker, Robin; Kessler, Florian; Baranoff, Etienne; Yi, Chenyi; Nazeeruddin, Mohammad Khaja; Grä tzel, Michael

    2012-01-01

    Herein, we present the first use of a gallium oxide tunnelling layer to significantly reduce electron recombination in dye-sensitized solar cells (DSC). The subnanometer coating is achieved using atomic layer deposition (ALD) and leading to a new

  14. Characterization and use of crystalline bacterial cell surface layers

    Science.gov (United States)

    Sleytr, Uwe B.; Sára, Margit; Pum, Dietmar; Schuster, Bernhard

    2001-10-01

    Crystalline bacterial cell surface layers (S-layers) are one of the most common outermost cell envelope components of prokaryotic organisms (archaea and bacteria). S-layers are monomolecular arrays composed of a single protein or glycoprotein species and represent the simplest biological membranes developed during evolution. S-layers as the most abundant of prokaryotic cellular proteins are appealing model systems for studying the structure, synthesis, genetics, assembly and function of proteinaceous supramolecular structures. The wealth of information existing on the general principle of S-layers have revealed a broad application potential. The most relevant features exploited in applied S-layer research are: (i) pores passing through S-layers show identical size and morphology and are in the range of ultrafiltration membranes; (ii) functional groups on the surface and in the pores are aligned in well-defined positions and orientations and accessible for chemical modifications and binding functional molecules in very precise fashion; (iii) isolated S-layer subunits from a variety of organisms are capable of recrystallizing as closed monolayers onto solid supports (e.g., metals, polymers, silicon wafers) at the air-water interface, on lipid films or onto the surface of liposomes; (iv) functional domains can be incorporated in S-layer proteins by genetic engineering. Thus, S-layer technologies particularly provide new approaches for biotechnology, biomimetics, molecular nanotechnology, nanopatterning of surfaces and formation of ordered arrays of metal clusters or nanoparticles as required for nanoelectronics.

  15. The importance of dye chemistry and TiCl4 surface treatment in the behavior of Al2O3 recombination barrier layers deposited by atomic layer deposition in solid-state dye-sensitized solar cells

    KAUST Repository

    Brennan, Thomas P.; Bakke, Jonathan R.; Ding, I-Kang; Hardin, Brian E.; Nguyen, William H.; Mondal, Rajib; Bailie, Colin D.; Margulis, George Y.; Hoke, Eric T.; Sellinger, Alan; McGehee, Michael D.; Bent, Stacey F.

    2012-01-01

    Atomic layer deposition (ALD) was used to fabricate Al 2O 3 recombination barriers in solid-state dye-sensitized solar cells (ss-DSSCs) employing an organic hole transport material (HTM) for the first time. Al 2O 3 recombination barriers of varying

  16. Research on condensed matter and atomic physics, using major experimental facilities and devices: Physics, chemistry, biology. Reports on results. Vol. 1. 1. Atomic and molecular physics. 2. Physics and chemistry of surfaces and interfaces

    International Nuclear Information System (INIS)

    1993-01-01

    This report in three volumes substantiates the contents of the programme survey published in September 1989. The progress reports cover the following research areas: Vol. I, (1). Atomic and molecular physics - free atoms, molecules, macromolecules, clusters, matrix-isolated atoms and molecules. (2) Physics and chemistry of surfaces and interfaces - epitaxy, surface structure, adsorption, electrical, magnetic, and optical properties, thin films, synthetic layer structure. Vol. II, (3). Solid-state physics, and materials science -structural research, lattice dynamics, magnetic structure and dynamics, electronic states; load; spin and pulse density fluctuations; diffusion and internal motion, defects, unordered systems and liquids. Vol. III, (4). Chemistry - bonding and structure, kinetics and reaction mechanisms, polymer research, analysis and synthesis. (5). Biology, - structure and dynamics of biological macromolecules, membrane and cell biology. (6) Development of methods and instruments - neutron sources, synchrotron sources, special accelerators, research with interlinked systems and devices. (orig.) [de

  17. Hydrogen–argon plasma pre-treatment for improving the anti-corrosion properties of thin Al2O3 films deposited using atomic layer deposition on steel

    International Nuclear Information System (INIS)

    Härkönen, Emma; Potts, Stephen E.; Kessels, Wilhelmus M.M.; Díaz, Belén; Seyeux, Antoine; Światowska, Jolanta; Maurice, Vincent; Marcus, Philippe; Radnóczi, György; Tóth, Lajos; Kariniemi, Maarit; Niinistö, Jaakko; Ritala, Mikko

    2013-01-01

    The effect of H 2 –Ar plasma pre-treatment prior to thermal atomic layer deposition (ALD) and plasma-enhanced atomic layer deposition (PEALD) of Al 2 O 3 films on steel for corrosion protection was investigated. Time-of-flight secondary ion mass spectrometry and transmission electron microscopy were used to observe the changes in the interface. The electrochemical properties of the samples were studied with polarization measurements, and the coating porosities were calculated from the polarization results for easier comparison of the coatings. Prior to thermal ALD the plasma pre-treatment was observed to reduce the amount of impurities at the interface and coating porosity by 1–3 orders of magnitude. The anti-corrosion properties of the PEALD coatings could also be improved by the pre-treatment. However, exposure of the pre-treatment plasma activated steel surface to oxygen plasma species in PEALD led to facile oxide layer formation in the interface. The oxide layer formed this way was thicker than the native oxide layer and appeared to be detrimental to the protective properties of the coating. The best performance for PEALD Al 2 O 3 coatings was achieved when, after the plasma pre-treatment, the surface was given time to regrow a thin protective interfacial oxide prior to exposure to the oxygen plasma. The different effects that thermal and plasma-enhanced ALD have on the substrate-coating interface were compared. The reactivity of the oxygen precursor was shown to have a significant influence on substrate surface in the early stages of film growth and thereafter also on the overall quality of the protective film. - Highlights: • Influence of H 2 –Ar plasma pre-treatment to ALD coatings on steel was studied. • The pre-treatment modified the coating–substrate interface composition and thickness. • The pre-treatment improved the barrier properties of the coatings

  18. Scalable control program for multiprecursor flow-type atomic layer deposition system

    Energy Technology Data Exchange (ETDEWEB)

    Selvaraj, Sathees Kannan [Department of Chemical Engineering, University of Illinois at Chicago, Chicago, Illinois 60607 (United States); Takoudis, Christos G., E-mail: takoudis@uic.edu [Department of Chemical Engineering, University of Illinois at Chicago, Chicago, Illinois 60607 and Department of Bioengineering, University of Illinois at Chicago, Chicago, Illinois 60607 (United States)

    2015-01-01

    The authors report the development and implementation of a scalable control program to control flow type atomic layer deposition (ALD) reactor with multiple precursor delivery lines. The program logic is written and tested in LABVIEW environment to control ALD reactor with four precursor delivery lines to deposit up to four layers of different materials in cyclic manner. The programming logic is conceived such that to facilitate scale up for depositing more layers with multiple precursors and scale down for using single layer with any one precursor in the ALD reactor. The program takes precursor and oxidizer exposure and purging times as input and controls the sequential opening and closing of the valves to facilitate the complex ALD process in cyclic manner. The program could be used to deposit materials from any single line or in tandem with other lines in any combination and in any sequence.

  19. Improved interface and electrical properties of atomic layer deposited Al2O3/4H-SiC

    Science.gov (United States)

    Suvanam, Sethu Saveda; Usman, Muhammed; Martin, David; Yazdi, Milad. G.; Linnarsson, Margareta; Tempez, Agnès; Götelid, Mats; Hallén, Anders

    2018-03-01

    In this paper we demonstrate a process optimization of atomic layer deposited Al2O3 on 4H-SiC resulting in an improved interface and electrical properties. For this purpose the samples have been treated with two pre deposition surface cleaning processes, namely CP1 and CP2. The former is a typical surface cleaning procedure used in SiC processing while the latter have an additional weak RCA1 cleaning step. In addition to the cleaning and deposition, the effects of post dielectric annealing (PDA) at various temperatures in N2O ambient have been investigated. Analyses by scanning electron microscopy show the presence of structural defects on the Al2O3 surface after annealing at 500 and 800 °C. These defects disappear after annealing at 1100 °C, possibly due to densification of the Al2O3 film. Interface analyses have been performed using X-ray photoelectron spectroscopy (XPS) and time-of-flight medium energy ion scattering (ToF MEIS). Both these measurements show the formation of an interfacial SiOx (0 < x < 2) layer for both the CP1 and CP2, displaying an increased thickness for higher temperatures. Furthermore, the quality of the sub-oxide interfacial layer was found to depend on the pre deposition cleaning. In conclusion, an improved interface with better electrical properties is shown for the CP2 sample annealed at 1100 °C, resulting in lower oxide charges, strongly reduced flatband voltage and leakage current, as well as higher breakdown voltage.

  20. Impacts of Thermal Atomic Layer-Deposited AlN Passivation Layer on GaN-on-Si High Electron Mobility Transistors.

    Science.gov (United States)

    Zhao, Sheng-Xun; Liu, Xiao-Yong; Zhang, Lin-Qing; Huang, Hong-Fan; Shi, Jin-Shan; Wang, Peng-Fei

    2016-12-01

    Thermal atomic layer deposition (ALD)-grown AlN passivation layer is applied on AlGaN/GaN-on-Si HEMT, and the impacts on drive current and leakage current are investigated. The thermal ALD-grown 30-nm amorphous AlN results in a suppressed off-state leakage; however, its drive current is unchanged. It was also observed by nano-beam diffraction method that thermal ALD-amorphous AlN layer barely enhanced the polarization. On the other hand, the plasma-enhanced chemical vapor deposition (PECVD)-deposited SiN layer enhanced the polarization and resulted in an improved drive current. The capacitance-voltage (C-V) measurement also indicates that thermal ALD passivation results in a better interface quality compared with the SiN passivation.