WorldWideScience

Sample records for substrate-induced deposition approach

  1. Hydrogen-Induced Buckling of Pd Films Deposited on Various Substrates

    KAUST Repository

    Vlček, Marián

    2015-07-01

    A Pd-H system is a model system suitable for studying interactions of hydrogen with metals. In the present work, we studied hydrogen-induced buckling of thin Pd films deposited on various substrates with different bonding strengths (sapphire, glimmer) and also the effect of deposition temperature. Lattice expansion and phase transitions were investigated by X-ray diffraction of synchrotron radiation. The influence of the substrate and microstructure of the film on the buckling process and phase transformation to palladium hydride are discussed.

  2. Hydrogen-Induced Buckling of Pd Films Deposited on Various Substrates

    KAUST Repository

    Vlček, Mariá n; Luká č, František; Vlach, Martin; Prochá zka, Ivan; Wagner, Stefan; Uchida, Helmut; Pundt, Astrid; Gemma, Ryota; Čí žek, Jakub

    2015-01-01

    A Pd-H system is a model system suitable for studying interactions of hydrogen with metals. In the present work, we studied hydrogen-induced buckling of thin Pd films deposited on various substrates with different bonding strengths (sapphire, glimmer) and also the effect of deposition temperature. Lattice expansion and phase transitions were investigated by X-ray diffraction of synchrotron radiation. The influence of the substrate and microstructure of the film on the buckling process and phase transformation to palladium hydride are discussed.

  3. Tuning stress-induced magnetic anisotropy and high frequency properties of FeCo films deposited on different curvature substrates

    International Nuclear Information System (INIS)

    Wang, Z.K.; Feng, E.X.; Liu, Q.F.; Wang, J.B.; Xue, D.S.

    2012-01-01

    It is important to control magnetic anisotropy of ferromagnetic materials. In this work, FeCo thin films are deposited on the curving substrates by electrochemical deposition to adjust the stress-induced magnetic anisotropy. The compressive stress is produced in the as-deposited films after the substrates are flattened. A simplified theoretical model of ferromagnetic resonance is utilized to measure the intrinsic magnetic anisotropy field and saturation magnetization. The results show that the stress-induced magnetic anisotropy and the resonance frequency increase with the increase of substrate curvature. The induced easy axis is perpendicular to the compressive stress direction.

  4. Microstructure of vapor deposited coatings on curved substrates

    Energy Technology Data Exchange (ETDEWEB)

    Rodgers, Theron M.; Zhao, Hengbei; Wadley, Haydn N. G., E-mail: haydn@virginia.edu [Department of Materials Science and Engineering, University of Virginia, 395 McCormick Rd., P.O. Box 400745, Charlottesville, Virginia 22904 (United States)

    2015-09-15

    Thermal barrier coating systems consisting of a metallic bond coat and ceramic over layer are widely used to extend the life of gas turbine engine components. They are applied using either high-vacuum physical vapor deposition techniques in which vapor atoms rarely experience scattering collisions during propagation to a substrate, or by gas jet assisted (low-vacuum) vapor deposition techniques that utilize scattering from streamlines to enable non-line-of-sight deposition. Both approaches require substrate motion to coat a substrate of complex shape. Here, direct simulation Monte Carlo and kinetic Monte Carlo simulation methods are combined to simulate the deposition of a nickel coating over the concave and convex surfaces of a model airfoil, and the simulation results are compared with those from experimental depositions. The simulation method successfully predicted variations in coating thickness, columnar growth angle, and porosity during both stationary and substrate rotated deposition. It was then used to investigate a wide range of vapor deposition conditions spanning high-vacuum physical vapor deposition to low-vacuum gas jet assisted vapor deposition. The average coating thickness was found to increase initially with gas pressure reaching a maximum at a chamber pressure of 8–10 Pa, but the best coating thickness uniformity was achieved under high vacuum deposition conditions. However, high vacuum conditions increased the variation in the coatings pore volume fraction over the surface of the airfoil. The simulation approach was combined with an optimization algorithm and used to investigate novel deposition concepts to tailor the local coating thickness.

  5. Microstructure of vapor deposited coatings on curved substrates

    International Nuclear Information System (INIS)

    Rodgers, Theron M.; Zhao, Hengbei; Wadley, Haydn N. G.

    2015-01-01

    Thermal barrier coating systems consisting of a metallic bond coat and ceramic over layer are widely used to extend the life of gas turbine engine components. They are applied using either high-vacuum physical vapor deposition techniques in which vapor atoms rarely experience scattering collisions during propagation to a substrate, or by gas jet assisted (low-vacuum) vapor deposition techniques that utilize scattering from streamlines to enable non-line-of-sight deposition. Both approaches require substrate motion to coat a substrate of complex shape. Here, direct simulation Monte Carlo and kinetic Monte Carlo simulation methods are combined to simulate the deposition of a nickel coating over the concave and convex surfaces of a model airfoil, and the simulation results are compared with those from experimental depositions. The simulation method successfully predicted variations in coating thickness, columnar growth angle, and porosity during both stationary and substrate rotated deposition. It was then used to investigate a wide range of vapor deposition conditions spanning high-vacuum physical vapor deposition to low-vacuum gas jet assisted vapor deposition. The average coating thickness was found to increase initially with gas pressure reaching a maximum at a chamber pressure of 8–10 Pa, but the best coating thickness uniformity was achieved under high vacuum deposition conditions. However, high vacuum conditions increased the variation in the coatings pore volume fraction over the surface of the airfoil. The simulation approach was combined with an optimization algorithm and used to investigate novel deposition concepts to tailor the local coating thickness

  6. Palladium clusters deposited on the heterogeneous substrates

    Energy Technology Data Exchange (ETDEWEB)

    Wang, Kun, E-mail: cqdxwk@126.com [College of Power Engineering, Chongqing University, Chongqing 400044 (China); Key Laboratory of Low-grade Energy Utilization Technologies and Systems, Ministry of Education of PRC, Chongqing 400044 (China); Liu, Juanfang, E-mail: juanfang@cqu.edu.cn [College of Power Engineering, Chongqing University, Chongqing 400044 (China); Key Laboratory of Low-grade Energy Utilization Technologies and Systems, Ministry of Education of PRC, Chongqing 400044 (China); Chen, Qinghua, E-mail: qhchen@cqu.edu.cn [College of Power Engineering, Chongqing University, Chongqing 400044 (China); Key Laboratory of Low-grade Energy Utilization Technologies and Systems, Ministry of Education of PRC, Chongqing 400044 (China)

    2016-07-15

    Graphical abstract: The site-exchange between the substrate and cluster atoms can result in the formation of the surface alloys and the reconstruction of the cluster structure before the collision system approaching the thermal equilibrium. The deposited cluster adjusted the atom arrangement as possibly as to match the substrate lattice arrangement from bottom to up. The structural reconstruction is accompanied by the system potential energy minimization. - Highlights: • The deposition process can divide explicitly into three stages: adsorption, collision, relaxation. • The local melt does not emerge inside the substrate during the deposition process. • Surface alloys are formed by the site-exchange between the cluster and substrate atoms. • The cluster reconstructs the atom arrangement following as the substrate lattice arrangement from bottom to up. • The structural reconstruction ability and scope depend on the cluster size and incident energy. - Abstract: To improve the performance of the Pd composite membrane prepared by the cold spraying technology, it is extremely essential to give insights into the deposition process of the cluster and the heterogeneous deposition of the big Pd cluster at the different incident velocities on the atomic level. The deposition behavior, morphologies, energetic and interfacial configuration were examined by the molecular dynamic simulation and characterized by the cluster flattening ratio, the substrate maximum local temperature, the atom-embedded layer number and the surface-alloy formation. According to the morphology evolution, three deposition stages and the corresponding structural and energy evolution were clearly identified. The cluster deformation and penetrating depth increased with the enhancement of the incident velocity, but the increase degree also depended on the substrate hardness. The interfacial interaction between the cluster and the substrate can be improved by the higher substrate local temperature

  7. Carbon black nanoparticles film electrode prepared by using substrate-induced deposition approach

    Energy Technology Data Exchange (ETDEWEB)

    Svegl, Irena Grabec; Bele, Marjan [National Institute of Chemistry, P.O. Box 660, SI-1001 Ljubljana (Slovenia); Ogorevc, Bozidar [National Institute of Chemistry, P.O. Box 660, SI-1001 Ljubljana (Slovenia)], E-mail: bogorevc@ki.si

    2008-11-03

    A new type of carbon film electrode, composed of a thin layer of tightly packed carbon black (CB) nanoparticles deposited onto a gelatin-covered indium tin oxide/glass support using the surface-induced deposition (SID) approach, is presented. Some parameters of the novel SID method were optimized and the surface image and functionalization of the investigated carbon black film electrode (CBFE) was inspected by employing scanning electron microscopy and infrared spectroscopy. A cyclic voltammetry (CV) study was conducted in which the electron-transfer kinetics and CBFE interfacial characteristics were evaluated employing several selected reference redox systems, such as [Ru(NH{sub 3}){sub 6}]{sup 3+/2+}, [Fe(CN){sub 6}]{sup 3-/4-} and Fe{sup 3+/2+} in aqueous, and ferrocene/ferrocenium in acetonitrile media. CV recordings were also performed in order to compare the electrochemical behavior of the CBFE with that of some well-known and established bare carbon-based electrodes. In order to confirm the validity of the CB film preparation method, the electroanalytical performance of the proposed CBFE was examined by carrying out linear sweep voltammetry of ascorbic acid (AA), anodic stripping square-wave voltammetry of Cu(II) in acidic medium, and amperometric measurements of hydrogen peroxide under flow injection conditions. The sensing characteristics of the novel carbon film electrode, demonstrated in this preliminary study, comprise: (i) a wide working potential window ranging from +1.0 to -1.3 V (depending on the solution pH), (ii) a wide applicable pH range (at least from 2 to 12), (iii) low voltammetric background (<5 {mu}A cm{sup -2}), (iv) a satisfactory linear voltammetric and amperometric response (r{sup 2} > 0.99) to various analytes, (v) good reproducibility (for example, r.s.d. of 2% in amperometric detection of H{sub 2}O{sub 2} and r.s.d. of 8.5% for electrode-to-electrode CV runs), and (vi) stable and fast current response (at least 100 CV runs with

  8. Substrate Effect on Plasma Clean Efficiency in Plasma Enhanced Chemical Vapor Deposition System

    Directory of Open Access Journals (Sweden)

    Shiu-Ko JangJian

    2007-01-01

    Full Text Available The plasma clean in a plasma-enhanced chemical vapor deposition (PECVD system plays an important role to ensure the same chamber condition after numerous film depositions. The periodic and applicable plasma clean in deposition chamber also increases wafer yield due to less defect produced during the deposition process. In this study, the plasma clean rate (PCR of silicon oxide is investigated after the silicon nitride deposited on Cu and silicon oxide substrates by remote plasma system (RPS, respectively. The experimental results show that the PCR drastically decreases with Cu substrate compared to that with silicon oxide substrate after numerous silicon nitride depositions. To understand the substrate effect on PCR, the surface element analysis and bonding configuration are executed by X-ray photoelectron spectroscopy (XPS. The high resolution inductively coupled plasma mass spectrometer (HR-ICP-MS is used to analyze microelement of metal ions on the surface of shower head in the PECVD chamber. According to Cu substrate, the results show that micro Cu ion and the CuOx bonding can be detected on the surface of shower head. The Cu ion contamination might grab the fluorine radicals produced by NF3 ddissociation in the RPS and that induces the drastic decrease on PCR.

  9. Electrophoretic deposition of thin film zirconia electrolyte on non-conducting NiO-YSZ substrate

    International Nuclear Information System (INIS)

    Das, Debasish; Basu, Rajendra N.

    2014-01-01

    Eight (8) mol% yttria stabilized zirconia (YSZ), an electrolyte material for solid oxide fuel cell (SOFC), has been deposited onto porous non-conducting NiO-YSZ substrate using electrophoretic deposition technique (EPD) from a stable non-aqueous suspension of YSZ. Normally, EPD cannot be performed on a non-conducting substrate, but, in this present study, YSZ particulate film has been successfully deposited on a non-conducting NiO-YSZ substrate following two different EPD approaches:(a) using a conducting metallic plate on the reverse side of the porous NiO-YSZ anode substrate and (b) using a conducting polymer coated NiO-YSZ substrate. The deposited films are then formed dense coatings of 5-15 μm after sintering at 1400℃ for 6 h in air. Surface and cross-sectional morphologies of green and sintered films deposited by different EPD approaches are investigated using SEM. La 0.65 Sr 0.3 MnO 3 (LSM), a cathode for SOFC, is then screen-printed onto the electrolyte layer of such sintered half cells (anode+electrolyte) prepared by both the above approaches to construct SOFC single cells. A maximum output power density of 0.37 W.cm -2 is obtained using single cells prepared by conducting metallic plate assisted EPD compared to that of 0.73 W.cm -2 for polymer coated at 800℃ using H 2 as fuel and O 2 as oxidant. (author)

  10. Properties of indium tin oxide films deposited on unheated polymer substrates by ion beam assisted deposition

    International Nuclear Information System (INIS)

    Yu Zhinong; Li Yuqiong; Xia Fan; Zhao Zhiwei; Xue Wei

    2009-01-01

    The optical, electrical and mechanical properties of indium tin oxide (ITO) films prepared on polyethylene terephthalate (PET) substrates by ion beam assisted deposition at room temperature were investigated. The properties of ITO films can be improved by introducing a buffer layer of silicon dioxide (SiO 2 ) between the ITO film and the PET substrate. ITO films deposited on SiO 2 -coated PET have better crystallinity, lower electrical resistivity, and improved resistance stability under bending than those deposited on bare PET. The average transmittance and the resistivity of ITO films deposited on SiO 2 -coated PET are 85% and 0.90 x 10 -3 Ω cm, respectively, and when the films are bent, the resistance remains almost constant until a bending radius of 1 cm and it increases slowly under a given bending radius with an increase of the bending cycles. The improved resistance stability of ITO films deposited on SiO 2 -coated PET is mainly attributed to the perfect adhesion of ITO films induced by the SiO 2 buffer layer.

  11. Substrate independent approach for synthesis of graphene platelet networks

    Science.gov (United States)

    Shashurin, A.; Fang, X.; Zemlyanov, D.; Keidar, M.

    2017-06-01

    Graphene platelet networks (GPNs) comprised of randomly oriented graphene flakes two to three atomic layers thick are synthesized using a novel plasma-based approach. The approach uses a substrate capable of withstanding synthesis temperatures around 800 °C, but is fully independent of the substrate material. The synthesis occurs directly on the substrate surface without the necessity of any additional steps. GPNs were synthesized on various substrate materials including silicon (Si), thermally oxidized Si (SiO2), molybdenum (Mo), nickel (Ni) and copper (Cu), nickel-chromium (NiCr) alloy and alumina ceramics (Al2O3). The mismatch between the atomic structures of sp2 honeycomb carbon networks and the substrate material is fully eliminated shortly after the synthesis initiation, namely when about 100 nm thick deposits are formed on the substrate. GPN structures synthesized on a substrate at a temperature of about 800 °C are significantly more porous in comparison to the much denser packed amorphous carbon deposits synthesized at lower temperatures. The method proposed here can potentially revolutionize the area of electrochemical energy storage by offering a single-step direct approach for the manufacture of graphene-based electrodes for non-Faradaic supercapacitors. Mass production can be achieved using this method if a roll-to-roll system is utilized.

  12. Structure, apatite inducing ability, and corrosion behavior of chitosan/halloysite nanotube coatings prepared by electrophoretic deposition on titanium substrate.

    Science.gov (United States)

    Molaei, A; Amadeh, A; Yari, M; Reza Afshar, M

    2016-02-01

    In this study chitosan/halloysite nanotube composite (CS/HNT) coatings were deposited by electrophoretic deposition (EPD) on titanium substrate. Using HNT particles were investigated as new substituents for carbon nanotubes (CNTs) in chitosan matrix coatings. The ability of chitosan as a stabilizing, charging, and blending agent for HNT particles was exploited. Furthermore, the effects of pH, electrophoretic bath, and sonicating duration were studied on the deposition of suspensions containing HNT particles. Microstructure properties of coatings showed uniform distribution of HNT particles in chitosan matrix to form smooth nanocomposite coatings. The zeta potential results revealed that at pH around 3 there is an isoelectric point for HNT and it would have cathodic and anionic states at pH values less and more than 3, respectively. Therefore, CS/HNT composite deposits were produced in the pH range of 2.5 to 3. The apatite inducing ability of chitosan-HNT composite coating assigned that HNT particles were biocompatible because they formed carbonated hydroxyapatite particles on CS/HNT coating in corrected simulated body fluid (C-SBF). Finally, electrochemical corrosion characterizations determined that corrosion resistance in CS/HNT coating has been improved compared to bare titanium substrate. Copyright © 2015 Elsevier B.V. All rights reserved.

  13. Strain-induced ordered structure of titanium carbide during depositing diamond on Ti alloy substrate

    Energy Technology Data Exchange (ETDEWEB)

    Li, X.J., E-mail: lixj@alum.imr.ac.cn [College of Material Science and Engineering, Key Laboratory of Advanced Structural Materials, Ministry of Education, Changchun University of Technology, Changchun, 130012 (China); He, L.L., E-mail: llhe@imr.ac.cn [Shenyang National Lab of Materials Science, Institute of Metal Research, Chinese Academy of Sciences, Shenyang 110016 (China); Li, Y.S. [Department of Mechanical Engineering, University of Saskatchewan, Saskatoon S7N 5A9, SK (Canada); Plasma Physics Laboratory, University of Saskatchewan, Saskatoon, SK S7N 5E2 (Canada); Yang, Q. [Department of Mechanical Engineering, University of Saskatchewan, Saskatoon S7N 5A9, SK (Canada); Hirose, A. [Plasma Physics Laboratory, University of Saskatchewan, Saskatoon, SK S7N 5E2 (Canada)

    2017-01-15

    During the deposition of diamond films on Ti alloy substrates, titanium carbide is a common precipitated phase, preferentially formed at the interfacial region. However, in this case, the precipitation of an ordered structure of titanium carbide has not been reported. In our work, a long periodic ordered structure of TiC has been observed at the deposited diamond film/Ti alloy interface by high resolution transmission electron microscopy (HRTEM). The long periodic ordered structure is identified as 6H-type. The formation mechanism is revealed by comparative studies on the different structures of TiC precipitated under different diamond deposition conditions in terms of deposition time, atmosphere and temperature. A large number of carbon vacancies in the interfacial precipitated TiC phase are verified through electron energy loss spectroscopy (EELS) quantification analysis. However, an ordered arrangement of these carbon vacancies occurs only when the interfacial stress is large enough to induce the precipitation of 6H-type TiC. The supplementary analysis by X-ray diffraction (XRD) further confirms that additional diffraction peaks presented in the XRD patterns are corresponding to the precipitation of 6H-type TiC. - Highlights: •Different structures of TiC are observed during deposited diamond on Ti alloy. •One is common NaCl structure, the other is periodic structure. •The periodic structure is identified as 6H-type by HRTEM. •Carbon vacancies are verified to always exist in the TiC phase. •The precipitation of 6H-type TiC is mainly affected by interfacial stress.

  14. Strain-induced ordered structure of titanium carbide during depositing diamond on Ti alloy substrate

    International Nuclear Information System (INIS)

    Li, X.J.; He, L.L.; Li, Y.S.; Yang, Q.; Hirose, A.

    2017-01-01

    During the deposition of diamond films on Ti alloy substrates, titanium carbide is a common precipitated phase, preferentially formed at the interfacial region. However, in this case, the precipitation of an ordered structure of titanium carbide has not been reported. In our work, a long periodic ordered structure of TiC has been observed at the deposited diamond film/Ti alloy interface by high resolution transmission electron microscopy (HRTEM). The long periodic ordered structure is identified as 6H-type. The formation mechanism is revealed by comparative studies on the different structures of TiC precipitated under different diamond deposition conditions in terms of deposition time, atmosphere and temperature. A large number of carbon vacancies in the interfacial precipitated TiC phase are verified through electron energy loss spectroscopy (EELS) quantification analysis. However, an ordered arrangement of these carbon vacancies occurs only when the interfacial stress is large enough to induce the precipitation of 6H-type TiC. The supplementary analysis by X-ray diffraction (XRD) further confirms that additional diffraction peaks presented in the XRD patterns are corresponding to the precipitation of 6H-type TiC. - Highlights: •Different structures of TiC are observed during deposited diamond on Ti alloy. •One is common NaCl structure, the other is periodic structure. •The periodic structure is identified as 6H-type by HRTEM. •Carbon vacancies are verified to always exist in the TiC phase. •The precipitation of 6H-type TiC is mainly affected by interfacial stress.

  15. Matrix shaped pulsed laser deposition: New approach to large area and homogeneous deposition

    Energy Technology Data Exchange (ETDEWEB)

    Akkan, C.K.; May, A. [INM – Leibniz Institute for New Materials, CVD/Biosurfaces Group, Campus D2 2, 66123 Saarbrücken (Germany); Hammadeh, M. [Department for Obstetrics, Gynecology and Reproductive Medicine, IVF Laboratory, Saarland University Medical Center and Faculty of Medicine, Building 9, 66421 Homburg, Saar (Germany); Abdul-Khaliq, H. [Clinic for Pediatric Cardiology, Saarland University Medical Center and Faculty of Medicine, Building 9, 66421 Homburg, Saar (Germany); Aktas, O.C., E-mail: cenk.aktas@inm-gmbh.de [INM – Leibniz Institute for New Materials, CVD/Biosurfaces Group, Campus D2 2, 66123 Saarbrücken (Germany)

    2014-05-01

    Pulsed laser deposition (PLD) is one of the well-established physical vapor deposition methods used for synthesis of ultra-thin layers. Especially PLD is suitable for the preparation of thin films of complex alloys and ceramics where the conservation of the stoichiometry is critical. Beside several advantages of PLD, inhomogeneity in thickness limits use of PLD in some applications. There are several approaches such as rotation of the substrate or scanning of the laser beam over the target to achieve homogenous layers. On the other hand movement and transition create further complexity in process parameters. Here we present a new approach which we call Matrix Shaped PLD to control the thickness and homogeneity of deposited layers precisely. This new approach is based on shaping of the incoming laser beam by a microlens array and a Fourier lens. The beam is split into much smaller multi-beam array over the target and this leads to a homogenous plasma formation. The uniform intensity distribution over the target yields a very uniform deposit on the substrate. This approach is used to deposit carbide and oxide thin films for biomedical applications. As a case study coating of a stent which has a complex geometry is presented briefly.

  16. Heating of polymer substrate by discharge plasma in radiofrequency magnetron sputtering deposition

    International Nuclear Information System (INIS)

    Sirghi, Lucel; Popa, Gheorghe; Hatanaka, Yoshinori

    2006-01-01

    The substrate used for the thin film deposition in a radiofrequency magnetron sputtering deposition system is heated by the deposition plasma. This may change drastically the surface properties of the polymer substrates. Deposition of titanium dioxide thin films on polymethyl methacrylate and polycarbonate substrates resulted in buckling of the substrate surfaces. This effect was evaluated by analysis of atomic force microscopy topography images of the deposited films. The amount of energy received by the substrate surface during the film deposition was determined by a thermal probe. Then, the results of the thermal probe measurements were used to compute the surface temperature of the polymer substrate. The computation revealed that the substrate surface temperature depends on the substrate thickness, discharge power and substrate holder temperature. For the case of the TiO 2 film depositions in the radiofrequency magnetron plasma, the computation indicated substrate surface temperature values under the polymer melting temperature. Therefore, the buckling of polymer substrate surface in the deposition plasma may not be regarded as a temperature driven surface instability, but more as an effect of argon ion bombardment

  17. Influence of substrate geometry on ion-plasma coating deposition process

    International Nuclear Information System (INIS)

    Khoroshikh, V.M.; Leonov, S.A.; Belous, V.A.

    2008-01-01

    Influence of substrate geometry on the feature of Ti vacuum arc plasma streams condensation process in presence of N 2 or Ar in a discharge ambient were investigated. Character of gas pressure and substrate potential influence on deposition rate is conditioned the competitive processes of condensation and sputtering, and also presence of double electric layer on a border plasma-substrate. Influence of potential on deposition rate especially strongly shows up for cylindrical substrates of small size. For such substrates it was found substantial (approximately in 4 times) growth of deposition rate at the increasing of negative potential from 100 to 700 V when nitrogen pressure is ∼0,3...2,5 Pa. Possibility of droplet-free coating deposition the substrate backs and in discharge ambient, being outside area of cathode direct visibility is shown

  18. Role of the substrate on the magnetic anisotropy of magnetite thin films grown by ion-assisted deposition

    International Nuclear Information System (INIS)

    Prieto, Pilar; Prieto, José Emilio; Gargallo-Caballero, Raquel; Marco, José Francisco; Figuera, Juan de la

    2015-01-01

    Graphical abstract: - Highlights: • The magnetic anisotropy of magnetite thin films is controlled by the substrate induced microstructure. • Single-crystal oxide substrates induce fourfold in-plane magnetic anisotropy • MgO and SrTiO_3 substrates show the same magnetic behavior despite its different mismatch with Fe_3O_4 films. • Silicon and glass substrates induce in-plane magnetic isotropy and uniaxial anisotropy, respectively. - Abstract: Magnetite (Fe_3O_4) thin films were deposited on MgO (0 0 1), SrTiO_3 (0 0 1), LaAlO_3 (0 0 1) single crystal substrates as well on as silicon and amorphous glass in order to study the effect of the substrate on their magnetic properties, mainly the magnetic anisotropy. We have performed a structural, morphological and compositional characterization by X-ray diffraction, atomic force microscopy and Rutherford backscattering ion channeling in oxygen resonance mode. The magnetic anisotropy has been investigated by vectorial magneto-optical Kerr effect. The results indicate that the magnetic anisotropy is especially influenced by the substrate-induced microstructure. In-plane isotropy and uniaxial anisotropy behavior have been observed on silicon and glass substrates, respectively. The transition between both behaviors depends on grain size. For LaAlO_3 substrates, in which the lattice mismatch between the Fe_3O_4 films and the substrate is significant, a weak in-plane fourfold magnetic anisotropy is induced. However when magnetite is deposited on MgO (0 0 1) and SrTiO_3 (0 0 1) substrates, a well-defined fourfold in-plane magnetic anisotropy is observed with easy axes along [1 0 0] and [0 1 0] directions. The magnetic properties on these two latter substrates are similar in terms of magnetic anisotropy and coercive fields.

  19. The effect of grooves in amorphous substrates on the orientation of metal deposits. I - Carbon substrates

    Science.gov (United States)

    Anton, R.; Poppa, H.; Flanders, D. C.

    1982-01-01

    The graphoepitaxial alignment of vapor-deposited discrete metal crystallites is investigated in the nucleation and growth stages and during annealing by in situ UHV/TEM techniques. Various stages of nucleation, growth and coalescence of vapor deposits of Au, Ag, Pb, Sn, and Bi on amorphous, topographically structured C substrates are analyzed by advanced dark-field techniques to detect preferred local orientations. It is found that the topography-induced orientation of metal crystallites depends strongly on their mobility and their respective tendency to develop pronounced crystallographic shapes. Lowering of the average surface free energies and increasing the crystallographic surface energy anisotropies cause generally improved graphoepitaxial alignments.

  20. Effects of heat treatment on the microstructure of amorphous boron carbide coating deposited on graphite substrates by chemical vapor deposition

    International Nuclear Information System (INIS)

    Li Siwei; Zeng Bin; Feng Zude; Liu Yongsheng; Yang Wenbin; Cheng Laifei; Zhang Litong

    2010-01-01

    A two-layer boron carbide coating is deposited on a graphite substrate by chemical vapor deposition from a CH 4 /BCl 3 /H 2 precursor mixture at a low temperature of 950 o C and a reduced pressure of 10 KPa. Coated substrates are annealed at 1600 o C, 1700 o C, 1800 o C, 1900 o C and 2000 o C in high purity argon for 2 h, respectively. Structural evolution of the coatings is explored by electron microscopy and spectroscopy. Results demonstrate that the as-deposited coating is composed of pyrolytic carbon and amorphous boron carbide. A composition gradient of B and C is induced in each deposition. After annealing, B 4 C crystallites precipitate out of the amorphous boron carbide and grow to several hundreds nanometers by receiving B and C from boron-doped pyrolytic carbon. Energy-dispersive spectroscopy proves that the crystallization is controlled by element diffusion activated by high temperature annealing, after that a larger concentration gradient of B and C is induced in the coating. Quantified Raman spectrum identifies a graphitization enhancement of pyrolytic carbon. Transmission electron microscopy exhibits an epitaxial growth of B 4 C at layer/layer interface of the annealed coatings. Mechanism concerning the structural evolution on the basis of the experimental results is proposed.

  1. Strain induced on (TMTSF){2}ReO{4} microwires deposited on a silicon substrate

    Science.gov (United States)

    Colin, C. V.; Joo, N.; Pasquier, C. R.

    2009-12-01

    We present the successful recrystallization of Bechgaard salts with the microwire shape using the drop casting method. The samples are deposited on a substrate with previously prepared patterns made by optical lithography. The physical properties of the microwires are shown. The excellent transport properties show that this technique provides a new method for the tuning of the physical properties of molecular conductors and the first step toward applications. The pressure effects of the substrate on the conduction are discussed.

  2. Iron films deposited on porous alumina substrates

    Energy Technology Data Exchange (ETDEWEB)

    Yamada, Yasuhiro, E-mail: yyasu@rs.kagu.tus.ac.jp; Tanabe, Kenichi; Nishida, Naoki [Tokyo University of Science (Japan); Kobayashi, Yoshio [The University of Electro-Communications (Japan)

    2016-12-15

    Iron films were deposited on porous alumina substrates using an arc plasma gun. The pore sizes (120 – 250 nm) of the substrates were controlled by changing the temperature during the anodic oxidation of aluminum plates. Iron atoms penetrated into pores with diameters of less than 160 nm, and were stabilized by forming γ-Fe, whereas α-Fe was produced as a flat plane covering the pores. For porous alumina substrates with pore sizes larger than 200 nm, the deposited iron films contained many defects and the resulting α-Fe had smaller hyperfine magnetic fields. In addition, only a very small amount of γ-Fe was obtained. It was demonstrated that the composition and structure of an iron film can be affected by the surface morphology of the porous alumina substrate on which the film is grown.

  3. Thermodynamic approach to the conditions of chemical deposition of boron by contact with an inert substrate

    International Nuclear Information System (INIS)

    Thebault, J.; Naslain, R.; Hagenmuller, P.; Bernard, C.

    1978-01-01

    The optimum conditions for the synthesis of boron by chemical vapour deposition (CVD) from BCl 3 -H 2 or BBr 3 -H 2 mixtures onto an inert substrate (boron or boronized metals) have been studied by a thermodynamic approach. This approach, which postulates that states close to equilibrium are reached in the vicinity of the hot substrate, is based on the minimization of the total Gibbs free energy of the system. Between 1200 and 1900 K and under a total pressure of 1 atm, the hydrogen reduction of BCl 3 can lead to two types of by-products: BHCl 2 at all temperatures, and BCl 2 or BCl subhalides at high temperatures; BHCl 2 is the main product of the reduction at the lowest temperatures. The hydrogen reduction of BCl 3 is never complete for the conditions commonly used for the synthesis of boron. The amount of by-products and of BBr 3 which must be recycled can be minimized by utilizing BCl 3 -H 2 mixtures rich in hydrogen. The amount of boron deposited exhibits a maximum for a temperature close to 1700 K. Similar results have been obtained for BBr 3 . However, between 1000 and 1500 K and under a total pressure of 1 atm the amount of by-products (BHBr 2 and BBr 2 ) is smaller than in the case of BCl 3 . The boron yield from the reduction of BBr 3 is higher than that from BCl 3 and the percentage of boron halide which must be recycled is lower in the case of BBr 3 . Thus, BBr 3 appears to be a better source than BCl 3 for the CVD of boron. (Auth.)

  4. A novel Mo-W interlayer approach for CVD diamond deposition on steel

    Science.gov (United States)

    Kundrát, Vojtěch; Zhang, Xiaoling; Cooke, Kevin; Sun, Hailin; Sullivan, John; Ye, Haitao

    2015-04-01

    Steel is the most widely used material in engineering for its cost/performance ratio and coatings are routinely applied on its surface to further improve its properties. Diamond coated steel parts are an option for many demanding industrial applications through prolonging the lifetime of steel parts, enhancement of tool performance as well as the reduction of wear rates. Direct deposition of diamond on steel using conventional chemical vapour deposition (CVD) processes is known to give poor results due to the preferential formation of amorphous carbon on iron, nickel and other elements as well as stresses induced from the significant difference in the thermal expansion coefficients of those materials. This article reports a novel approach of deposition of nanocrystalline diamond coatings on high-speed steel (M42) substrates using a multi-structured molybdenum (Mo) - tungsten (W) interlayer to form steel/Mo/Mo-W/W/diamond sandwich structures which overcome the adhesion problem related to direct magnetron sputtering deposition of pure tungsten. Surface, interface and tribology properties were evaluated to understand the role of such an interlayer structure. The multi-structured Mo-W interlayer has been proven to improve the adhesion between diamond films and steel substrates by acting as an effective diffusion barrier during the CVD diamond deposition.

  5. A novel Mo-W interlayer approach for CVD diamond deposition on steel

    Energy Technology Data Exchange (ETDEWEB)

    Kundrát, Vojtěch; Sullivan, John; Ye, Haitao, E-mail: h.ye@aston.ac.uk [School of Engineering and Applied Science, Aston University, Birmingham, B4 7ET (United Kingdom); Zhang, Xiaoling; Cooke, Kevin; Sun, Hailin [Miba Coating Group: Teer Coatings Ltd, West-Stone-House, West-Stone, Berry-Hill-Industrial-Estate, WR9 9AS, Droitwich (United Kingdom)

    2015-04-15

    Steel is the most widely used material in engineering for its cost/performance ratio and coatings are routinely applied on its surface to further improve its properties. Diamond coated steel parts are an option for many demanding industrial applications through prolonging the lifetime of steel parts, enhancement of tool performance as well as the reduction of wear rates. Direct deposition of diamond on steel using conventional chemical vapour deposition (CVD) processes is known to give poor results due to the preferential formation of amorphous carbon on iron, nickel and other elements as well as stresses induced from the significant difference in the thermal expansion coefficients of those materials. This article reports a novel approach of deposition of nanocrystalline diamond coatings on high-speed steel (M42) substrates using a multi-structured molybdenum (Mo) – tungsten (W) interlayer to form steel/Mo/Mo-W/W/diamond sandwich structures which overcome the adhesion problem related to direct magnetron sputtering deposition of pure tungsten. Surface, interface and tribology properties were evaluated to understand the role of such an interlayer structure. The multi-structured Mo-W interlayer has been proven to improve the adhesion between diamond films and steel substrates by acting as an effective diffusion barrier during the CVD diamond deposition.

  6. A novel Mo-W interlayer approach for CVD diamond deposition on steel

    Directory of Open Access Journals (Sweden)

    Vojtěch Kundrát

    2015-04-01

    Full Text Available Steel is the most widely used material in engineering for its cost/performance ratio and coatings are routinely applied on its surface to further improve its properties. Diamond coated steel parts are an option for many demanding industrial applications through prolonging the lifetime of steel parts, enhancement of tool performance as well as the reduction of wear rates. Direct deposition of diamond on steel using conventional chemical vapour deposition (CVD processes is known to give poor results due to the preferential formation of amorphous carbon on iron, nickel and other elements as well as stresses induced from the significant difference in the thermal expansion coefficients of those materials. This article reports a novel approach of deposition of nanocrystalline diamond coatings on high-speed steel (M42 substrates using a multi-structured molybdenum (Mo – tungsten (W interlayer to form steel/Mo/Mo-W/W/diamond sandwich structures which overcome the adhesion problem related to direct magnetron sputtering deposition of pure tungsten. Surface, interface and tribology properties were evaluated to understand the role of such an interlayer structure. The multi-structured Mo-W interlayer has been proven to improve the adhesion between diamond films and steel substrates by acting as an effective diffusion barrier during the CVD diamond deposition.

  7. Substrate deposit effect on the characteristic of an intertidal macroalgal community

    Digital Repository Service at National Institute of Oceanography (India)

    Imchen, T.

    Present study consists the effect of substrate deposit (silt, clay, sand, gravel and shards of shells) on the characteristic of an intertidal rocky shore macroalgae Macroalgal assemblage was segregated from substrate deposit in two stages Substrate...

  8. Three-dimensional nanofabrication by electron-beam-induced deposition using 200-keV electrons in scanning transmission electron microscope

    International Nuclear Information System (INIS)

    Liu, Z.Q.; Mitsuishi, K.; Furuya, K.

    2005-01-01

    Attempts were made to fabricate three-dimensional nanostructures on and out of a substrate by electron-beam-induced deposition in a 200-kV scanning transmission electron microscope. Structures with parallel wires over the substrate surface were difficult to fabricate due to the direct deposition of wires on both top and bottom surfaces of the substrate. Within the penetration depth of the incident electron beam, nanotweezers were fabricated by moving the electron beam beyond different substrate layers. Combining the deposition of self-supporting wires and self-standing tips, complicated three-dimensional doll-like, flag-like, and gate-like nanostructures that extend out of the substrate were successfully fabricated with one-step or multi-step scans of the electron beam. Effects of coarsening, nucleation, and distortion during electron-beam-induced deposition are discussed. (orig.)

  9. Photo-sensitive Ge nanocrystal based films controlled by substrate deposition temperature

    KAUST Repository

    Stavarache, Ionel

    2017-07-21

    Lowering the temperature of crystallization by deposition of thin films on a heated substrate represents the easiest way to find new means to develop and improve new working devices based on nanocrystals embedded in thin films. The improvements are strongly related with the increasing of operation speed, substantially decreasing the energy consumption and reducing unit fabrication costs of the respective semiconductor devices. This approach avoids major problems, such as those related to diffusion or difficulties in controlling of nanocrystallites size, which appear during thermal treatments at high temperatures after deposition. It is reported here the significant progress introduced by synthesis procedure to the in-situ structuring of Ge nanocrystallites in SiO2 thin films by heating the substrate at low temperature, 400 °C during co-deposition of Ge and SiO2 by magnetron sputtering. As a proof-of-concept, a Si/Ge-NCs:SiO2 photo-sensitive structure was fabricated thereof and characterized. The structure shows superior performance on broad operation bandwidth from visible to near-infrared, as strong rectification properties in dark, significant current rise in the inversion mode when illuminated, high responsivity, high photo-detectivity of 1014 Jones, quick response and significant conversion efficiency of 850 %. This simple preparation approach brings an important contribution to the efort of structuring Ge nanocrystallites in SiO2 thin films at a lower temperature for the purpose of using these materials for devices in optoelectronics, solar cells and electronics on flexible substrates.

  10. Photo-sensitive Ge nanocrystal based films controlled by substrate deposition temperature

    Science.gov (United States)

    Stavarache, Ionel; Maraloiu, Valentin Adrian; Negrila, Catalin; Prepelita, Petronela; Gruia, Ion; Iordache, Gheorghe

    2017-10-01

    Lowering the temperature of crystallization by deposition of thin films on a heated substrate represents the easiest way to find new means to develop and improve new working devices based on nanocrystals embedded in thin films. The improvements are strongly related with the increasing of operation speed, substantially decreasing the energy consumption and reducing unit fabrication costs of the respective semiconductor devices. This approach avoids major problems, such as those related to diffusion or difficulties in controlling nanocrystallites size, which appear during thermal treatments at high temperatures after deposition. This article reports on a significant progress given by structuring Ge nanocrystals (Ge-NCs) embedded in silicon dioxide (SiO2) thin films by heating the substrate at 400 °C during co-deposition of Ge and SiO2 by magnetron sputtering. As a proof-of-concept, a Si/Ge-NCs:SiO2 photo-sensitive structure was fabricated thereof and characterized. The structure shows superior performance on broad operation bandwidth from visible to near-infrared, as strong rectification properties in dark, significant current rise in the inversion mode when illuminated, high responsivity, high photo-detectivity of 1014 Jones, quick response and significant conversion efficiency with peak value reaching 850% at -1 V and about 1000 nm. This simple preparation approach brings an important contribution to the effort of structuring Ge nanocrystallites in SiO2 thin films at a lower temperature for the purpose of using these materials for devices in optoelectronics, solar cells and electronics on flexible substrates.

  11. Tuning Material Properties of Oxides and Nitrides by Substrate Biasing during Plasma-Enhanced Atomic Layer Deposition on Planar and 3D Substrate Topographies.

    Science.gov (United States)

    Faraz, Tahsin; Knoops, Harm C M; Verheijen, Marcel A; van Helvoirt, Cristian A A; Karwal, Saurabh; Sharma, Akhil; Beladiya, Vivek; Szeghalmi, Adriana; Hausmann, Dennis M; Henri, Jon; Creatore, Mariadriana; Kessels, Wilhelmus M M

    2018-04-18

    Oxide and nitride thin-films of Ti, Hf, and Si serve numerous applications owing to the diverse range of their material properties. It is therefore imperative to have proper control over these properties during materials processing. Ion-surface interactions during plasma processing techniques can influence the properties of a growing film. In this work, we investigated the effects of controlling ion characteristics (energy, dose) on the properties of the aforementioned materials during plasma-enhanced atomic layer deposition (PEALD) on planar and 3D substrate topographies. We used a 200 mm remote PEALD system equipped with substrate biasing to control the energy and dose of ions by varying the magnitude and duration of the applied bias, respectively, during plasma exposure. Implementing substrate biasing in these forms enhanced PEALD process capability by providing two additional parameters for tuning a wide range of material properties. Below the regimes of ion-induced degradation, enhancing ion energies with substrate biasing during PEALD increased the refractive index and mass density of TiO x and HfO x and enabled control over their crystalline properties. PEALD of these oxides with substrate biasing at 150 °C led to the formation of crystalline material at the low temperature, which would otherwise yield amorphous films for deposition without biasing. Enhanced ion energies drastically reduced the resistivity of conductive TiN x and HfN x films. Furthermore, biasing during PEALD enabled the residual stress of these materials to be altered from tensile to compressive. The properties of SiO x were slightly improved whereas those of SiN x were degraded as a function of substrate biasing. PEALD on 3D trench nanostructures with biasing induced differing film properties at different regions of the 3D substrate. On the basis of the results presented herein, prospects afforded by the implementation of this technique during PEALD, such as enabling new routes for

  12. Quality improvement of organic thin films deposited on vibrating substrates

    Energy Technology Data Exchange (ETDEWEB)

    Paredes, Y.A.; Caldas, P.G.; Prioli, R.; Cremona, M., E-mail: cremona@fis.puc-rio.br

    2011-12-30

    Most of the Organic Light-Emitting Diodes (OLEDs) have a multilayered structure composed of functional organic layers sandwiched between two electrodes. Thin films of small molecules are generally deposited by thermal evaporation onto glass or other rigid or flexible substrates. The interface state between two organic layers in OLED device depends on the surface morphology of the layers and affects deeply the OLED performance. The morphology of organic thin films depends mostly on substrate temperature and deposition rate. Generally, the control of the substrate temperature allows improving the quality of the deposited films. For organic compounds substrate temperature cannot be increased too much due to their poor thermal stability. However, studies in inorganic thin films indicate that it is possible to modify the morphology of a film by using substrate vibration without increasing the substrate temperature. In this work, the effect of the resonance vibration of glass and silicon substrates during thermal deposition in high vacuum environment of tris(8-quinolinolate)aluminum(III) (Alq{sub 3}) and N,N Prime -Bis(naphthalene-2-yl)-N,N Prime -bis(phenyl)-benzidine ({beta}-NPB) organic thin films with different deposition rates was investigated. The vibration used was in the range of hundreds of Hz and the substrates were kept at room temperature during the process. The nucleation and subsequent growth of the organic films on the substrates have been studied by atomic force microscopy technique. For Alq{sub 3} and {beta}-NPB films grown with 0.1 nm/s as deposition rate and using a frequency of 100 Hz with oscillation amplitude of some micrometers, the results indicate a reduction of cluster density and a roughness decreasing. Moreover, OLEDs fabricated with organic films deposited under these conditions improved their power efficiency, driven at 4 mA/cm{sup 2}, passing from 0.11 lm/W to 0.24 lm/W with an increase in their luminance of about 352 cd/m{sup 2

  13. Gold nanoparticles deposited on linker-free silicon substrate and embedded in aluminum Schottky contact.

    Science.gov (United States)

    Gorji, Mohammad Saleh; Razak, Khairunisak Abdul; Cheong, Kuan Yew

    2013-10-15

    Given the enormous importance of Au nanoparticles (NPs) deposition on Si substrates as the precursor for various applications, we present an alternative approach to deposit Au NPs on linker-free n- and p-type Si substrates. It is demonstrated that, all conditions being similar, there is a significant difference between densities of the deposited NPs on both substrates. The Zeta-potential and polarity of charges surrounding the hydroxylamine reduced seeded growth Au NPs, are determined by a Zetasizer. To investigate the surface properties of Si substrates, contact angle measurement is performed. Field-emission scanning electron microscope is then utilized to distinguish the NPs density on the substrates. Finally, Al/Si Schottky barrier diodes with embedded Au NPs are fabricated, and their structural and electrical characteristics are further evaluated using an energy-filtered transmission electron microscope and current-voltage measurements, respectively. The results reveal that the density of NPs is significantly higher on n-type Si substrate and consequently has more pronounced effects on the electrical characteristics of the diode. It is concluded that protonation of Si-OH group on Si surface in low pH is responsible for the immobilization of Au NPs, which eventually contributes to the lowering of barrier height and enhances the electrical characteristics. Copyright © 2013 Elsevier Inc. All rights reserved.

  14. Local deposition of high-purity Pt nanostructures by combining electron beam induced deposition and atomic layer deposition

    NARCIS (Netherlands)

    Mackus, A.J.M.; Mulders, J.J.L.; Sanden, van de M.C.M.; Kessels, W.M.M.

    2010-01-01

    An approach for direct-write fabrication of high-purity platinum nanostructures has been developed by combining nanoscale lateral patterning by electron beam induced deposition (EBID) with area-selective deposition of high quality material by atomic layer deposition (ALD). Because virtually pure,

  15. Controlling the anisotropy and domain structure with oblique deposition and substrate rotation

    Directory of Open Access Journals (Sweden)

    N. Chowdhury

    2014-02-01

    Full Text Available Effect of substrate rotation on anisotropy and domain structure for a thin ferromagnetic film has been investigated in this work. For this purpose Co films with 10 nm thickness have been prepared by sputtering with oblique angle of incidence for various substrate rotations. This method of preparation induces a uniaxial anisotropy due to shadow deposition effect. The magnetization reversal is studied by magneto-optic Kerr effect (MOKE based microscope in the longitudinal geometry. The Co films prepared by rotating the substrate with 10 and 20 rpm weakens the anisotropy but does not completely give isotropic films. But this leads to high dispersion in local grain anisotropy resulting in ripple and labyrinth domains. It is observed that the substrate rotation has moderate effect on uniaxial anisotropy but has significant effect on the magnetization reversal process and the domain structure.

  16. Metalorganic chemical vapor deposition of gallium nitride on sacrificial substrates

    Science.gov (United States)

    Fenwick, William Edward

    into the subsequent GaN layer was studied in more detail. Several approaches were investigated---for example, transition layers such as Al2O3 and Al xGa1-xN/GaN---to minimize diffusion of these impurities into the GaN layer. Silicon, due to its prevalence, is the most promising material for the development of an inexpensive, large-area substrate technology. The challenge in MOCVD growth of GaN on Si is the tensile strain induced by the lattice and thermal mismatch between GaN and Si and the formation of anti-phase boundaries. Typical approaches to solve these problems involve complicated and multiple buffer layer structures, which lead to relatively slow growth rates. In this work, a thin atomic layer deposition (ALD)-grown Al2O3 interlayer was employed to relieve strain and increase material quality while also simplifying the growth process. While some residual strain was still observed in the GaN material by XRD and PL, the use of this oxide interlayer leads to an improvement in thin film quality as seen by a reduction in both crack density (assigned to the difference in indium compositions. These results show a great promise toward an inexpensive, large-area, silicon-based substrate technology for MOCVD growth of the next generation of GaN-based optoelectronic devices for SSL and other applications.

  17. Deposition and Characterization of Thin Films on Metallic Substrates

    Science.gov (United States)

    Gatica, Jorge E.

    2005-01-01

    A CVD method was successfully developed to produce conversion coatings on aluminum alloys surfaces with reproducible results with a variety of precursors. A well defined protocol to prepare the precursor solutions formulated in a previous research was extended to other additives. It was demonstrated that solutions prepared following such a protocol could be used to systematically generate protective coatings onto aluminum surfaces. Experiments with a variety of formulations revealed that a refined deposition protocol yields reproducible conversion coatings of controlled composition. A preliminary correlation between solution formulations and successful precursors was derived. Coatings were tested for adhesion properties enhancement for commercial paints. A standard testing method was followed and clear trends were identified. Only one precursors was tested systematically. Anticipated work on other precursors should allow a better characterization of the effect of intermetallics on the production of conversion/protective coatings on metals and ceramics. The significance of this work was the practical demonstration that chemical vapor deposition (CVD) techniques can be used to systematically generate protective/conversion coating on non-ferrous surfaces. In order to become an effective approach to replace chromate-based pre- treatment processes, namely in the aerospace or automobile industry, the process parameters must be defined more precisely. Moreover, the feasibility of scale-up designs necessitates a more comprehensive characterization of the fluid flow, transport phenomena, and chemical kinetics interacting in the process. Kinetic characterization showed a significantly different effect of magnesium-based precursors when compared to iron-based precursors. Future work will concentrate on refining the process through computer simulations and further experimental studies on the effect of other transition metals to induce deposition of conversion/protective films

  18. Tuning the architectures of lead deposits on metal substrates by electrodeposition

    International Nuclear Information System (INIS)

    Yao Chenzhong; Liu Meng; Zhang Peng; He Xiaohui; Li Gaoren; Zhao Wenxia; Liu Peng; Tong Yexiang

    2008-01-01

    Different morphologies of lead (Pb) deposited on different metal substrates have been prepared via electrochemical deposition in aqueous solution. The morphologies of as-deposited lead were determined by scanning electron microscope (SEM). It is found that the various morphologies of the products are dependent on the electrodeposition conditions, including the deposition current densities, concentration of additives, substrates and deposition time. X-ray diffraction (XRD) and transmission electron microscope (TEM) results reveal that all these lead deposits with different morphologies can be assigned to the space group Fm-3m (2 2 5)

  19. Room temperature deposition of perpendicular magnetic anisotropic Co{sub 3}Pt thin films on glass substrate

    Energy Technology Data Exchange (ETDEWEB)

    Chen, Yu-Shen; Dai, Hong-Yu; Hsu, Yi-Wei [Department of Chemical Engineering and Materials Science, Yuan-Ze University, Chung-Li 32003, Taiwan (China); Ou, Sin-Liang, E-mail: slo@mail.dyu.edu.tw [Department of Materials Science and Engineering, Da-Yeh University, Changhua 51591, Taiwan (China); Chen, Shi-Wei [National Synchrotron Radiation Research Center (NSRRC), Hsinchu 300, Taiwan (China); Lu, Hsi-Chuan; Wang, Sea-Fue [Department of Materials and Mineral Resources Engineering, National Taipei University of Technology, Taipei 106, Taiwan (China); Sun, An-Cheng, E-mail: acsun@saturn.yzu.edu.tw [Department of Chemical Engineering and Materials Science, Yuan-Ze University, Chung-Li 32003, Taiwan (China)

    2017-03-01

    Co{sub 3}Pt alloy thin films were deposited on the glass substrate at room temperature (RT) and 300 °C, which showed high perpendicular magnetic anisotropy (PMA) and isotropy magnetic behaviors, respectively. Co{sub 3}Pt HCP (0002) planes grew along the substrate plane for the films deposited at RT. The easy axis [0001] was consequently vertical to the substrate surface and obtained the predominant PMA. Large magnetic domains and sharp boundary also supported high PMA in RT-deposited samples. On the other hand, the PMA was significantly decreased with increasing the deposition temperature from RT to 300 °C. Hard HCP(0002) and soft A1(111) co-existed in the film and the magnetic exchanged coupling between these two phases induced isotropy magnetic behavior. In addition, the various thicknesses (t) of the RT-deposited Co{sub 3}Pt films were deposited with different base pressures prior to sputtering. The Kerr rotation loops showed high PMA and out-of-plane squareness (S{sub ⊥}) of ~0.9 were found in low base pressure chamber. Within high base pressure chamber, Co{sub 3}Pt films just show magnetic isotropy behaviors. This study provides a fabrication method for the preparation of high PMA HCP-type Co{sub 3}Pt films on the glass substrate without any underlayer at RT. The results could be the base for future development of RT-deposited magnetic alloy thin film with high PMA. - Highlights: • Fabricated high perpendicular magnetic anisotropy Co{sub 3}Pt thin film on glass substrate. • Prepared HCP Co{sub 3}Pt thin film at room temperature. • The key to enhance the PMA of the Co{sub 3}Pt films. • Thinner film is good to fabricate PMA Co{sub 3}Pt thin films.

  20. Effects of different needles and substrates on CuInS{sub 2} deposited by electrostatic spray deposition

    Energy Technology Data Exchange (ETDEWEB)

    Roncallo, S. [Centre for Materials Science and Engineering, Cranfield University, Shrivenham, Swindon, SN6 8LA (United Kingdom); Painter, J.D., E-mail: j.d.painter@cranfield.ac.u [Centre for Materials Science and Engineering, Cranfield University, Shrivenham, Swindon, SN6 8LA (United Kingdom); Healy, M.J.F. [Centre for Materials Science and Engineering, Cranfield University, Shrivenham, Swindon, SN6 8LA (United Kingdom); Ritchie, S.A.; Finnis, M.V. [Department of Engineering Systems and Management, Cranfield University, Shrivenham, Swindon SN6 8LA (United Kingdom); Rogers, K.D. [Cranfield Health, Cranfield University, Cranfield, Bedfordshire, MK43 0AL (United Kingdom); Scragg, J.J. [University of Bath, Claverton Down, Bath, BA2 7AY (United Kingdom); Dale, P.J. [Laboratoire Photovoltaique, University of Luxembourg, 41 Rue du Brill, L-4422, Belvaux (Luxembourg); Zoppi, G. [Northumbria Photovoltaics Applications Centre, Northumbria, University, Newcastle upon Tyne NE1 8ST (United Kingdom)

    2011-03-31

    Copper indium disulphide (CuInS{sub 2}) thin films were deposited using the electrostatic spray deposition method. The effects of applied voltage and solution flow rate on the aerosol cone shape, film composition, surface morphology and current conversion were investigated. The effect of aluminium substrates and transparent fluorine doped tin oxide (SnO{sub 2}:F) coated glass substrates on the properties of as-deposited CuInS{sub 2} films were analysed. An oxidation process occurs during the deposition onto the metallic substrates which forms an insulating layer between the photoactive film and substrate. The effects of two different spray needles on the properties of the as-deposited films were also studied. The results reveal that the use of a stainless steel needle results in contamination of the film due to the transfer of metal impurities through the spray whilst this is not seen for the glass needle. The films were characterised using a number of different analytical techniques such as X-ray diffraction, scanning electron microscopy, Rutherford back-scattering and secondary ion mass spectroscopy and opto-electronic measurements.

  1. Deposition characteristics of copper particles on roughened substrates through kinetic spraying

    International Nuclear Information System (INIS)

    Kumar, S.; Bae, Gyuyeol; Lee, Changhee

    2009-01-01

    In this paper, a systematic study of copper particle deposition behavior on polished and roughened surfaces (aluminum and copper) in kinetic spray process has been performed. The particle deformation behavior was simulated through finite element analysis (FEA) software ABAQUS explicit 6.7-2. The particle-substrate contact time, contact temperature and contact area upon impact have been estimated for smooth and three different roughened substrate cases. Copper powders were deposited on smooth and grit-blasted copper and aluminium substrates and characterized through scanning electron microscopy and Romulus bond strength analyzer. The results indicate that the deformation and the resultant bonding were higher for the roughened substrates than that of smooth. The characteristic factors for bonding are reported and discussed. Thus the substrate roughness appears to be beneficial for the initial deposition efficiency of the kinetic spray process.

  2. Synthesis of LSM films deposited by dip-coating on YSZ substrate

    International Nuclear Information System (INIS)

    Conceicao, Leandro da; Souza, Mariana M.V.M.; Ribeiro, Nielson F.P.

    2010-01-01

    The dip-coating process was used to deposit films of La 0.7 Sr 0. 3MnO 3 (LSM) used as cathode in solid oxide fuel cells (SOFC). In this study we evaluated the relationship between the deposition parameters such as speed of withdrawal and number of deposited layers of LSM film on a substrate of 8% YSZ commercial, and structural properties, such as thickness and formation of cracks. The structure and morphology of the films were characterized by X-ray diffraction (XRD) and scanning electron microscopy (SEM). With parameters set the film had good adhesion to the substrate with a thickness around 10 μm, showing possible adherence problems when more than one layer is deposited on the substrate. (author)

  3. Salt-Driven Deposition of Thermoresponsive Polymer-Coated Metal Nanoparticles on Solid Substrates.

    Science.gov (United States)

    Zhang, Zhiyue; Maji, Samarendra; da Fonseca Antunes, André B; De Rycke, Riet; Hoogenboom, Richard; De Geest, Bruno G

    2016-06-13

    Here we report on a simple, generally applicable method for depositing metal nanoparticles on a wide variety of solid surfaces under all aqueous conditions. Noble-metal nanoparticles obtained by citrate reduction followed by coating with thermoresponsive polymers spontaneously form a monolayer-like structure on a wide variety of substrates in presence of sodium chloride whereas this phenomenon does not occur in salt-free medium. Interestingly, this phenomenon occurs below the cloud point temperature of the polymers and we hypothesize that salt ion-induced screening of electrostatic charges on the nanoparticle surface entropically favors hydrophobic association between the polymer-coated nanoparticles and a hydrophobic substrate. © 2016 WILEY-VCH Verlag GmbH & Co. KGaA, Weinheim.

  4. A substrate independent approach for generation of surface gradients

    Energy Technology Data Exchange (ETDEWEB)

    Goreham, Renee V. [Mawson Institute, University of South Australia, Mawson Lakes 5095 (Australia); Mierczynska, Agnieszka; Pierce, Madelene [Ian Wark Research Institute, University of South Australia, Mawson Lakes 5095 (Australia); Short, Robert D.; Taheri, Shima; Bachhuka, Akash; Cavallaro, Alex; Smith, Louise E. [Mawson Institute, University of South Australia, Mawson Lakes 5095 (Australia); Vasilev, Krasimir, E-mail: krasimir.vasilev@unisa.edu.au [Mawson Institute, University of South Australia, Mawson Lakes 5095 (Australia)

    2013-01-01

    Recently, surface gradients have attracted significant interest for various research and technological applications. In this paper, we report a facile and versatile method for generating surface gradients of immobilized nanoparticles, nanotopography and ligands that is independent from the substrate material. The method consists of first depositing a functional polymer layer on a substrate and subsequent time controlled immersion of this functionalized substrate in solution gold nanoparticles (AuNPs), silver nanoparticles (AgNPs) or poly (styrenesulfonate) (PSS). Chemical characterization by X-ray Photoelectron Spectroscopy (XPS) and morphological analysis by Atomic Force Microscopy (AFM) show that the density of nanoparticles and the concentration of PSS across the surface increases in a gradient manner. As expected, time of immersion determines the concentration of surface bound species. We also demonstrate the generation of surface gradients of pure nanotopography. This is achieved by depositing a 5 nm thick plasma polymer layer on top of the number density gradient of nanoparticles to achieve a homogeneous surface chemistry. The surface independent approach for generation of surface gradients presented in this paper may open opportunities for a wider use of surface gradient in research and in various technologies. - Highlights: ► We present a substrate independent approach for generation of surface gradients. ► We demonstrate well-defined density gradients of gold and silver nanoparticles. ► We provide an example of pure surface nanotopography gradients. ► We demonstrate concentration gradients of bound ligands.

  5. A substrate independent approach for generation of surface gradients

    International Nuclear Information System (INIS)

    Goreham, Renee V.; Mierczynska, Agnieszka; Pierce, Madelene; Short, Robert D.; Taheri, Shima; Bachhuka, Akash; Cavallaro, Alex; Smith, Louise E.; Vasilev, Krasimir

    2013-01-01

    Recently, surface gradients have attracted significant interest for various research and technological applications. In this paper, we report a facile and versatile method for generating surface gradients of immobilized nanoparticles, nanotopography and ligands that is independent from the substrate material. The method consists of first depositing a functional polymer layer on a substrate and subsequent time controlled immersion of this functionalized substrate in solution gold nanoparticles (AuNPs), silver nanoparticles (AgNPs) or poly (styrenesulfonate) (PSS). Chemical characterization by X-ray Photoelectron Spectroscopy (XPS) and morphological analysis by Atomic Force Microscopy (AFM) show that the density of nanoparticles and the concentration of PSS across the surface increases in a gradient manner. As expected, time of immersion determines the concentration of surface bound species. We also demonstrate the generation of surface gradients of pure nanotopography. This is achieved by depositing a 5 nm thick plasma polymer layer on top of the number density gradient of nanoparticles to achieve a homogeneous surface chemistry. The surface independent approach for generation of surface gradients presented in this paper may open opportunities for a wider use of surface gradient in research and in various technologies. - Highlights: ► We present a substrate independent approach for generation of surface gradients. ► We demonstrate well-defined density gradients of gold and silver nanoparticles. ► We provide an example of pure surface nanotopography gradients. ► We demonstrate concentration gradients of bound ligands

  6. Deposition of an Ultraflat Graphene Oxide Nanosheet on Atomically Flat Substrates

    Science.gov (United States)

    Khan, M. Z. H.; Shahed, S. M. F.; Yuta, N.; Komeda, T.

    2017-07-01

    In this study, graphene oxide (GO) sheets produced in the form of stable aqueous dispersions were deposited on Au (111), freshly cleaved mica, and highly oriented pyrolytic graphite (HOPG) substrates. Atomic force microscopy (AFM) and scanning electron microscopy (SEM) were used to study the presence and distinct contact of GO sheets on the substrates. It was revealed from the topography images that high-quality ultraflat GO monolayer sheets formed on the substrates without distinct cracking/wrinkling or folding. GO sheets with apparent height variation observed by microscopy also indicate ultraflat deposition with clear underlying steps. It was observed that ultrasonication and centrifuge steps prior to deposition were very effective for getting oxidation debris (OD)-free ultraflat single monolayer GO nanosheets onto substrates and that the process depends on the concentration of supplied GO solutions.

  7. Structural characterization of ZnO thin films grown on various substrates by pulsed laser deposition

    International Nuclear Information System (INIS)

    Novotný, M; Bulíř, J; Lančok, J; Čížek, J; Kužel, R; Connolly, J; McCarthy, E; Krishnamurthy, S; Mosnier, J-P; Anwand, W; Brauer, G

    2012-01-01

    ZnO thin films were grown by pulsed laser deposition on three different substrates: sapphire (0 0 0 1), MgO (1 0 0) and fused silica (FS). The structure and morphology of the films were characterized by x-ray diffraction and scanning electron microscopy and defect studies were carried out using slow positron implantation spectroscopy (SPIS). Films deposited on all substrates studied in this work exhibit the wurtzite ZnO structure and are characterized by an average crystallite size of 20-100 nm. However, strong differences in the microstructure of films deposited on various substrates were found. The ZnO films deposited on MgO and sapphire single-crystalline substrates exhibit local epitaxy, i.e. a well-defined relation between film crystallites and the substrate. Domains with different orientation relationships with the substrate were found in both films. On the other hand, the film deposited on the FS substrate exhibits fibre texture with random lateral orientation of crystallites. Extremely high compressive in-plane stress of σ ∼ 14 GPa was determined in the film deposited on the MgO substrate, while the film deposited on sapphire is virtually stress-free, and the film deposited on the FS substrate exhibits a tensile in-plane stress of σ ∼ 0.9 GPa. SPIS investigations revealed that the concentration of open-volume defects in the ZnO films is substantially higher than that in a bulk ZnO single crystal. Moreover, the ZnO films deposited on MgO and sapphire single-crystalline substrates exhibit a significantly higher density of defects than the film deposited on the amorphous FS substrate. (paper)

  8. Photoinduced absorption of Ag nanoparticles deposited on ITO substrate

    Energy Technology Data Exchange (ETDEWEB)

    Ozga, K., E-mail: cate.ozga@wp.pl [Chair of Public Health, Czestochowa University of Technology, Al. Armii Krajowej 36B, 42-200 Czestochowa (Poland); Oyama, M. [Department of Material Chemisrty, Graduate School of Engineering, Kyoto University, Nishikyo-ku, Kyoto 615-8520 (Japan); Szota, M. [Institute of Materials Science and Engineering, Technical University of Czestochowa, al. Armii Krajowej 19, 42-200 Czestochowa (Poland); Nabialek, M. [Institute of Physics, Czestochowa University of Technology, Al. Armii Krajowej 19, 42-200 Czestochowa (Poland); Kityk, I.V. [Electrical Engineering Department, Czestochowa University of Technology, Al. Armii Krajowej 17/19, 42-200 Czestochowa (Poland); Slezak, A. [Chair of Public Health, Czestochowa University of Technology, Al. Armii Krajowej 36B, 42-200 Czestochowa (Poland); Umar, A.A. [Institute of Micronegineering and Nanoelectronics Universiti Kebangsaan Malaysia 43600 UKM bangi, Selangor D.E. (Malaysia); Nouneh, K. [INANOTECH, Institute of Nanomaterials and Nanotechnology, MAScIR (Moroccan Advanced Science, Innovation and Research Foundation), ENSET, Av. Armee Royale, 10100, Rabat (Morocco)

    2011-06-15

    Research highlights: > We study photoinduced absorption for two Ag NP deposited on the ITO. > The higher resistance eof the NP favors larger photoinduced changes. > Principal role is played by nanointerfaces. - Abstract: Substantial changes of absorption after illumination by 300 mW continuous wave green laser at 532 nm were observed. The effect of indium tin oxide (ITO) substrate was explored versus Ag nanoparticles (AgNPs) size, their regularity and surface plasmon resonance. The ITO substrate features play a crucial role for the formation of homogenous AgNPs. The attachments of AgNPs on ITO surface as well as their homogeneity are significantly changed under the influence of the laser treatment. We study the Ag NP deposited on the two different substrates which play a crucial role in the photoinduced absorption. The dependence of the photoinduced absorption versus the time of optical treatment is explained within a framework of the photopolarization of the particular trapping levels on the borders between the ITO substrate and the Ag NP.

  9. Deposition of indium tin oxide films on acrylic substrates by radiofrequency magnetron sputtering

    International Nuclear Information System (INIS)

    Chiou, B.S.; Hsieh, S.T.; Wu, W.F.

    1994-01-01

    Indium tin oxide (ITO) films were deposited onto acrylic substrates by rf magnetron sputtering. Low substrate temperature (< 80 C) and low rf power (< 28 W) were maintained during sputtering to prevent acrylic substrate deformation. The influence of sputtering parameters, such as rf power, target-to-substrate distance, and chamber pressure, on the film deposition rate, the electrical properties, as well as the optical properties of the deposited films was investigated. Both the refractive index and the extinction coefficient were derived. The high reflection at wavelengths greater than 3 μm made these sputtered ITO films applicable to infrared mirrors

  10. Fracture Analysis of MWCNT/Epoxy Nanocomposite Film Deposited on Aluminum Substrate.

    Science.gov (United States)

    Her, Shiuh-Chuan; Chien, Pao-Chu

    2017-04-13

    Multi-walled carbon nanotube (MWCNT) reinforced epoxy films were deposited on an aluminum substrate by a hot-pressing process. Three-point bending tests were performed to determine the Young's modulus of MWCNT reinforced nanocomposite films. Compared to the neat epoxy film, nanocomposite film with 1 wt % of MWCNT exhibits an increase of 21% in the Young's modulus. Four-point-bending tests were conducted to investigate the fracture toughness of the MWCNT/epoxy nanocomposite film deposited on an aluminum substrate with interfacial cracks. Based on the Euler-Bernoulli beam theory, the strain energy in a film/substrate composite beam is derived. The difference of strain energy before and after the propagation of the interfacial crack are calculated, leading to the determination of the strain energy release rate. Experimental test results show that the fracture toughness of the nanocomposite film deposited on the aluminum substrate increases with the increase in the MWCNT content.

  11. Development of a templated approach to fabricate diamond patterns on various substrates.

    Science.gov (United States)

    Shimoni, Olga; Cervenka, Jiri; Karle, Timothy J; Fox, Kate; Gibson, Brant C; Tomljenovic-Hanic, Snjezana; Greentree, Andrew D; Prawer, Steven

    2014-06-11

    We demonstrate a robust templated approach to pattern thin films of chemical vapor deposited nanocrystalline diamond grown from monodispersed nanodiamond (mdND) seeds. The method works on a range of substrates, and we herein demonstrate the method using silicon, aluminum nitride (AlN), and sapphire substrates. Patterns are defined using photo- and e-beam lithography, which are seeded with mdND colloids and subsequently introduced into microwave assisted chemical vapor deposition reactor to grow patterned nanocrystalline diamond films. In this study, we investigate various factors that affect the selective seeding of different substrates to create high quality diamond thin films, including mdND surface termination, zeta potential, surface treatment, and plasma cleaning. Although the electrostatic interaction between mdND colloids and substrates is the main process driving adherence, we found that chemical reaction (esterification) or hydrogen bonding can potentially dominate the seeding process. Leveraging the knowledge on these different interactions, we optimize fabrication protocols to eliminate unwanted diamond nucleation outside the patterned areas. Furthermore, we have achieved the deposition of patterned diamond films and arrays over a range of feature sizes. This study contributes to a comprehensive understanding of the mdND-substrate interaction that will enable the fabrication of integrated nanocrystalline diamond thin films for microelectronics, sensors, and tissue culturing applications.

  12. Defect studies of ZnO films prepared by pulsed laser deposition on various substrates

    International Nuclear Information System (INIS)

    Melikhova, O; Čížek, J; Procházka, I; Kužel, R; Novotný, M; Bulír, J; Lancok, J; Anwand, W; Brauer, G; Connolly, J; McCarthy, E; Krishnamurthy, S; Mosnier, J-P

    2013-01-01

    ZnO thin films deposited on various substrates were characterized by slow positron implantation spectroscopy (SPIS) combined with X-ray diffraction (XRD). All films studied exhibit wurtzite structure and crystallite size 20–100 nm. The mosaic spread of crystallites is relatively small for the films grown on single crystalline substrates while it is substantial for the film grown on amorphous substrate. SPIS investigations revealed that ZnO films deposited on single crystalline substrates exhibit significantly higher density of defects than the film deposited on amorphous substrate. This is most probably due to a higher density of misfit dislocations, which compensate for the lattice mismatch between the film and the substrate.

  13. Controlling droplet-based deposition uniformity of long silver nanowires by micrometer scale substrate patterning

    International Nuclear Information System (INIS)

    Basu, Nandita; Cross, Graham L W

    2015-01-01

    We report control of droplet-deposit uniformity of long silver nanowires suspended in solutions by microscopic influence of the liquid contact line. Substrates with microfabricated line patterns with a pitch far smaller than mean wire length lead to deposit thickness uniformity compared to unpatterned substrates. For high boiling-point solvents, two significant effects were observed: The substrate patterns suppressed coffee ring staining, and the wire deposits exhibited a common orientation lying perpendicular over top the lines. The latter result is completely distinct from previously reported substrate groove channeling effects. This work shows that microscopic influence of the droplet contact line geometry including the contact angle by altered substrate wetting allows significant and advantageous influence of deposition patterns of wire-like solutes as the drop dries. (paper)

  14. Microstructure of pulsed-laser deposited PZT on polished and annealed MGO substrates

    NARCIS (Netherlands)

    King, S.L.; Coccia, L.G.; Gardeniers, Johannes G.E.; Boyd, I.W.

    1996-01-01

    Thin films of Lead-Zirconate-Titanate (PZT) have been grown by pulsed-laser-deposition (PLD) onto polished MgO substrates both with and without pre-annealing. The surface morphology of polished MgO substrates, which are widely used for deposition, is examined by AFM. Commercially available,

  15. Investigation of thin film deposition on stainless steel 304 substrates under different operating conditions

    International Nuclear Information System (INIS)

    Chowdhury, M A; Nuruzzaman, D M

    2016-01-01

    In recent times, friction and wear in relation to the deposited carbon films on the steel substrates are important issues for industrial applications. In this research study, solid thin films were deposited on the stainless steel 304 (SS 304) substrates under different operating conditions. In the experiments, natural gas (97.14% methane) was used as a precursor gas in a hot filament thermal chemical vapor deposition (CVD) reactor. Deposition rates on SS 304 substrates were investigated under gas flow rates 0.5 - 3.0 l/min, pressure 20 - 50 torr, gap between activation heater and substrate 3.0 - 6.0 mm and deposition duration 30 - 120 minutes. The obtained results show that there are significant effects of these parameters on the deposition rates on SS 304 within the observed range. Friction coefficient of SS 304 sliding against SS 314 was also investigated under normal loads 5 - 10 N and sliding velocities 0.5 - m/s before and after deposition. The experimental results reveal that in general, frictional values are lower after deposition than that of before deposition. (paper)

  16. Influence of substrate temperature, growth rate and TCO substrate on the properties of CSS deposited CdS thin films

    Energy Technology Data Exchange (ETDEWEB)

    Schaffner, J., E-mail: jschaffner@surface.tu-darmstadt.de; Feldmeier, E.; Swirschuk, A.; Schimper, H.-J.; Klein, A.; Jaegermann, W.

    2011-08-31

    The growth of CdS thin films by close space sublimation (CSS) has been systematically studied using an ultra-high vacuum system known as DAISY-SOL in order to understand the basic growth mechanisms and their impact on the film properties. Substrate temperature and deposition rate were varied, and the surface properties of the CdS layer were determined by photoelectron spectroscopy (XPS) without breaking the vacuum. To analyze the influence of the deposition conditions on the layer morphology and crystallographic structure, the films were further characterized by X-ray diffraction (XRD), scanning electron microscopy (SEM) and atomic force microscopy (AFM). The SEM and AFM studies show a correlation between the deposition rate and the film morphology. For high deposition rates, edged grain shapes and smoother surfaces were observed than for low deposition rates. CdS films were deposited onto two different commercially available fluorine-doped tin oxide (FTO) substrates. XRD studies show that a high <200> texture of the FTO substrate prefers the CdS growth in <0001> orientation of the hexagonal crystal modification.

  17. Deposition of thermal and hot-wire chemical vapor deposition copper thin films on patterned substrates.

    Science.gov (United States)

    Papadimitropoulos, G; Davazoglou, D

    2011-09-01

    In this work we study the hot-wire chemical vapor deposition (HWCVD) of copper films on blanket and patterned substrates at high filament temperatures. A vertical chemical vapor deposition reactor was used in which the chemical reactions were assisted by a tungsten filament heated at 650 degrees C. Hexafluoroacetylacetonate Cu(I) trimethylvinylsilane (CupraSelect) vapors were used, directly injected into the reactor with the aid of a liquid injection system using N2 as carrier gas. Copper thin films grown also by thermal and hot-wire CVD. The substrates used were oxidized silicon wafers on which trenches with dimensions of the order of 500 nm were formed and subsequently covered with LPCVD W. HWCVD copper thin films grown at filament temperature of 650 degrees C showed higher growth rates compared to the thermally ones. They also exhibited higher resistivities than thermal and HWCVD films grown at lower filament temperatures. Thermally grown Cu films have very uniform deposition leading to full coverage of the patterned substrates while the HWCVD films exhibited a tendency to vertical growth, thereby creating gaps and incomplete step coverage.

  18. Dielectrophoretic deformation of thin liquid films induced by surface charge patterns on dielectric substrates

    NARCIS (Netherlands)

    Berendsen, C.W.J.; Kuijpers, C.J.; Zeegers, J.C.H.; Darhuber, A.A.

    2013-01-01

    We studied the deformation of thin liquid films induced by surface charge patterns at the solid–liquid interface quantitatively by experiments and numerical simulations. We deposited a surface charge distribution on dielectric substrates by applying potential differences between a conductive liquid

  19. Diamond-like carbon films deposited on three-dimensional shape substrate model by liquid electrochemical technique

    International Nuclear Information System (INIS)

    He, Y.Y.; Zhang, G.F.; Zhao, Y.; Liu, D.D.; Cong, Y.; Buck, V.

    2015-01-01

    Diamond-like carbon (DLC) films were deposited on three-dimensional (3D) shape substrate model by electrolysis of 2-propanol solution at low temperature (60 °C). This 3D shape model was composed of a horizontally aligned stainless steel wafer and vertically aligned stainless steel rods. Morphology and microstructure of the films were analyzed by scanning electron microscopy (SEM), atomic force microscopy (AFM) and Raman spectroscopy, respectively. The results suggested there were only differences in film uniformity and thickness for two kinds of samples. The hydrogenated amorphous carbon films deposited on horizontally aligned substrate were smooth and homogeneous. And the film thickness of DLC films gained on the vertical substrates decreased along vertical direction. It is believed that bubble formation could enhance nucleation on the wetted capillary area. This experiment shows that deposition of DLC films by liquid phase deposition on 3D shape conductive substrates is possible. - Highlights: • DLC film is expected to be deposited on complex surface/shape substrate. • DLC film is deposited on 3D shape substrate by liquid electrochemical method. • Horizontal substrate is covered by smooth and homogeneous DLC films. • Film thickness decreases along vertical direction due to boiling effect

  20. Diamond-like carbon films deposited on three-dimensional shape substrate model by liquid electrochemical technique

    Energy Technology Data Exchange (ETDEWEB)

    He, Y.Y. [Institute of Nano-photonics, School of Physics and Materials Engineering, Dalian Nationalities University, 116600 Dalian (China); Zhang, G.F. [School of Materials Science and Engineering, Dalian University of Technology, 116024, Dalian China (China); Zhao, Y.; Liu, D.D. [Institute of Nano-photonics, School of Physics and Materials Engineering, Dalian Nationalities University, 116600 Dalian (China); Cong, Y., E-mail: congyan@ciomp.ac.cn [Institute of Nano-photonics, School of Physics and Materials Engineering, Dalian Nationalities University, 116600 Dalian (China); Buck, V. [Thin Film Technology Group, Faculty of Physics, University Duisburg-Essen and CeNIDE, 47057 Duisburg (Germany)

    2015-09-01

    Diamond-like carbon (DLC) films were deposited on three-dimensional (3D) shape substrate model by electrolysis of 2-propanol solution at low temperature (60 °C). This 3D shape model was composed of a horizontally aligned stainless steel wafer and vertically aligned stainless steel rods. Morphology and microstructure of the films were analyzed by scanning electron microscopy (SEM), atomic force microscopy (AFM) and Raman spectroscopy, respectively. The results suggested there were only differences in film uniformity and thickness for two kinds of samples. The hydrogenated amorphous carbon films deposited on horizontally aligned substrate were smooth and homogeneous. And the film thickness of DLC films gained on the vertical substrates decreased along vertical direction. It is believed that bubble formation could enhance nucleation on the wetted capillary area. This experiment shows that deposition of DLC films by liquid phase deposition on 3D shape conductive substrates is possible. - Highlights: • DLC film is expected to be deposited on complex surface/shape substrate. • DLC film is deposited on 3D shape substrate by liquid electrochemical method. • Horizontal substrate is covered by smooth and homogeneous DLC films. • Film thickness decreases along vertical direction due to boiling effect.

  1. Photoinduced absorption of Ag nanoparticles deposited on ITO substrate

    International Nuclear Information System (INIS)

    Ozga, K.; Oyama, M.; Szota, M.; Nabialek, M.; Kityk, I.V.; Slezak, A.; Umar, A.A.; Nouneh, K.

    2011-01-01

    Research highlights: → We study photoinduced absorption for two Ag NP deposited on the ITO. → The higher resistance eof the NP favors larger photoinduced changes. → Principal role is played by nanointerfaces. - Abstract: Substantial changes of absorption after illumination by 300 mW continuous wave green laser at 532 nm were observed. The effect of indium tin oxide (ITO) substrate was explored versus Ag nanoparticles (AgNPs) size, their regularity and surface plasmon resonance. The ITO substrate features play a crucial role for the formation of homogenous AgNPs. The attachments of AgNPs on ITO surface as well as their homogeneity are significantly changed under the influence of the laser treatment. We study the Ag NP deposited on the two different substrates which play a crucial role in the photoinduced absorption. The dependence of the photoinduced absorption versus the time of optical treatment is explained within a framework of the photopolarization of the particular trapping levels on the borders between the ITO substrate and the Ag NP.

  2. Lifetimes of carbon foils deposited on etched substrates

    International Nuclear Information System (INIS)

    Stoner, J.O. Jr.; Bashkin, S.; Hartog, P.D.; Thomas, G.; Yntema, J.L.

    1981-01-01

    The methods currently in use for producing long-lived carbon foils are listed. The possible common factors which are important in making long lasting foils are a) making a strong, coherent, continuous layer, b) making a foil slack, loose, or baggy, and c) making a foil whose molecular structure minimizes shrinkage. The behavior of foils deposited on etched substrates is compared with foils deposited upon conventional microscope slides

  3. Granular nanocrystalline zirconia electrolyte layers deposited on porous SOFC cathode substrates

    International Nuclear Information System (INIS)

    Seydel, Johannes; Becker, Michael; Ivers-Tiffee, Ellen; Hahn, Horst

    2009-01-01

    Thin granular yttria-stabilized zirconia (YSZ) electrolyte layers were prepared by chemical vapor synthesis and deposition (CVD/CVS) on a porous substoichiometric lanthanum-strontium-manganite (ULSM) solid oxide fuel cell cathode substrate. The substrate porosity was optimized with a screen printed fine porous buffer layer. Structural analysis by scanning electron microscopy showed a homogeneous, granular nanocrystalline layer with a microstructure that was controlled via reactor settings. The CVD/CVS gas-phase process enabled the deposition of crack-free granular YSZ films on porous ULSM substrates. The electrolyte layers characterized with impedance spectroscopy exhibited enhanced grain boundary conductivity.

  4. Optical characterization of directly deposited graphene on a dielectric substrate

    DEFF Research Database (Denmark)

    Kaplas, Tommi; Karvonen, Lasse; Ahmadi, Sepehr

    2016-01-01

    By using scanning multiphoton microscopy we compare the nonlinear optical properties of the directly deposited and transferred to the dielectric substrate graphene. The direct deposition of graphene on oxidized silicon wafer was done by utilizing sacrificial copper catalyst film. We demonstrate...

  5. Influence of piezoelectric strain on the Raman spectra of BiFeO{sub 3} films deposited on PMN-PT substrates

    Energy Technology Data Exchange (ETDEWEB)

    Himcinschi, Cameliu, E-mail: himcinsc@physik.tu-freiberg.de; Talkenberger, Andreas; Kortus, Jens [TU Bergakademie Freiberg, Institute of Theoretical Physics, 09596 Freiberg (Germany); Guo, Er-Jia [Institute of Physics, Martin-Luther-University Halle-Wittenberg, 06099 Halle (Germany); Institute for Metallic Materials, IFW Dresden, 01069 Dresden (Germany); Quantum Condensed Matter Division, Oak Ridge National Laboratory, Oak Ridge, Tennessee 37830 (United States); Dörr, Kathrin [Institute of Physics, Martin-Luther-University Halle-Wittenberg, 06099 Halle (Germany); Institute for Metallic Materials, IFW Dresden, 01069 Dresden (Germany)

    2016-01-25

    BiFeO{sub 3} epitaxial thin films were deposited on piezoelectric 0.72Pb(Mg{sub 1/3}Nb{sub 2/3})O{sub 3}-0.28PbTiO{sub 3} (PMN-PT) substrates with a conductive buffer layer (La{sub 0.7}Sr{sub 0.3}MnO{sub 3} or SrRuO{sub 3}) using pulsed laser deposition. The calibration of the strain values induced by the electric field applied on the piezoelectric PMN-PT substrates was realised using X-Ray diffraction measurements. The method of piezoelectrically induced strain allows one to directly obtain a quantitative correlation between the strain and the shift of the Raman-active phonons. This is a prerequisite for making Raman scattering a strong tool to probe the strain coupling in multiferroic nanostructures. Using the Poisson's number for BiFeO{sub 3}, one can determine the volume change induced by strain, and therefore the Grüneisen parameters for specific phonon modes.

  6. Microcrystalline thin-film solar cell deposition on moving substrates using a linear VHF-PECVD reactor and a cross-flow geometry

    International Nuclear Information System (INIS)

    Flikweert, A J; Zimmermann, T; Merdzhanova, T; Weigand, D; Appenzeller, W; Gordijn, A

    2012-01-01

    A concept for high-rate plasma deposition (PECVD) of hydrogenated microcrystalline silicon on moving substrates (dynamic deposition) is developed and evaluated. The chamber allows for substrates up to a size of 40 × 40 cm 2 . The deposition plasma is sustained between linear VHF electrodes (60 MHz) and a moving substrate. Due to the gas flow geometry and the high degree of source gas depletion, from the carrier's point of view the silane concentration varies when passing the electrodes. This is known to lead to different growth conditions which can induce transitions from microcrystalline to amorphous growth. The effect of different silane concentrations is simulated at a standard RF showerhead electrode by intentionally varying the silane concentration during deposition in static mode. This variation may decrease the layer quality of microcrystalline silicon, due to a shift of the crystallinity away from the optimum. However, adapting the input silane concentration, state-of-the-art solar cells are obtained. Microcrystalline cells (ZnO : Al/Ag back contacts) produced by the linear VHF plasma sources show an efficiency of 7.9% and 6.6% for depositions in static and dynamic mode, respectively. (paper)

  7. Ge-rich islands grown on patterned Si substrates by low-energy plasma-enhanced chemical vapour deposition

    International Nuclear Information System (INIS)

    Bollani, M; Fedorov, A; Chrastina, D; Sordan, R; Picco, A; Bonera, E

    2010-01-01

    Si 1-x Ge x islands grown on Si patterned substrates have received considerable attention during the last decade for potential applications in microelectronics and optoelectronics. In this work we propose a new methodology to grow Ge-rich islands using a chemical vapour deposition technique. Electron-beam lithography is used to pre-pattern Si substrates, creating material traps. Epitaxial deposition of thin Ge films by low-energy plasma-enhanced chemical vapour deposition then leads to the formation of Ge-rich Si 1-x Ge x islands (x > 0.8) with a homogeneous size distribution, precisely positioned with respect to the substrate pattern. The island morphology was characterized by atomic force microscopy, and the Ge content and strain in the islands was studied by μRaman spectroscopy. This characterization indicates a uniform distribution of islands with high Ge content and low strain: this suggests that the relatively high growth rate (0.1 nm s -1 ) and low temperature (650 deg. C) used is able to limit Si intermixing, while maintaining a long enough adatom diffusion length to prevent nucleation of islands outside pits. This offers the novel possibility of using these Ge-rich islands to induce strain in a Si cap.

  8. Ge-rich islands grown on patterned Si substrates by low-energy plasma-enhanced chemical vapour deposition.

    Science.gov (United States)

    Bollani, M; Chrastina, D; Fedorov, A; Sordan, R; Picco, A; Bonera, E

    2010-11-26

    Si(1-x)Ge(x) islands grown on Si patterned substrates have received considerable attention during the last decade for potential applications in microelectronics and optoelectronics. In this work we propose a new methodology to grow Ge-rich islands using a chemical vapour deposition technique. Electron-beam lithography is used to pre-pattern Si substrates, creating material traps. Epitaxial deposition of thin Ge films by low-energy plasma-enhanced chemical vapour deposition then leads to the formation of Ge-rich Si(1-x)Ge(x) islands (x > 0.8) with a homogeneous size distribution, precisely positioned with respect to the substrate pattern. The island morphology was characterized by atomic force microscopy, and the Ge content and strain in the islands was studied by μRaman spectroscopy. This characterization indicates a uniform distribution of islands with high Ge content and low strain: this suggests that the relatively high growth rate (0.1 nm s(-1)) and low temperature (650 °C) used is able to limit Si intermixing, while maintaining a long enough adatom diffusion length to prevent nucleation of islands outside pits. This offers the novel possibility of using these Ge-rich islands to induce strain in a Si cap.

  9. Influence of Gas Flow Rate on the Deposition Rate on Stainless Steel 202 Substrates

    Directory of Open Access Journals (Sweden)

    M.A. Chowdhury

    2012-12-01

    Full Text Available Solid thin films have been deposited on stainless steel 202 (SS 202 substrates at different flow rates of natural gas using a hot filament thermal chemical vapor deposition (CVD reactor. In the experiments, the variations of thin film deposition rate with the variation of gas flow rate have been investigated. The effects of gap between activation heater and substrate on the deposition rate have also been observed. Results show that deposition rate on SS 202 increases with the increase in gas flow rate within the observed range. It is also found that deposition rate increases with the decrease in gap between activation heater and substrate. In addition, friction coefficient and wear rate of SS 202 sliding against SS 304 under different sliding velocities are also investigated before and after deposition. The experimental results reveal that improved friction coefficient and wear rate is obtained after deposition than that of before deposition.

  10. Surface treatment effect on Si (111) substrate for carbon deposition using DC unbalanced magnetron sputtering

    Energy Technology Data Exchange (ETDEWEB)

    Aji, A. S., E-mail: aji.ravazes70@gmail.com; Sahdan, M. F.; Hendra, I. B.; Dinari, P.; Darma, Y. [Quantum Semiconductor and Devices Lab., Physics of Material Electronics Research Division, Department of Physics, Institut Teknologi Bandung (Indonesia)

    2015-04-16

    In this work, we studied the effect of HF treatment in silicon (111) substrate surface for depositing thin layer carbon. We performed the deposition of carbon by using DC Unbalanced Magnetron Sputtering with carbon pallet (5% Fe) as target. From SEM characterization results it can be concluded that the carbon layer on HF treated substrate is more uniform than on substrate without treated. Carbon deposition rate is higher as confirmed by AFM results if the silicon substrate is treated by HF solution. EDAX characterization results tell that silicon (111) substrate with HF treatment have more carbon fraction than substrate without treatment. These results confirmed that HF treatment on silicon Si (111) substrates could enhance the carbon deposition by using DC sputtering. Afterward, the carbon atomic arrangement on silicon (111) surface is studied by performing thermal annealing process to 900 °C. From Raman spectroscopy results, thin film carbon is not changing until 600 °C thermal budged. But, when temperature increase to 900 °C, thin film carbon is starting to diffuse to silicon (111) substrates.

  11. Influence of Gas Flow Rate on the Deposition Rate on Stainless Steel 202 Substrates

    OpenAIRE

    M.A. Chowdhury; D.M. Nuruzzaman

    2012-01-01

    Solid thin films have been deposited on stainless steel 202 (SS 202) substrates at different flow rates of natural gas using a hot filament thermal chemical vapor deposition (CVD) reactor. In the experiments, the variations of thin film deposition rate with the variation of gas flow rate have been investigated. The effects of gap between activation heater and substrate on the deposition rate have also been observed. Results show that deposition rate on SS 202 increases with the increase in g...

  12. Pulsed Laser Deposition of BaTiO3 Thin Films on Different Substrates

    Directory of Open Access Journals (Sweden)

    Yaodong Yang

    2010-01-01

    Full Text Available We have studied the deposition of BaTiO3 (BTO thin films on various substrates. Three representative substrates were selected from different types of material systems: (i SrTiO3 single crystals as a typical oxide, (ii Si wafers as a semiconductor, and (iii Ni foils as a magnetostrictive metal. We have compared the ferroelectric properties of BTO thin films obtained by pulsed laser deposition on these diverse substrates.

  13. Influence of substrate temperature and annealing on structural and optical properties of TiO{sub 2} films deposited by reactive e-beam evaporation

    Energy Technology Data Exchange (ETDEWEB)

    Pjević, D., E-mail: dejanp@vinca.rs [VINČA Institute of Nuclear Sciences, University of Belgrade, PO Box 522, 11001 Belgrade (Serbia); Marinković, T.; Savić, J.; Bundaleski, N.; Obradović, M.; Milosavljević, M. [VINČA Institute of Nuclear Sciences, University of Belgrade, PO Box 522, 11001 Belgrade (Serbia); Kulik, M. [Frank Laboratory of Neutron Physics, JINR, Joliot-Curie St. 6, Dubna 141980, Moscow Region (Russian Federation)

    2015-09-30

    The influence of deposition and post-deposition annealing parameters on the structure and optical properties of TiO{sub 2} thin films synthesized by reactive e-beam evaporation is reported. Pure Ti (99.9%) was evaporated in oxygen atmosphere to form thin films on Si (100) and glass substrates. Depositions were conducted on substrates held at room temperature and at 200–400 °C heated substrates. Post-deposition annealing was done for 3 h at 500 °C in air. Compositional and structural studies were performed by Rutherford backscattering spectrometry, X-ray diffraction, and X-ray photoelectron spectroscopy, and optical properties were studied by ultraviolet–visible spectroscopy and analytically by pointwise unconstrained minimization approach method. It was found that both the structure and optical properties of the films are strongly influenced by the deposition and processing parameters. All deposited samples showed good stoichiometry of Ti:O ~ 1:2. Depending on the substrate temperature and oxygen pressure in the chamber during the deposition, anatase–rutile mixed films were obtained, and in some cases TiO and Ti{sub 2}O{sub 3} phases were observed. Substrate deposition temperature appears to play the major role on the final structure of the films, while post-deposition annealing adds up for the lack of oxygen in some cases and invokes crystal grain growth of already initiated phases. The results can be interesting towards the development of TiO{sub 2} thin films with defined structure and optical properties. - Highlights: • TiO{sub 2} films were deposited by reactive e-beam evaporation. • Structure and properties were studied as a function of deposition temperature. • Stoichiometry of as-deposited films was Ti:O ~ 1:2, containing different Ti-O phases. • Post-deposition annealing yielded phase transformation, affecting the properties. • Refractive index increases with the substrate deposition temperature.

  14. Defects study of hydrogenated amorphous silicon samples and their relation with the substrate and deposition conditions

    International Nuclear Information System (INIS)

    Darwich, R.

    2009-07-01

    The goal of this work is to study the properties of the defects aiming to explore the types of defects and the effect of various deposition parameters such as substrate temperature, the kind of the substrate, gas pressure and deposition rate. Two kinds of samples have been used; The first one was a series of Schottky diodes, and the second one a series of solar cells (p-i-n junction) deposited on crystalline silicon or on corning glass substrates with different deposition parameters. The deposition parameters were chosen to obtain materials whose their structures varying from amorphous to microcrystalline silicon including polymorphous silicon. Our results show that the polymorphous silicon samples deposited at high deposition rates present the best photovoltaic properties in comparison with those deposited at low rates. Also we found that the defects concentration in high deposition rate samples is less at least by two orders than that obtained in low deposition rate polymorphous, microcrystalline and amorphous samples. This study shows also that there is no effect of the substrate, or the thin films of highly doped amorphous silicon deposited on the substrate, on the creation and properties of these defects. Finally, different experimental methods have been used; a comparison between their results has been presented. (author)

  15. Understanding the electron-stimulated surface reactions of organometallic complexes to enable design of precursors for electron beam-induced deposition

    Energy Technology Data Exchange (ETDEWEB)

    Spencer, Julie A.; Rosenberg, Samantha G.; Barclay, Michael; Fairbrother, D. Howard [Johns Hopkins University, Department of Chemistry, Baltimore, MD (United States); Wu, Yung-Chien; McElwee-White, Lisa [University of Florida, Department of Chemistry, Gainesville, FL (United States)

    2014-12-15

    Standard practice in electron beam-induced deposition (EBID) is to use precursors designed for thermal processes, such as chemical vapor deposition (CVD). However, organometallic precursors that yield pure metal deposits in CVD often create EBID deposits with high levels of organic contamination. This contamination negatively impacts the deposit's properties (e.g., by increasing resistivity or decreasing catalytic activity) and severely limits the range of potential applications for metal-containing EBID nanostructures. To provide the information needed for the rational design of precursors specifically for EBID, we have employed an ultra-high vacuum (UHV) surface science approach to identify the elementary reactions of organometallic precursors during EBID. These UHV studies have demonstrated that the initial electron-induced deposition of the surface-bound organometallic precursors proceeds through desorption of one or more of the ligands present in the parent compound. In specific cases, this deposition step has been shown to proceed via dissociative electron attachment, involving low-energy secondary electrons generated by the interaction of the primary beam with the substrate. Electron beam processing of the surface-bound species produced in the initial deposition event usually causes decomposition of the residual ligands, creating nonvolatile fragments. This process is believed to be responsible for a significant fraction of the organic contaminants typically observed in EBID nanostructures. A few ligands (e.g., halogens) can, however, desorb during electron beam processing while other ligands (e.g., PF{sub 3}, CO) can thermally desorb if elevated substrate temperatures are used during deposition. Using these general guidelines for reactivity, we propose some design strategies for EBID precursors. The ultimate goal is to minimize organic contamination and thus overcome the key bottleneck for fabrication of relatively pure EBID nanostructures. (orig.)

  16. Formation of precise 2D Au particle arrays via thermally induced dewetting on pre-patterned substrates

    Directory of Open Access Journals (Sweden)

    Dong Wang

    2011-06-01

    Full Text Available The fabrication of precise 2D Au nanoparticle arrays over a large area is presented. The technique was based on pre-patterning of the substrate before the deposition of a thin Au film, and the creation of periodic particle arrays by subsequent dewetting induced by annealing. Two types of pre-patterned substrates were used: The first comprised an array of pyramidal pits and the second an array of circular holes. For the dewetting of Au films on the pyramidal pit substrate, the structural curvature-driven diffusion cooperates with capillarity-driven diffusion, resulting in the formation of precise 2D particle arrays for films within a structure dependent thickness-window. For the dewetting of Au films on the circular hole substrate, the periodic discontinuities in the films, induced by the deposition, can limit the diffusion paths and lead to the formation of one particle per individual separated region (holes or mesas between holes, and thus, result in the evolution of precise 2D particle arrays. The influence of the pre-patterned structures and the film thickness is analyzed and discussed. For both types of pre-patterned substrate, the Au film thickness had to be adjusted in a certain thickness-window in order to achieve the precise 2D particle arrays.

  17. Formation of precise 2D Au particle arrays via thermally induced dewetting on pre-patterned substrates

    Science.gov (United States)

    Ji, Ran

    2011-01-01

    Summary The fabrication of precise 2D Au nanoparticle arrays over a large area is presented. The technique was based on pre-patterning of the substrate before the deposition of a thin Au film, and the creation of periodic particle arrays by subsequent dewetting induced by annealing. Two types of pre-patterned substrates were used: The first comprised an array of pyramidal pits and the second an array of circular holes. For the dewetting of Au films on the pyramidal pit substrate, the structural curvature-driven diffusion cooperates with capillarity-driven diffusion, resulting in the formation of precise 2D particle arrays for films within a structure dependent thickness-window. For the dewetting of Au films on the circular hole substrate, the periodic discontinuities in the films, induced by the deposition, can limit the diffusion paths and lead to the formation of one particle per individual separated region (holes or mesas between holes), and thus, result in the evolution of precise 2D particle arrays. The influence of the pre-patterned structures and the film thickness is analyzed and discussed. For both types of pre-patterned substrate, the Au film thickness had to be adjusted in a certain thickness-window in order to achieve the precise 2D particle arrays. PMID:21977445

  18. Scanning probe microscopy investigation of gold clusters deposited on atomically flat substrates

    International Nuclear Information System (INIS)

    Vandamme, N; Janssens, E; Vanhoutte, F; Lievens, P; Haesendonck, C van

    2003-01-01

    We systematically studied the influence of the substrate on the shape, mobility, and stability of deposited gold clusters. The Au n clusters were produced in a laser vaporization source and deposited with low kinetic energy (∼0.4 eV/atom) on atomically flat substrates (graphite, mica, and gold and silver films on mica) under UHV conditions. Their size distribution is probed with time-of-flight mass spectrometry and ranges from dimers to several hundreds of atoms. Scanning probe microscopy is used to characterize the deposited clusters and the formation of islands by cluster aggregation. On all substrates, Au n islands can be clearly distinguished and the islands are flattened despite the small impact energy. The shape and size of the island configurations are strongly system dependent. Gold clusters deposited on Au(111) and Ag(111) films grown on mica do not aggregate, but deform due to strong cluster-substrate interactions. The clusters tend to grow epitaxially on these surfaces. On graphite and on mica, deposited clusters do diffuse and aggregate. On the graphite surface, large ramified islands are formed by juxtaposition of small islands and trapping of the clusters at the step edges. On the other hand, the diffusion of the clusters on mica results in a total coalescence of the Au n clusters into compact islands

  19. Static and high frequency magnetic properties of FeGa thin films deposited on convex flexible substrates

    International Nuclear Information System (INIS)

    Yu, Ying; Zhan, Qingfeng; Dai, Guohong; Zuo, Zhenghu; Zhang, Xiaoshan; Liu, Yiwei; Yang, Huali; Zhang, Yao; Wang, Baomin; Li, Run-Wei; Wei, Jinwu; Wang, Jianbo; Xie, Shuhong

    2015-01-01

    Magnetostrictive FeGa thin films were deposited on the bowed flexible polyethylene terephthalate (PET) substrates, which were fixed on the convex mold. A compressive stress was induced in FeGa films when the PET substrates were shaped from convex to flat. Due to the effect of magnetostriction, FeGa films exhibit an obvious in-plane uniaxial magnetic anisotropy which could be enhanced by increasing the applied pre-strains on the substrates during growth. Consequently, the ferromagnetic resonance frequency of the films was significantly increased, but the corresponding initial permeability was decreased. Moreover, the films with pre-strains less than 0.78% exhibit a working bandwidth of microwave absorption about 2 GHz. Our investigations demonstrated a convenient method via the pre-strained substrates to tune the high frequency properties of magnetic thin films which could be applied in flexible microwave devices

  20. Static and high frequency magnetic properties of FeGa thin films deposited on convex flexible substrates

    Science.gov (United States)

    Yu, Ying; Zhan, Qingfeng; Wei, Jinwu; Wang, Jianbo; Dai, Guohong; Zuo, Zhenghu; Zhang, Xiaoshan; Liu, Yiwei; Yang, Huali; Zhang, Yao; Xie, Shuhong; Wang, Baomin; Li, Run-Wei

    2015-04-01

    Magnetostrictive FeGa thin films were deposited on the bowed flexible polyethylene terephthalate (PET) substrates, which were fixed on the convex mold. A compressive stress was induced in FeGa films when the PET substrates were shaped from convex to flat. Due to the effect of magnetostriction, FeGa films exhibit an obvious in-plane uniaxial magnetic anisotropy which could be enhanced by increasing the applied pre-strains on the substrates during growth. Consequently, the ferromagnetic resonance frequency of the films was significantly increased, but the corresponding initial permeability was decreased. Moreover, the films with pre-strains less than 0.78% exhibit a working bandwidth of microwave absorption about 2 GHz. Our investigations demonstrated a convenient method via the pre-strained substrates to tune the high frequency properties of magnetic thin films which could be applied in flexible microwave devices.

  1. Characterization of defects in hydrogenated amorphous silicon deposited on different substrates by capacitance techniques

    International Nuclear Information System (INIS)

    Darwich, R.; Roca i Cabarrocas, P.

    2011-01-01

    Hydrogenated amorphous silicon (a-Si:H) thin films deposited on crystalline silicon and Corning glass substrate were analyzed using different capacitance techniques. The distribution of localized states and some electronic properties were studied using the temperature, frequency and bias dependence of the Schottky barrier capacitance and deep level transient spectroscopy. Our results show that the distribution of the gap states depends on the type of substrate. We have found that the films deposited on c-Si substrate represent only one positively charged or prerelaxed neutral deep state and one interface state, while the films deposited on glass substrate have one interface state and three types of deep defect states, positively or prerelaxed neutral, neutral and negatively charged.

  2. Pulsed laser deposition of transparent conductive oxide thin films on flexible substrates

    International Nuclear Information System (INIS)

    Socol, G.; Socol, M.; Stefan, N.; Axente, E.; Popescu-Pelin, G.; Craciun, D.; Duta, L.; Mihailescu, C.N.; Mihailescu, I.N.; Stanculescu, A.; Visan, D.; Sava, V.; Galca, A.C.; Luculescu, C.R.; Craciun, V.

    2012-01-01

    Highlights: ► TCO thin films were grown by PLD on PET substrate at low temperature. ► We found that the quality of TCO on PET substrate depends on the target–substrate distance. ► TCO with high transparency (>95%) and reduced electrical resistivity (∼5 × 10 −4 Ω cm) were obtained. ► Optimized TCO films deposited on PET were free of any cracks. - Abstract: The influence of target–substrate distance during pulsed laser deposition of indium zinc oxide (IZO), indium tin oxide (ITO) and aluminium-doped zinc oxide (AZO) thin films grown on polyethylene terephthalate (PET) substrates was investigated. It was found that the properties of such flexible transparent conductive oxide (TCO)/PET electrodes critically depend on this parameter. The TCO films that were deposited at distances of 6 and 8 cm exhibited an optical transmittance higher than 90% in the visible range and electrical resistivities around 5 × 10 −4 Ω cm. In addition to these excellent electrical and optical characteristics the films grown at 8 cm distance were homogenous, smooth, adherent, and without cracks or any other extended defects, being suitable for opto-electronic device applications.

  3. Substrate bias induced synthesis of flowered-like bunched carbon nanotube directly on bulk nickel

    Energy Technology Data Exchange (ETDEWEB)

    Bisht, Atul [Polymorphic Carbon Thin Films Group, Physics of Energy Harvesting Division, CSIR-National Physical Laboratory, Dr. K. S. Krishnan Marg, New Delhi 110012 (India); Academy of Scientific and Innovative Research (AcSIR), CSIR Campus, New Delhi 110012 (India); Chockalingam, S. [Polymorphic Carbon Thin Films Group, Physics of Energy Harvesting Division, CSIR-National Physical Laboratory, Dr. K. S. Krishnan Marg, New Delhi 110012 (India); Panwar, O.S., E-mail: ospanwar@mail.nplindia.ernet.in [Polymorphic Carbon Thin Films Group, Physics of Energy Harvesting Division, CSIR-National Physical Laboratory, Dr. K. S. Krishnan Marg, New Delhi 110012 (India); Academy of Scientific and Innovative Research (AcSIR), CSIR Campus, New Delhi 110012 (India); Kesarwani, A.K. [Polymorphic Carbon Thin Films Group, Physics of Energy Harvesting Division, CSIR-National Physical Laboratory, Dr. K. S. Krishnan Marg, New Delhi 110012 (India); Academy of Scientific and Innovative Research (AcSIR), CSIR Campus, New Delhi 110012 (India); Singh, B.P. [Physics and Engineering of Carbon Materials, Division of Materials Physics and Engineering, CSIR-National Physical Laboratory, Dr. K. S. Krishnan Marg, New Delhi 110012 (India); Academy of Scientific and Innovative Research (AcSIR), CSIR Campus, New Delhi 110012 (India); Singh, V.N. [Electron and Ion Microscopy, Sophisticated and Analytical Instruments, CSIR-National Physical Laboratory, Dr. K. S. Krishnan Marg, New Delhi 110012 (India); Academy of Scientific and Innovative Research (AcSIR), CSIR Campus, New Delhi 110012 (India)

    2016-02-15

    Highlights: • Flowered-like bunched MWCNTs have been synthesized by MW PECVD technique. • Effect of substrate bias on the properties of MWCNT has been studied. • Minimum E{sub T} = 1.9 V/μm with β = 4770 has been obtained in the film deposited at −350 V. - Abstract: This paper reports the effect of substrate bias on the multiwalled carbon nanotube (MWCNT) deposited on nickel foil by microwave plasma enhanced chemical vapor deposition technique. The MWCNTs have been characterized by the scanning electron microscopy (SEM), high resolution transmission electron microscopy (HRTEM), Raman spectroscopy, field emission and current–voltage characteristic of the heterojunction diode. The SEM images exhibit unique hierarchical flowered-like bunched and conformally coated MWCNTs. Substrate bias induced ion bombardment helps in the enhancement of hydrocarbon dissociation and is responsible for flowered-like MWCNTs growth. The HRTEM micrographs show the base growth mechanism for MWCNTs. The value of turn on field for emission decreases from 5.5 to 1.9 V/μm and field enhancement factor increases from 927 to 4770, respectively, with the increase of substrate bias. The diode ideality factor of CNT/ n-Si heterojunction is evaluated as 2.4 and the on/off current ratio is found to be 7 at ±2 V, respectively.

  4. Superconducting and structural properties of plasma sprayed YBaCuO layers deposited on metallic substrates

    NARCIS (Netherlands)

    Hemmes, Herman K.; Jäger, D; Smithers, M.A.; Smithers, M.; van der Veer, J.; van der Veer, J.M.; Stover, D.; Rogalla, Horst

    1993-01-01

    The properties of plasma sprayed Y-Ba-Cu-O coatings deposited on metallic substrates are studied. Stainless steel, nickel steels and pure nickel are used as substrate. Y-Ba-Cu-O deposited on stainless steel and nickel steel reacts with the substrate. This interaction can be suppressed by using an

  5. Deposition of functionalized gold nanoparticles onto modified silicon substrates

    Energy Technology Data Exchange (ETDEWEB)

    Riskin, A.; Dobbelaere, C. de; Elen, K.; Rul, H. van den; Mullens, J.; Hardy, A. [Inorganic and Physical Chemistry, Institute for Materials Research, Hasselt University, Diepenbeek (Belgium); D' Haen, J. [Imecvzw Division IMOMEC, Diepenbeek (Belgium); Electrical and Physical Characterization, Institute for Materials Research, Hasselt University, Diepenbeek (Belgium); Bael, M.K. van [Inorganic and Physical Chemistry, Institute for Materials Research, Hasselt University, Diepenbeek (Belgium); Imecvzw Division IMOMEC, Diepenbeek (Belgium)

    2010-04-15

    In this report, an existing phase transfer method for the synthesis of alkylamine- or alkanethiol-functionalized gold nanoparticles (NPs) is investigated. A parameter study shows that the concentration of the gold salt used is important for the stability of the resulting sol, but has little effect on the final average particle size or the size distribution. By adding dodecanethiol before the reduction, the formation of NPs was inhibited, providing evidence for the autocatalytic pathway for the formation of metallic NPs in wet chemical synthesis proposed in the literature. The resulting functionalized gold NPs are deposited onto Si-OH, octadecyltrichlorosilane (OTS) or 3-mercaptopropyltrimethoxysilane modified SiO{sub 2}/Si substrates. scanning electron microscope (SEM) is used to analyze the ordering behavior and surface coverage of the NPs and it is shown that the difference in affinity for the substrate has a profound effect on the deposition behavior. The functionalization of the substrates and of the NPs is confirmed by grazing angle attenuated total reflectance fourier transform infrared spectroscopy (GATR-FTIR). (Abstract Copyright [2010], Wiley Periodicals, Inc.)

  6. Improvement of the characteristics of chemical bath deposition-cadmium sulfide films deposited on an O{sub 2} plasma-treated polyethylene terephthalate substrate

    Energy Technology Data Exchange (ETDEWEB)

    Lim, Donggun [Department of Electronic Engineering, Korea National University of Transportation, Chungju-si, Chungcheongbuk-do 380-702 (Korea, Republic of); Lee, Jaehyeong [School of Electronic and Electrical Engineering, Sungkyunkwan University 300, Cheoncheon-dong, Jangan-gu, Sunwon, Kyeonggi-do, 440-746 (Korea, Republic of); Song, Woochang, E-mail: wcsong@kangwon.ac.kr [Department of Electrical Engineering, Kangwon National University, Samcheok-si, Gangwon-do 245-711 (Korea, Republic of)

    2013-11-01

    We prepared cadmium sulfide (CdS) films on a polyethylene terephthalate (PET) substrate by a chemical bath deposition (CBD) technique. To improve the adhesion between the CdS film and the PET substrate, the substrate was pre-treated with an O{sub 2} plasma by an inductively coupled plasma. The surface characterizations of the pre-treated PET substrate were analyzed by a contact angle measurement and atomic force microscopy. The results showed that that O{sub 2} plasma-treated PET films had more hydrophilic surface. The hydrophilic property of the substrate is one of the important factors when a film is prepared by CBD. The structural and the optical properties of the CdS films, deposited on PET substrates, were analyzed by using a scanning electron microscope, X-ray diffraction and a UV–visible spectrophotometer. The CdS films were formed on a compact and granular structure. The optical transmittance was also improved. Therefore, the O{sub 2} plasma treatment of a PET surface is an effective method of preparing CdS films deposited on substrates by CBD. - Highlights: • Chemical bath deposition of CdS film for flexible solar cells • O{sub 2} plasma treatment improved adhesion between the CdS and polymer substrate • Identification of best fabrication condition of CdS window layers for flexible solar cells.

  7. Long length coated conductor fabrication by inclined substrate deposition and evaporation

    Energy Technology Data Exchange (ETDEWEB)

    Prusseit, W [THEVA Duennschichttechnik GmbH, Rote-Kreuz-Str. 8, 85737 Ismaning (Germany); Hoffmann, C [THEVA Duennschichttechnik GmbH, Rote-Kreuz-Str. 8, 85737 Ismaning (Germany); Nemetschek, R [THEVA Duennschichttechnik GmbH, Rote-Kreuz-Str. 8, 85737 Ismaning (Germany); Sigl, G [THEVA Duennschichttechnik GmbH, Rote-Kreuz-Str. 8, 85737 Ismaning (Germany); Handke, J [THEVA Duennschichttechnik GmbH, Rote-Kreuz-Str. 8, 85737 Ismaning (Germany); Luemkemann, A [Technical University Munich, James- Franck-Str. 1, 85748 Garching (Germany); Kinder, H [Technical University Munich, James- Franck-Str. 1, 85748 Garching (Germany)

    2006-06-01

    The commercial development of coated conductors is rapidly progressing. As a result we present an economic route to produce second generation HTS tape from the initial substrate preparation to the final metal coating. The most important and technically challenging steps are the deposition of an oriented buffer layer and the superconductor film in a reel-to-reel configuration. New evaporation techniques have been developed to enable reliable, high rate tape coating. Highly oriented MgO - buffer layers are realized by inclined substrate deposition (ISD) and DyBCO is deposited by simple e-gun evaporation yielding critical currents beyond 200 A/cm. Coated conductors have been fabricated up to 40 m length and are currently tested in a variety of applications.

  8. Modulation of surface wettability of superhydrophobic substrates using Si nanowire arrays and capillary-force-induced nanocohesion

    NARCIS (Netherlands)

    Dawood, M.K.; Zheng, H.; Kurniawan, N.A.; Leong, K.C.; Foo, Y.L.; Rajagopalan, Raj; Khan, S.A.; Choi, W.K.

    2012-01-01

    We describe a new scalable method to fabricate large-area hybrid superhydrophobic surfaces with selective adhesion properties on silicon (Si) nanowire array substrates by exploiting liquid-medium-dependent capillary-force-induced nanocohesion. Gold (Au) nanoparticles were deposited on Si by glancing

  9. Optical and electrical characteristics of zirconium oxide thin films deposited on silicon substrates by spray pyrolysis

    International Nuclear Information System (INIS)

    Aguilar-Frutis, M.; Araiza, J.J.; Falcony, C.; Garcia, M.

    2002-01-01

    The optical and electrical characteristics of zirconium oxide thin films deposited by spray pyrolysis on silicon substrates are reported. The films were deposited from a spraying solution of zirconium acetylacetonate in N,N-dimethylformamide using an ultrasonic mist generator on (100) Si substrates. The substrate temperature during deposition was in the range of 400 to 600 grad C. Deposition rates up to 16 A/sec were obtained depending on the spraying solution concentration and on the substrate temperature. A refraction index of the order of 2.0 was measured on these films by ellipsometry. The electrical characteristics of the films were determined from the capacitance and current versus voltage measurements. The addition of water mist during the spraying deposition process was also studied in the characteristics of the films. (Authors)

  10. MAPLE deposition of 3D micropatterned polymeric substrates for cell culture

    Energy Technology Data Exchange (ETDEWEB)

    Paun, Irina Alexandra, E-mail: irina.paun@physics.pub.ro [National Institute for Laser, Plasma and Radiation Physics, RO-077125, Magurele, Bucharest (Romania); Faculty of Applied Sciences, University Politehnica of Bucharest, RO-060042, Bucharest (Romania); Mihailescu, Mona [Faculty of Applied Sciences, University Politehnica of Bucharest, RO-060042, Bucharest (Romania); Calenic, Bogdan [Department of Biochemistry, Faculty of Dentistry, UMF Carol Davila, Bucharest (Romania); Luculescu, Catalin Romeo [National Institute for Laser, Plasma and Radiation Physics, RO-077125, Magurele, Bucharest (Romania); Greabu, Maria [Department of Biochemistry, Faculty of Dentistry, UMF Carol Davila, Bucharest (Romania); Dinescu, Maria, E-mail: dinescum@nipne.ro [National Institute for Laser, Plasma and Radiation Physics, RO-077125, Magurele, Bucharest (Romania)

    2013-08-01

    3D micropatterned poly(lactide-co-glycolide)/polyurethane (PLGA/PU) substrates were produced by MAPLE deposition through masks and used for regulating the behavior of oral keratinocyte stem cells in response to topography. Flat PLGA/PU substrates were produced for comparison. 3D imaging of the PLGA/PU substrates and of the cultured cells was performed by Digital Holographic Microscopy. The micropatterns were in the shape of squares of 50 × 50 and 80 × 80 μm{sup 2} areas, ∼1.8 μm in height and separated by 20 μm wide channels. It was found that substrate topography guided the adhesion of the cultured cells: on the smooth substrates the cells adhered randomly and showed no preferred orientation; in contrast, on the micropatterned substrates the cells adhered preferentially onto the squares and not in the separating channels. Furthermore, key properties of the cells (size, viability, proliferation rate and stem cell marker expression) did not show any dependence on substrate topography. The size of the cultured cells, their viability, the proportions of actively/slow proliferating cells, as well as the stem cell markers expressions, were similar for both flat and micropatterned substrates. Finally, it was found that the cells cultured on the PLGA/PU substrates deposited by MAPLE exhibited similar properties as the controls (i.e. cells cultured on glass slides), indicating the capability of the former to preserve the properties of the keratinocyte stem cells.

  11. Fabrication of single-phase ε-GaSe films on Si(100) substrate by metal organic chemical vapor deposition

    Energy Technology Data Exchange (ETDEWEB)

    Chang, Chia-Chen; Zeng, Jia-Xian; Lan, Shan-Ming [Department of Electronic Engineering, College of Electrical Engineering and Computer Science, Chung Yuan Christian University, Chung-Li 32023, Taiwan (China); Uen, Wu-Yih, E-mail: uenwuyih@ms37.hinet.net [Department of Electronic Engineering, College of Electrical Engineering and Computer Science, Chung Yuan Christian University, Chung-Li 32023, Taiwan (China); Liao, Sen-Mao [Department of Electronic Engineering, College of Electrical Engineering and Computer Science, Chung Yuan Christian University, Chung-Li 32023, Taiwan (China); Yang, Tsun-Neng; Ma, Wei-Yang [Institute of Nuclear Energy Research, P.O. Box 3-11, Lungtan 32500, Taiwan (China); Chang, Kuo-Jen [Chung-Shan Institute of Science and Technology, No.15, Shi Qi Zi, Gaoping Village, Longtan Township, Taoyuan County, Taiwan (China)

    2013-09-02

    Single-phase ε-gallium selenide (GaSe) films were fabricated on Si(100) substrate by metal organic chemical vapor deposition using dual-source precursors: triethylgallium (TEG) and hydrogen selenide (H{sub 2}Se) with the flow ratio of [H{sub 2}Se]/[TEG] being maintained at 1.2. In particular, an arsine (AsH{sub 3}) flow was introduced to the Si substrate before the film deposition to induce an arsenic (As)-passivation effect on the substrate. The crystalline structure of GaSe films prepared was analyzed using X-ray diffraction and the surface morphology of them was characterized by scanning electron microscopy. It was found that the film quality could be improved by the As-passivation effect. The optical properties of the films were studied by temperature dependent photoluminescence (PL) measurements. PL spectra obtained with different distributions and intensities favored for resolving the superior material quality of the films produced on the substrate with As-passivation compared to those produced on the substrate without As-passivation. The former was dominated by the excitonic emissions for the whole temperature range of 20–300 K examined, while the latter was initially dominated by the defect-related emission at 1.907 eV for a low-temperature range ≦ 80 K and then became dominated by the weak excitonic emission band instead. The ε modification of GaSe films prepared was further recognized by the Raman scattering measurements conducted at room temperature. - Highlights: • Gallium selenide (GaSe) layered structures are fabricated on Si(100) substrate. • Metal–organic chemical vapor deposition is used for film fabrication. • Arsenic-passivation effects of Si substrate on the GaSe film quality are analyzed. • Photoluminescence measurements of GaSe polycrystals are reported.

  12. Morphology of CdSe films prepared by chemical bath deposition: The role of substrate

    International Nuclear Information System (INIS)

    Simurda, M.; Nemec, P.; Formanek, P.; Nemec, I.; Nemcova, Y.; Maly, P.

    2006-01-01

    We combine optical spectroscopy and transmission electron microscopy to study the growth and the structural morphology of CdSe films prepared by chemical bath deposition (CBD) on two considerably different substrates. The films grown on glass are compact and strongly adherent to the substrate. On the contrary, the films deposited on carbon-coated glass (with approx. 20 nm thick amorphous carbon layer) are only loosely adherent to the substrate. Using transmission electron microscopy we revealed that even though the films grown on both substrates are assembled from closely spaced nanocrystals with diameter of about 5 nm, the films morphology on the sub-micrometer scale is considerably different in the two cases. While the films deposited on glass are rather compact, the films prepared on carbon layer have high porosity and are formed by interconnected spheres which size is dependent on the duration of deposition (e.g. 155 nm for 6 h and 350 nm for 24 h). This shows that the choice of the substrate for CBD has a stronger influence on the sub-micrometer film morphology than on the properties of individual nanocrystals forming the film

  13. Plume-induced stress in pulsed-laser deposited CeO2 films

    International Nuclear Information System (INIS)

    Norton, D.P.; Park, C.; Budai, J.D.; Pennycook, S.J.; Prouteau, C.

    1999-01-01

    Residual compressive stress due to plume-induced energetic particle bombardment in CeO 2 films deposited by pulsed-laser deposition is reported. For laser ablation film growth in low pressures, stresses as high as 2 GPa were observed as determined by substrate curvature and four-circle x-ray diffraction. The amount of stress in the films could be manipulated by controlling the kinetic energies of the ablated species in the plume through gas-phase collisions with an inert background gas. The film stress decreased to near zero for argon background pressures greater than 50 mTorr. At these higher background pressures, the formation of nanoparticles in the deposited film was observed. copyright 1999 American Institute of Physics

  14. The role of electron-stimulated desorption in focused electron beam induced deposition

    DEFF Research Database (Denmark)

    van Dorp, Willem F.; Hansen, Thomas Willum; Wagner, Jakob Birkedal

    2013-01-01

    We present the results of our study about the deposition rate of focused electron beam induced processing (FEBIP) as a function of the substrate temperature with the substrate being an electron-transparent amorphous carbon membrane. When W(CO)6 is used as a precursor it is observed that the growt......, the majority desorbs from the surface rather than dissociates to contribute to the deposit. It is important to take this into account during FEBIP experiments, for instance when determining fundamental process parameters such as the activation energy for desorption....... experiments compared to literature values is consistent with earlier findings by other authors. The discrepancy is attributed to electron-stimulated desorption, which is known to occur during electron irradiation. The data suggest that, of the W(CO)6 molecules that are affected by the electron irradiation...

  15. Optimal Substrate Preheating Model for Thermal Spray Deposition of Thermosets onto Polymer Matrix Composites

    Science.gov (United States)

    Ivosevic, M.; Knight, R.; Kalidindi, S. R.; Palmese, G. R.; Tsurikov, A.; Sutter, J. K.

    2003-01-01

    High velocity oxy-fuel (HVOF) sprayed, functionally graded polyimide/WC-Co composite coatings on polymer matrix composites (PMC's) are being investigated for applications in turbine engine technologies. This requires that the polyimide, used as the matrix material, be fully crosslinked during deposition in order to maximize its engineering properties. The rapid heating and cooling nature of the HVOF spray process and the high heat flux through the coating into the substrate typically do not allow sufficient time at temperature for curing of the thermoset. It was hypothesized that external substrate preheating might enhance the deposition behavior and curing reaction during the thermal spraying of polyimide thermosets. A simple analytical process model for the deposition of thermosetting polyimide onto polymer matrix composites by HVOF thermal spray technology has been developed. The model incorporates various heat transfer mechanisms and enables surface temperature profiles of the coating to be simulated, primarily as a function of substrate preheating temperature. Four cases were modeled: (i) no substrate preheating; (ii) substrates electrically preheated from the rear; (iii) substrates preheated by hot air from the front face; and (iv) substrates electrically preheated from the rear and by hot air from the front.

  16. Substrate-induced ubiquitylation and endocytosis of yeast amino acid permeases.

    Science.gov (United States)

    Ghaddar, Kassem; Merhi, Ahmad; Saliba, Elie; Krammer, Eva-Maria; Prévost, Martine; André, Bruno

    2014-12-01

    Many plasma membrane transporters are downregulated by ubiquitylation, endocytosis, and delivery to the lysosome in response to various stimuli. We report here that two amino acid transporters of Saccharomyces cerevisiae, the general amino acid permease (Gap1) and the arginine-specific permease (Can1), undergo ubiquitin-dependent downregulation in response to their substrates and that this downregulation is not due to intracellular accumulation of the transported amino acids but to transport catalysis itself. Following an approach based on permease structural modeling, mutagenesis, and kinetic parameter analysis, we obtained evidence that substrate-induced endocytosis requires transition of the permease to a conformational state preceding substrate release into the cell. Furthermore, this transient conformation must be stable enough, and thus sufficiently populated, for the permease to undergo efficient downregulation. Additional observations, including the constitutive downregulation of two active Gap1 mutants altered in cytosolic regions, support the model that the substrate-induced conformational transition inducing endocytosis involves remodeling of cytosolic regions of the permeases, thereby promoting their recognition by arrestin-like adaptors of the Rsp5 ubiquitin ligase. Similar mechanisms might control many other plasma membrane transporters according to the external concentrations of their substrates. Copyright © 2014, American Society for Microbiology. All Rights Reserved.

  17. Low-temperature deposition of ZnO thin films on PET and glass substrates by DC-sputtering technique

    International Nuclear Information System (INIS)

    Banerjee, A.N.; Ghosh, C.K.; Chattopadhyay, K.K.; Minoura, Hideki; Sarkar, Ajay K.; Akiba, Atsuya; Kamiya, Atsushi; Endo, Tamio

    2006-01-01

    The structural, optical and electrical properties of ZnO thin films (260 - 490 nm thick) deposited by direct-current sputtering technique, at a relatively low-substrate temperature (363 K), onto polyethylene terephthalate and glass substrates have been investigated. X-ray diffraction patterns confirm the proper phase formation of the material. Optical transmittance data show high transparency (80% to more than 98%) of the films in the visible portion of solar radiation. Slight variation in the transparency of the films is observed with a variation in the deposition time. Electrical characterizations show the room-temperature conductivity of the films deposited onto polyethylene terephthalate substrates for 4 and 5 h around 0.05 and 0.25 S cm -1 , respectively. On the other hand, for the films deposited on glass substrates, these values are 8.5 and 9.6 S cm -1 for similar variation in the deposition time. Room-temperature conductivity of the ZnO films deposited on glass substrates is at least two orders of magnitude higher than that of ZnO films deposited onto polyethylene terephthalate substrates under identical conditions. Hall-measurements show the maximum carrier concentration of the films on PET and glass substrate around 2.8 x 10 16 and 3.1 x 10 2 cm -3 , respectively. This report will provide newer applications of ZnO thin films in flexible display technology

  18. Vapor deposition on doublet airfoil substrates: Control of coating thickness and microstructure

    Energy Technology Data Exchange (ETDEWEB)

    Rodgers, Theron M.; Zhao, Hengbei; Wadley, Haydn N. G., E-mail: haydn@virginia.edu [Department of Materials Science and Engineering, University of Virginia, 395 McCormick Rd., P.O. Box 400745, Charlottesville, Virginia 22904 (United States)

    2015-11-15

    Gas jet assisted vapor deposition processes for depositing coatings are conducted at higher pressures than conventional physical vapor deposition methods, and have shown promise for coating complex shaped substrates including those with non-line-of-sight (NLS) regions on their surface. These regions typically receive vapor atoms at a lower rate and with a wider incident angular distribution than substrate regions in line-of-sight (LS) of the vapor source. To investigate the coating of such substrates, the thickness and microstructure variation along the inner (curved) surfaces of a model doublet airfoil containing both LS and NLS regions has been investigated. Results from atomistic simulations and experiments confirm that the coating's thickness is thinner in flux-shadowed regions than in other regions for all the coating processes investigated. They also indicated that the coatings columnar microstructure and pore volume fraction vary with surface location through the LS to NLS transition zone. A substrate rotation strategy for optimizing the thickness over the entire doublet airfoil surface was investigated, and led to the identification of a process that resulted in only small variation of coating thickness, columnar growth angle, and pore volume fraction on all doublet airfoil surfaces.

  19. Discrete deposition of hydroxyapatite nanoparticles on a titanium implant with predisposing substrate microtopography accelerated osseointegration

    International Nuclear Information System (INIS)

    Nishimura, Ichiro; Huang Yuhong; Butz, Frank; Ogawa, Takahiro; Lin, Audrey; Wang, Chiachien Jake

    2007-01-01

    We report here a new versatile method to deposit discrete hydroxyapatite (HA) nanoparticles on a titanium (Ti) implant with predisposing substrate microtopography, which exhibited an unexpectedly robust biological effect. Commercially pure Ti substrates were treated with 3-aminopropyltriethoxysilane, on which HA nanoparticles (20 nm) were deposited and chemically bonded to TiO 2 . The HA deposition rate was linearly related to the treatment time and HA nanoparticles were deposited on up to 50% of the substrate surface. As a result, the discrete deposition of HA nanoparticles generated novel 20-40 nm nanotopography on the Ti substrate with microtopography that was smooth (turned) or roughened by double acid etching (DAE). The experimental implants with or without HA nanoparticles were surgically placed in rat femur and an implant push-in test was performed after two weeks of healing. The deposition of HA nanoparticles on the DAE surface increased the mechanical withstanding load by 129% and 782% as compared to the control DAE and turned implants, respectively. Micro-computed tomography-based 3D bone morphometry revealed equivalent bone volumes around the DAE implant with or without HA nanoparticles. These data suggest that the discrete deposition of HA nanoparticles accelerates the early osseointegration process, likely through increased shear bonding strengths

  20. Discrete deposition of hydroxyapatite nanoparticles on a titanium implant with predisposing substrate microtopography accelerated osseointegration

    Energy Technology Data Exchange (ETDEWEB)

    Nishimura, Ichiro [UCLA School of Dentistry, Weintraub Center for Reconstructive Biotechnology and Division of Advanced Prosthodontics, Biomaterials and Hospital Dentistry, Los Angeles, CA (United States); Huang Yuhong [Chemat Technology, Incorporated, Northridge, CA (United States); Butz, Frank [UCLA School of Dentistry, Weintraub Center for Reconstructive Biotechnology and Division of Advanced Prosthodontics, Biomaterials and Hospital Dentistry, Los Angeles, CA (United States); Ogawa, Takahiro [UCLA School of Dentistry, Weintraub Center for Reconstructive Biotechnology and Division of Advanced Prosthodontics, Biomaterials and Hospital Dentistry, Los Angeles, CA (United States); Lin, Audrey [UCLA School of Dentistry, Weintraub Center for Reconstructive Biotechnology and Division of Advanced Prosthodontics, Biomaterials and Hospital Dentistry, Los Angeles, CA (United States); Wang, Chiachien Jake [UCLA School of Dentistry, Weintraub Center for Reconstructive Biotechnology and Division of Advanced Prosthodontics, Biomaterials and Hospital Dentistry, Los Angeles, CA (United States)

    2007-06-20

    We report here a new versatile method to deposit discrete hydroxyapatite (HA) nanoparticles on a titanium (Ti) implant with predisposing substrate microtopography, which exhibited an unexpectedly robust biological effect. Commercially pure Ti substrates were treated with 3-aminopropyltriethoxysilane, on which HA nanoparticles (20 nm) were deposited and chemically bonded to TiO{sub 2}. The HA deposition rate was linearly related to the treatment time and HA nanoparticles were deposited on up to 50% of the substrate surface. As a result, the discrete deposition of HA nanoparticles generated novel 20-40 nm nanotopography on the Ti substrate with microtopography that was smooth (turned) or roughened by double acid etching (DAE). The experimental implants with or without HA nanoparticles were surgically placed in rat femur and an implant push-in test was performed after two weeks of healing. The deposition of HA nanoparticles on the DAE surface increased the mechanical withstanding load by 129% and 782% as compared to the control DAE and turned implants, respectively. Micro-computed tomography-based 3D bone morphometry revealed equivalent bone volumes around the DAE implant with or without HA nanoparticles. These data suggest that the discrete deposition of HA nanoparticles accelerates the early osseointegration process, likely through increased shear bonding strengths.

  1. Chemical vapor deposition of yttria stabilized zirconia in porous substrates

    International Nuclear Information System (INIS)

    Carolan, M.F.; Michaels, J.N.

    1987-01-01

    Electrochemical vapor deposition (EVD) of yttria stabilized zirconia (YSZ) is the preferred route to the production of thin films of YSZ on porous substrates. This process has been used in the construction of both fuel cells and steam electrolyzers. A critical aspect of the EVD process is an initial chemical vapor deposition phase in which the pores of a porous substrate are plugged by YSZ. In this process, water vapor and a mixture of gaseous zirconium chloride and yttrium chloride diffuse into the porous substrate from opposite sides and react to form YSZ and HCl ga. During the second stage of the process a continuous dense film of electrolyte is formed by a tarnishing-type process. Experimentally it is observed that the pores plug within a few pore diameters of the metal chloride face of the substrate. A kinetic rate expression that is first order in metal chloride but zero order in water is best able to explain this phenomenon. With this rate expression, the pores always plug near the metal chloride face. The model predicts less pore narrowing to occur as the ratio of the reaction rate to the diffusion rate of the metal chloride is increased. A kinetic rate expression that is first order in both water and metal chloride predicts that the pores plug much deeper in the substrate

  2. Fabrication of cuprous chloride films on copper substrate by chemical bath deposition

    Energy Technology Data Exchange (ETDEWEB)

    Lin, Yu-Ting; Ci, Ji-Wei; Tu, Wei-Chen [Department of Electronic Engineering, College of Electrical Engineering and Computer Science, Chung Yuan Christian University, Chung-Li 32023, Taiwan (China); Uen, Wu-Yih, E-mail: uenwuyih@ms37.hinet.net [Department of Electronic Engineering, College of Electrical Engineering and Computer Science, Chung Yuan Christian University, Chung-Li 32023, Taiwan (China); Lan, Shan-Ming [Department of Electronic Engineering, College of Electrical Engineering and Computer Science, Chung Yuan Christian University, Chung-Li 32023, Taiwan (China); Yang, Tsun-Neng; Shen, Chin-Chang; Wu, Chih-Hung [Institute of Nuclear Energy Research, P.O. Box 3-11, Lungtan 32500, Taiwan (China)

    2015-09-30

    Polycrystalline CuCl films were fabricated by chemical bath deposition (CBD) on a Cu substrate at a low solution temperature of 90 °C. Continuous CuCl films were prepared using the copper (II) chloride (CuCl{sub 2}) compound as the precursor for both the Cu{sup 2+} and Cl{sup −} sources, together with repeated HCl dip treatments. An HCl dip pretreatment of the substrate favored the nucleation of CuCl crystallites. Further, interrupting the film deposition and including an HCl dip treatment of the film growth surface facilitated the deposition of a full-coverage CuCl film. A dual beam (FIB/SEM) system with energy dispersive spectrometry facilities attached revealed a homogeneous CuCl layer with a flat-top surface and an average thickness of about 1 μm. Both the excitonic and biexcitonic emission lines were well-resolved in the 6.4 K photoluminescence spectra. In particular, the free exciton emission line was observable at room temperature, indicating the good quality of the CuCl films prepared by CBD. - Highlights: • Cuprous chloride (CuCl) was prepared on Cu substrate by chemical bath deposition. • HCl dip treatments facilitated the deposition of a full-coverage CuCl film. • A homogeneous elemental distribution was recognized for the deposited CuCl layer. • Excitonic and biexcitonic photoluminescence lines of CuCl films were well-resolved. • The free exciton emission line of CuCl films was observable at room temperature.

  3. Topography evolution of rough-surface metallic substrates by solution deposition planarization method

    Science.gov (United States)

    Chu, Jingyuan; Zhao, Yue; Liu, Linfei; Wu, Wei; Zhang, Zhiwei; Hong, Zhiyong; Li, Yijie; Jin, Zhijian

    2018-01-01

    As an emerging technique for surface smoothing, solution deposition planarization (SDP) has recently drawn more attention on the fabrication of the second generation high temperature superconducting (2G-HTS) tapes. In our work, a number of amorphous oxide layers were deposited on electro-polished or mirror-rolled metallic substrates by chemical solution route. Topography evolution of surface defects on these two types of metallic substrates was thoroughly investigated by atomic force microscopy (AFM). It was showed that root mean square roughness values (at 50 × 50 μm2 scanning scale) on both rough substrates reduced to ∼5 nm after coating with SDP-layer. The smoothing effect was mainly attributed to decrease of the depth at grain boundary grooving on the electro-polished metallic substrate. On the mirror-rolled metallic substrates, the amplitude and frequency of the height fluctuation perpendicular to the rolling direction were gradually reduced as depositing more numbers of SDP-layer. A high Jc value of 4.17 MA cm-2 (at 77 K, s.f.) was achieved on a full stack of YBCO/CeO2/IBAD-MgO/SDP-layer/C276 sample. This study enhanced understanding of the topography evolution on the surface defects covered by the SDP-layer, and demonstrated a low-cost route for fabricating IBAD-MgO based YBCO templates with a simplified architecture.

  4. Deposition of DLC Film on Stainless Steel Substrates Coated by Nickel Using PECVD Method.

    Science.gov (United States)

    Khalaj, Zahra; Ghoranneviss, Mahmood; Vaghri, Elnaz; Saghaleini, Amir; Diudea, Mircea V

    2012-06-01

    Research on diamond-like carbon (DLC) films has been devoted to find both optimized conditions and characteristics of the deposited films on various substrates. In the present work, we investigate the quality of the DLC films grown on stainless steel substrates using different thickness of the nickel nanoparticle layers on the surface. Nickel nanoparticles were sputtered on the stainless steel substrates at 200 °C by a DC-sputtering system to make a good adherence between DLC coating and steel substrates. Atomic Force Microscopy was used to characterize the surface roughness and distribution function of the nickel nanoparticles on the substrate surface. Diamond like carbon films were deposited on stainless steel substrates coated by nickel using pure acetylene and C2H2/H2 with 15% flow ratio by DC-Plasma Enhanced Chemical Vapor Deposition (PECVD) systems. Microstructural analysis by Raman spectroscopy showed a low intensity ratio ID/IG for DLC films by increasing the Ni layer thickness on the stainless steel substrates. Fourier Transforms Infrared spectroscopy (FTIR) evidenced the peaks attributed to C-H bending and stretching vibration modes in the range of 1300-1700 cm-1 and 2700-3100 cm-1, respectively, in good agreement with the Raman spectroscopy and confirmed the DLC growth in all samples.

  5. Thin Bioactive Zn Substituted Hydroxyapatite Coating Deposited on Ultrafine Grained Titanium Substrate: Structure Analysis

    Science.gov (United States)

    Prosolov, Konstantin A.; Belyavskaya, Olga A.; Muehle, Uwe; Sharkeev, Yurii P.

    2018-02-01

    Nanocrystalline Zn substituted hydroxyapatite coatings were deposited by radiofrequency magnetron sputtering on the surface of ultrafine-grained titanium substrates. Cross section transmission electron microscopy provided information about the morphology and texture of the thin film while in-column energy dispersive X-ray analysis confirmed the presence of Zn in the coating. The Zn substituted hydroxyapatite coating was formed by an equiaxed polycrystalline grain structure. Effect of substrate crystallinity on the structure of deposited coating is discussed. An amorphous TiO2 sublayer of 8 nm thickness was detected in the interface between the polycrystalline coating and the Ti substrate. Its appearance in the amorphous state is attributed to prior to deposition etching of the substrate and subsequent condensation of oxygen-containing species sputtered from the target. This layer contributes to the high coating-to-substrate adhesion. The major P-O vibrational modes of high intensity were detected by Raman spectroscopy. The Zn substituted hydroxyapatite could be a material of choice when antibacterial osteoconductive coating with a possibility of withstanding mechanical stress during implantation and service is needed.

  6. Thin Bioactive Zn Substituted Hydroxyapatite Coating Deposited on Ultrafine-Grained Titanium Substrate: Structure Analysis

    Directory of Open Access Journals (Sweden)

    Konstantin A. Prosolov

    2018-02-01

    Full Text Available Nanocrystalline Zn-substituted hydroxyapatite coatings were deposited by radiofrequency magnetron sputtering on the surface of ultrafine-grained titanium substrates. Cross-section transmission electron microscopy provided information about the morphology and texture of the thin film while in-column energy dispersive X-ray analysis confirmed the presence of Zn in the coating. The Zn-substituted hydroxyapatite coating was formed by an equiaxed polycrystalline grain structure. Effect of substrate crystallinity on the structure of deposited coating is discussed. An amorphous TiO2 sublayer of 8-nm thickness was detected in the interface between the polycrystalline coating and the Ti substrate. Its appearance in the amorphous state is attributed to prior to deposition etching of the substrate and subsequent condensation of oxygen-containing species sputtered from the target. This layer contributes to the high coating-to-substrate adhesion. The major P–O vibrational modes of high intensity were detected by Raman spectroscopy. The Zn-substituted hydroxyapatite could be a material of choice when antibacterial osteoconductive coating with a possibility of withstanding mechanical stress during implantation and service is needed.

  7. Non-conventional photocathodes based on Cu thin films deposited on Y substrate by sputtering

    Energy Technology Data Exchange (ETDEWEB)

    Perrone, A. [Department of Mathematics and Physics “E. De Giorgi”, University of Salento, 73100 Lecce (Italy); National Institute of Nuclear Physics and University of Salento, 73100 Lecce (Italy); D’Elia, M. [Department of Mathematics and Physics “E. De Giorgi”, University of Salento, 73100 Lecce (Italy); Gontad, F., E-mail: francisco.gontad@le.infn.it [Department of Mathematics and Physics “E. De Giorgi”, University of Salento, 73100 Lecce (Italy); National Institute of Nuclear Physics and University of Salento, 73100 Lecce (Italy); Di Giulio, M.; Maruccio, G. [Department of Mathematics and Physics “E. De Giorgi”, University of Salento, 73100 Lecce (Italy); Cola, A. [National Council Research, Institute for Microelectronics and Microsystems, 73100 Lecce (Italy); Stankova, N.E. [Institute of Electronics, Bulgarian Academy of Sciences, 1784 Sofia (Bulgaria); Kovacheva, D.G. [Institute of General and Inorganic Chemistry, Bulgarian Academy of Sciences, 1113 Sofia (Bulgaria); Broitman, E. [Department of Physics, Chemistry and Biology (IFM), Linköping University, SE-581 83 Linköping (Sweden)

    2014-07-01

    Copper (Cu) thin films were deposited on yttrium (Y) substrate by sputtering. During the deposition, a small central area of the Y substrate was shielded to avoid the film deposition and was successively used to study its photoemissive properties. This configuration has two advantages: the cathode presents (i) the quantum efficiency and the work function of Y and (ii) high electrical compatibility when inserted into the conventional radio-frequency gun built with Cu bulk. The photocathode was investigated by scanning electron microscopy to determine surface morphology. X-ray diffraction and atomic force microscopy studies were performed to compare the structure and surface properties of the deposited film. The measured electrical resistivity value of the Cu film was similar to that of high purity Cu bulk. Film to substrate adhesion was also evaluated using the Daimler–Benz Rockwell-C adhesion test method. Finally, the photoelectron performance in terms of quantum efficiency was obtained in a high vacuum photodiode cell before and after laser cleaning procedures. A comparison with the results obtained with a twin sample prepared by pulsed laser deposition is presented and discussed.

  8. Production of TiO2 films with bactericidal properties deposited on paper substrate

    Energy Technology Data Exchange (ETDEWEB)

    Lisboa, A.J.T.; Vasconcelos, J.S.; Vasconcelos, A.C.S.; Vasconcelos, N.S.L.S.; Rangel, J.H.G.; Oliveira, M.M.O. [Universidade Federal do Maranha (UFMA), MA (Brazil); Longo, E.; Varela, J. A. [Universidade Estadual Paulista Julio de Mesquita Filho (UNESP), SP (Brazil)

    2014-07-01

    The main objective of this work was to obtain anatase-phase titanium oxide films deposited on paper substrates, using the polymeric precursor (Pechini) method. The oxide was mixed with a polyvinyl alcohol (PVA) solution and deposited on a paper substrate. The samples were then characterized by X-ray diffraction (XRD), field emission gun scanning electron microscopy (FEG-SEM), and energy dispersive spectroscopy (EDS), to check their surface phase. Bactericidal assays using Staphylococcus aureus and Escherichia coli bacteria for the anatase TiO2 film deposited on paper substrate indicated that the method was efficient, since the bacteria were eliminated after a given exposure time. However, the method proved to be more efficient when exposing samples contaminated with E. coli to UV irradiation for 30 and 45 min and then to sunlight for 90 min, since this resulted in the elimination of all the bacteria. (author)

  9. Production of TiO2 films with bactericidal properties deposited on paper substrate

    International Nuclear Information System (INIS)

    Lisboa, A.J.T.; Vasconcelos, J.S.; Vasconcelos, A.C.S.; Vasconcelos, N.S.L.S.; Rangel, J.H.G.; Oliveira, M.M.O.; Longo, E.; Varela, J. A.

    2014-01-01

    The main objective of this work was to obtain anatase-phase titanium oxide films deposited on paper substrates, using the polymeric precursor (Pechini) method. The oxide was mixed with a polyvinyl alcohol (PVA) solution and deposited on a paper substrate. The samples were then characterized by X-ray diffraction (XRD), field emission gun scanning electron microscopy (FEG-SEM), and energy dispersive spectroscopy (EDS), to check their surface phase. Bactericidal assays using Staphylococcus aureus and Escherichia coli bacteria for the anatase TiO2 film deposited on paper substrate indicated that the method was efficient, since the bacteria were eliminated after a given exposure time. However, the method proved to be more efficient when exposing samples contaminated with E. coli to UV irradiation for 30 and 45 min and then to sunlight for 90 min, since this resulted in the elimination of all the bacteria. (author)

  10. Deposition on disordered substrates with precursor layer diffusion

    Science.gov (United States)

    Filipe, J. A. N.; Rodgers, G. J.; Tavassoli, Z.

    1998-09-01

    Recently we introduced a one-dimensional accelerated random sequential adsorption process as a model for chemisorption with precursor layer diffusion. In this paper we consider this deposition process on disordered or impure substrates. The problem is solved exactly on both the lattice and continuum and for various impurity distributions. The results are compared with those from the standard random sequential adsorption model.

  11. High-quality nonpolar a-plane GaN epitaxial films grown on r-plane sapphire substrates by the combination of pulsed laser deposition and metal–organic chemical vapor deposition

    Science.gov (United States)

    Yang, Weijia; Zhang, Zichen; Wang, Wenliang; Zheng, Yulin; Wang, Haiyan; Li, Guoqiang

    2018-05-01

    High-quality a-plane GaN epitaxial films have been grown on r-plane sapphire substrates by the combination of pulsed laser deposition (PLD) and metal–organic chemical vapor deposition (MOCVD). PLD is employed to epitaxial growth of a-plane GaN templates on r-plane sapphire substrates, and then MOCVD is used. The nonpolar a-plane GaN epitaxial films with relatively small thickness (2.9 µm) show high quality, with the full-width at half-maximum values of GaN(11\\bar{2}0) along [1\\bar{1}00] direction and GaN(10\\bar{1}1) of 0.11 and 0.30°, and a root-mean-square surface roughness of 1.7 nm. This result is equivalent to the quality of the films grown by MOCVD with a thickness of 10 µm. This work provides a new and effective approach for achieving high-quality nonpolar a-plane GaN epitaxial films on r-plane sapphire substrates.

  12. Pulsed laser deposition of semiconductor-ITO composite films on electric-field-applied substrates

    International Nuclear Information System (INIS)

    Narazaki, Aiko; Sato, Tadatake; Kawaguchi, Yoshizo; Niino, Hiroyuki; Yabe, Akira; Sasaki, Takeshi; Koshizaki, Naoto

    2002-01-01

    The DC electric-field effect on the crystallinity of II-VI semiconductor in composite systems has been investigated for CdS-ITO films fabricated via alternative pulsed laser deposition (PLD) of CdS and indium tin oxide (ITO) on electric-field-applied substrates. The alternative laser ablation was performed under irradiation of ArF excimer laser in mixture gas of helium and oxygen. The application of electric-field facilitated the preferential crystal-growth of CdS in nanometer scale at low pressure, whereas all the films grown without the field were amorphous. There is a large difference in the crystallization between the films grown on field-applied and heated substrates; the latter showed the crystal-growth with random orientations. This difference indicates that the existence of electric-field has an influence on the transformation from amorphous to crystalline phase of CdS. The driving force for the field-induced crystallization is also discussed in the light of the Joule heat

  13. Electrochemical depositing rGO-Ti-rGO heterogeneous substrates with higher thermal conductivity and heat transfer performance compared to pure Ti.

    Science.gov (United States)

    Wang, Jing; Wang, Huatao; Zhang, Wenying; Yang, Xinyi; Wen, Guangwu; Wang, Yijie; Zhou, Weiwei

    2017-02-17

    Titanium (Ti) and its alloys are widely applied in many high strength, light weight applications, but their thermal conductivity is lower compared to that of other metals, which limits their further applications. In this paper, we demonstrated experimentally that rGO-Ti-rGO heterogeneous substrates with higher thermal conductivity, up to ∼38.8% higher than Ti, could be fabricated by electrochemical depositing rGO on their surface. The rGO layers are grown on the surface of Ti substrates, with appearance of bedclothes on the beds. The thickness of rGO layers is around 300-500 nm and around 600-1000 nm when deposited for 5 cycles and 10 cycles, respectively. According to the cooling experiment results, as-prepared Ti + rGO substrates can present excellent thermal conduction performance, and reduce the chip temperature close to 3.2 °C-13.1 °C lower than Ti alloy substrates with the heat flow density of 0.4-3.6 W cm -2 . Finally, the approach to electro-chemically deposit hundreds of nanometer rGO layers on the surface of Ti substrates can improve their thermal conductivity and heat transfer performance, which may have further application in the increasing thermal conduction of other metal-alloys, ceramics and polymers.

  14. Room temperature growth of biaxially aligned yttria-stabilized zirconia films on glass substrates by pulsed-laser deposition

    CERN Document Server

    Li Peng; Mazumder, J

    2003-01-01

    Room temperature deposition of biaxially textured yttria-stabilized zirconia (YSZ) films on amorphous glass substrates was successfully achieved by conventional pulsed-laser deposition. The influence of the surrounding gases, their pressure and the deposition time on the structure of the films was studied. A columnar growth process was revealed based on the experimental results. The grown biaxial texture appears as a kind of substrate independence, which makes it possible to fabricate in-plane aligned YSZ films on various substrates.

  15. Nanostructured germanium deposited on heated substrates with enhanced photoelectric properties.

    Science.gov (United States)

    Stavarache, Ionel; Maraloiu, Valentin Adrian; Prepelita, Petronela; Iordache, Gheorghe

    2016-01-01

    Obtaining high-quality materials, based on nanocrystals, at low temperatures is one of the current challenges for opening new paths in improving and developing functional devices in nanoscale electronics and optoelectronics. Here we report a detailed investigation of the optimization of parameters for the in situ synthesis of thin films with high Ge content (50 %) into SiO 2 . Crystalline Ge nanoparticles were directly formed during co-deposition of SiO 2 and Ge on substrates at 300, 400 and 500 °C. Using this approach, effects related to Ge-Ge spacing are emphasized through a significant improvement of the spatial distribution of the Ge nanoparticles and by avoiding multi-step fabrication processes or Ge loss. The influence of the preparation conditions on structural, electrical and optical properties of the fabricated nanostructures was studied by X-ray diffraction, transmission electron microscopy, electrical measurements in dark or under illumination and response time investigations. Finally, we demonstrate the feasibility of the procedure by the means of an Al/n-Si/Ge:SiO 2 /ITO photodetector test structure. The structures, investigated at room temperature, show superior performance, high photoresponse gain, high responsivity (about 7 AW -1 ), fast response time (0.5 µs at 4 kHz) and great optoelectronic conversion efficiency of 900% in a wide operation bandwidth, from 450 to 1300 nm. The obtained photoresponse gain and the spectral width are attributed mainly to the high Ge content packed into a SiO 2 matrix showing the direct connection between synthesis and optical properties of the tested nanostructures. Our deposition approach put in evidence the great potential of Ge nanoparticles embedded in a SiO 2 matrix for hybrid integration, as they may be employed in structures and devices individually or with other materials, hence the possibility of fabricating various heterojunctions on Si, glass or flexible substrates for future development of Si

  16. Nanostructured germanium deposited on heated substrates with enhanced photoelectric properties

    Directory of Open Access Journals (Sweden)

    Ionel Stavarache

    2016-10-01

    Full Text Available Obtaining high-quality materials, based on nanocrystals, at low temperatures is one of the current challenges for opening new paths in improving and developing functional devices in nanoscale electronics and optoelectronics. Here we report a detailed investigation of the optimization of parameters for the in situ synthesis of thin films with high Ge content (50 % into SiO2. Crystalline Ge nanoparticles were directly formed during co-deposition of SiO2 and Ge on substrates at 300, 400 and 500 °C. Using this approach, effects related to Ge–Ge spacing are emphasized through a significant improvement of the spatial distribution of the Ge nanoparticles and by avoiding multi-step fabrication processes or Ge loss. The influence of the preparation conditions on structural, electrical and optical properties of the fabricated nanostructures was studied by X-ray diffraction, transmission electron microscopy, electrical measurements in dark or under illumination and response time investigations. Finally, we demonstrate the feasibility of the procedure by the means of an Al/n-Si/Ge:SiO2/ITO photodetector test structure. The structures, investigated at room temperature, show superior performance, high photoresponse gain, high responsivity (about 7 AW−1, fast response time (0.5 µs at 4 kHz and great optoelectronic conversion efficiency of 900% in a wide operation bandwidth, from 450 to 1300 nm. The obtained photoresponse gain and the spectral width are attributed mainly to the high Ge content packed into a SiO2 matrix showing the direct connection between synthesis and optical properties of the tested nanostructures. Our deposition approach put in evidence the great potential of Ge nanoparticles embedded in a SiO2 matrix for hybrid integration, as they may be employed in structures and devices individually or with other materials, hence the possibility of fabricating various heterojunctions on Si, glass or flexible substrates for future development of Si

  17. CrAlN coatings deposited by cathodic arc evaporation at different substrate bias

    International Nuclear Information System (INIS)

    Romero, J.; Gomez, M.A.; Esteve, J.; Montala, F.; Carreras, L.; Grifol, M.; Lousa, A.

    2006-01-01

    CrAlN is a good candidate as an alternative to conventional CrN coatings especially for high temperature oxidation-resistance applications. Different CrAlN coatings were deposited on hardened steel substrates by cathodic arc evaporation (CAE) from chromium-aluminum targets in a reactive nitrogen atmosphere at negative substrate bias between - 50 and - 400 V. The negative substrate bias has important effects on the deposition growth rate and crystalline structure. All our coatings presented hardness higher than conventional CrN coatings. The friction coefficient against alumina and tungsten carbide balls was around 0.6. The sliding wear coefficient of the CrAlN coatings was very low while an important wear was observed in the balls before a measurable wear were produced in the coatings. This effect was more pronounced as the negative substrate bias was increased

  18. Voltage-Controlled Spray Deposition of Multiwalled Carbon Nanotubes on Semiconducting and Insulating Substrates

    Science.gov (United States)

    Maulik, Subhodip; Sarkar, Anirban; Basu, Srismrita; Daniels-Race, Theda

    2018-05-01

    A facile, cost-effective, voltage-controlled, "single-step" method for spray deposition of surfactant-assisted dispersed carbon nanotube (CNT) thin films on semiconducting and insulating substrates has been developed. The fabrication strategy enables direct deposition and adhesion of CNT films on target samples, eliminating the need for substrate surface functionalization with organosilane binder agents or metal layer coatings. Spray coating experiments on four types of sample [bare silicon (Si), microscopy-grade glass samples, silicon dioxide (SiO2), and polymethyl methacrylate (PMMA)] under optimized control parameters produced films with thickness ranging from 40 nm to 6 μm with substantial surface coverage and packing density. These unique deposition results on both semiconducting and insulator target samples suggest potential applications of this technique in CNT thin-film transistors with different gate dielectrics, bendable electronics, and novel CNT-based sensing devices, and bodes well for further investigation into thin-film coatings of various inorganic, organic, and hybrid nanomaterials on different types of substrate.

  19. Effect of substrate bias on deposition behaviour of charged silicon nanoparticles in ICP-CVD process

    International Nuclear Information System (INIS)

    Yoo, Seung-Wan; Kim, Jung-Hyung; Seong, Dae-Jin; You, Shin-Jae; Seo, Byong-Hoon; Hwang, Nong-Moon

    2017-01-01

    The effect of a substrate bias on the deposition behaviour of crystalline silicon films during inductively coupled plasma chemical vapour deposition (ICP-CVD) was analysed by consideration of non-classical crystallization, in which the building block is a nanoparticle rather than an individual atom or molecule. The coexistence of positively and negatively charged nanoparticles in the plasma and their role in Si film deposition are confirmed by applying bias voltages to the substrate, which is sufficiently small as not to affect the plasma potential. The sizes of positively and negatively charged nanoparticles captured on a carbon membrane and imaged using TEM are, respectively, 2.7–5.5 nm and 6–13 nm. The film deposited by positively charged nanoparticles has a typical columnar structure. In contrast, the film deposited by negatively charged nanoparticles has a structure like a powdery compact with the deposition rate about three times higher than that for positively charged nanoparticles. All the films exhibit crystallinity even though the substrate is at room temperature, which is attributed to the deposition of crystalline nanoparticles formed in the plasma. The film deposited by negatively charged nanoparticles has the highest crystalline fraction of 0.84. (paper)

  20. The influence of substrate temperature and deposition pressure on pulsed laser deposited thin films of CaS:Eu{sup 2+} phosphors

    Energy Technology Data Exchange (ETDEWEB)

    Nyenge, R.L. [Department of Physics, University of the Free State, P.O. Box 339, Bloemfontein ZA9300 (South Africa); Physics Department, Kenyatta University, P.O. Box 43844-0100, Nairobi (Kenya); Swart, H.C. [Department of Physics, University of the Free State, P.O. Box 339, Bloemfontein ZA9300 (South Africa); Ntwaeaborwa, O.M., E-mail: ntwaeab@ufs.ac.za [Department of Physics, University of the Free State, P.O. Box 339, Bloemfontein ZA9300 (South Africa)

    2016-01-01

    The aim of this study was to investigate the influence of substrate temperature and argon deposition pressure on the structure, morphology and photoluminescence emission (PL) properties of pulsed laser deposited thin films of CaS:Eu{sup 2+}. The PL intensity improved significantly upon reaching substrate temperature of 650 °C. The (200) peak gradually became the preferred orientation. The increase in PL intensity as well as surface roughness is attributed to improved crystallinity and higher growth rates, respectively. The best PL intensity as a function of deposition pressure was obtained at an argon pressure of 80 mTorr. The initial increase and eventual drop in PL intensity as deposition pressure increases is ascribed to the changes in growth rates.

  1. Deposition of Y-Sm Oxide on Metallic Substrates for the YBCO Coated Conductor by MOCVD Method

    International Nuclear Information System (INIS)

    Choi, Jun Kyu; Kim, Min Woo; Jun, Byung Hyuk; Kim, Chan Joong; Lee, Hee Gyoun; Hong, Gye Won

    2005-01-01

    Complex single buffer composed of yttrium and samarium oxide was deposited on the metallic substrates by MOCVD (metal organic chemical vapor deposition) method using single liquid source. Two different types of the substrates with in-plane textures of about 8 - 10 degree of Ni and 3at.%W-Ni alloy were used. Y(tmhd: 2,2,6,6-tetramethyl-3,5-heptane dionate) 3 :Sm(tmhd) 3 of liquid source was adjusted to 0.4:0.6 to minimize the lattice mismatch between the complex single buffer and the YBCO. The epitaxial growth of (Y x Sm 1-x ) 2 O 3 was achieved at the temperature higher than 500 degree C in O 2 atmosphere. However, it was found that the formation of NiO accelerated with increasing deposition temperature. By supplying H 2 O vapor, this oxidation of the substrate could be suppressed throughout the deposition temperatures. We could get the epitaxial growth on pure Ni substrate without the formation of NiO. The competitive (222) and (400) growths were observed at the deposition temperatures of 650 - 750 degree C, but the (400) growth became dominant above 800 degree. The (Y x Sm 1-x ) 2 O 3 -buffered metallic substrates can be used as the buffer for YBCO coated conductor.

  2. Stress-driven lithium dendrite growth mechanism and dendrite mitigation by electroplating on soft substrates

    Science.gov (United States)

    Wang, Xu; Zeng, Wei; Hong, Liang; Xu, Wenwen; Yang, Haokai; Wang, Fan; Duan, Huigao; Tang, Ming; Jiang, Hanqing

    2018-03-01

    Problems related to dendrite growth on lithium-metal anodes such as capacity loss and short circuit present major barriers to next-generation high-energy-density batteries. The development of successful lithium dendrite mitigation strategies is impeded by an incomplete understanding of the Li dendrite growth mechanisms, and in particular, Li-plating-induced internal stress in Li metal and its effect on Li growth morphology are not well addressed. Here, we reveal the enabling role of plating residual stress in dendrite formation through depositing Li on soft substrates and a stress-driven dendrite growth model. We show that dendrite growth is mitigated on such soft substrates through surface-wrinkling-induced stress relaxation in the deposited Li film. We demonstrate that this dendrite mitigation mechanism can be utilized synergistically with other existing approaches in the form of three-dimensional soft scaffolds for Li plating, which achieves higher coulombic efficiency and better capacity retention than that for conventional copper substrates.

  3. Highly reflective polymeric substrates functionalized utilizing atomic layer deposition

    Science.gov (United States)

    Zuzuarregui, Ana; Coto, Borja; Rodríguez, Jorge; Gregorczyk, Keith E.; Ruiz de Gopegui, Unai; Barriga, Javier; Knez, Mato

    2015-08-01

    Reflective surfaces are one of the key elements of solar plants to concentrate energy in the receivers of solar thermal electricity plants. Polymeric substrates are being considered as an alternative to the widely used glass mirrors due to their intrinsic and processing advantages, but optimizing both the reflectance and the physical stability of polymeric mirrors still poses technological difficulties. In this work, polymeric surfaces have been functionalized with ceramic thin-films by atomic layer deposition. The characterization and optimization of the parameters involved in the process resulted in surfaces with a reflection index of 97%, turning polymers into a real alternative to glass substrates. The solution we present here can be easily applied in further technological areas where seemingly incompatible combinations of polymeric substrates and ceramic coatings occur.

  4. Highly reflective polymeric substrates functionalized utilizing atomic layer deposition

    Energy Technology Data Exchange (ETDEWEB)

    Zuzuarregui, Ana, E-mail: a.zuzuarregui@nanogune.eu; Gregorczyk, Keith E. [CIC Nanogune Consolider, de Tolosa Hiribidea 76, 20018 San Sebastián (Spain); Coto, Borja; Ruiz de Gopegui, Unai; Barriga, Javier [IK4-Tekniker, Iñaki Goenaga 5, 20600 Eibar (Spain); Rodríguez, Jorge [Torresol Energy (SENER Group), Avda. de Zugazarte 61, 48930 Las Arenas (Spain); Knez, Mato [CIC Nanogune Consolider, de Tolosa Hiribidea 76, 20018 San Sebastián (Spain); IKERBASQUE Basque Foundation for Science, Maria Diaz de Haro 3, 48013 Bilbao (Spain)

    2015-08-10

    Reflective surfaces are one of the key elements of solar plants to concentrate energy in the receivers of solar thermal electricity plants. Polymeric substrates are being considered as an alternative to the widely used glass mirrors due to their intrinsic and processing advantages, but optimizing both the reflectance and the physical stability of polymeric mirrors still poses technological difficulties. In this work, polymeric surfaces have been functionalized with ceramic thin-films by atomic layer deposition. The characterization and optimization of the parameters involved in the process resulted in surfaces with a reflection index of 97%, turning polymers into a real alternative to glass substrates. The solution we present here can be easily applied in further technological areas where seemingly incompatible combinations of polymeric substrates and ceramic coatings occur.

  5. Highly reflective polymeric substrates functionalized utilizing atomic layer deposition

    International Nuclear Information System (INIS)

    Zuzuarregui, Ana; Gregorczyk, Keith E.; Coto, Borja; Ruiz de Gopegui, Unai; Barriga, Javier; Rodríguez, Jorge; Knez, Mato

    2015-01-01

    Reflective surfaces are one of the key elements of solar plants to concentrate energy in the receivers of solar thermal electricity plants. Polymeric substrates are being considered as an alternative to the widely used glass mirrors due to their intrinsic and processing advantages, but optimizing both the reflectance and the physical stability of polymeric mirrors still poses technological difficulties. In this work, polymeric surfaces have been functionalized with ceramic thin-films by atomic layer deposition. The characterization and optimization of the parameters involved in the process resulted in surfaces with a reflection index of 97%, turning polymers into a real alternative to glass substrates. The solution we present here can be easily applied in further technological areas where seemingly incompatible combinations of polymeric substrates and ceramic coatings occur

  6. Suspension chemistry and electrophoretic deposition of zirconia electrolyte on conducting and non-conducting substrates

    International Nuclear Information System (INIS)

    Das, Debasish; Basu, Rajendra N.

    2013-01-01

    Graphical abstract: - Highlights: • Stable suspension of yttria stabilized zirconia (YSZ) obtained in isopropanol medium. • Suspension chemistry and process parameters for electrophoretic deposition optimized. • Deposited film quality changed with iodine and water (dispersants) concentration. • Dense YSZ film (∼5 μm) fabricated onto non-conducting porous NiO-YSZ anode substrate. - Abstract: Suspensions of 8 mol% yttria stabilized zirconia (YSZ) particulates in isopropanol medium are prepared using acetylacetone, iodine and water as dispersants. The effect of dispersants concentration on suspension stability, particle size distribution, electrical conductivity and pH of the suspensions are studied in detail to optimize the suspension chemistry. Electrophoretic deposition (EPD) has been conducted to produce thin and dense YSZ electrolyte films. Deposition kinetics have been studied in depth and good quality films on conducting substrate are obtained at an applied voltage of 15 V for 3 min. YSZ films are also fabricated on non-conducting NiO-YSZ anode substrate using a steel plate on the reverse side of the substrate. Upon co-firing at 1400 °C for 6 h a dense YSZ film of thickness ∼5 μm is obtained. Such a half cell (anode + electrolyte) can be used to fabricate a solid oxide fuel cell on applying a suitable cathode layer

  7. Effects of substrate preheating during direct energy deposition on microstructure, hardness, tensile strength, and notch toughness

    Science.gov (United States)

    Baek, Gyeong Yun; Lee, Ki Yong; Park, Sang Hu; Shim, Do Sik

    2017-11-01

    This study examined the effects of substrate preheating for the hardfacing of cold-press dies using the high-speed tool steel AISI M4. The preheating of the substrate is a widely used technique for reducing the degree of thermal deformation and preventing crack formation. We investigated the changes in the metallurgical and mechanical properties of the high-speed tool steel M4 deposited on an AISI D2 substrate with changes in the substrate preheating temperature. Five preheating temperatures (100-500 °C; interval of 100 °C) were selected, and the changes in the temperature of the substrate during deposition were observed. As the preheating temperature of the substrate was increased, the temperature gradient between the melting layer and the substrate decreased; this prevented the formation of internal cracks, owing to thermal stress relief. Field-emission scanning electron microscopy showed that a dendritic structure was formed at the interface between the deposited layer and the substrate while a cellular microstructure was formed in the deposited layer. As the preheating temperature was increased, the sizes of the cells and precipitated carbides also increased. Furthermore, the hardness increased slightly while the strength and toughness decreased. Moreover, the tensile and impact properties deteriorated rapidly at excessively high preheating temperatures (greater than 500 °C). The results of this study can be used as preheating criteria for achieving the desired mechanical properties during the hardfacing of dies and molds.

  8. Direct Metal Deposition of H13 Tool Steel on Copper Alloy Substrate: Parametric Investigation

    Science.gov (United States)

    Imran, M. Khalid; Masood, S. H.; Brandt, Milan

    2015-12-01

    Over the past decade, researchers have demonstrated interest in tribology and prototyping by the laser aided material deposition process. Laser aided direct metal deposition (DMD) enables the formation of a uniform clad by melting the powder to form desired component from metal powder materials. In this research H13 tool steel has been used to clad on a copper alloy substrate using DMD. The effects of laser parameters on the quality of DMD deposited clad have been investigated and acceptable processing parameters have been determined largely through trial-and-error approaches. The relationships between DMD process parameters and the product characteristics such as porosity, micro-cracks and microhardness have been analysed using scanning electron microscope (SEM), image analysis software (ImageJ) and microhardness tester. It has been found that DMD parameters such as laser power, powder mass flow rate, feed rate and focus size have an important role in clad quality and crack formation.

  9. Organic heterostructures deposited by MAPLE on AZO substrate

    Science.gov (United States)

    Socol, M.; Preda, N.; Stanculescu, A.; Breazu, C.; Florica, C.; Stanculescu, F.; Iftimie, S.; Girtan, M.; Popescu-Pelin, G.; Socol, G.

    2017-09-01

    Organic heterostructures based on poly(3-hexylthiophene) (P3HT) and fullerene (C60) as blends or multilayer were deposited on Al:ZnO (AZO) by Matrix-Assisted Pulsed Laser Evaporation (MAPLE) technique. The AZO layers were obtained by Pulsed Laser Deposition (PLD) on glass substrate, the high quality of the films being reflected by the calculated figure of merit. The organic heterostructures were investigated from morphological, optical and electrical point of view by atomic force microscopy (AFM), UV-vis spectroscopy, photoluminescence (PL) and current-voltage (I-V) measurements, respectively. The increase of the C60 content in the blend heterostructure has as result a high roughness. Compared with the multilayer heterostructure, those based on blends present an improvement in the electrical properties. Under illumination, the highest current value was recorded for the heterostructure based on the blend with the higher C60 amount. The obtained results showed that MAPLE is a useful technique for the deposition of the organic heterostructures on AZO as transparent conductor electrode.

  10. Influence of titanium-substrate roughness on Ca–P–O thin films grown by atomic layer deposition

    Energy Technology Data Exchange (ETDEWEB)

    Ananda Sagari, A.R., E-mail: arsagari@gmail.com [Department of Physics, P.O. Box 35 (YFL), FIN-40014 University of Jyväskylä (Finland); Malm, Jari [Department of Chemistry, P.O. Box 16100, FI-00076 Aalto University, Espoo (Finland); Laitinen, Mikko [Department of Physics, P.O. Box 35 (YFL), FIN-40014 University of Jyväskylä (Finland); Rahkila, Paavo [Department of Biology of Physical Activity, P.O. Box 35, FIN-40014 University of Jyväskylä (Finland); Hongqiang, Ma [Department of Health Sciences, P.O. Box 35 (L), FIN-40014 University of Jyväskylä (Finland); Putkonen, Matti [Department of Chemistry, P.O. Box 16100, FI-00076 Aalto University, Espoo (Finland); Beneq Oy, P.O. Box 262, FI-01511 Vantaa (Finland); Karppinen, Maarit [Department of Chemistry, P.O. Box 16100, FI-00076 Aalto University, Espoo (Finland); Whitlow, Harry J.; Sajavaara, Timo [Department of Physics, P.O. Box 35 (YFL), FIN-40014 University of Jyväskylä (Finland)

    2013-03-01

    Amorphous Ca–P–O films were deposited on titanium substrates using atomic layer deposition, while maintaining a uniform Ca/P pulsing ratio of 6/1 with varying number of atomic layer deposition cycles starting from 10 up to 208. Prior to film deposition the titanium substrates were mechanically abraded using SiC abrasive paper of 600, 1200, 2000 grit size and polished with 3 μm diamond paste to obtain surface roughness R{sub rms} values of 0.31 μm, 0.26 μm, 0.16 μm, and 0.10 μm, respectively. The composition and film thickness of as-deposited amorphous films were studied using Time-Of-Flight Elastic Recoil Detection Analysis. The results showed that uniform films could be deposited on rough metal surfaces with a clear dependence of substrate roughness on the Ca/P atomic ratio of thin films. The in vitro cell-culture studies using MC3T3 mouse osteoblast showed a greater coverage of cells on the surface polished with diamond paste in comparison to rougher surfaces after 24 h culture. No statistically significant difference was observed between Ca–P–O coated and un-coated Ti surfaces for the measured roughness value. The deposited 50 nm thick films did not dissolve during the cell culture experiment. - Highlights: ► Atomic layer deposition of Ca–P–O films on abraded Ti substrate ► Surface analysis using Time-Of-Flight Elastic Recoil Detection Analysis ► Dependence of substrate roughness on the Ca/P atomic ratio of thin films ► An increase in Ca/P atomic ratio with decreasing roughness ► Mouse osteoblast showed greater coverage of cells in polished surface.

  11. Fabrication of SERS Substrate by Multilayered Nanosphere Deposition Technique

    International Nuclear Information System (INIS)

    Fu, Chit Yaw; Dinish, U. S.; Praveen, Thoniyot; Koh, Zhen Yu; Kho, Khiang Wei; Malini, Olivo

    2010-01-01

    Metal film over nanosphere (MFON) has been employed as a reproducible and predictable SERS-active device in biosensing applications. In addition to its economic fabrication process, such substrate can be further processed to a prism-structure with increased SERS enhancement and wider Plasmon tunability. In this work, we investigate an alternative coating method to deposit a larger area of well-ordered PS beads with different sizes (oe = 100nm and 400 nm) onto a glass. The result suggests that the proposed well-coating technique can be suitably used to form closely-packed PS beads with diameter less than 100 nm for developing MFON substrates.

  12. F-doped SnO2 thin films grown on flexible substrates at low temperatures by pulsed laser deposition

    International Nuclear Information System (INIS)

    Kim, H.; Auyeung, R.C.Y.; Pique, A.

    2011-01-01

    Fluorine-doped tin oxide (SnO 2 :F) films were deposited on polyethersulfone plastic substrates by pulsed laser deposition. The electrical and optical properties of the SnO 2 :F films were investigated as a function of deposition conditions such as substrate temperature and oxygen partial pressure during deposition. High quality SnO 2 :F films were achieved under an optimum oxygen pressure range (7.4-8 Pa) at relatively low growth temperatures (25-150 deg. C). As-deposited films exhibited low electrical resistivities of 1-7 mΩ-cm, high optical transmittance of 80-90% in the visible range, and optical band-gap energies of 3.87-3.96 eV. Atomic force microscopy measurements revealed a reduced root mean square surface roughness of the SnO 2 :F films compared to that of the bare substrates indicating planarization of the underlying substrate.

  13. Effect of substrate nature on the electrochemical deposition of calcium-deficient hydroxyapatites

    Science.gov (United States)

    Gualdrón-Reyes, A. F.; Domínguez-Vélez, V.; Morales-Morales, J. A.; Cabanzo, R.; Meléndez, A. M.

    2017-01-01

    Calcium phosphates were obtained by reducing nitrate ions to produce hydroxide ions on TiO2/stainless steel and TiO2/titanium electrodes. TiO2 coatings on metallic substrates were prepared by sol-gel dip-coating method. The morphology of deposits was observed by FESEM. Chemical nature of calcium phosphate deposits was identified by Raman micro-spectroscopy and FESEM/EDS microanalysis. Electrochemical behavior of nitrate and nitrite reduction on stainless steel and titanium electrodes was studied by linear sweep voltammetry. In addition, voltammetric study of the calcium phosphate electrodeposition on both electrodes was performed. From these measurements was selected the potential to form a calcium phosphate. A catalytic current associated to nitrate reduction reaction was obtained for stainless steel electrode, leading to significant deposition of calcium phosphate. Ca/P ratio for both substrates was less than 1.67. The formation of calcium deficient hydroxyapatite was confirmed by Raman spectroscopy.

  14. Gas barrier properties of titanium oxynitride films deposited on polyethylene terephthalate substrates by reactive magnetron sputtering

    Energy Technology Data Exchange (ETDEWEB)

    Lin, M.-C. [Department of Materials Science and Engineering, National ChungHsin University, 250, Kuo-Kung Road, 40227 Taichung, Taiwan (China); Chang, L.-S. [Department of Materials Science and Engineering, National ChungHsin University, 250, Kuo-Kung Road, 40227 Taichung, Taiwan (China)], E-mail: lschang@dragon.nchu.edu.tw; Lin, H.C. [Department of Materials Science and Engineering, National Taiwan University, 1, Roosevelt Road, Sec. 4, 106 Taipei, Taiwan (China)

    2008-03-30

    Titanium oxynitride (TiN{sub x}O{sub y}) films were deposited on polyethylene terephthalate (PET) substrates by means of a reactive radio frequency (RF) magnetron sputtering system in which the power density and substrate bias were the varied parameters. Experimental results show that the deposited TiN{sub x}O{sub y} films exhibited an amorphous or a columnar structure with fine crystalline dependent on power density. The deposition rate increases significantly in conjunction as the power density increases from 2 W/cm{sup 2} to 7 W/cm{sup 2}. The maximum deposition rate occurs, as the substrate bias is -40 V at a certain power densities chosen in this study. The film's roughness slightly decreases with increasing substrate bias. The TiN{sub x}O{sub y} films deposited at power densities above 4 W/cm{sup 2} show a steady Ti:N:O ratio of about 1:1:0.8. The water vapor and oxygen transmission rates of the TiN{sub x}O{sub y} films reach values as low as 0.98 g/m{sup 2}-day-atm and 0.60 cm{sup 3}/m{sup 2}-day-atm which are about 6 and 47 times lower than those of the uncoated PET substrate, respectively. These transmission rates are comparable to those of DLC, carbon-based and Al{sub 2}O{sub 3} barrier films. Therefore, TiN{sub x}O{sub y} films are potential candidates to be used as a gas permeation barrier for PET substrate.

  15. Pressure dependence of morphology and phase composition of SiC films deposited by microwave plasma chemical vapor deposition on cemented carbide substrates

    Energy Technology Data Exchange (ETDEWEB)

    Yu Shengwang, E-mail: bkdysw@yahoo.cn; Fan Pengwei; Tang Weizhong; Li Xiaojing; Hu Haolin; Hei Hongjun; Zhang Sikai; Lu Fanxiu

    2011-11-01

    SiC films were deposited on cemented carbide substrates by employing microwave plasma chemical vapor deposition method using tetramethylsilane (Si(CH{sub 3}){sub 4}) diluted in H{sub 2} as the precursor. Scanning electron microscopy, energy dispersive X-ray spectroscopy, X-ray diffraction and scratching technique were used to characterize morphology, composition, phases present and adhesion of the films. Experimental results show that the deposition pressure has great influence on morphologies and phase composition of the films. In sequence, SiC films with a cauliflower-like microstructure, granular films with terrace-featured SiC particles coexisting with Co{sub 2}Si compound and clusters of nanometer SiC nanoplatelets appear as a function of the deposition pressure. In terms of plasma density and substrate temperature, this sequential appearance of microstructures of SiC films was explained. Adhesion tests showed that among the three types of films studied, the films with the terrace-featured SiC particles have relatively higher adhesion. Such knowledge will be of importance when the SiC films are used as interlayer between diamond films and cemented carbide substrates.

  16. Pressure dependence of morphology and phase composition of SiC films deposited by microwave plasma chemical vapor deposition on cemented carbide substrates

    International Nuclear Information System (INIS)

    Yu Shengwang; Fan Pengwei; Tang Weizhong; Li Xiaojing; Hu Haolin; Hei Hongjun; Zhang Sikai; Lu Fanxiu

    2011-01-01

    SiC films were deposited on cemented carbide substrates by employing microwave plasma chemical vapor deposition method using tetramethylsilane (Si(CH 3 ) 4 ) diluted in H 2 as the precursor. Scanning electron microscopy, energy dispersive X-ray spectroscopy, X-ray diffraction and scratching technique were used to characterize morphology, composition, phases present and adhesion of the films. Experimental results show that the deposition pressure has great influence on morphologies and phase composition of the films. In sequence, SiC films with a cauliflower-like microstructure, granular films with terrace-featured SiC particles coexisting with Co 2 Si compound and clusters of nanometer SiC nanoplatelets appear as a function of the deposition pressure. In terms of plasma density and substrate temperature, this sequential appearance of microstructures of SiC films was explained. Adhesion tests showed that among the three types of films studied, the films with the terrace-featured SiC particles have relatively higher adhesion. Such knowledge will be of importance when the SiC films are used as interlayer between diamond films and cemented carbide substrates.

  17. Metal Nanoparticles Deposited on Porous Silicon Templates as Novel Substrates for SERS

    Directory of Open Access Journals (Sweden)

    Lara Mikac

    2015-12-01

    Full Text Available In this paper, results on preparation of stable and uniform SERS solid substrates using macroporous silicon (pSi with deposited silver and gold are presented. Macroporous silicon is produced by anodisation of p-type silicon in hydrofluoric acid. The as prepared pSi is then used as a template for Ag and Au depositions. The noble metals were deposited in three different ways: by immersion in silver nitrate solution, by drop-casting silver colloidal solution and by pulsed laser ablation (PLA. Substrates obtained by different deposition processes were evaluated for SERS efficiency using methylene blue (MB and rhodamine 6G (R6G at 514.5, 633 and 785 nm. Using 514.5 nm excitation and R6G the limits of detection (LOD for macroporous Si samples with noble metal nanostructures obtained by immersion of pSi sample in silver nitrate solution and by applying silver colloidal solution to pSi template were 10–9 M and 10–8 M respectively. Using 633 nm laser and MB the most noticeable SERS activity gave pSi samples ablated with 30000 and 45000 laser pulses where the LODs of 10–10 M were obtained. The detection limit of 10–10 M was also reached for 4 mA cm–2-15 min pSi sample, silver ablated with 30000 pulses. Macroporous silicon proved to be a good base for the preparation of SERS substrates.

  18. Long-Wave Infrared (LWIR) Molecular Laser-Induced Breakdown Spectroscopy (LIBS) Emissions of Thin Solid Explosive Powder Films Deposited on Aluminum Substrates.

    Science.gov (United States)

    Yang, Clayton S-C; Jin, Feng; Trivedi, Sudhir B; Brown, Ei E; Hommerich, Uwe; Tripathi, Ashish; Samuels, Alan C

    2017-04-01

    Thin solid films made of high nitro (NO 2 )/nitrate (NO 3 ) content explosives were deposited on sand-blasted aluminum substrates and then studied using a mercury-cadmium-telluride (MCT) linear array detection system that is capable of rapidly capturing a broad spectrum of atomic and molecular laser-induced breakdown spectroscopy (LIBS) emissions in the long-wave infrared region (LWIR; ∼5.6-10 µm). Despite the similarities of their chemical compositions and structures, thin films of three commonly used explosives (RDX, HMX, and PETN) studied in this work can be rapidly identified in the ambient air by their molecular LIBS emission signatures in the LWIR region. A preliminary assessment of the detection limit for a thin film of RDX on aluminum appears to be much lower than 60 µg/cm 2 . This LWIR LIBS setup is capable of rapidly probing and charactering samples without the need for elaborate sample preparation and also offers the possibility of a simultaneous ultraviolet visible and LWIR LIBS measurement.

  19. Surface Enhanced Raman Scattering Substrates Made by Oblique Angle Deposition: Methods and Applications

    Directory of Open Access Journals (Sweden)

    Hin On Chu

    2017-02-01

    Full Text Available Surface Enhanced Raman Spectroscopy presents a rapid, non-destructive method to identify chemical and biological samples with up to single molecule sensitivity. Since its discovery in 1974, the technique has become an intense field of interdisciplinary research, typically generating >2000 publications per year since 2011. The technique relies on the localised surface plasmon resonance phenomenon, where incident light can couple with plasmons at the interface that result in the generation of an intense electric field. This field can propagate from the surface from the metal-dielectric interface, so molecules within proximity will experience more intense Raman scattering. Localised surface plasmon resonance wavelength is determined by a number of factors, such as size, geometry and material. Due to the requirements of the surface optical response, Ag and Au are typical metals used for surface enhanced Raman applications. These metals then need to have nano features that improve the localised surface plasmon resonance, several variants of these substrates exist; surfaces can range from nanoparticles in a suspension, electrochemically roughened electrodes to metal nanostructures on a substrate. The latter will be the focus of this review, particularly reviewing substrates made by oblique angle deposition. Oblique angle deposition is the technique of growing thin films so that the material flux is not normal to the surface. Films grown in this fashion will possess nanostructures, due to the atomic self-shadowing effect, that are dependent mainly on the deposition angle. Recent developments, applications and highlights of surface enhanced Raman scattering substrates made by oblique angle deposition will be reviewed.

  20. Plasma-enhanced chemical vapor deposition of graphene on copper substrates

    Directory of Open Access Journals (Sweden)

    Nicolas Woehrl

    2014-04-01

    Full Text Available A plasma enhanced vapor deposition process is used to synthesize graphene from a hydrogen/methane gas mixture on copper samples. The graphene samples were transferred onto SiO2 substrates and characterized by Raman spectroscopic mapping and atomic force microscope topographical mapping. Analysis of the Raman bands shows that the deposited graphene is clearly SLG and that the sheets are deposited on large areas of several mm2. The defect density in the graphene sheets is calculated using Raman measurements and the influence of the process pressure on the defect density is measured. Furthermore the origin of these defects is discussed with respect to the process parameters and hence the plasma environment.

  1. Substrate-biasing during plasma-assisted atomic layer deposition to tailor metal-oxide thin film growth

    NARCIS (Netherlands)

    Profijt, H. B.; M. C. M. van de Sanden,; Kessele, W. M. M.

    2013-01-01

    Two substrate-biasing techniques, i.e., substrate-tuned biasing and RF biasing, have been implemented in a remote plasma configuration, enabling control of the ion energy during plasma-assisted atomic layer deposition (ALD). With both techniques, substrate bias voltages up to -200 V have been

  2. Surface PIXE analysis of phosphorus in a thin SiO2 (P, B) CVD layer deposited onto Si substrate

    International Nuclear Information System (INIS)

    Roumie, M.; Nsouli, B.

    2001-01-01

    Phosphorus determination, at level of percent, in Si matrix is not an easy analytical task. The analyzed materials arc Borophosphosilicate glass which are an important component of silicon based semiconductor technology. It's a thin SiO2 layer (400 nm) doped with boron and phosphorus using, in general, CVD (Chemical Vapor Deposition) process, in order to improve its plasticity, and deposited onto Si substrate. Therefore, the mechanical behaviour of the CVD SiO2 (P, B) layer is very sensitive to the phosphorus concentration. In this work we explore the capability of FIXE (Particle Induced X-ray Emission) to monitor a rapid and accurate quantification of P which is usually very low in such materials (few percent of the thin CVD layer deposited onto a silicon substrate). A systematic study is undertaken using Proton (0.5-3 MeV energy) and helium (1-3 MeV energy) beams, different thickness of X-ray absorber (131 and 146 μm of Kapton filter) and different tilting angles (0,45,60 and 80 deg.). The optimized measurement conditions should improve the P signal detection comparing to the Si and Background ones

  3. Growth and characterization of indium tin oxide thin films deposited on PET substrates

    International Nuclear Information System (INIS)

    Lee, Jaehyeong; Jung, Hakkee; Lee, Jongin; Lim, Donggun; Yang, Keajoon; Yi, Junsin; Song, Woo-Chang

    2008-01-01

    Transparent and conductive indium tin oxide (ITO) thin films were deposited onto polyethylene terephthalate (PET) by d.c. magnetron sputtering as the front and back electrical contact for applications in flexible displays and optoelectronic devices. In addition, ITO powder was used for sputter target in order to reduce the cost and time of the film formation processes. As the sputtering power and pressure increased, the electrical conductivity of ITO films decreased. The films were increasingly dark gray colored as the sputtering power increased, resulting in the loss of transmittance of the films. When the pressure during deposition was higher, however, the optical transmittance improved at visible region of light. ITO films deposited onto PET have shown similar optical transmittance and electrical resistivity, in comparison with films onto glass substrate. High quality films with resistivity as low as 2.5 x 10 -3 Ω cm and transmittance over 80% have been obtained on to PET substrate by suitably controlling the deposition parameters

  4. Effect of substrate nature on the electrochemical deposition of calcium-deficient hydroxyapatites

    International Nuclear Information System (INIS)

    Gualdrón-Reyes, A F; Cabanzo, R; Meléndez, A M; Domínguez-Vélez, V; Morales-Morales, J A

    2017-01-01

    Calcium phosphates were obtained by reducing nitrate ions to produce hydroxide ions on TiO 2 /stainless steel and TiO 2 /titanium electrodes. TiO 2 coatings on metallic substrates were prepared by sol-gel dip-coating method. The morphology of deposits was observed by FESEM. Chemical nature of calcium phosphate deposits was identified by Raman micro-spectroscopy and FESEM/EDS microanalysis. Electrochemical behavior of nitrate and nitrite reduction on stainless steel and titanium electrodes was studied by linear sweep voltammetry. In addition, voltammetric study of the calcium phosphate electrodeposition on both electrodes was performed. From these measurements was selected the potential to form a calcium phosphate. A catalytic current associated to nitrate reduction reaction was obtained for stainless steel electrode, leading to significant deposition of calcium phosphate. Ca/P ratio for both substrates was less than 1.67. The formation of calcium deficient hydroxyapatite was confirmed by Raman spectroscopy. (paper)

  5. Sputter deposition of PZT piezoelectric films on thin glass substrates for adjustable x-ray optics.

    Science.gov (United States)

    Wilke, Rudeger H T; Johnson-Wilke, Raegan L; Cotroneo, Vincenzo; Davis, William N; Reid, Paul B; Schwartz, Daniel A; Trolier-McKinstry, Susan

    2013-05-10

    Piezoelectric PbZr(0.52)Ti(0.48)O(3) (PZT) thin films deposited on thin glass substrates have been proposed for adjustable optics in future x-ray telescopes. The light weight of these x-ray optics enables large collecting areas, while the capability to correct mirror figure errors with the PZT thin film will allow much higher imaging resolution than possible with conventional lightweight optics. However, the low strain temperature and flexible nature of the thin glass complicate the use of chemical-solution deposition due to warping of the substrate at typical crystallization temperatures for the PZT. RF magnetron sputtering enabled preparation of PZT films with thicknesses up to 3 μm on Schott D263 glass substrates with much less deformation. X-ray diffraction analysis indicated that the films crystallized with the perovskite phase and showed no indication of secondary phases. Films with 1 cm(2) electrodes exhibited relative permittivity values near 1100 and loss tangents below 0.05. In addition, the remanent polarization was 26 μC/cm(2) with coercive fields of 33 kV/cm. The transverse piezoelectric coefficient was as high as -6.1±0.6 C/m(2). To assess influence functions for the x-ray optics application, the piezoelectrically induced deflection of individual cells was measured and compared with finite-element-analysis calculations. The good agreement between the results suggests that actuation of PZT thin films can control mirror figure errors to a precision of about 5 nm, allowing sub-arcsecond imaging.

  6. Structural, optical and electrical characteristics of ITO thin films deposited by sputtering on different polyester substrates

    International Nuclear Information System (INIS)

    Guillen, C.; Herrero, J.

    2008-01-01

    Indium tin oxide (ITO) thin films were deposited by sputtering at room temperature on glass and different polyester substrates; namely polyarylate (PA), polycarbonate (PC) and polyethylene terephtalate (PET). The influence of the substrate on the structural, optical and electrical characteristics of the ITO layers was investigated. The sputtered films exhibited crystallization in the (2 2 2) orientation, with higher mean crystallite size and lower structural distortion onto PET than onto PA, PC or glass substrates. ITO films deposited onto PET showed also higher band gap energy, higher carrier concentration and lower resistivity than the ITO layers onto the other tested substrates. These optical and electrical characteristics have been related to the structural distortion that was found dependent on the specific polyester substrate

  7. The effect of realistic forces in finite epitaxial islands: Equilibrium structure, stability limits and substrate-induced dissociation of migrating clusters

    Science.gov (United States)

    Milchev, Andrey; Markov, Ivan

    1985-06-01

    The behaviour of finite epitaxial islands in the periodic field of the substrate is theoretically investigated. The harmonic interactions, traditionally adopted in the model of Frank and Van der Merwe, are replaced by Toda and Morse potentials and sets of difference recursion equations, governing the equilibrium properties of the system, are derived and solved numerically. It is shown that allowing for anharmonicity in the interactions in the deposit reveals several qualiatively new effects, such as: (1) The existence of substrate-induced rupture of anharmonic clusters which migrate on the substrate. It is predicted that such dissociation should be enhanced, if (a) the energy barrier for surface diffusion is increased, (b) the natural incompatibility between substrate and deposit is decreased, and (c) the size of the clusters grows. (2) A split in the misfit stability limits for pseudomorphism and for spontaneous generation of misfit dislocations with respect to the sign of the misfit. The limits corresponding to negative misfit rapidly increase while the positive misfit limits decrease (in absolute terms) with growing degree of anharmonicity. (3) A marked asymmetry in the magnitude of various properties of the clusters, such as adhesion to the substrate, activation energy for surface diffusion, mean strain, dislocation lengths, etc., with respect to the sign of the mismatch between surface and deposit.

  8. ITO films with enhanced electrical properties deposited on unheated ZnO-coated polymer substrates

    International Nuclear Information System (INIS)

    Nunes de Carvalho, C.; Lavareda, G.; Fortunato, E.; Alves, H.; Goncalves, A.; Varela, J.; Nascimento, R.; Amaral, A.

    2005-01-01

    Indium tin oxide (ITO) films were deposited by radio frequency (rf)-plasma enhanced reactive thermal evaporation (rf-PERTE) at room temperature on intrinsic ZnO/polymer substrates to enhance their electrical and structural properties. The polymer substrate used is polyethylene terephthalate (PET). The thickness of the ZnO films varied in the range 50-150 nm. The average thickness of the ITO films is of about 170 nm. Results show that ITO deposited on bare PET substrates exhibit: an average visible transmittance of about 85% and an electrical resistivity of 5.6 x 10 -2 Ω cm. ITO on ZnO/PET substrates show the optical quality practically preserved and the resistivity decreased to a minimum value of 1.9x10 -3 Ω cm for ZnO layers 125 nm thick. The electrical properties of ITO on ZnO/PET are largely improved by the increase in carrier mobility

  9. Pulsed laser deposition of transparent conductive oxide thin films on flexible substrates

    Science.gov (United States)

    Socol, G.; Socol, M.; Stefan, N.; Axente, E.; Popescu-Pelin, G.; Craciun, D.; Duta, L.; Mihailescu, C. N.; Mihailescu, I. N.; Stanculescu, A.; Visan, D.; Sava, V.; Galca, A. C.; Luculescu, C. R.; Craciun, V.

    2012-11-01

    The influence of target-substrate distance during pulsed laser deposition of indium zinc oxide (IZO), indium tin oxide (ITO) and aluminium-doped zinc oxide (AZO) thin films grown on polyethylene terephthalate (PET) substrates was investigated. It was found that the properties of such flexible transparent conductive oxide (TCO)/PET electrodes critically depend on this parameter. The TCO films that were deposited at distances of 6 and 8 cm exhibited an optical transmittance higher than 90% in the visible range and electrical resistivities around 5 × 10-4 Ω cm. In addition to these excellent electrical and optical characteristics the films grown at 8 cm distance were homogenous, smooth, adherent, and without cracks or any other extended defects, being suitable for opto-electronic device applications.

  10. Microstructure, optical characterization and light induced degradation in a-Si:H deposited at different temperatures

    International Nuclear Information System (INIS)

    Minani, E.; Sigcau, Z.; Adgebite, O.; Ramukosi, F.L.; Ntsoane, T.P.; Harindintwari, S.; Knoesen, D.; Comrie, C.M.; Britton, D.T.; Haerting, M.

    2006-01-01

    The microstructure and optical properties of a series of hydrogenated amorphous silicon layers deposited on glass substrates at different temperature have been characterized by means of X-ray diffraction techniques and optical spectroscopy. The radial distribution function of the as-deposited samples showed an increase in the bond angle and a decrease in the radial distance indicating a relaxation of the amorphous network with increasing the deposition temperature. Light induced degradation was studied using a simulated daylight spectrum. The changes in hydrogen bonding configuration, associated with the light soaking at different stages of illumination, was monitored via the transmission bands of the vibrational wag and stretch modes of the IR spectrum

  11. Investigation of photocatalytic activity of titanium dioxide deposited on metallic substrates by DC magnetron sputtering

    DEFF Research Database (Denmark)

    Daviðsdóttir, Svava; Canulescu, Stela; Dirscherl, Kai

    2013-01-01

    The photocatalytic properties of titanium dioxide (TiO2) coating in the anatase crystalline structure deposited on aluminium AA1050 alloy and stainless steel S316L substrates were investigated. The coating was prepared by DC magnetron sputtering. The microstructure and surface morphology of the c......The photocatalytic properties of titanium dioxide (TiO2) coating in the anatase crystalline structure deposited on aluminium AA1050 alloy and stainless steel S316L substrates were investigated. The coating was prepared by DC magnetron sputtering. The microstructure and surface morphology...... sweep voltammetry, impedance measurements. The microstructure and surface morphology of the coating were similar irrespective of the nature of the substrate, while the photocatalytic behaviour was found to vary depending on the substrate type. In general the TiO2 coating on stainless steel was shown...

  12. Mechanical Characterization of Polydopamine-Assisted Silver Deposition on Polymer Substrates

    Science.gov (United States)

    Cordes, Amanda Laurence

    Inspired by the adhesive proteins in marine mussels, polydopamine has become a popular adhesive ad-layer for surface functionalization of a variety of substrates. Based on the chemistry of the dopamine monomer, amine and thiol functional groups are hypothesized to increase adhesion between polymer substrates and polydopamine thin films. This hypothesis was the central motivation for development of a tailorable thiol-ene system in order to study the effects of substrate chemistry on polydopamine adhesion. While polydopamine-adhered silver has been studied on a variety of substrates, no in depth mechanical characterization has been performed and to date, no research has been published on thiol-enes coated in polydopamine-adhered silver. The purpose of this study was to characterize the mechanical durability and adhesion properties of a polydopamine-adhered silver film on commercial substrates and a tailorable thiol-ene system. Polydopamine and silver coatings were deposited on a variety of polymer substrates through a simple dip-coat process. The polydopamine forms a thin uniform adhesive layer and the silver deposits in a discontinuous manner with a nanoparticle sized base layer covering the full surface and micron-sized clusters adhered sporadically on top. Mechanical tensile testing was performed to characterize the durability of the silver coating on commercial polymers. Coated nylon and HDPE showed no signs of degradation or delamination of the polydopamine-adhered silver coating up to 30% strain although both substrates showed large plastic deformation. Peel tests were performed on both commercial polymers as well as a tailorable thiol-ene system. Results support the hypothesis that polydopamine adhesion is increased with the presence of functional groups. Parts of the HDPE sample were cleanly peeled, but silver patches were left sporadically across the surface pointing to weaker adhesion between polyethylene and polydopamine. A high adhesive strength tape was

  13. Thin film pc-Si by aluminium induced crystallization on metallic substrate

    Directory of Open Access Journals (Sweden)

    Cayron C.

    2013-04-01

    Full Text Available Thin film polycrystalline silicon (pc-Si on flexible metallic substrates is promising for low cost production of photovoltaic solar cells. One of the attractive methods to produce pc-Si solar cells consists in thickening a large-grained seed layer by epitaxy. In this work, the deposited seed layer is made by aluminium induced crystallization (AIC of an amorphous silicon (a-Si thin film on metallic substrates (Ni/Fe alloy initially coated with a tantalum nitride (TaN conductive diffusion barrier layer. Effect of the thermal budget on the AIC grown pc-Si seed layer was investigated in order to optimize the process (i.e. the quality of the pc-Si thin film. Structural and optical characterizations were carried out using optical microscopy, μ-Raman and Electron Backscatter Diffraction (EBSD. At optimal thermal annealing conditions, the continuous AIC grown pc-Si thin film showed an average grain size around 15 μm. The grains were preferably (001 oriented which is favorable for its epitaxial thickening. This work proves the feasibility of the AIC method to grow large grains pc-Si seed layer on TaN coated metal substrates. These results are, in terms of grains size, the finest obtained by AIC on metallic substrates.

  14. Hydroxyapatite growth induced by native extracellular matrix deposition on solid surfaces

    Directory of Open Access Journals (Sweden)

    Pramatarova L.

    2005-02-01

    Full Text Available Biological systems have a remarkable capability to produce perfect fine structures such as seashells, pearls, bones, teeth and corals. These structures are composites of interacting inorganic (calcium phosphate or carbonate minerals and organic counterparts. It is difficult to say with certainty which part has the primary role. For example, the growth of molluscan shell crystals is thought to be initiated from a solution by the extracellular organic matrix (ECM. According to this theory, the matrix induces nucleation of calcium containing crystals. Recently, an alternative theory has been put forward, stating that a class of granulocytic hemocytes would be directly involved in shell crystal production in oysters. In the work presented here the surface of AISI 316 stainless steel was modified by deposition of ECM proteins. The ability of the modified substrates to induce nucleation and growth of hydroxyapatite (HA from simulated body fluid (SBF was examined by a kinetic study using two methods: (1 a simple soaking process in SBF and (2 a laser-liquid-solid interaction (LLSI process which allows interaction between a scanning laser beam and a solid substrate immersed in SBF. The deposited HA layers were investigated by Fourier transform infrared spectroscopy (FTIR and scanning electron microscopy (SEM. It was found that a coating of stainless steel surface with native ECM proteins induced nucleation and growth of HA and facilitated its crystallization. By the process of simple soaking of the samples, irrespective of their horizontal or vertical position in the solution, HA layers were grown due to the reactive ECM-coated stainless steel surface. It was shown that the process occurring in the first stages of the growth was not only a result of the force of gravity. The application of the LLSI process strongly influenced HA formation on the ECM-modified substrates by promoting and enhancing the HA nucleation and growth through a synergistic effect

  15. Influence of Reactive Ion Etching on THz Transmission and Reflection Properties of NiCr Film Deposited on a Dielectric Substrate

    Directory of Open Access Journals (Sweden)

    Jun Gou

    2015-06-01

    Full Text Available Enhanced terahertz (THz absorption of NiCr film deposited on a dielectric substrate has been proven by applying a reactive ion etching (RIE treatment to the dielectric film. Nano – scale nickel – chromium (NiCr thin films are deposited on RIE treated silicon dioxide (SiO2 dielectric substrates to study the transmission and reflection characteristics. Experimental results suggest that both transmission and reflection of NiCr film are weakened by the RIE treatment. The most significant decrease of transmission is observed in 1 ~ 4 THz while that of reflection occurs in 1.7 ~ 2.5 THz band. The decrease of both transmission and reflection is more significant for NiCr film with higher thickness. The RIE treatment, which induces nano – scale surface structures and increases the effective surface area of NiCr film, enhances the absorption and weakens the transmission and reflection of THz radiation.DOI: http://dx.doi.org/10.5755/j01.ms.21.2.6131

  16. Effect of substrate porosity on photoluminescence properties of ZnS films prepared on porous Si substrates by pulsed laser deposition

    Science.gov (United States)

    Wang, Cai-Feng; Li, Qing-Shan; Zhang, Li-Chun; Lv, Lei; Qi, Hong-Xia

    2007-05-01

    ZnS films were deposited on porous Si (PS) substrates with different porosities by pulsed laser deposition. The photoluminescence spectra of the samples were measured to study the effect of substrate porosity on luminescence properties of ZnS/porous Si composites. After deposition of ZnS films, the red photoluminescence peak of porous Si shows a slight blueshift compared with as-prepared porous Si samples. With an increase of the porosity, a green emission at about 550 nm was observed which may be ascribed to the defect-center luminescence of ZnS films, and the photoluminescence of ZnS/porous Si composites is very close to white light. Good crystal structures of the samples were observed by x-ray diffraction, showing that ZnS films were grown in preferred orientation. Due to the roughness of porous Si surface, some cracks appear in ZnS films, which could be seen from scanning electron microscope images.

  17. Effect of substrate temperature and deposition rate on the morphology and optical properties of Ti films

    Energy Technology Data Exchange (ETDEWEB)

    Einollahzadeh-Samadi, M.; Dariani, R.S., E-mail: dariani@alzahra.ac.ir

    2013-09-01

    Titanium films are deposited on transparent fluorine-doped tin oxide (FTO) glass substrates by DC magnetron sputtering process. Influences imposed by sputtering rate and substrate temperature on surface morphology and optical properties of the deposited Ti films are investigated. We observed that all the sputtered films exhibit uniform and compact surface morphology without peeling and cracking. Morphology of the films is studied using atomic force microscopy (AFM) and X-ray diffraction (XRD). The optical properties of the films are investigated using UV–vis spectroscopy. The morphological studies indicate that by increasing the substrate temperature from room temperature to 250 °C and/or decreasing sputtering rate from 660 Å/min to 540 Å/min the surface roughness decreased from 73.4 to 31.0 nm and the grain size increases from 50.76 nm to 163.93 nm. An important effect of the root mean square (RMS) surface roughness and grain size is modification of the films optical properties. In fact, an enhancement of refractive index n for the Ti films deposited at high substrate temperature and/or high deposition rate is observed, that is attributed to reduction of RMS roughness. This effect is attributed to increment of fractional volume which leads to an increase in density of deposited film. Thus, by controlling the sputtering conditions one can reach to the desired morphological and optical properties.

  18. SiC interlayer by laser-cladding on WC-Co substrates for CVD diamond deposition

    Energy Technology Data Exchange (ETDEWEB)

    Contin, Andre; Fraga, Mariana Amorim; Vieira, Jose; Trava-Airoldi, Vladimir Jesus; Corat, Evaldo Jose, E-mail: andrecontin@yahoo.com.br [Instituto Nacional de Pesquisas Espaciais (INPE), Sao Jose dos Campos, SP (Brazil); Campos, Raonei Alves [Universidade Federal do Sul e Sudeste do Para (UNIFESSPA), Belem, PA (Brazil); Vasconcelos, Getulio [Instituto de Estudos Avancados (IEA), Sao Jose dos Campos, SP (Brazil)

    2016-07-01

    Full text: Despite their huge industrial potential and commercial interest, the direct diamond coating on cemented carbide (WC-Co) is limited, mainly because of the catalytic effect of Cobalt (Co) and the high difference in thermal expansion coefficient [1]. This results in poor adherence between diamond and WC-Co. In addition, the low diamond film adhesion to the cemented carbide useless for machining applications. Removal of Co binder from the substrate surface by superficial etching is one of the techniques used to improve the adhesion between diamond and WC-Co. For the present study, diamond films were deposited on WC-Co substrates with an intermediate barrier to block the Co diffusion to the surface substrate. The laser cladding process produced the SiC barrier, in which a powder layer is melted by a laser irradiation to create the coating on the substrate. The use of laser cladding is the novel method for an intermediate barrier for cemented carbides. The advantages of laser cladding include a faster processing speed, precision, versatility. We reported the application of pretreatment method called ESND (Electrostatic self-assembly seeding of nanocrystalline diamond). The nucleation density was around 10{sup 11}part/cm{sup 2}. Diamond films were grown by Hot Filament Chemical Vapor Deposition. Characterization of samples included Field Emission Gun-Scanning Electron Microscopy (FEG-SEM), Energy Dispersive X-ray (EDX), X-ray diffraction (XRD) and Raman Scattering Spectroscopy. Results showed that laser irradiation formed stable Co compounds in the interfacial barrier. It is because nucleation and good quality of diamond film since the cobalt are no longer free to migrate to the surface during the CVD diamond deposition. Reference: [1] Y. X. Cui, B. Shen, F. H. Sun. Diamond deposition on WC–Co substrate with amorphous SiC interlayer, Surface Engineering, 30, (2014) 237-243. (author)

  19. Dielectric coatings on metal substrates

    International Nuclear Information System (INIS)

    Glaros, S.S.; Baker, P.; Milam, D.

    1976-01-01

    Large aperture, beryllium substrate-based mirrors have been used to focus high intensity pulsed laser beams. Finished surfaces have high reflectivity, low wavefront distortion, and high laser damage thresholds. This paper describes the development of a series of metallic coatings, surface finishing techniques, and dielectric overcoatings to meet specified performance requirements. Beryllium substrates were coated with copper, diamond-machined to within 5 micro-inches to final contour, nickel plated, and abrasively figured to final contour. Bond strengths for several bonding processes are presented. Dielectric overcoatings were deposited on finished multimetallic substrates to increase both reflectivity and the damage thresholds. Coatings were deposited using both high and low temperature processes which induce varying stresses in the finished coating substrate system. Data are presented to show the evolution of wavefront distortion, reflectivity, and damage thresholds throughout the many steps involved in fabrication

  20. Spatial atomic layer deposition on flexible substrates using a modular rotating cylinder reactor

    International Nuclear Information System (INIS)

    Sharma, Kashish; Hall, Robert A.; George, Steven M.

    2015-01-01

    Spatial atomic layer deposition (ALD) is a new version of ALD based on the separation of reactant gases in space instead of time. In this paper, the authors present results for spatial ALD on flexible substrates using a modular rotating cylinder reactor. The design for this reactor is based on two concentric cylinders. The outer cylinder remains fixed and contains a series of slits. These slits can accept a wide range of modules that attach from the outside. The modules can easily move between the various slit positions and perform precursor dosing, purging, or pumping. The inner cylinder rotates with the flexible substrate and passes underneath the various spatially separated slits in the outer cylinder. Trimethyl aluminum and ozone were used to grow Al 2 O 3 ALD films at 40 °C on metallized polyethylene terephthalate (PET) substrates to characterize this spatial ALD reactor. Spectroscopic ellipsometry measurements revealed a constant Al 2 O 3 ALD growth rate of 1.03 Å/cycle with rotation speeds from 40 to 100 RPM with the outer cylinder configured for one Al 2 O 3 ALD cycle per rotation. The Al 2 O 3 ALD growth rate then decreased at higher rotation rates for reactant residence times < 5 ms. The Al 2 O 3 ALD films were also uniform to within <1% across the central portion of metallized PET substrate. Fixed deposition time experiments revealed that Al 2 O 3 ALD films could be deposited at 2.08 Å/s at higher rotation speeds of 175 RPM. Even faster deposition rates are possible by adding more modules for additional Al 2 O 3 ALD cycles for every one rotation of the inner cylinder

  1. Substrate-induced instability in gas microstrip detectors

    International Nuclear Information System (INIS)

    Bateman, J.E.; Connolly, J.F.

    1992-12-01

    The results of a programme of research into substrate-induced gain instability in gas microstrip detectors are reported. Information has been collected on a wide range of substrates including many commonly available glasses and ceramics. A theoretical model of the gain instability is proposed. While we have not yet found an acceptable substrate for the construction of high flux detectors our experience points to electronically conductive glasses as the most promising source of a stable substrate. (Author)

  2. Epitaxial growth of Si1−xGex alloys and Ge on Si(100) by electron-cyclotron-resonance Ar plasma chemical vapor deposition without substrate heating

    International Nuclear Information System (INIS)

    Ueno, Naofumi; Sakuraba, Masao; Murota, Junichi; Sato, Shigeo

    2014-01-01

    By using electron-cyclotron-resonance (ECR) Ar-plasma chemical vapor deposition (CVD) without substrate heating, the epitaxial growth process of Si 1−x Ge x alloy and Ge films deposited directly on dilute-HF-treated Si(100) was investigated. From the reflection high energy electron diffraction patterns of the deposited Si 1−x Ge x alloy (x = 0.50, 0.75) and Ge films on Si(100), it is confirmed that epitaxial growth can be realized without substrate heating, and that crystallinity degradation at larger film thickness is observed. The X-ray diffraction peak of the epitaxial films reveals the existence of large compressive strain, which is induced by lattice matching with the Si(100) substrate at smaller film thicknesses, as well as strain relaxation behavior at larger film thicknesses. The Ge fraction of Si 1−x Ge x thin film is in good agreement with the normalized GeH 4 partial pressure. The Si 1−x Ge x deposition rate increases with an increase of GeH 4 partial pressure. The GeH 4 partial pressure dependence of partial deposition rates [(Si or Ge fraction) × (Si 1−x Ge x thickness) / (deposition time)] shows that the Si partial deposition rate is slightly enhanced by the existence of Ge. From these results, it is proposed that the ECR-plasma CVD process can be utilized for Ge fraction control in highly-strained heterostructure formation of group IV semiconductors. - Highlights: • Si 1−x Ge x alloy and Ge were epitaxially grown on Si(100) without substrate heating. • Large strain and its relaxation behavior can be observed by X-ray diffraction. • Ge fraction of Si 1−x Ge x is equal to normalized GeH 4 partial pressure. • Si partial deposition rate is slightly enhanced by existence of Ge

  3. Effects of vacuum processing erbium dideuteride/ditritide films deposited on chromium underlays on copper substrates

    International Nuclear Information System (INIS)

    Provo, J.L.

    1978-01-01

    Thin films of erbium dideuteride/ditritide were experimentally produced on chromium underlays deposited on copper substrates. The chromium underlay is required to prevent erbium occluder/copper substrate alloying which inhibits hydriding. Data taken has shown that vacuum processing affects the erbium/chromium/copper interaction. With an in situ process in which underlay/occluder films are vacuum deposited onto copper substrates and hydrided with no air exposure between these steps, data indicates a minimum of 1500A of chromium is required for optimum hydriding. If films are vacuum deposited as above and air-exposed before hydriding, a minimum of 3000A of chromium was shown to be required for equivalent hydriding. Data suggests that the activation step (600 0 C for 1 hour) required for hydriding the film of the second type is responsible for the difference observed. Such underlay thickness parameters are important, with regard to heat transfer considerations in thin hydride targets used for neutron generation

  4. Heating effect of substrate of pulsed laser ablation deposition technique towards the orientation of carbon microstructure

    International Nuclear Information System (INIS)

    Choy, L.S.; Irmawati Ramli; Noorhana Yahya; Abdul Halim Shaari

    2009-01-01

    Full text: Carbon thin film has been successfully deposited by second harmonic Nd:YAG pulsed laser ablation deposition, PLAD. The topology and morphology of the deposited layers was studied by scanning electron microscopy (SEM) whereas emission dispersion X-ray (EDX) was used to determine the existence of elements that constitutes the microstructure. Substrate heated at 500 degree Celsius during the laser ablation showed the most homogenous lollipop microstructure as compared to mainly pillars of microstructure ablated at lower substrate temperature. It is found that this also avoid further diffusion of carbon into catalyst in forming iron carbide. (author)

  5. Spatio-selective surface modification of glass assisted by laser-induced deposition of gold nanoparticles

    International Nuclear Information System (INIS)

    Takahashi, Hironobu; Niidome, Yasuro; Hisanabe, Hideyuki; Kuroiwa, Keita; Kimizuka, Nobuo; Yamada, Sunao

    2006-01-01

    Using pulsed laser irradiation (532 nm), dodecanethiol-capped gold nanoparticles (DT-Au) were deposited on the laser-irradiated region of a hydrophobic glass substrate modified with dimethyloctadecylchlorosilane (DMOS). After removal of deposited DT-Au, the laser-deposited region on the substrate was hydrophilic, as verified by static water contact angles. X-ray photoelectron spectroscopy suggested that the naked glass surface was not exposed at the hydrophilic region. Immersion of the substrate into gold nanorod (NR) solution selectively immobilized NRs on the hydrophilic surface via electrostatic interactions, indicating that the hydrophilic region was an anionic surface. From these results, it is expected that some immobilized DMOS groups on the laser-irradiated region of the substrate were oxidized during DT-Au deposition and fragmentation of the deposited DT-Au

  6. Electromechanical properties of amorphous In-Zn-Sn-O transparent conducting film deposited at various substrate temperatures on polyimide substrate

    Science.gov (United States)

    Kim, Young Sung; Lee, Eun Kyung; Eun, Kyoungtae; Choa, Sung-Hoon

    2015-09-01

    The electromechanical properties of the amorphous In-Zn-Sn-O (IZTO) film deposited at various substrate temperatures were investigated by bending, stretching, twisting, and cyclic bending fatigue tests. Amorphous IZTO films were grown on a transparent polyimide substrate using a pulsed DC magnetron sputtering system at different substrate temperatures ranging from room temperature to 200 °C. A single oxide alloyed ceramic target (In2O3: 80 wt %, ZnO: 10 wt %, SnO2: 10 wt % composition) was used. The amorphous IZTO film deposited at 150 °C exhibited an optimized electrical resistivity of 5.8 × 10-4 Ω cm, optical transmittance of 87%, and figure of merit of 8.3 × 10-3 Ω-1. The outer bending tests showed that the critical bending radius decreased as substrate temperature increased. On the other hand, in the inner bending tests, the critical bending radius increased with an increase in substrate temperature. The differences in the bendability of IZTO films for the outer and inner bending tests could be attributed to the internal residual stress of the films. The uniaxial stretching tests also showed the effects of the internal stress on the mechanical flexibility of the film. The bending and stretching test results demonstrated that the IZTO film had higher bendability and stretchability than the conventional ITO film. The IZTO film could withstand 10,000 bending cycles at a bending radius of 10 mm. The effect of the surface roughness on the mechanical durability of all IZTO films was very small due to their very smooth surfaces.

  7. Raman Spectroscopic Study of As-Deposited and Exfoliated Defected Graphene Grown on (001 Si Substrates by CVD

    Directory of Open Access Journals (Sweden)

    T. I. Milenov

    2017-01-01

    Full Text Available We present here results on a Raman spectroscopic study of the deposited defected graphene on Si substrates by chemical vapor deposition (thermal decomposition of acetone. The graphene films are not deposited on the (001 Si substrate directly but on two types of interlayers of mixed phases unintentionally deposited on the substrates: а diamond-like carbon (designated here as DLC and amorphous carbon (designated here as αC are dominated ones. The performed thorough Raman spectroscopic study of as-deposited as well as exfoliated specimens by two different techniques using different excitation wavelengths (488, 514, and 613 nm as well as polarized Raman spectroscopy establishes that the composition of the designated DLC layers varies with depth: the initial layers on the Si substrate consist of DLC, nanodiamond species, and C70 fullerenes while the upper ones are dominated by DLC with an occasional presence of C70 fullerenes. The αC interlayer is dominated by turbostratic graphite and contains a larger quantity of C70 than the DLC-designated interlayers. The results of polarized and unpolarized Raman spectroscopic studies of as-grown and exfoliated graphene films tend to assume that single- to three-layered defected graphene is deposited on the interlayers. It can be concluded that the observed slight upshift of the 2D band as well as the broadening of 2D band should be related to the strain and doping.

  8. A Rapid Method for Deposition of Sn-Doped GaN Thin Films on Glass and Polyethylene Terephthalate Substrates

    Science.gov (United States)

    Pat, Suat; Özen, Soner; Korkmaz, Şadan

    2018-01-01

    We report the influence of Sn doping on microstructure, surface, and optical properties of GaN thin films deposited on glass and polyethylene terephthalate (PET) substrate. Sn-doped GaN thin films have been deposited by thermionic vacuum arc (TVA) at low temperature. TVA is a rapid deposition technology for thin film growth. Surface and optical properties of the thin films were presented. Grain size, height distribution, roughness values were determined. Grain sizes were calculated as 20 nm and 13 nm for glass and PET substrates, respectively. Nano crystalline forms were shown by field emission scanning electron microscopy. Optical band gap values were determined by optical methods and photoluminescence measurement. The optical band gap values of Sn doped GaN on glass and PET were determined to be approximately ˜3.40 eV and ˜3.47 eV, respectively. As a result, TVA is a rapid and low temperature deposition technology for the Sn doped GaN deposited on glass and PET substrate.

  9. Structural investigation of ZnO:Al films deposited on the Si substrates by radio frequency magnetron sputtering

    International Nuclear Information System (INIS)

    Chen, Y.Y.; Yang, J.R.; Cheng, S.L.; Shiojiri, M.

    2013-01-01

    ZnO:Al films 400 nm thick were prepared on (100) Si substrates by magnetron sputtering. Energy dispersive X-ray spectroscopy and transmission electron microscopy (TEM) revealed that in the initial stage of the deposition, an amorphous silicon oxide layer about 4 nm thick formed from damage to the Si substrate due to sputtered particle bombardment and the incorporation of Si atoms with oxygen. Subsequently, a crystalline Si (Zn) layer about 30 nm thick grew on the silicon oxide layer by co-deposition of Si atoms sputtered away from the substrate with Zn atoms from the target. Finally, a ZnO:Al film with columnar grains was deposited on the Si (Zn) layer. The sputtered particle bombardment greatly influenced the structure of the object films. The (0001) lattice fringes of the ZnO:Al film were observed in high-resolution TEM images, and the forbidden 0001 reflection spots in electron diffraction patterns were attributed to double diffraction. Therefore, the appearance of the forbidden reflection did not imply any ordering of Al atoms and/or O vacancies in the ZnO:Al film. - Highlights: • ZnO:Al films were deposited on (100) Si substrate using magnetron sputtering. • An amorphous silicon oxide layer with a thickness of 4 nm was formed on Si substrate. • Crystalline Si (Zn) layer about 30 nm thick grew on amorphous silicon oxide layer. • ZnO:Al film comprising columnar grains was deposited on the Si(Zn) layer. • Lattice image of the ZnO:Al film has been interpreted

  10. Ion implantation induced structural changes in reactively sputtered Cr-N layers on Si substrates

    International Nuclear Information System (INIS)

    Novakovic, M.; Popovic, M.; Perusko, D.; Milinovic, V.; Radovic, I.; Bibic, N.; Mitric, M.; Milosavljevic, M.

    2007-01-01

    This paper presents a study of the structure and composition of reactively sputtered Cr-N layers as a function of deposition parameters, and the effects of ion implantation on these structures. The layers were deposited on (1 0 0) Si substrates to a thickness of 240-280 nm, at different nitrogen partial pressure, and subsequently irradiated with 120 keV Ar ions. Structural characterisation of the samples was performed with Rutherford backscattering spectroscopy, transmission electron microscopy and X-ray diffraction analysis. We also measured their electrical resistivity with a four point probe. It was found that the layers grow in form of columnar structures, and their composition, Cr 2 N or CrN, strongly depends on the nitrogen partial pressure during deposition. Ion irradiation induces local micro-structural changes, formation of nano-particles and defects, which can be nicely correlated to the measured electrical resistivity

  11. Guidelines for bottom-up approach of nanocarbon film formation from pentacene using heated tungsten on quartz substrate without metal catalyst

    Science.gov (United States)

    Heya, Akira; Matsuo, Naoto

    2018-04-01

    The guidelines for a bottom-up approach of nanographene formation from pentacene using heated tungsten were investigated using a novel method called hot mesh deposition (HMD). In this method, a heated W mesh was set between a pentacene source and a quartz substrate. Pentacene molecules were decomposed by the heated W mesh. The generated pentacene-based decomposed precursors were then deposited on the quartz substrate. The pentacene dimer (peripentacene) was obtained from pentacene by HMD using two heated catalysts. As expected from the calculation with the density functional theory in the literature, it was confirmed that the pentacene dimer can be formed by a reaction between pentacene and 6,13-dihydropentacene. This technique can be applied to the formation of novel nanographene on various substrates without metal catalysts.

  12. Gas phase considerations for the deposition of thin film silicon solar cells by VHF-PECVD at low substrate temperatures

    NARCIS (Netherlands)

    Rath, J.K.; Verkerk, A.D.; Brinza, M.; Schropp, R.E.I.; Goedheer, W.J.; Krzhizhanovskaya, V.V.; Gorbachev, Y.E.; Orlov, K.E.; Khilkevitch, E.M.; Smirnov, A.S.

    2008-01-01

    Fabrication of thin film silicon solar cells on cheap plastics or paper-like substrate requires deposition process at very low substrate temperature, typically ≤ 100 °C. In a chemical vapor deposition process, low growth temperatures lead to materials with low density, high porosity, high disorder

  13. Observation of self-assembled periodic nano-structures induced by femtosecond laser in both ablation and deposition regimes

    Science.gov (United States)

    Tang, Mingzhen; Zhang, Haitao; Her, Tsing-Hua

    2008-02-01

    We observed the spontaneous formation of periodic nano-structures in both femtosecond laser ablation and deposition. The former involved 400-nm femtosecond pulses from a 250-KHz regenerated amplified mode-locked Ti:sapphire laser and periodic nanocracks and the nano-structure are in the form of periodic nanocracks in the substrate, the latter applied an 80-MHz mode-locked Ti:sapphire oscillator with pulse energy less than half nanojoule in a laser-induced chemical vapor deposition configuration and tungsten nanogratings grow heterogeneously on top of the substrates. These two observed periodic nanostructures have opposite orientations respecting to laser polarization: the periodic nanocracks are perpendicular to, whereas the deposited tungsten nanogratings are parallel to laser polarization direction. By translating the substrate respecting to the laser focus, both the periodic nanocrack and tungsten nanograting extend to the whole scanning range. The deposited tungsten nanogratings possess excellent uniformity on both the grating period and tooth length. Both the attributes can be tuned precisely by controlling the laser power and scanning speed. Furthermore, we discovered that the teeth of transverse tungsten nanogratings are self aligned along their axial direction during multiple scanning with appropriate offset between scans. We demonstrate the feasibility of fabricating large-area one-dimensional grating by exploiting such unique property. These distinct phenomena of nanocracks and tungsten nanogratings indicate different responsible mechanisms.

  14. Ion beam modification of structural and optical properties of GeO2 thin films deposited at various substrate temperatures using pulsed laser deposition

    Science.gov (United States)

    Rathore, Mahendra Singh; Vinod, Arun; Angalakurthi, Rambabu; Pathak, A. P.; Singh, Fouran; Thatikonda, Santhosh Kumar; Nelamarri, Srinivasa Rao

    2017-11-01

    High energy heavy ion irradiation-induced modification of high quality crystalline GeO2 thin films grown at different substrate temperatures ranging from 100 to 500 °C using pulsed laser deposition has been investigated. The pristine films were irradiated with 100 MeV Ag7+ ions at fixed fluence of 1 × 1013 ions/cm2. These pristine and irradiated films have been characterized using X-ray diffraction, atomic force microscopy, Raman spectroscopy, Fourier transform infrared and photoluminescence spectroscopy. The XRD and Raman results of pristine films confirm the formation of hexagonal structure of GeO2 films, whereas the irradiation eliminates all the peaks except major GeO2 peak of (101) plane. It is evident from the XRD results that crystallite size changes with substrate temperature and SHI irradiation. The surface morphology of films was studied by AFM. The functional group of pristine and irradiated films was investigated by IR transmission spectra. Pristine films exhibited strong photoluminescence around 342 and 470 nm due to oxygen defects and a red shift in the PL bands is observed after irradiation. Possible mechanism of tuning structural and optical properties of pristine as well as irradiated GeO2 films with substrate temperature and ion beam irradiation has been reported in detail.

  15. Electro-chemical deposition of nano hydroxyapatite-zinc coating on titanium metal substrate.

    Science.gov (United States)

    El-Wassefy, N A; Reicha, F M; Aref, N S

    2017-08-13

    Titanium is an inert metal that does not induce osteogenesis and has no antibacterial properties; it is proposed that hydroxyapatite coating can enhance its bioactivity, while zinc can contribute to antibacterial properties and improve osseointegration. A nano-sized hydroxyapatite-zinc coating was deposited on commercially pure titanium using an electro-chemical process, in order to increase its surface roughness and enhance adhesion properties. The hydroxyapatite-zinc coating was attained using an electro-chemical deposition in a solution composed of a naturally derived calcium carbonate, di-ammonium hydrogen phosphate, with a pure zinc metal as the anode and titanium as the cathode. The applied voltage was -2.5 for 2 h at a temperature of 85 °C. The resultant coating was characterized for its surface morphology and chemical composition using a scanning electron microscope (SEM), energy dispersive x-ray spectroscope (EDS), and Fourier transform infrared (FT-IR) spectrometer. The coated specimens were also evaluated for their surface roughness and adhesion quality. Hydroxyapatite-zinc coating had shown rosette-shaped, homogenous structure with nano-size distribution, as confirmed by SEM analysis. FT-IR and EDS proved that coatings are composed of hydroxyapatite (HA) and zinc. The surface roughness assessment revealed that the coating procedure had significantly increased average roughness (Ra) than the control, while the adhesive tape test demonstrated a high-quality adhesive coat with no laceration on tape removal. The developed in vitro electro-chemical method can be employed for the deposition of an even thickness of nano HA-Zn adhered coatings on titanium substrate and increases its surface roughness significantly.

  16. Substrate-induced strain in carbon nanodisks

    International Nuclear Information System (INIS)

    Osváth, Z.; Vértesy, Z.; Lábár, J.; Nemes-Incze, P.; Horváth, Z.E.; Biró, L.P.

    2014-01-01

    Graphitic nanodisks of typically 20–50 nm in thickness, produced by the so-called Kvaerner Carbon Black and Hydrogen Process were dispersed on gold substrate and investigated by atomic force microscopy (AFM), field emission scanning electron microscopy (FE-SEM), and confocal Raman spectroscopy. The roughness of the gold surface was drastically changed by annealing at 400 °C. AFM measurements show that this change in the surface roughness induces changes also in the topography of the nanodisks, as they closely follow the corrugation of the gold substrate. This leads to strained nanodisks, which is confirmed also by confocal Raman microscopy. We found that the FE-SEM contrast obtained from the disks depends on the working distance used during the image acquisition by In-lens detection, a phenomenon which we explain by the decrease in the amount of electrons reaching the detector due to diffraction. This process may affect the image contrast in the case of other layered materials, like hexagonal boron nitride, and other planar hybrid nanostructures, too. - Highlights: • Bending of carbon nanodisks is induced by the roughness of the gold substrate. • Confocal Raman microscopy shows a compressive strain induced in the nanodisks. • The electron microscopy contrast of nanodisks depends on the working distance

  17. Multifunctional epitaxial systems on silicon substrates

    Energy Technology Data Exchange (ETDEWEB)

    Singamaneni, Srinivasa Rao, E-mail: ssingam@ncsu.edu [Department of Materials Science and Engineering, North Carolina State University, Raleigh, North Carolina 27695 (United States); Materials Science Division, Army Research Office, Research Triangle Park, North Carolina 27709 (United States); Department of Physics, The University of Texas at El Paso, El Paso, Texas 79968 (United States); Prater, John Thomas [Department of Materials Science and Engineering, North Carolina State University, Raleigh, North Carolina 27695 (United States); Materials Science Division, Army Research Office, Research Triangle Park, North Carolina 27709 (United States); Narayan, Jagdish [Department of Materials Science and Engineering, North Carolina State University, Raleigh, North Carolina 27695 (United States)

    2016-09-15

    Multifunctional heterostructures can exhibit a wide range of functional properties, including colossal magneto-resistance, magnetocaloric, and multiferroic behavior, and can display interesting physical phenomena including spin and charge ordering and strong spin-orbit coupling. However, putting this functionality to work remains a challenge. To date, most of the work reported in the literature has dealt with heterostructures deposited onto closely lattice matched insulating substrates such as DyScO{sub 3}, SrTiO{sub 3} (STO), or STO buffered Si(100) using concepts of lattice matching epitaxy (LME). However, strain in heterostructures grown by LME is typically not fully relaxed and the layers contain detrimental defects such as threading dislocations that can significantly degrade the physical properties of the films and adversely affect the device characteristics. In addition, most of the substrates are incompatible with existing CMOS-based technology, where Si (100) substrates dominate. This review discusses recent advances in the integration of multifunctional oxide and non-oxide materials onto silicon substrates. An alternative thin film growth approach, called “domain matching epitaxy,” is presented which identifies approaches for minimizing lattice strain and unwanted defects in large misfit systems (7%–25% and higher). This approach broadly allows for the integration of multifunctional materials onto silicon substrates, such that sensing, computation, and response functions can be combined to produce next generation “smart” devices. In general, pulsed laser deposition has been used to epitaxially grow these materials, although the concepts developed here can be extended to other deposition techniques, as well. It will be shown that TiN and yttria-stabilized zirconia template layers provide promising platforms for the integration of new functionality into silicon-based computer chips. This review paper reports on a number of thin

  18. Electrophoretic deposition (EPD) of multi-walled carbon nano tubes (MWCNT) onto indium-tin-oxide (ITO) glass substrates

    International Nuclear Information System (INIS)

    Mohd Roslie Ali; Shahrul Nizam Mohd Salleh

    2009-01-01

    Full text: Multi-Walled Carbon Nano tubes (MWCNT) were deposited onto Indium-Tin-Oxide (ITO)-coated glass substrates by introducing the use of Electrophoretic Deposition (EPD) as the method. The Multi-Walled Carbon Nano tubes (MWCNT) were dispersed ultrasonically in ethanol and sodium hydroxide (NaOH) to form stable suspension. The addition of Sodium Hydroxide in ethanol can stabilize the suspension, which was very important step before the deposition take place. Two substrates of Indium-Tin-Oxide(ITO)-coated glass placed in parallel facing each other (conductive side) into the suspension. The deposition occurs at room temperature, which the distance fixed at 1 cm between both electrodes and the voltage level applied was fixed at 400 V, respectively. The deposition time also was fixed at 30 minutes. The deposited ITO-Glass with Multi-Walled Carbon Nano tubes (MWCNT) will be characterized using Scanning Electron Microscope (SEM), Atomic Force Microscope (AFM), and Raman Microscope. The images of SEM shows that the Multi -Walled Carbon Nano tubes (MWCNT) were distributed uniformly onto the surface of ITO-Glass. The deposited ITO-Glass with Multi-Walled Carbon Nano tubes (MWCNT) could be the potential material in various practical applications such as field emission devices, fuel cells, and super capacitors. Electrophoretic deposition (EPD) technique was found to be an efficient technique in forming well distribution of Multi-Walled Carbon Nano tubes (MWCNT) onto ITO-Glass substrates, as proved in characterization methods, in which the optimum conditions will play the major role. (author)

  19. Effects of Post- Heat Treatment of Nanocrystalline ZnO Thin Films deposited on Zn-Deposited FTO Substrates

    Energy Technology Data Exchange (ETDEWEB)

    Kim, Ikhyun; Kim, Younggyu; Nam, Giwoong; Leem, Jae-Young [Inje University, Gimhae (Korea, Republic of)

    2015-10-15

    The effects of heat-treatment temperature on the structural and optical properties of ZnO thin films were investigated with field-effect scanning electron microscopy (SEM), X-ray diffraction analysis, and photoluminescence (PL) measurements. The ZnO thin films were grown on Zn-deposited fluorine-doped tin oxide substrates by sol-gel spin coating. The SEM images of the samples showed that their surfaces had a mountain-chain-like structure. The film annealed at 400 ℃ had the highest degree of alignment along the c-axis, and its residual stress was close to zero. The PL spectra of the ZnO thin films consisted of sharp near-band-edge emissions (NBE) and broad deep-level emissions (DLE) in the visible range. The DLE peaks exhibited a green-to-red shift with an increase in the temperature. The highest INBE/IDLE ratio was observed in the film annealed at 400 ℃. Thus, the optimal temperature for growing high-quality ZnO thin films on Zn-deposited FTO substrates is 400 ℃.

  20. Silver nanoparticles deposited on anodic aluminum oxide template using magnetron sputtering for surface-enhanced Raman scattering substrate

    Energy Technology Data Exchange (ETDEWEB)

    Wong-ek, Krongkamol [Nanoscience and Technology Program, Chulalongkorn University, Bangkok 10330 (Thailand); Eiamchai, Pitak; Horprathum, Mati; Patthanasettakul, Viyapol [National Electronics and Computer Technology Center, 112 Thailand Science Park, Phahonyothin Rd., Klong Luang, Pathumthani 12120 (Thailand); Limnonthakul, Puenisara [Department of Physics, Faculty of Science, King Mongkut' s University of Technology Thonburi, Bangkok 10140 (Thailand); Chindaudom, Pongpan [National Electronics and Computer Technology Center, 112 Thailand Science Park, Phahonyothin Rd., Klong Luang, Pathumthani 12120 (Thailand); Nuntawong, Noppadon, E-mail: noppadon.nuntawong@nectec.or.t [National Electronics and Computer Technology Center, 112 Thailand Science Park, Phahonyothin Rd., Klong Luang, Pathumthani 12120 (Thailand)

    2010-09-30

    Low-cost and highly sensitive surface-enhanced Raman scattering (SERS) substrates have been fabricated by a simple anodizing process and a magnetron sputtering deposition. The substrates, which consist of silver nanoparticles embedded on anodic aluminum oxide (AAO) templates, are investigated by a scanning electron microscope and a confocal Raman spectroscopy. The SERS activities are demonstrated by Raman scattering from adsorbed solutions of methylene blue and pyridine on the SERS substrate surface. The most optimized SERS substrate contains the silver nanoparticles, with a size distribution of 10-30 nm, deposited on the AAO template. From a calculation, the SERS enhancement factor is as high as 8.5 x 10{sup 7}, which suggests strong potentials for direct applications in the chemical detection and analyses.

  1. Site control technique for quantum dots using electron beam induced deposition

    Energy Technology Data Exchange (ETDEWEB)

    Iizuka, Kanji; Jung, JaeHun; Yokota, Hiroshi [Nippon Institute of Technology, 4-1 Gakuendai, Miyashiro, Minami-saitama, Saitama 3458501 (Japan)

    2014-05-15

    To develop simple and high throughput sit definition technique for quantum dots (QDs), the electron beam induced deposition (EBID) method was used as desorption guide of phosphorus atoms form InP substrate. As the results one or a few indium (In) droplets (DLs) were created in the carbon grid pattern by thermal annealing at a temperature of 450°C for 10 min in the ultra high vacuum condition. The size of In DLs was larger than QDs, but arsenide DLs by molecular beam in growth chamber emitted wavelength of 1.028μm at 50K by photoluminescence measurement.

  2. Site control technique for quantum dots using electron beam induced deposition

    International Nuclear Information System (INIS)

    Iizuka, Kanji; Jung, JaeHun; Yokota, Hiroshi

    2014-01-01

    To develop simple and high throughput sit definition technique for quantum dots (QDs), the electron beam induced deposition (EBID) method was used as desorption guide of phosphorus atoms form InP substrate. As the results one or a few indium (In) droplets (DLs) were created in the carbon grid pattern by thermal annealing at a temperature of 450°C for 10 min in the ultra high vacuum condition. The size of In DLs was larger than QDs, but arsenide DLs by molecular beam in growth chamber emitted wavelength of 1.028μm at 50K by photoluminescence measurement

  3. Superhydrophobic nanostructured ZnO thin films on aluminum alloy substrates by electrophoretic deposition process

    Energy Technology Data Exchange (ETDEWEB)

    Huang, Ying; Sarkar, D.K., E-mail: dsarkar@uqac.ca; Chen, X-Grant

    2015-02-01

    Graphical abstract: - Highlights: • Fabrication of superhydrophobic ZnO thin films surfaces by electrophoretic deposition process on aluminum substrates. • Effect of bath temperature on the physical and superhydrophobic properties of thin films. • The water contact angle of 155° ± 3 with roll off property has been observed on the film that was grown at bath temperatures of 50 °C. • The activation energy for electrophoretic deposition of SA-functionalized ZnO nanoparticle is calculated to be 0.50 eV. - Abstract: Superhydrophobic thin films have been fabricated on aluminum alloy substrates by electrophoretic deposition (EPD) process using stearic acid (SA) functionalized zinc oxide (ZnO) nanoparticles suspension in alcohols at varying bath temperatures. The deposited thin films have been characterized using both X-ray diffraction (XRD) and infrared (IR) spectroscopy and it is found that the films contain low surface energy zinc stearate and ZnO nanoparticles. It is also observed that the atomic percentage of Zn and O, roughness and water contact angle of the thin films increase with the increase of the deposited bath temperature. Furthermore, the thin film deposited at 50 °C, having a roughness of 4.54 ± 0.23 μm, shows superhydrophobic properties providing a water contact angle of 155 ± 3° with rolling off properties. Also, the activation energy of electrophoretic deposition of stearic-acid-functionalized ZnO nanoparticles is calculated to be 0.5 eV.

  4. Particle Induced X-ray Emission (PIXE) Approach for the Quantification of Thin Al Films

    International Nuclear Information System (INIS)

    Younes, G; Zahraman, K; Nsouli, B; Soueidan, M; Ferro, G

    2008-01-01

    Particle Induced X-ray Emission (PIXE) has been used as a fast and non-destructive technique for sensitive characterization of ultra thin Al films deposited by evaporation onto Si substrate. In this work the PIXE technique was optimized, using proton beam at different energies and different angles of incidence, for the characterization of ultra thin Al films (few nanometers) deposited onto Si substrate. The PIXE results showed that a proton beam of 300 keV under tilting angle of 80 degree permits an accurate determination of Al with high sensitivity within few minutes of acquisition time and a LOD of less than 0.2 nm. The LOD versus energy and tilting angle will be presented and discussed. (author)

  5. Silicon oxide barrier films deposited on PET foils in pulsed plasmas: influence of substrate bias on deposition process and film properties

    International Nuclear Information System (INIS)

    Steves, S; Bibinov, N; Awakowicz, P; Ozkaya, B; Liu, C-N; Ozcan, O; Grundmeier, G

    2013-01-01

    A widely used plastic for packaging, polyethylene terephtalate (PET) offers limited barrier properties against gas permeation. For many applications of PET (from food packaging to micro electronics) improved barrier properties are essential. A silicon oxide barrier coating of PET foils is applied by means of a pulsed microwave driven low-pressure plasma. While the adjustment of the microwave power allows for a control of the ion production during the plasma pulse, a substrate bias controls the energy of ions impinging on the substrate. Detailed analysis of deposited films applying oxygen permeation measurements, x-ray photoelectron spectroscopy and atomic force microscopy are correlated with results from plasma diagnostics describing the deposition process. The influence of a change in process parameters such as gas mixture and substrate bias on the gas temperature, electron density, mean electron energy, ion energy and the atomic oxygen density is studied. An additional substrate bias results in an increase in atomic oxygen density up to a factor of 6, although plasma parameter such as electron density of n e = 3.8 ± 0.8 × 10 17 m −3 and electron temperature of k B T e = 1.7 ± 0.1 eV are unmodified. It is shown that atomic oxygen densities measured during deposition process higher than n O = 1.8 × 10 21 m −3 yield in barrier films with a barrier improvement factor up to 150. Good barrier films are highly cross-linked and show a smooth morphology. (paper)

  6. Molecular dynamics study of growth and interface structure during aluminum deposition on Ni(1 0 0) substrate

    International Nuclear Information System (INIS)

    er, Laboratory of Radiation and Matter, Faculty of Science and Technology, 26000 Settat (Morocco); Univ Hassan 1er, Laboratory LS3M, Faculté Polydisciplinaire of Khouribga, 26000 Settat (Morocco))" data-affiliation=" (Univ Hassan 1er, Laboratory of Radiation and Matter, Faculty of Science and Technology, 26000 Settat (Morocco); Univ Hassan 1er, Laboratory LS3M, Faculté Polydisciplinaire of Khouribga, 26000 Settat (Morocco))" >Hassani, A.; Makan, A.; er, Laboratory LS3M, Faculté Polydisciplinaire of Khouribga, 26000 Settat (Morocco))" data-affiliation=" (Univ Hassan 1er, Laboratory LS3M, Faculté Polydisciplinaire of Khouribga, 26000 Settat (Morocco))" >Sbiaai, K.; er, Laboratory of Radiation and Matter, Faculty of Science and Technology, 26000 Settat (Morocco))" data-affiliation=" (Univ Hassan 1er, Laboratory of Radiation and Matter, Faculty of Science and Technology, 26000 Settat (Morocco))" >Tabyaoui, A.; er, Laboratory LS3M, Faculté Polydisciplinaire of Khouribga, 26000 Settat (Morocco))" data-affiliation=" (Univ Hassan 1er, Laboratory LS3M, Faculté Polydisciplinaire of Khouribga, 26000 Settat (Morocco))" >Hasnaoui, A.

    2015-01-01

    Highlights: • Aluminum thin film growth on Ni(1 0 0) substrate was investigated. • Molecular dynamics simulation based on EAM interaction potential was considered. • Hexagonal and fourfold structures coexisted in the first layer. • Interface mismatch was revealed by wavy effect occurring in both lateral directions. • Film growth followed a layer-by-layer mode only in the first three deposited layers. - Abstract: We investigate aluminum thin film growth on Ni(1 0 0) substrate by means of molecular dynamics simulation. Embedded Atom Method interaction potential is considered. The simulation is performed at 300 K using an incident energy of 1 eV. The substrate-grown film interface shows the coexistence of hexagonal and fourfold structures in the first layer during the initial stage of deposition. As the deposition proceeds, the hexagonal geometry transforms to fourfold one which becomes dominant toward the end of deposition. The coverage of this layer exceeded 100%. Moreover, the deposited Al atoms with fourfold geometry adopt the lattice parameter of Ni as the thickness of deposited film increases. The interface mismatch investigation revealed that the roughness is dictated by how the Al(1 1 1) fits to the Ni(1 0 0) substrate, which may be reflected by a wavy effect occurring in both lateral directions. Furthermore, the film grows by a layer-by-layer mode with a coverage rate greater than 66.7% in the first three layers, while it follows an island mode with a coverage rate lower than the previous value (66.7%) beyond the third layer. Overall, a detailed analysis of each layer growth has established a relationship between the number of deposited atoms and the coverage rate of each layer

  7. Ni-YSZ Substrate Degradation during Carbon Deposition

    Directory of Open Access Journals (Sweden)

    Marinšek, Marjan

    2011-06-01

    Full Text Available Carbon deposition on various Ni-YSZ catalytic composites with average Ni particle size from 0.44 mm to 0.98 μm was studied under dry CH4-Ar and humidified CH4-Ar conditions. The change in the catalytic activity was monitored both as a mass gain due to carbon deposition and hydrogen evolution due to CH4 dehydrogenation on Ni-YSZ. Regarding the start of methane decomposition and subsequent catalyst deactivation rate, composites with smaller Ni-grains were much more active in comparison to those with relatively large grains. Dry methane conditions always caused coking of the catalyst substrate with substantial activity loss. In contrast, under humidified methane atmosphere conditions with a steam to carbon (S/C ratio of 0.82, catalytic activity of the Ni-YSZ composites remained nearly undiminished after 2,000 minutes at chosen deposition temperatures (600–800 °C. On the catalyst surface, some encapsulation of Ni with the deposited carbon was noticed while carbon filaments grew inside the treated samples. The dimensions of C-filaments were influenced by treatment conditions and Ni-YSZ substrate morphology.

    La deposición de carbón en diferentes compuestos catalizadores Ni-YSZ con un tamaño promedio de partícula Ni de 0.44 mm a 0.98 μm fue estudiado bajo condiciones secas: CH4-Ar y húmedas: CH4-Ar. El cambio de la actividad catalítica fue monitoreado tanto como una ganancia de masa debida a la deposición de carbón y una evolución de hidrógeno debido a la deshidrogenación de CH4 en Ni-YSZ. En cuanto al comienzo de descomposición del metano y a la subsiguiente desactivación del catalizador, aquellos compuestos con granos Ni menores fueron mucho más activos en comparación a aquellos con granos relativamente mayores. Las condiciones secas del metano siempre causaron coquificación del sustrato del catalizador con una sustancial pérdida de actividad. Por el

  8. Electrical characteristic of spin coated Fe-Porphyrin on Cu substrates

    Energy Technology Data Exchange (ETDEWEB)

    Utari, E-mail: utari@ugm.ac.id [Department of Physics, Faculty of Mathematics and Natural Sciences, Universitas Sebelas Maret, Jl. Ir. Sutami 36A Kentingan Surakarta 57126 (Indonesia); Department of Physics, Faculty of Mathematics and Natural Sciences, Universitas Gadjah Mada, Bulaksumur BLS 21 Yogyakarta 55281 (Indonesia); Kusumandari,; Purnama, Budi, E-mail: bpurnama@mipa.uns.ac.id [Department of Physics, Faculty of Mathematics and Natural Sciences, Universitas Sebelas Maret, Jl. Ir. Sutami 36A Kentingan Surakarta 57126 (Indonesia); Mudasir [Department of Chemistry, Faculty of Mathematics and Natural Sciences, Universitas Gadjah Mada, Bulaksumur BLS 21 Yogyakarta 55281 (Indonesia); Abraha, Kamsul [Department of Physics, Faculty of Mathematics and Natural Sciences, Universitas Gadjah Mada, Bulaksumur BLS 21 Yogyakarta 55281 (Indonesia)

    2016-06-17

    This paper describes the electrical-characteristics of Fe-Porphyrin thin films on Cu substrates. The thin layer samples used were deposited by spin coating methods on Cu-substrates at room temperature with and without induced magnetic field in the plane direction of the surface films. Fe-porphyrin was dissolved in chloroform and mixed with a magnetic stirrer for 60 min at a rotational speed of 200 rpm. The experimental results show that the mobility carrier charge of the Fe-Porphyrin layer with induced magnetic field during deposition has lower value than that without induced magnetic field case. The decrease of the mobility can be attribute to the change of the surface morphology in Fe-porphyrin films by means of increase in the nano-granular/nano-molecular size caused by the induce magnetic field.

  9. IZO deposited by PLD on flexible substrate for organic heterostructures

    Science.gov (United States)

    Socol, M.; Preda, N.; Stanculescu, A.; Breazu, C.; Florica, C.; Rasoga, O.; Stanculescu, F.; Socol, G.

    2017-05-01

    In:ZnO (IZO) thin films were deposited on flexible plastic substrates by pulsed laser deposition (PLD) method. The obtained layers present adequate optical and electrical properties competitive with those based on indium tin oxide (ITO). The figure of merit (9 × 10-3 Ω-1) calculated for IZO layers demonstrates that high quality coatings can be prepared by this deposition technique. A thermal annealing (150 °C for 1 h) or an oxygen plasma etching (6 mbar for 10 min.) were applied to the IZO layers to evaluate the influence of these treatments on the properties of the transparent coatings. Using vacuum evaporation, organic heterostructures based on cooper phthalocyanine (CuPc) and 3,4,9,10-perylenetetracarboxylic dianhydride (PTCDA) were deposited on the untreated and treated IZO layers. The optical and electrical properties of the heterostructures were investigated by UV-Vis, FTIR and current-voltage ( I- V) measurements. For the heterostructure fabricated on IZO treated in oxygen plasma, an improvement in the current value with at least one order of magnitude was evidenced in the I- V characteristics recorded in dark conditions. Also, an increase in the current value for the heterostructure deposited on untreated IZO layer can be achieved by adding an organic layer such as tris-8-hydroxyquinoline aluminium (Alq3).

  10. Preparation and characterization of nanocrystalline ITO thin films on glass and clay substrates by ion-beam sputter deposition method

    International Nuclear Information System (INIS)

    Venkatachalam, S.; Nanjo, H.; Kawasaki, K.; Wakui, Y.; Hayashi, H.; Ebina, T.

    2011-01-01

    Nanocrystalline indium tin oxide (ITO) thin films were prepared on clay-1 (Clay-TPP-LP-SA), clay-2 (Clay-TPP-SA) and glass substrates using ion-beam sputter deposition method. X-ray diffraction (XRD) patterns showed that the as-deposited ITO films on both clay-1 and clay-2 substrates were a mixture of amorphous and polycrystalline. But the as-deposited ITO films on glass substrates were polycrystalline. The surface morphologies of as-deposited ITO/glass has smooth surface; in contrast, ITO/clay-1 has rough surface. The surface roughnesses of ITO thin films on glass and clay-1 substrate were calculated as 4.3 and 83 nm, respectively. From the AFM and SEM analyses, the particle sizes of nanocrystalline ITO for a film thickness of 712 nm were calculated as 19.5 and 20 nm, respectively. Optical study showed that the optical transmittance of ITO/clay-2 was higher than that of ITO/clay-1. The sheet resistances of as-deposited ITO/clay-1 and ITO/clay-2 were calculated as 76.0 and 63.0 Ω/□, respectively. The figure of merit value for as-deposited ITO/clay-2 (12.70 x 10 -3 /Ω) was also higher than that of ITO/clay-1 (9.6 x 10 -3 /Ω), respectively. The flexibilities of ITO/clay-1 and ITO/clay-2 were evaluated as 13 and 12 mm, respectively. However, the ITO-coated clay-2 substrate showed much better optical and electrical properties as well as flexibility as compared to clay-1.

  11. Effect of deposition rate on melting point of copper film catalyst substrate at atomic scale

    Science.gov (United States)

    Marimpul, Rinaldo; Syuhada, Ibnu; Rosikhin, Ahmad; Winata, Toto

    2018-03-01

    Annealing process of copper film catalyst substrate was studied by molcular dynamics simulation. This copper film catalyst substrate was produced using thermal evaporation method. The annealing process was limited in nanosecond order to observe the mechanism at atomic scale. We found that deposition rate parameter affected the melting point of catalyst substrate. The change of crystalline structure of copper atoms was observed before it had been already at melting point. The optimum annealing temperature was obtained to get the highest percentage of fcc structure on copper film catalyst substrate.

  12. Laser deposition of SmCo thin film and coating on different substrates

    International Nuclear Information System (INIS)

    Allocca, L; Bonavolonta, C; Valentino, M; Giardini, A; Lopizzo, T; Morone, A; Verrastro, M F; Viggiano, V

    2008-01-01

    Thin films and coatings of permanent magnetic materials are very important for different electronic and micromechanical applications. This paper deals with the fabrication, using pulsed laser deposition (PLD) technique, of good quality magnetic SmCo thin films on polycarbonate, steel, silicon and amorphous quartz substrates, for low cost electronic applications like radio frequency identification (RFID) antennas and electromechanical devices for fuel feeding control in the automotive. X-ray fluorescence and magnetic scanning measurements using giant magneto-resistive (GMR) sensors have been performed to study the functional magnetic properties of the deposited thin films.

  13. Thin NiTi Films Deposited on Graphene Substrates

    Science.gov (United States)

    Hahn, S.; Schulze, A.; Böhme, M.; Hahn, T.; Wagner, M. F.-X.

    2017-03-01

    We present experimental results on the deposition of Nickel Titanium (NiTi) films on graphene substrates using a PVD magnetron sputter process. Characterization of the 2-4 micron thick NiTi films by electron microscopy, electron backscatter diffraction, and transmission electron microscopy shows that grain size and orientation of the thin NiTi films strongly depend on the type of combination of graphene and copper layers below. Our experimental findings are supported by density functional theory calculations: a theoretical estimation of the binding energies of different NiTi-graphene interfaces is in line with the experimentally determined microstructural features of the functional NiTi top layer.

  14. Hydroxyapatite thin films synthesized by pulsed laser deposition and magnetron sputtering on PMMA substrates for medical applications

    International Nuclear Information System (INIS)

    Socol, G.; Macovei, A.M.; Miroiu, F.; Stefan, N.; Duta, L.; Dorcioman, G.; Mihailescu, I.N.; Petrescu, S.M.; Stan, G.E.; Marcov, D.A.; Chiriac, A.; Poeata, I.

    2010-01-01

    Functionalized implants represent an advanced approaching in implantology, aiming to improve the biointegration and the long-term success of surgical procedures. We report on the synthesis of hydroxyapatite (HA) thin films on polymethylmetacrylate (PMMA) substrates - used as cranio-spinal implant-type structures - by two alternative methods: pulsed laser deposition (PLD) and radio-frequency magnetron sputtering (MS). The deposition parameters were optimized in order to avoid the substrate overheating. Stoichiometric HA structures were obtained by PLD with incident laser fluences of 1.4-2.75 J/cm 2 , pressures of 30-46.66 Pa and 10 Hz pulses repetition rate. The MS depositions were performed at constant pressure of 0.3 Pa in inert and reactive atmospheres. SEM-EDS, XRD, FTIR and pull-out measurements were performed assessing the apatitic-type structure of the prepared films along with their satisfactory mechanical adhesion. Cell viability, proliferation and adhesion tests in osteosarcoma SaOs2 cell cultures were performed to validate the bioactive behaviour of the structures and to select the most favourable deposition regimes. For PLD, this requires a low fluence of 1.4 J/cm 2 , reduced pressure of water vapours and a 100 o C/4 h thermal treatment. For MS, the best results were obtained for 80% Ar + 20% O 2 reactive atmosphere at low RF power (∼75 W). Cells grown on these coatings exhibit behaviour similar to those grown on the standard borosilicate glass control: increased viability, good proliferation, and optimal cell adhesion. In vitro tests proved that HA/PMMA neurosurgical structures prepared by PLD and MS are compatible for the interaction with human bone cells.

  15. Formation of graphene on BN substrate by vapor deposition method and size effects on its structure

    Science.gov (United States)

    Giang, Nguyen Hoang; Hanh, Tran Thi Thu; Ngoc, Le Nhu; Nga, Nguyen To; Van Hoang, Vo

    2018-04-01

    We report MD simulation of the growth of graphene by the vapor deposition on a two-dimensional hBN substrate. The systems (containing carbon vapor and hBN substrate) are relaxed at high temperature (1500 K), and then it is cooled down to room one (300 K). Carbon atoms interact with the substrate via the Lennard-Jones potential while the interaction between carbon atoms is computed via the Tersoff potential. Depending on the size of the model, different crystalline honeycomb structures have been found. Structural properties of the graphene obtained at 300 K are studied by analyzing radial distribution functions (RDFs), coordination numbers, ring statistics, interatomic distances, bond-angle distributions and 2D visualization of atomic configurations. We find that the models containing various numbers of atoms have a honeycomb structure. Besides, differences in structural properties of graphene formed by the vapor deposition on the substrate and free standing one are found. Moreover, the size effect on the structure is significant.

  16. Fracture characterization of inhomogeneous wrinkled metallic films deposited on soft substrates

    Science.gov (United States)

    Kishida, Hiroshi; Ishizaka, Satoshi; Nagakura, Takumi; Suzuki, Hiroaki; Yonezu, Akio

    2017-12-01

    This study investigated the fracture properties of wrinkled metallic films on a polydimethylsiloxane (PDMS) soft substrate. In particular, the crack density of the wrinkled film during tensile deformation was examined. In order to achieve better deformability of metallic thin films, a method to fabricate a wrinkled thin film on a PDMS soft substrate was first established. The copper (Cu) nano-film fabricated in this study possessed a wrinkled geometry, which plays a critical role in determining the extent of large elastic deformation. To create the wrinkled structure, wet-etching with a polymeric sacrificial layer was used. A sacrificial layer was first deposited onto a silicone rubber sheet. During the curing process of the layer, a compressive strain was applied such that the hardened surface layer buckled, and a wrinkled form was obtained. Subsequently, a PDMS solution was used to cover the layer in order to form a wrinkled PDMS substrate. Finally, the Cu film was deposited onto the wrinkled PDMS, such that the wrinkled Cu film on a soft PDMS substrate was fabricated. The use of uni-axial tensile tests resulted in film crack generation at the stress concentration zone in the wrinkled structure of the films. When the tensile loading was increased, the number of cracks increased. It was found that the increase in crack density was strongly related to the inhomogeneous nature of the wrinkled structure. Such a trend in crack density was investigated using FEM (finite element method) computations, such that this study established a simple mechanical model that may be used to predict the increase in crack density during tensile deformation. This model was verified through several experiments using various wrinkle patterns. The proposed mechanical model may be useful to predict the crack density of a wrinkled metallic film subject to tensile loading.

  17. Effect of elevated substrate temperature deposition on the mechanical losses in tantala thin film coatings

    Science.gov (United States)

    Vajente, G.; Birney, R.; Ananyeva, A.; Angelova, S.; Asselin, R.; Baloukas, B.; Bassiri, R.; Billingsley, G.; Fejer, M. M.; Gibson, D.; Godbout, L. J.; Gustafson, E.; Heptonstall, A.; Hough, J.; MacFoy, S.; Markosyan, A.; Martin, I. W.; Martinu, L.; Murray, P. G.; Penn, S.; Roorda, S.; Rowan, S.; Schiettekatte, F.; Shink, R.; Torrie, C.; Vine, D.; Reid, S.; Adhikari, R. X.

    2018-04-01

    Brownian thermal noise in dielectric multilayer coatings limits the sensitivity of current and future interferometric gravitational wave detectors. In this work we explore the possibility of improving the mechanical losses of tantala, often used as the high refractive index material, by depositing it on a substrate held at elevated temperature. Promising results have been previously obtained with this technique when applied to amorphous silicon. We show that depositing tantala on a hot substrate reduced the mechanical losses of the as-deposited coating, but subsequent thermal treatments had a larger impact, as they reduced the losses to levels previously reported in the literature. We also show that the reduction in mechanical loss correlates with increased medium range order in the atomic structure of the coatings using x-ray diffraction and Raman spectroscopy. Finally, a discussion is included on our results, which shows that the elevated temperature deposition of pure tantala coatings does not appear to reduce mechanical loss in a similar way to that reported in the literature for amorphous silicon; and we suggest possible future research directions.

  18. Effect of substrate temperature on the properties of pyrolytically deposited nitrogen-doped zinc oxide thin films

    Energy Technology Data Exchange (ETDEWEB)

    Golshahi, S., E-mail: golshahi@iaurasht.ac.ir [Department of Physics, Rasht Branch, Islamic Azad University, Rasht (Iran, Islamic Republic of); Rozati, S.M. [Department of Physics, University of Guilan, 41335-1914 Rasht (Iran, Islamic Republic of); Botelho do Rego, A.M. [Centro de Quimica-Fisica Molecular and IN, Technical University of Lisbon, IST 1049-001 Lisboa (Portugal); Wang, J. [School of Materials Science and Engineering, Harbin Institute of Technology, Harbin 150001 (China); Elangovan, E.; Martins, R.; Fortunato, E. [CENIMAT/I3N, Departamento de Ciencia dos Materiais, Faculdade de Ciencias e Tecnologia, FCT, Universidade Nova de Lisboa (UNL), 2829-516 Caparica (Portugal)

    2013-01-01

    Highlights: Black-Right-Pointing-Pointer Hall-effect measurement introduces the optimum temperature of 450 Degree-Sign C for fabricating p-type high quality ZnO films. Black-Right-Pointing-Pointer X-ray photoelectron spectroscopy (XPS) proved the nitrogen presence at the surface of doped ZnO thin films at all substrate temperatures. Black-Right-Pointing-Pointer Films prepared at lower substrate temperature (300 Degree-Sign C and 350 Degree-Sign C) own wider band gaps. Black-Right-Pointing-Pointer Surface roughness strongly is affected by substrate temperature variations. - Abstract: The effect of substrate temperature (T{sub s}) on the properties of pyrolytically deposited nitrogen (N) doped zinc oxide (ZnO) thin films was investigated. The T{sub s} was varied from 300 Degree-Sign C to 500 Degree-Sign C, with a step of 50 Degree-Sign C. The positive sign of Hall coefficient confirmed the p-type conductivity in the films deposited at 450 Degree-Sign C and 500 Degree-Sign C. X-ray diffraction studies confirmed the ZnO structure with a dominant peak from (1 0 0) crystal plane, irrespective of the variation in T{sub s}. The presence of N in the ZnO structure was evidenced through X-ray photoelectron spectroscopy (XPS) analysis. The obtained high N concentration reveals that the 450 Degree-Sign C is the optimal T{sub s}. Atomic force microscope (AFM) analysis showed that the surface roughness was increased with the increasing T{sub s} until 400 Degree-Sign C but then decreased. It is found that the transmittance of the deposited films is increased with the increasing T{sub s}. The optical band gap calculated from the absorption edge showed that the films deposited with T{sub s} of 300 Degree-Sign C and 350 Degree-Sign C possess higher values than those deposited at higher T{sub s}.

  19. Effect of substrate temperature on the properties of pyrolytically deposited nitrogen-doped zinc oxide thin films

    International Nuclear Information System (INIS)

    Golshahi, S.; Rozati, S.M.; Botelho do Rego, A.M.; Wang, J.; Elangovan, E.; Martins, R.; Fortunato, E.

    2013-01-01

    Highlights: ► Hall-effect measurement introduces the optimum temperature of 450 °C for fabricating p-type high quality ZnO films. ► X-ray photoelectron spectroscopy (XPS) proved the nitrogen presence at the surface of doped ZnO thin films at all substrate temperatures. ► Films prepared at lower substrate temperature (300 °C and 350 °C) own wider band gaps. ► Surface roughness strongly is affected by substrate temperature variations. - Abstract: The effect of substrate temperature (T s ) on the properties of pyrolytically deposited nitrogen (N) doped zinc oxide (ZnO) thin films was investigated. The T s was varied from 300 °C to 500 °C, with a step of 50 °C. The positive sign of Hall coefficient confirmed the p-type conductivity in the films deposited at 450 °C and 500 °C. X-ray diffraction studies confirmed the ZnO structure with a dominant peak from (1 0 0) crystal plane, irrespective of the variation in T s . The presence of N in the ZnO structure was evidenced through X-ray photoelectron spectroscopy (XPS) analysis. The obtained high N concentration reveals that the 450 °C is the optimal T s . Atomic force microscope (AFM) analysis showed that the surface roughness was increased with the increasing T s until 400 °C but then decreased. It is found that the transmittance of the deposited films is increased with the increasing T s . The optical band gap calculated from the absorption edge showed that the films deposited with T s of 300 °C and 350 °C possess higher values than those deposited at higher T s .

  20. Study on the Deposition Rate Depending on Substrate Position by Using Ion Beam Sputtering Deposition

    Energy Technology Data Exchange (ETDEWEB)

    Kim, Yonggi; Kim, Bomsok; Lee, Jaesang [Korea Atomic Energy Research Institute, Daejeon (Korea, Republic of)

    2014-05-15

    Ion beams have been used for over thirty years to modify materials in manufacturing of integrated circuits, and improving the corrosion properties of surfaces. Recently, the requirements for ion beam processes are becoming especially challenging in the following areas : ultra shallow junction formation for LSI fabrication, low damage high rate ion beam sputtering and smoothing, high quality functional surface treatment for electrical and optical properties. Ion beam sputtering is an attractive technology for the deposition of thin film coatings onto a broad variety of polymer, Si-wafer, lightweight substrates. Demand for the decoration metal is increasing. In addition, lightweight of parts is important, because of energy issues in the industries. Although a lot of researches have been done with conventional PVD methods for the deposition of metal or ceramic films on the surface of the polymer, there are still adhesion problems.

  1. Influence of substrate bias on the structure and properties of (Ti, Al)N films deposited by filtered cathodic vacuum arc

    International Nuclear Information System (INIS)

    Cheng, Y.H.; Tay, B.K.; Lau, S.P.; Shi, X.

    2001-01-01

    (Ti, Al)N films were deposited by an off-plane, double-bend, filtered cathodic vacuum arc technique in N 2 atmosphere at room temperature. The (Ti, Al)N films deposited are atomically smooth. The influence of substrate negative bias at the wide range (0-1000 V) on the deposition rate, surface morphology, crystal structure, internal stress, and mechanical properties of (Ti, Al)N films were systematically studied. Increasing substrate bias results in the decrease of deposition rate and the increase of surface roughness monotonically. At the bias of 0 V, (Ti, Al)N films are amorphous, and the internal stress, hardness, and Young's modulus for the deposited films are fairly low. With increasing substrate bias to 200 V, single-phase face-centered cubic-type nanocrystalline (Ti, Al)N films can be obtained, and the internal stress, hardness, and Young's modulus increase to the maximum of 7 GPa, 28 GPa, and 240 GPa, respectively. Further increase of substrate bias results in the decrease of intensity and the broadening of x-ray diffraction lines, and the gradual decrease of internal stress, hardness, and Young's modulus in (Ti, Al)N films

  2. Room-temperature deposition of diamond-like carbon field emitter on flexible substrates

    International Nuclear Information System (INIS)

    Chen, H.; Iliev, M.N.; Liu, J.R.; Ma, K.B.; Chu, W.-K.; Badi, N.; Bensaoula, A.; Svedberg, E.B.

    2006-01-01

    Room-temperature fabrication of diamond-like carbon electron field emitters on flexible polyimide substrate is reported. These thin film field emitters are made using an Ar gas cluster ion beam assisted C 6 vapor deposition method. The bond structure of the as-deposited diamond-like carbon film was studied using Raman spectroscopy. The field emission characteristics of the deposited films were also measured. Electron current densities over 15 mA/cm 2 have been recorded under an electrical field of about 65 V/μm. These diamond-like carbon field emitters are easy and inexpensive to fabricate. The results are promising for flexible field-emission fabrication without the need of complex patterning and tip shaping as compared to the Spindt-type field emitters

  3. Copper-vapor-catalyzed chemical vapor deposition of graphene on dielectric substrates

    Science.gov (United States)

    Yang, Chao; Wu, Tianru; Wang, Haomin; Zhang, Xuefu; Shi, Zhiyuan; Xie, Xiaoming

    2017-07-01

    Direct synthesis of high-quality graphene on dielectric substrates is important for its application in electronics. In this work, we report the process of copper-vapor-catalyzed chemical vapor deposition of high-quality and large graphene domains on various dielectric substrates. The copper vapor plays a vital role on the growth of transfer-free graphene. Both single-crystal domains that are much larger than previous reports and high-coverage graphene films can be obtained by adjusting the growth duration. The quality of the obtained graphene was verified to be comparable with that of graphene grown on Cu foil. The progress reported in this work will aid the development of the application of transfer-free graphene in the future.

  4. 3D magnetic nanostructures grown by focused electron and ion beam induced deposition

    Science.gov (United States)

    Fernandez-Pacheco, Amalio

    Three-dimensional nanomagnetism is an emerging research area, where magnetic nanostructures extend along the whole space, presenting novel functionalities not limited to the substrate plane. The development of this field could have a revolutionary impact in fields such as electronics, the Internet of Things or bio-applications. In this contribution, I will show our recent work on 3D magnetic nanostructures grown by focused electron and ion beam induced deposition. This 3D nano-printing techniques, based on the local chemical vapor deposition of a gas via the interaction with electrons and ions, makes the fabrication of complex 3D magnetic nanostructures possible. First, I will show how by exploiting different growth regimes, suspended Cobalt nanowires with modulated diameter can be patterned, with potential as domain wall devices. Afterwards, I will show recent results where the synthesis of Iron-Gallium alloys can be exploited in the field of artificial multiferroics. Moreover, we are developing novel methodologies combining physical vapor deposition and 3D nano-printing, creating Permalloy 3D nanostrips with controllable widths and lengths up to a few microns. This approach has been extended to more complex geometries by exploiting advanced simulation growth techniques combining Monte Carlo and continuum model methods. Throughout the talk, I will show the methodology we are following to characterize 3D magnetic nanostructures, by combining magneto-optical Kerr effect, scanning probe microscopy and electron and X-R magnetic imaging, and I will highlight some of the challenges and opportunities when studying these structures. I acknowledge funding from EPSRC and the Winton Foundation.

  5. Direct-current substrate bias effects on amorphous silicon sputter-deposited films for thin film transistor fabrication

    International Nuclear Information System (INIS)

    Jun, Seung-Ik; Rack, Philip D.; McKnight, Timothy E.; Melechko, Anatoli V.; Simpson, Michael L.

    2005-01-01

    The effect that direct current (dc) substrate bias has on radio frequency-sputter-deposited amorphous silicon (a-Si) films has been investigated. The substrate bias produces a denser a-Si film with fewer defects compared to unbiased films. The reduced number of defects results in a higher resistivity because defect-mediated conduction paths are reduced. Thin film transistors (TFTs) that were completely sputter deposited were fabricated and characterized. The TFT with the biased a-Si film showed lower leakage (off-state) current, higher on/off current ratio, and higher transconductance (field effect mobility) than the TFT with the unbiased a-Si film

  6. Synthesis of functionally graded bioactive glass-apatite multistructures on Ti substrates by pulsed laser deposition

    International Nuclear Information System (INIS)

    Tanaskovic, D.; Jokic, B.; Socol, G.; Popescu, A.; Mihailescu, I.N.; Petrovic, R.; Janackovic, Dj.

    2007-01-01

    Functionally graded glass-apatite multistructures were synthesized by pulsed laser deposition on Ti substrates. We used sintered targets of hydroxyapatite Ca 10 (PO 4 ) 6 (OH) 2 , or bioglasses in the system SiO 2 -Na 2 O-K 2 O-CaO-MgO-P 2 O 5 with SiO 2 content of either 57 wt.% (6P57) or 61 wt.% (6P61). A UV KrF* (λ = 248 nm, τ > 7 ns) excimer laser source was used for the multipulse laser ablation of the targets. The hydroxyapatite thin films were obtained in H 2 O vapors, while the bioglass layers were deposited in O 2 . Thin films of 6P61 were deposited in direct contact with Ti, because Ti and this glass have similar thermal expansion behaviors, which ensure good bioglass adhesion to the substrate. This glass, however, is not bioactive, so yet more depositions of 6P57 bioglass and/or hydroxyapatite thin films were performed. All structures with hydroxyapatite overcoating were post-treated in a flux of water vapors. The obtained multistructures were characterized by various techniques. X-ray investigations of the coatings found small amounts of crystalline hydroxyapatite in the outer layers. The scanning electron microscopy analyses revealed homogeneous coatings with good adhesion to the Ti substrate. Our studies showed that the multistructures we had obtained were compatible with further use in biomimetic metallic implants with glass-apatite coating applications

  7. Method of depositing an electrically conductive oxide film on a textured metallic substrate and articles formed therefrom

    Science.gov (United States)

    Christen, David K.; He, Qing

    2001-01-01

    The present invention provides a biaxially textured laminate article having a polycrystalline biaxially textured metallic substrate with an electrically conductive oxide layer epitaxially deposited thereon and methods for producing same. In one embodiment a biaxially texture Ni substrate has a layer of LaNiO.sub.3 deposited thereon. An initial layer of electrically conductive oxide buffer is epitaxially deposited using a sputtering technique using a sputtering gas which is an inert or forming gas. A subsequent layer of an electrically conductive oxide layer is then epitaxially deposited onto the initial layer using a sputtering gas comprising oxygen. The present invention will enable the formation of biaxially textured devices which include HTS wires and interconnects, large area or long length ferromagnetic and/or ferroelectric memory devices, large area or long length, flexible light emitting semiconductors, ferroelectric tapes, and electrodes.

  8. Iridium Coating Deposited by Double Glow Plasma Technique — Effect of Glow Plasma on Structure of Coating at Single Substrate Edge

    International Nuclear Information System (INIS)

    Wu Wangping; Chen Zhaofeng; Liu Yong

    2012-01-01

    Double glow plasma technique has a high deposition rate for preparing iridium coating. However, the glow plasma can influence the structure of the coating at the single substrate edge. In this study, the iridium coating was prepared by double glow plasma on the surface of single niobium substrate. The microstructure of iridium coating at the substrate edge was observed by scanning electron microscopy. The composition of the coating was confirmed by energy dispersive spectroscopy and X-ray diffraction. There was a boundary between the coating and the substrate edge. The covered area for the iridium coating at the substrate edge became fewer and fewer from the inner area to the outer flange-area. The bamboo sprout-like particles on the surface of the substrate edge were composed of elemental niobium. The substrate edge was composed of the Nb coating and there was a transition zone between the Ir coating and the Nb coating. The interesting phenomenon of the substrate edge could be attributed to the effects of the bias voltages and the plasma cloud in the deposition chamber. The substrate edge effect could be mitigated or eliminated by adding lots of small niobium plates around the substrate in a deposition process. (plasma technology)

  9. Direct dry transfer of chemical vapor deposition graphene to polymeric substrates

    OpenAIRE

    Fechine, Guilhermino J. M.; Martin-Fernandez, Inigo; Yiapanis, George; de Oliveira, Ricardo V. Bof; Hu, Xiao; Yarovsky, Irene; Neto, Antonio H. Castro; Ozyilmaz, Barbaros

    2014-01-01

    We demonstrate the direct dry transfer of large area Chemical Vapor Deposition graphene to several polymers (low density polyethylene, high density polyethylene, polystyrene, polylactide acid and poly(vinylidenefluoride-co-trifluoroethylene) by means of only moderate heat and pressure, and the later mechanical peeling of the original graphene substrate. Simulations of the graphene-polymer interactions, rheological tests and graphene transfer at various experimental conditions show that contro...

  10. Robust plasmonic substrates

    DEFF Research Database (Denmark)

    Kostiučenko, Oksana; Fiutowski, Jacek; Tamulevicius, Tomas

    2014-01-01

    Robustness is a key issue for the applications of plasmonic substrates such as tip-enhanced Raman spectroscopy, surface-enhanced spectroscopies, enhanced optical biosensing, optical and optoelectronic plasmonic nanosensors and others. A novel approach for the fabrication of robust plasmonic...... substrates is presented, which relies on the coverage of gold nanostructures with diamond-like carbon (DLC) thin films of thicknesses 25, 55 and 105 nm. DLC thin films were grown by direct hydrocarbon ion beam deposition. In order to find the optimum balance between optical and mechanical properties...

  11. Pulsed laser deposition of SiC thin films at medium substrate temperatures

    International Nuclear Information System (INIS)

    Katharria, Y.S.; Kumar, Sandeep; Choudhary, R.J.; Prakash, Ram; Singh, F.; Lalla, N.P.; Phase, D.M.; Kanjilal, D.

    2008-01-01

    Systematic studies of thin silicon carbide (SiC) films deposited on Si (100) substrates using pulsed laser deposition technique at room temperature, 370 deg. C and 480 deg. C are carried out. X-ray photoelectron spectroscopy showed the formation of SiC bonds in the films at these temperatures along with some graphitic carbon clusters. Fourier transform infrared analysis also confirmed the formation of SiC nanocrystallites in the films. Transmission electron microscopy and electron diffraction were used to study the structural properties of nanocrystallites formed in the films. Surface morphological analysis using atomic force microscopy revealed the growth of smooth films

  12. Preparation of Stable Superhydrophobic Coatings on Wood Substrate Surfaces via Mussel-Inspired Polydopamine and Electroless Deposition Methods

    Directory of Open Access Journals (Sweden)

    Kaili Wang

    2017-06-01

    Full Text Available Mussel-inspired polydopamine (PDA chemistry and electroless deposition approaches were used to prepare stable superhydrophobic coatings on wood surfaces. The as-formed PDA coating on a wood surface exhibited a hierarchical micro/nano roughness structure, and functioned as an “adhesive layer” between the substrate and a metallic film by the metal chelating ability of the catechol moieties on PDA, allowing for the formation of a well-developed micro/nanostructure hierarchical roughness. Additionally, the coating acted as a stable bridge between the substrate and hydrophobic groups. The morphology and chemical components of the prepared superhydrophobic wood surfaces were characterized by scanning electron microscopy (SEM, Fourier transform infrared (FT-IR spectroscopy, and X-ray photoelectron spectroscopy (XPS. The PDA and octadecylamine (OA modified surface showed excellent superhydrophobicity with a water contact angle (CA of about 153° and a rolling angle (RA of about 9°. The CA further increased to about 157° and RA reduced to about 5° with the Cu metallization. The superhydrophobic material exhibited outstanding stability in harsh conditions including ultraviolet aging, ultrasonic washing, strong acid-base and organic solvent immersion, and high-temperature water boiling. The results suggested that the PDA/OA layers were good enough to confer robust, degradation-resistant superhydrophobicity on wood substrates. The Cu metallization was likely unnecessary to provide significant improvements in superhydrophobic property. However, due to the amazing adhesive capacity of PDA, the electroless deposition technique may allow for a wide range of potential applications in biomimetic materials.

  13. Influence of annealing temperature on structural and magnetic properties of pulsed laser-deposited YIG films on SiO2 substrate

    Science.gov (United States)

    Nag, Jadupati; Ray, Nirat

    2018-05-01

    Yttrium Iron Garnet (Y3Fe5O12) was synthesized by solid state/ceramic process. Thin films of YIG were deposited on SiO2 substrate at room temperature(RT) and at substrate temperature (Ts) 700 °C using pulsed laser deposition (PLD) technique. RT deposited thin films are amorphous in nature and non-magnetic. After annealing at temperature 800 ° RT deposited thin films showed X-ray peaks as well as the magnetic order. Magnetic ordering is enhanced by annealing temperature(Ta ≥ 750 °C) and resulted good quality of films with high magnetization value.

  14. Substrate temperature effects on the structure and properties of ZnMnO films prepared by pulsed laser deposition

    Science.gov (United States)

    Riascos, H.; Duque, J. S.; Orozco, S.

    2017-01-01

    ZnMnO thin films were grown on silicon substrates by pulsed laser deposition (PLD). Pulsed Nd:YAG laser was operated at a wavelength of 1064 nm and 100 mJ. ZnMnO thin films were deposited at the vacuum pressure of 10-5 Torr and with substrate temperature from room temperature to 600 °C. The effects of substrate temperature on the structural and Optical properties of ZnMnO thin films have been investigated by X-ray diffraction (XRD), Raman spectroscopy and Uv-vis spectroscopy. From XRD data of the samples, it can be showed that temperature substrate does not change the orientation of ZnMnO thin films. All the films prepared have a hexagonal wurtzite structure, with a dominant (002) peak around 2θ=34.44° and grow mainly along the c-axis orientation. The substrate temperature improved the crystallinity of the deposited films. Uv-vis analysis showed that, the thin films exhibit high transmittance and low absorbance in the visible region. It was found that the energy band to 300 ° C is 3.2 eV, whereas for other temperatures the values were lower. Raman reveals the crystal quality of ZnMnO thin films.

  15. Analyzing the LiF thin films deposited at different substrate temperatures using multifractal technique

    Energy Technology Data Exchange (ETDEWEB)

    Yadav, R.P. [Department of Physics, University of Allahabad, Allahabad, UP 211002 (India); Dwivedi, S., E-mail: suneetdwivedi@gmail.com [K Banerjee Centre of Atmospheric and Ocean Studies, University of Allahabad, Allahabad, UP 211002 (India); Mittal, A.K. [Department of Physics, University of Allahabad, Allahabad, UP 211002 (India); K Banerjee Centre of Atmospheric and Ocean Studies, University of Allahabad, Allahabad, UP 211002 (India); Kumar, Manvendra [Nanotechnology Application Centre, University of Allahabad, Allahabad, UP 211002 (India); Pandey, A.C. [K Banerjee Centre of Atmospheric and Ocean Studies, University of Allahabad, Allahabad, UP 211002 (India); Nanotechnology Application Centre, University of Allahabad, Allahabad, UP 211002 (India)

    2014-07-01

    The Atomic Force Microscopy technique is used to characterize the surface morphology of LiF thin films deposited at substrate temperatures 77 K, 300 K and 500 K, respectively. It is found that the surface roughness of thin film increases with substrate temperature. The multifractal nature of the LiF thin film at each substrate temperature is investigated using the backward two-dimensional multifractal detrended moving average analysis. The strength of multifractility and the non-uniformity of the height probabilities of the thin films increase as the substrate temperature increases. Both the width of the multifractal spectrum and the difference of fractal dimensions of the thin films increase sharply as the temperature reaches 500 K, indicating that the multifractility of the thin films becomes more pronounced at the higher substrate temperatures with greater cluster size. - Highlights: • Analyzing LiF thin films using multifractal detrended moving average technique • Surface roughness of LiF thin film increases with substrate temperature. • LiF thin films at each substrate temperature exhibit multifractality. • Multifractility becomes more pronounced at the higher substrate temperatures.

  16. Aluminum oxide barrier coating on polyethersulfone substrate by atomic layer deposition for barrier property enhancement

    International Nuclear Information System (INIS)

    Kim, Hyun Gi; Kim, Sung Soo

    2011-01-01

    Aluminum oxide layers were deposited on flexible polyethersulfone (PES) substrates via plasma enhanced atomic layer deposition (PEALD) process using trimethylaluminum (TMA) and oxygen as precursor and reactant materials. Several process parameters in PEALD process were investigated in terms of refractive index and layer thickness. Number of process cycle increased the thickness and refractive index of the layer to enhance the barrier properties. Non-physisorbed TMA and unreacted oxygen were purged before and after the plasma reaction, respectively. Identical purge time was applied to TMA and oxygen and it was optimized for 10 s. Thinner and denser layer was formed as substrate temperature increased. However, the PES substrate could be deformed above 120 o C. Aluminum oxide layer formed on PES at optimized conditions have 11.8 nm of thickness and reduced water vapor transmission rate and oxygen transmission rate to below 4 x 10 -3 g/m 2 day and 4 x 10 -3 cm 3 /m 2 day, respectively. Polycarbonate and polyethylene naphthalate films were also tested at optimized conditions, and they also showed quite appreciable barrier properties to be used as plastic substrates.

  17. Initiated chemical vapor deposition of pH responsive poly(2-diisopropylamino)ethyl methacrylate thin films

    Energy Technology Data Exchange (ETDEWEB)

    Karaman, Mustafa, E-mail: karamanm@selcuk.edu.tr [Department of Chemical Engineering, Selcuk University (Turkey); Advanced Technology Research and Application Center, Selcuk University (Turkey); Cabuk, Nihat [Department of Chemical Engineering, Selcuk University (Turkey)

    2012-08-31

    Poly(2-(diisopropylamino)ethyl methacrylate) (PDPAEMA) thin films were deposited on low temperature substrates by initiated chemical vapor deposition (iCVD) method using tertbutyl peroxide as an initiator. Very high deposition rates up to 38 nm/min were observed at low filament temperatures due to the use of the initiator. Fourier transform infrared spectroscopy and X-ray photoelectron spectroscopy show the formation of PDPAEMA films with high retention of tertiary amine functionality which is responsible for pH induced changes in the wetting behavior of the surfaces. As-deposited PDPAEMA thin films on flat Si surface showed a reversible switching of water contact angle values between 87 Degree-Sign and 28 Degree-Sign ; after successive treatments of high and low pH water solutions, respectively. Conformal and non-damaging nature of iCVD allowed to functionalize fragile and rough electrospun poly(methyl methacrylate) fiber mat surfaces by PDPAEMA, which creates a surface with a switching behavior between superhydrophobic and approaching superhydrophilic with contact angle values of 155 {+-} 3 Degree-Sign and 22 {+-} 5 Degree-Sign , respectively. - Highlights: Black-Right-Pointing-Pointer Poly(2-diisopropylaminoethyl methacrylate) thin films were deposited by a dry process. Black-Right-Pointing-Pointer Initiated chemical vapor deposition can produce thin films on fragile substrates. Black-Right-Pointing-Pointer We report a reversible pH-induced transition from hydrophilic to super-hydrophobic.

  18. Characteristics of tungsten oxide thin films prepared on the flexible substrates using pulsed laser deposition

    International Nuclear Information System (INIS)

    Suda, Yoshiaki; Kawasaki, Hiroharu; Ohshima, Tamiko; Yagyuu, Yoshihito

    2008-01-01

    Tungsten trioxide (WO 3 ) thin films have been prepared on the flexible indium tin oxide (ITO) substrates by pulsed laser deposition (PLD) using WO 3 targets in oxygen gas. Color of the WO 3 film on the flexible ITO substrates depends on the oxygen gas mixture. The plasma plume produced by PLD using a Nd:YAG laser and WO 3 target is investigated by temporal and spatial-resolved optical emission spectroscopy. WO 3 films prepared on the flexible ITO substrates show electrochromic properties, even when the substrates are bent. The film color changes from blue to transparent within 10-20 s after the applied DC voltage is turned off

  19. Cobalt coatings: deposition on a nickel substrate and electrocatalytic activity for alkaline water electrolysis

    Energy Technology Data Exchange (ETDEWEB)

    Brossard, L. (Inst. de Recherche d' Hydro-Quebec (IREQ), Varennes, Quebec (Canada))

    1992-02-01

    The deposition of cobalt on a nickel substrate in 30 wt% KOH aqueous solution containing dissolved cobalt was investigated. The effect of the applied cathodic current density (i{sub a}) and the dissolved-cobalt concentration in the electrolyte on the deposition rate suggests that the rate-determining step is the diffusion of the dissolved cobalt in the solution. The faradic efficiency of the cobalt deposition reaction and the coating morphology are linked to i{sub a}, while the evolution rate of both oxygen and hydrogen in 30 wt% KOH at 70degC is dependent on the coating morphology. (orig.).

  20. Deposition of MgB2 Thin Films on Alumina-Buffered Si Substrates by using Hybrid Physical-Chemical Vapor Deposition Method

    International Nuclear Information System (INIS)

    Lee, T. G.; Park, S. W.; Seong, W. K.; Huh, J. Y.; Jung, S. G.; Kang, W. N.; Lee, B. K.; An, K. S.

    2008-01-01

    [ MgB 2 ] thin films were fabricated using hybrid physical-chemical vapor deposition (HPCVD) method on silicon substrates with buffers of alumina grown by using atomic layer deposition method. The growth war in a range of temperatures 500 - 600 degrees C and under the reactor pressures of 25 - 50 degrees C. There are some interfacial reactions in the as-grown films with impurities of mostly Mg 2 Si, MgAl 2 O 4 , and other phases. The T c 's of MgB 2 films were observed to be as high as 39 K, but the transition widths were increased with growth temperatures. The magnetization was measured as a function of temperature down to the temperature of 5 K, but the complete Meissner effect was not observed, which shows that the granular nature of weak links is prevailing. The formation of mostly Mg 2 Si impurity in HPCVD process is discussed, considering the diffusion and reaction of Mg vapor with silicon substrates.

  1. A chemical genetic approach to engineer phototropin kinases for substrate labeling.

    Science.gov (United States)

    Schnabel, Jonathan; Hombach, Peter; Waksman, Thomas; Giuriani, Giovanni; Petersen, Jan; Christie, John M

    2018-04-13

    Protein kinases (PKs) control many aspects of plant physiology by regulating signaling networks through protein phosphorylation. Phototropins (phots) are plasma membrane-associated serine/threonine PKs that control a range of physiological processes that collectively serve to optimize photosynthetic efficiency in plants. These include phototropism, leaf positioning and flattening, chloroplast movement, and stomatal opening. Despite their identification over two decades ago, only a handful of substrates have been identified for these PKs. Progress in this area has been hampered by the lack of a convenient means to confirm the identity of potential substrate candidates. Here we demonstrate that the kinase domain of Arabidopsis phot1 and phot2 can be successfully engineered to accommodate non-natural ATP analogues by substituting the bulky gatekeeper residue threonine for glycine. This approach circumvents the need for radioactivity to track phot kinase activity and follow light-induced receptor autophosphorylation in vitro by incorporating thiophosphate from N 6 -benzyl-ATPγS. Consequently, thiophosphorylation of phot substrate candidates can be readily monitored when added or co-expressed with phots in vitro Furthermore, gatekeeper-modified phot1 retained its functionality and its ability to accommodate N 6 -benzyl-ATPγS as a phosphodonor when expressed in Arabidopsis We therefore anticipate that this chemical genetic approach will provide new opportunities for labeling and identifying substrates for phots and other related AGC kinases under in vitro and near-native in vivo conditions. © 2018 Schnabel et al.

  2. Deposition of highly oriented (K,Na)NbO3 films on flexible metal substrates

    DEFF Research Database (Denmark)

    Grivel, Jean-Claude; Thydén, Karl; Bowen, Jacob R.

    2018-01-01

    In view of developing flexible, highly textured Pb-free piezoelectric thin films, (K,Na)NbO3 was deposited by chemical solution deposition on cube-textured Ni-W alloy substrates. After heat treatment, a strong (001)pc out-of-plane preferential orientation is created in the (K,Na)NbO3 layer, which...

  3. Properties of TiO{sub 2} thin films deposited by rf reactive magnetron sputtering on biased substrates

    Energy Technology Data Exchange (ETDEWEB)

    Nezar, Sawsen, E-mail: snezar@cdta.dz [Equipe Plasma & Applications, Division des Milieux Ionisés et Lasers, Centre de Développement des Technologies Avancées, Cité du 20 Aout 1956, Baba Hassen, Alger (Algeria); Laboratoire des phénomènes de transfert, génie chimique, Faculté de Génie des procèdes, USTHB, BP 32 El-alia, Bab Ezzouar, Alger (Algeria); Saoula, Nadia [Equipe Plasma & Applications, Division des Milieux Ionisés et Lasers, Centre de Développement des Technologies Avancées, Cité du 20 Aout 1956, Baba Hassen, Alger (Algeria); Sali, Samira [Centre de Recherche en Technologie des Semi-conducteurs pour l’Energétique (CRTSE Algiers) (Algeria); Faiz, Mohammed; Mekki, Mogtaba [Physics Department, King Fahd University of Petroleum and Minerals, Dhahran (Saudi Arabia); Laoufi, Nadia Aïcha [Laboratoire des phénomènes de transfert, génie chimique, Faculté de Génie des procèdes, USTHB, BP 32 El-alia, Bab Ezzouar, Alger (Algeria); Tabet, Nouar [Qatar Environment and Energy Research Institute, Hamad Bin Khalifa University (HBKU), Doha (Qatar)

    2017-02-15

    Highlights: • TiO{sub 2} thin films were deposited on negatively biased substrates by rf magnetron sputtering technique. • The bias favors the formation of TiO{sub 2} crystalline phase. • The roughness of the films increases and the grain size decreases as the bias voltage is varied between (0 and −100 V). • XPS reveals the presence of adsorbed humidity of the surface and Ti{sup 4+} oxidation state in the as prepared samples. - Abstract: TiO{sub 2} thin films are of paramount importance due to their pervasive applications. In contrast to previous published works where the substrate was heated at high temperatures to obtain TiO{sub 2} crystalline phase, we show in this study that it is possible to deposit crystalline TiO{sub 2} thin films on biased and unbiased substrate at room temperature using reactive rf magnetron sputtering. The bias voltage was varied from 0 V to −100 V. The deposited films were characterized using X-ray diffraction (XRD), Fourier transform infrared spectroscopy (FTIR), UV–vis spectroscopy, Raman spectroscopy, X-ray Photoelectron Spectroscopy (XPS) and atomic force microscopy (AFM). The average crystallite size was estimated using x-ray diffraction. The results showed that the application of negative bias affects the surface roughness of the films and favors the formation of the rutile phase. The root mean square roughness (R{sub rms}), the average grain size and the optical band gap of the films decreased as the substrate bias voltage was varied from 0 to −100 V. The UV–visible transmittance spectra showed that the films were transparent in the visible range and absorb strongly in the UV range. This study shows that biasing the substrate could be a promising and effective alternative to deposit TiO{sub 2} crystallized thin films of engineered properties at room temperature.

  4. Analysis of signals propagating in a phononic crystal PZT layer deposited on a silicon substrate.

    Science.gov (United States)

    Hladky-Hennion, Anne-Christine; Vasseur, Jérôme; Dubus, Bertrand; Morvan, Bruno; Wilkie-Chancellier, Nicolas; Martinez, Loïc

    2013-12-01

    The design of a stop-band filter constituted by a periodically patterned lead zirconate titanate (PZT) layer, polarized along its thickness, deposited on a silicon substrate and sandwiched between interdigitated electrodes for emission/reception of guided elastic waves, is investigated. The filter characteristics are theoretically evaluated by using finite element simulations: dispersion curves of a patterned PZT layer with a specific pattern geometry deposited on a silicon substrate present an absolute stop band. The whole structure is modeled with realistic conditions, including appropriate interdigitated electrodes to propagate a guided mode in the piezoelectric layer. A robust method for signal analysis based on the Gabor transform is applied to treat transmitted signals; extract attenuation, group delays, and wave number variations versus frequency; and identify stop-band filter characteristics.

  5. Electron beam induced deposition of silacyclohexane and dichlorosilacyclohexane: the role of dissociative ionization and dissociative electron attachment in the deposition process

    Directory of Open Access Journals (Sweden)

    Ragesh Kumar T P

    2017-11-01

    Full Text Available We present first experiments on electron beam induced deposition of silacyclohexane (SCH and dichlorosilacyclohexane (DCSCH under a focused high-energy electron beam (FEBID. We compare the deposition dynamics observed when growing pillars of high aspect ratio from these compounds and we compare the proximity effect observed for these compounds. The two precursors show similar behaviour with regards to fragmentation through dissociative ionization in the gas phase under single-collision conditions. However, while DCSCH shows appreciable cross sections with regards to dissociative electron attachment, SCH is inert with respect to this process. We discuss our deposition experiments in context of the efficiency of these different electron-induced fragmentation processes. With regards to the deposition dynamics, we observe a substantially faster growth from DCSCH and a higher saturation diameter when growing pillars with high aspect ratio. However, both compounds show similar behaviour with regards to the proximity effect. With regards to the composition of the deposits, we observe that the C/Si ratio is similar for both compounds and in both cases close to the initial molecular stoichiometry. The oxygen content in the DCSCH deposits is about double that of the SCH deposits. Only marginal chlorine is observed in the deposits of from DCSCH. We discuss these observations in context of potential approaches for Si deposition.

  6. Excimer pulsed laser deposition and annealing of YSZ nanometric films on Si substrates

    International Nuclear Information System (INIS)

    Caricato, A.P.; Barucca, G.; Di Cristoforo, A.; Leggieri, G.; Luches, A.; Majni, G.; Martino, M.; Mengucci, P.

    2005-01-01

    We report experimental results obtained for electrical and structural characteristics of yttria-stabilised zirconia (YSZ) thin films deposited by pulsed laser deposition (PLD) on Si substrates at room temperature. Some samples were submitted to thermal treatments in different ambient atmospheres (vacuum, N 2 and O 2 ) at a moderate temperature. The effects of thermal treatments on the film electrical properties were studied by C-V and I-V measurements. Structural characteristics were obtained by X-ray diffraction (XRD), X-ray reflectivity (XRR) and transmission electron microscopy (TEM) analyses. The as-deposited film was amorphous with an in-depth non-uniform density. The annealed films became polycrystalline with a more uniform density. The sample annealed in O 2 was uniform over all the thickness. Electrical characterisation showed large hysteresis, high leakage current and positive charges trapped in the oxide in the as-deposited film. Post-deposition annealing, especially in O 2 atmosphere, improved considerably the electrical properties of the films

  7. Ag films deposited on Si and Ti: How the film-substrate interaction influences the nanoscale film morphology

    Science.gov (United States)

    Ruffino, F.; Torrisi, V.

    2017-11-01

    Submicron-thick Ag films were sputter deposited, at room temperature, on Si, covered by the native SiO2 layer, and on Ti, covered by the native TiO2 layer, under normal and oblique deposition angle. The aim of this work was to study the morphological differences in the grown Ag films on the two substrates when fixed all the other deposition parameters. In fact, the surface diffusivity of the Ag adatoms is different on the two substrates (higher on the SiO2 surface) due to the different Ag-SiO2 and Ag-TiO2 atomic interactions. So, the effect of the adatoms surface diffusivity, as determined by the adatoms-substrate interaction, on the final film morphology was analyzed. To this end, microscopic analyses were used to study the morphology of the grown Ag films. Even if the homologous temperature prescribes that the Ag film grows on both substrates in the zone I described by the structure zone model some significant differences are observed on the basis of the supporting substrate. In the normal incidence condition, on the SiO2/Si surface a dense close-packed Ag film exhibiting a smooth surface is obtained, while on the TiO2/Ti surface a more columnar film morphology is formed. In the oblique incidence condition the columnar morphology for the Ag film occurs both on SiO2/Si and TiO2/Ti but a higher porous columnar film is obtained on TiO2/Ti due to the lower Ag diffusivity. These results indicate that the adatoms diffusivity on the substrate as determined by the adatom-surface interaction (in addition to the substrate temperature) strongly determines the final film nanostructure.

  8. The effect of substrate bias on titanium carbide/amorphous carbon nanocomposite films deposited by filtered cathodic vacuum arc

    International Nuclear Information System (INIS)

    Zhang, Xu; Liang, Hong; Wu, Zhenglong; Wu, Xiangying; Zhang, Huixing

    2013-01-01

    The titanium carbide/amorphous carbon nanocomposite films have been deposited on silicon substrate by filtered cathodic vacuum arc (FCVA) technology, the effects of substrate bias on composition, structures and mechanical properties of the films are studied by scanning electron spectroscopy, X-ray diffraction and X-ray photoelectron spectroscopy and nano-indentation. The results show that the Ti content, deposition rate and hardness at first increase and then decrease with increasing the substrate bias. Maximum hardness of the titanium carbide/amorphous carbon nanocomposite film is 51 Gpa prepared at −400 V. The hardness enhancement may be attributed to the compressive stress and the fraction of crystalline TiC phase due to ion bombardment

  9. Low temperature ITO thin film deposition on PES substrate using pulse magnetron sputtering

    International Nuclear Information System (INIS)

    Lin, Y.C.; Li, J.Y.; Yen, W.T.

    2008-01-01

    Experiments were conducted using pulse magnetron sputtering (PMS) to deposit transparent conducting indium tin oxide (ITO) thin film onto flexible polyethersulfone (PES) plastic substrates. The thin film microstructure, optoelectronic and residual stress were analyzed using the modulating PMS power, work pressure, pulse frequency, duty cycle and cycle time process parameters. The residual stress of the thin film was determined by scanning electron microscopy (SEM) combined with the Sony equation. The experimental results show that PMS has a lower process temperature, higher deposition rate and lower resistivity compared with the radio frequency process at the same output power. The duty cycle increase produces the optimum optoelectronic characteristics. When the pressure, power, duty cycle and sputter time are increased, the thin film stress will also increase, causing flexural distortion in the PES plastic substrate. When the deposition thickness reaches 1.5 μm, ITO thin film will appear with a distinct split. Under 5 mtorr work pressure, 60 W power, 33 μs duty time and 2 μs pulse reverse time at duty cycle 95%, thin film with an optimized electrical 3.0 x 10 -4 Ω-cm, RMS surface roughness of 0.85 nm and visible region optical transmittance will be achieved with acquisition of over 85%

  10. Influence of substrate bias voltage on structure and properties of the CrAlN films deposited by unbalanced magnetron sputtering

    Science.gov (United States)

    Lv, Yanhong; Ji, Li; Liu, Xiaohong; Li, Hongxuan; Zhou, Huidi; Chen, Jianmin

    2012-02-01

    The CrAlN films were deposited on silicon and stainless steel substrates by unbalanced magnetron sputtering system. The influence of substrate bias on deposition rate, composition, structure, morphology and properties of the CrAlN films was investigated. The results showed that, with the increase of the substrate bias voltage, the deposition rate decreased accompanied by a change of the preferred orientation of the CrAlN film from (2 2 0) to (2 0 0). The grain size and the average surface roughness of the CrAlN films declined as the bias voltage increases above -100 V. The morphology of the films changed from obviously columnar to dense glass-like structure with the increase of the bias voltage from -50 to -250 V. Meanwhile, the films deposited at moderate bias voltage had better mechanical and tribological properties, while the films deposited at higher bias voltage showed better corrosion resistance. It was found that the corrosion resistance improvement was not only attributed to the low pinhole density of the film, but also to chemical composition of films.

  11. Self-organized nickel nanoparticles on nanostructured silicon substrate intermediated by a titanium oxynitride (TiNxOy) interface

    Science.gov (United States)

    Morales, M.; Droppa, R., Jr.; de Mello, S. R. S.; Figueroa, C. A.; Zanatta, A. R.; Alvarez, F.

    2018-01-01

    In this work we report an experimental approach by combining in situ sequential top-down and bottom-up processes to induce the organization of nanosized nickel particles. The top-down process consists in xenon ion bombardment of a crystalline silicon substrate to generate a pattern, followed by depositing a ˜15 nm titanium oxynitride thin film to act as a metallic diffusion barrier. Then, metallic nanoparticles are deposited by argon ion sputtering a pure nickel target, and the sample is annealed to promote the organization of the nickel nanoparticles (a bottom-up process). According to the experimental results, the surface pattern and the substrate biaxial surface strain are the driving forces behind the alignment and organization of the nickel nanoparticles. Moreover, the ratio between the F of metallic atoms arriving at the substrate relative to its surface diffusion mobility determines the nucleation regime of the nickel nanoparticles. These features are presented and discussed considering the existing technical literature on the subject.

  12. Remote plasma enhanced chemical deposition of non-crystalline GeO2 on Ge and Si substrates.

    Science.gov (United States)

    Lucovsky, Gerald; Zeller, Daniel

    2011-09-01

    Non-crystalline GeO2 films remote were plasma deposited at 300 degrees C onto Ge substrates after a final rinse in NH4OH. The reactant precursors gas were: (i) down-stream injected 2% GeH4 in He as the Ge precursor, and (ii) up-stream, plasma excited O2-He mixtures as the O precursor. Films annealed at 400 degrees C displayed no evidence for loss of O resulting in Ge sub-oxide formation, and for a 5-6 eV mid-gap absorption associated with formation of GeOx suboxide bonding, x deposited on Ge and annealed at 600 degrees C and 700 degrees C display spectra indicative of loss of O-atoms, accompanied with a 5.5 eV absorption. X-ray absorption spectroscopy and many-electron theory are combined to describe symmetries and degeneracies for O-vacancy bonding defects. These include comparisons with remote plasma-deposited non-crystalline SiO2 on Si substrates with SiON interfacial layers. Three different properties of remote plasma GeO2 films are addressed comparisons between (i) conduction band and band edge states of GeO2 and SiO2, and (ii) electronic structure of O-atom vacancy defects in GeO2 and SiO2, and differences between (iii) annealing of GeO2 films on Ge substrates, and Si substrates passivated with SiON interfacial transition regions important for device applications.

  13. Magnetic properties of Pr-Fe-B thick-film magnets deposited on Si substrates with glass buffer layer

    Science.gov (United States)

    Nakano, M.; Kurosaki, A.; Kondo, H.; Shimizu, D.; Yamaguchi, Y.; Yamashita, A.; Yanai, T.; Fukunaga, H.

    2018-05-01

    In order to improve the magnetic properties of PLD-made Pr-Fe-B thick-film magnets deposited on Si substrates, an adoption of a glass buffer layer was carried out. The glass layer could be fabricated under the deposition rate of approximately 70 μm/h on a Si substrate using a Nd-YAG pulse laser in the vacuum atmosphere. The use of the layer enabled us to reduce the Pr content without a mechanical destruction and enhance (BH)max value by approximately 20 kJ/m3 compared with the average value of non-buffer layered Pr-Fe-B films with almost the same thickness. It is also considered that the layer is also effective to apply a micro magnetization to the films deposited on Si ones.

  14. Comparison study of V-doped ZnO thin films on polycarbonate and quartz substrates deposited by RF magnetron sputtering

    Energy Technology Data Exchange (ETDEWEB)

    Suzuki, Tomoya, E-mail: tomoya@ecei.tohoku.ac.jp; Chiba, Hiroshi; Kawashima, Tomoyuki; Washio, Katsuyoshi

    2016-04-30

    Vanadium (V) doped ZnO (VZO) thin films were deposited on flexible polymer and quartz substrates by RF magnetron sputtering, and influences of deposition parameters of V concentration, RF power and growth temperature on resistivity, transmittance and crystallinity were investigated. For the polymer substrates, both a high heat-resistant polycarbonate (PC) film and a functional-layer-coated PC film were adopted. The resistivity decreased gradually but the transmittance was worsened with increasing V concentration. Low RF power and high growth temperature improved both transparency and conductivity. By over-coating of the functional layers, c-axis orientation was deteriorated while low-resistivity and high-transmittance characteristics were achieved. Resistivity and average visible-transmittance (wavelength = 450–800 nm) of VZO films on untreated PC and over-coated PC substrates were 0.98 mΩ cm and 83.7%, and 1.2 mΩ cm and 80.3%, respectively, at V concentration of 2 at.%, RF power of 100 W and growth temperature of 175 °C. VZO films on the polymer substrates had slightly high resistivity but nearly the same optical transmittance, compared to those on quartz, under the identical deposition parameters. These results indicate that good electrical and optical properties can be achieved for the VZO films on PC substrate. - Highlights: • V-doped ZnO (VZO) was deposited on polymer substrate. • Effects of V concentration, RF power and growth temperature were investigated. • Resistivity decreased gradually with increasing V concentration. • Low RF power was suitable to obtain low resistivity and high transmittance. • High growth temperature improved both transparency and conductivity.

  15. Molecular dynamics study of the effect of substrate temperature and Ar ion assisted deposition on the deposition of amorphous TiO_2 films

    International Nuclear Information System (INIS)

    Chen, Xian; Zhang, Jing; Zhao, Yu-Qing

    2017-01-01

    Highlights: • The surface roughness of a-TiO_2 films is decreased with the increment of the Ar ion assisted energy. • The surface roughness of a-TiO_2 films is decreased with higher substrate temperature when the substrate has an island structure. • The assisted Ar ion has power of making a flat surface and increasing the local temperature. • The assisted Ar ion will influence the growth mode with the change of surface atom mobility. • The Volmer-Weber (island) growth mode is inhibited with a high assisted Ar ion energy. - Abstract: This paper has investigated the impact of the substrate temperature and Ar ion assisted deposition on the surface structure formation mechanism and the film properties during the amorphous TiO_2 thin film deposition process with the molecular dynamics simulation method. The results show that the reduction of the surface roughness happen when the energy of Ar ions assisted is increased or the substrate temperature rises, and also the film density on surface is changed with the increasing of Ar ions energy and substrate temperature. It is also found that the Volmer-Weber (island) growth mode of films is promoted by the lower Ar ion energy and higher substrate temperature when the substrate has an island structure. The assisted Ar ion has power of making a flat surface and increasing the local temperature. Besides, it will influence the growth mode with the change of surface atom mobility. With a high assisted Ar ion energy the Volmer-Weber (island) growth mode is inhibited, which will be conducive to the formation of more smooth film surface.

  16. Characterization of the yttria-stabilized zirconia thin film electrophoretic deposited on La0.8Sr0.2MnO3 substrate

    International Nuclear Information System (INIS)

    Yang, Koho; Shen, Jung-Hsiung; Yang, Kai-Yun; Hung, I-Ming; Fung, Kuan-Zong; Wang, Moo-Chin

    2007-01-01

    The yttria-stabilized zirconia (YSZ) thin films electrophoretic deposited on the La 0.8 Sr 0.2 MnO 3 (LSM) substrate have been characterized by using zeta potential analysis, X-ray diffraction (XRD), scanning electron microscopy (SEM), and transmission electron microscopy (TEM). The La 2 Zr 2 O 7 (LZ) formed at the interface between the YSZ thin film and LSM substrate, after sintered at 1400 o C for 52 h, are identified by XRD. The zeta potential of the YSZ particles in pure ethanol-acetone is about 7.8 mV, but when the I 2 concentration is greater than 0.6 g/1, the zeta potential attains a constant value, 46 mV. The relation between deposit weight of the YSZ films and the applied voltage shows a non-linear behavior. Thickness of the YSZ thin film deposited on the LSM substrate by electrophoretic deposition is controlled by a diffusion process. A larger LZ with the thickness of 200 nm is formed at the interface between the YSZ film and the LSM substrate

  17. One step deposition of highly adhesive diamond films on cemented carbide substrates via diamond/β-SiC composite interlayers

    Energy Technology Data Exchange (ETDEWEB)

    Wang, Tao; Zhuang, Hao; Jiang, Xin, E-mail: xin.jiang@uni-siegen.de

    2015-12-30

    Graphical abstract: - Highlights: • Novel diamond/beta-silicon carbide composite gradient interlayers were synthesized. • The interlayer features a cross-sectional gradient with increasing diamond content. • Diamond top layers and the interlayers were deposited in one single process. • The adhesion of the diamond film is drastically improved by employing the interlayer. • The stress was suppressed by manipulating the distribution of diamond and silicon carbide. - Abstract: Deposition of adherent diamond films on cobalt-cemented tungsten carbide substrates has been realized by application of diamond/beta-silicon carbide composite interlayers. Diamond top layers and the interlayers were deposited in one single process by hot filament chemical vapor deposition technique. Two different kinds of interlayers have been employed, namely, gradient interlayer and interlayer with constant composition. The distribution of diamond and beta-silicon carbide phases was precisely controlled by manipulating the gas phase composition. X-ray diffraction and Raman spectroscopy were employed to determine the existence of diamond, beta-silicon carbide and cobalt silicides (Co{sub 2}Si, CoSi) phases, as well as the quality of diamond crystal and the residual stress in the films. Rockwell-C indentation tests were carried out to evaluate the film adhesion. It is revealed that the adhesion of the diamond film is drastically improved by employing the interlayer. This is mainly influenced by the residual stress in the diamond top layer, which is induced by the different thermal expansion coefficient of the film and the substrate. It is even possible to further suppress the stress by manipulating the distribution of diamond and beta-silicon carbide in the interlayer. The most adhesive diamond film on cemented carbide is thus obtained by employing a gradient composite interlayer.

  18. SrZnO nanostructures grown on templated Al2O3 substrates by pulsed laser deposition

    Science.gov (United States)

    Labis, Joselito P.; Alanazi, Anwar Q.; Albrithen, Hamad A.; El-Toni, Ahmed Mohamed; Hezam, Mahmoud; Elafifi, Hussein Elsayed; Abaza, Osama M.

    2017-09-01

    The parameters of pulsed laser deposition (PLD) have been optimized to design different nanostructures of Strontium-alloyed zinc oxide (SrZnO). In this work, SrZnO nanostructures are grown on Al2O3 substrates via two-step templating/seeding approach. In the temperature range between 300 - 750 oC and O2 background pressures between 0.01 and 10 Torr, the growth conditions have been tailored to grow unique pointed leaf-like- and pitted olive-like nanostructures. Prior to the growth of the nanostructures, a thin SrZnO layer that serves as seed layer/template is first deposited on the Al2O3 substrates at ˜300oC and background oxygen pressure of 10 mTorr. The optical properties of the nanostructures were examined by UV/Vis spectroscopy and photoluminescence (PL), while the structures/morphologies were examined by SEM, TEM, and XRD. The alloyed SrZnO nanostructures, grown by ablating ZnO targets with 5, 10, 25% SrO contents, have in common a single-crystal hexagonal nanostructure with (0002) preferential orientation and have shown remarkable changes in the morphological and optical properties of the materials. To date, this is the only reported work on optimization of laser ablation parameters to design novel SrZnO nanostructures in the 5-25% alloying range, as most related Sr-doped ZnO studies were done below 7% doping. Although the physical properties of ZnO are modified via Sr doping, the mechanism remains unclear. The PLD-grown SrZnO nanostructures were directly grown onto the Al2O3 substrates; thus making these nanomaterials very promising for potential applications in biosensors, love-wave filters, solar cells, and ultrasonic oscillators.

  19. SrZnO nanostructures grown on templated Al2O3 substrates by pulsed laser deposition

    Directory of Open Access Journals (Sweden)

    Joselito P. Labis

    2017-09-01

    Full Text Available The parameters of pulsed laser deposition (PLD have been optimized to design different nanostructures of Strontium-alloyed zinc oxide (SrZnO. In this work, SrZnO nanostructures are grown on Al2O3 substrates via two-step templating/seeding approach. In the temperature range between 300 - 750 oC and O2 background pressures between 0.01 and 10 Torr, the growth conditions have been tailored to grow unique pointed leaf-like- and pitted olive-like nanostructures. Prior to the growth of the nanostructures, a thin SrZnO layer that serves as seed layer/template is first deposited on the Al2O3 substrates at ∼300oC and background oxygen pressure of 10 mTorr. The optical properties of the nanostructures were examined by UV/Vis spectroscopy and photoluminescence (PL, while the structures/morphologies were examined by SEM, TEM, and XRD. The alloyed SrZnO nanostructures, grown by ablating ZnO targets with 5, 10, 25% SrO contents, have in common a single-crystal hexagonal nanostructure with (0002 preferential orientation and have shown remarkable changes in the morphological and optical properties of the materials. To date, this is the only reported work on optimization of laser ablation parameters to design novel SrZnO nanostructures in the 5-25% alloying range, as most related Sr-doped ZnO studies were done below 7% doping. Although the physical properties of ZnO are modified via Sr doping, the mechanism remains unclear. The PLD-grown SrZnO nanostructures were directly grown onto the Al2O3 substrates; thus making these nanomaterials very promising for potential applications in biosensors, love-wave filters, solar cells, and ultrasonic oscillators.

  20. A direct method to measure the fracture toughness of indium tin oxide thin films on flexible polymer substrates

    International Nuclear Information System (INIS)

    Chang, Rwei-Ching; Tsai, Fa-Ta; Tu, Chin-Hsiang

    2013-01-01

    This work presents a straightforward method to measure the fracture toughness of thin films deposited on flexible substrates. A 200 nm thick indium tin oxide (ITO) thin film is deposited on a 188 μm thick terephthalate (PET) substrate by a radio frequency magnetron sputtering machine. Using nanoindentation to induce brittle fracture on the ITO thin films, the energy release is calculated from integrating the resulting load–depth curve. An approach that directly measures the fracture toughness of thin films deposited on flexible substrates is proposed. A comparison shows that the results of the proposed method agree well with those of other reports. Furthermore, in order to improve the toughness of the ITO thin films, a copper interlayer is added between the ITO thin film and PET substrate. It shows that the fracture toughness of the ITO thin film deposited on the copper interlayer is higher than that of the one without the interlayer, which agrees well with the critical load tested by micro scratch. Further observations on optical and electric performances are also discussed in this work. - Highlights: • A straightforward method to measure the film's toughness • Directly using the load-depth curve of nanoindentation • The toughness is consistent with the critical load tested by micro scratch. • Interlayers can improve the film's toughness. • Optical and electric performances are also discussed

  1. Tungsten coatings electro-deposited on CFC substrates from oxide molten salt

    Energy Technology Data Exchange (ETDEWEB)

    Sun, Ningbo; Zhang, Yingchun, E-mail: zycustb@163.com; Lang, Shaoting; Jiang, Fan; Wang, Lili

    2014-12-15

    Tungsten is considered as plasma facing material in fusion devices because of its high melting point, its good thermal conductivity, its low erosion rate and its benign neutron activation properties. On the other hand, carbon based materials like C/C fiber composites (CFC) have been used for plasma facing materials (PFMs) due to their high thermal shock resistance, light weight and high strength. Tungsten coatings on CFC substrates are used in the JET divertor in the frame of the JET ITER-like wall project, and have been prepared by plasma spray (PS) and other techniques. In this study, tungsten coatings were electro-deposited on CFC from Na{sub 2}WO{sub 4}–WO{sub 3} molten salt under various deposition parameters at 900 °C in air. In order to obtain tungsten coatings with excellent performance, the effects of pulse duration ratio and pulse current density on microstructures and crystal structures of tungsten coatings were investigated by X-ray diffraction (XRD, Rigaku Industrial Co., Ltd., D/MAX-RB) and a scanning electron microscope (SEM, JSM 6480LV). It is found that the pulsed duration ratio and pulse current density had a significant influence on tungsten nucleation and electro-crystallization phenomena. SEM observation revealed that intact, uniform and dense tungsten coatings formed on the CFC substrates. Both the average grain size and thickness of the coating increased with the pulsed current density. The XRD results showed that the coatings consisted of a single phase of tungsten with the body centered cubic (BCC) structure. The oxygen content of electro-deposited tungsten coatings was lower than 0.05%, and the micro-hardness was about 400 HV.

  2. Interlayers Applied to CVD Diamond Deposition on Steel Substrate: A Review

    Directory of Open Access Journals (Sweden)

    Djoille Denner Damm

    2017-09-01

    Full Text Available Academics and industry have sought after combining the exceptional properties of diamonds with the toughness of steel. Since the early 1990s several partial solutions have been found but chemical vapor deposition (CVD diamond deposition on steel substrate continues to be a persistent problem. The main drawbacks are the high carbon diffusion from gas phase into substrate, the transition metals on the material surface that catalyze sp2 bond formation, instead of sp3 bonds, and the high thermal expansion coefficient (TEC mismatch between diamond and steels. An intermediate layer has been found necessary to increase diamond adhesion. Literature has proposed many efficient intermediate layers as a diffusion barrier for both, carbon and iron, but most intermediate layers shown have not solved TEC mismatch. In this review, we briefly discuss the solutions that exclusively work as diffusion barrier and discuss in a broader way the ones that also solve, or may potentially solve, the TEC mismatch problem. We examine some multilayers, the iron borides, the chromium carbides, and vanadium carbides. We go through the most relevant results of the last two and a half decades, including recent advances in our group. Vanadium carbide looks promising since it has shown excellent diffusion barrier properties, its TEC is intermediary between diamond and steel and, it has been thickened to manage thermal stress relief. We also review a new deposition technique to set up intermediate layers: laser cladding. It is promising because of its versatility in mixing different materials and fusing and/or sintering them on a steel surface. We conclude by remarking on new perspectives.

  3. Optical and electrical properties of boron doped diamond thin conductive films deposited on fused silica glass substrates

    Energy Technology Data Exchange (ETDEWEB)

    Ficek, M.; Sobaszek, M.; Gnyba, M. [Department of Metrology and Optoelectronics, Gdansk University of Technology, 11/12G. Narutowicza St., 80-233 Gdansk (Poland); Ryl, J. [Department of Electrochemistry, Corrosion and Material Engineering, Gdansk University of Technology, 11/12 Narutowicza St., 80-233 Gdansk (Poland); Gołuński, Ł. [Department of Metrology and Optoelectronics, Gdansk University of Technology, 11/12G. Narutowicza St., 80-233 Gdansk (Poland); Smietana, M.; Jasiński, J. [Institute of Microelectronics and Optoelectronics, Warsaw University of Technology, 75 Koszykowa St., 00-662 Warsaw (Poland); Caban, P. [Institute of Electronic Materials Technology, 133 Wolczynska St., 01-919 Warsaw (Poland); Bogdanowicz, R., E-mail: rbogdan@eti.pg.gda.pl [Department of Metrology and Optoelectronics, Gdansk University of Technology, 11/12G. Narutowicza St., 80-233 Gdansk (Poland); Materials and Process Simulation Center, California Institute of Technology, Pasadena, CA 91125 (United States)

    2016-11-30

    Highlights: • Growth of 60% of transmittance diamond films with resistivity as low as 48 Ω cm. • Two step seeding process of fused silica: plasma hydrogenation and wet seeding. • Nanodiamond seeding density of 2 × 10{sup 10} cm{sup −2} at fused silica substrates. • High refractive index (2.4 @550 nm) was achieved for BDD films deposited at 500 °C. - Abstract: This paper presents boron-doped diamond (BDD) film as a conductive coating for optical and electronic purposes. Seeding and growth processes of thin diamond films on fused silica have been investigated. Growth processes of thin diamond films on fused silica were investigated at various boron doping level and methane admixture. Two step pre-treatment procedure of fused silica substrate was applied to achieve high seeding density. First, the substrates undergo the hydrogen plasma treatment then spin-coating seeding using a dispersion consisting of detonation nanodiamond in dimethyl sulfoxide with polyvinyl alcohol was applied. Such an approach results in seeding density of 2 × 10{sup 10} cm{sup −2}. The scanning electron microscopy images showed homogenous, continuous and polycrystalline surface morphology with minimal grain size of 200 nm for highly boron doped films. The sp{sup 3}/sp{sup 2} ratio was calculated using Raman spectra deconvolution method. A high refractive index (range of 2.0–2.4 @550 nm) was achieved for BDD films deposited at 500 °C. The values of extinction coefficient were below 0.1 at λ = 550 nm, indicating low absorption of the film. The fabricated BDD thin films displayed resistivity below 48 Ohm cm and transmittance over 60% in the visible wavelength range.

  4. Effect of substrate type on the electrical and structural properties of TiO2 thin films deposited by reactive DC sputtering

    Science.gov (United States)

    Cheng, Xuemei; Gotoh, Kazuhiro; Nakagawa, Yoshihiko; Usami, Noritaka

    2018-06-01

    Electrical and structural properties of TiO2 thin films deposited at room temperature by reactive DC sputtering have been investigated on three different substrates: high resistivity (>1000 Ω cm) float zone Si(1 1 1), float zone Si(1 0 0) and alkali free glass. As-deposited TiO2 films on glass substrate showed extremely high resistivity of (∼5.5 × 103 Ω cm). In contrast, lower resistivities of ∼2 Ω cm and ∼5 Ω cm were obtained for films on Si(1 1 1) and Si(1 0 0), respectively. The as-deposited films were found to be oxygen-rich amorphous TiO2 for all the substrates as evidenced by X-ray photoemission spectroscopy and X-ray diffraction. Subsequent annealing led to appearance of anatase TiO2 on Si but not on glass. The surface of as-deposited TiO2 on Si was found to be rougher than that on glass. These results suggest that the big difference of electrical resistivity of TiO2 would be related with existence of more anatase nuclei forming on crystalline substrates, which is consistent with the theory of charged clusters that smaller clusters tend to adopt the substrate structure.

  5. Photovoltaic properties of in-doped CDTE thin films deposited on metallic substrates

    International Nuclear Information System (INIS)

    Wagah F Mohamad; Khalid K Mohammed

    2006-01-01

    CDTE is a promising photovoltaic material due to its nearly optimum band gap and high optical absorption coefficient. This study looks into the effect of indium doping of the CdTe thin film deposited on stainless steel substrate. The conventional cells are usually manufactured on glass substrate and offer no weight advantage over single crystal cells. Since the metal foil support can be as thin as (40-60) μm and the weight saving is significant. The spectral response of the photo current with and without indium doping was studied in detail and compared with theory. The sub gap response of the resulted structure is particularly strong and extends to wavelengths up to 1000 nm

  6. A genetic algorithm approach for evaluation of optical functions of very thin tantalum pentoxide films on Si substrate

    International Nuclear Information System (INIS)

    Sharlandjiev, P S; Nazarova, D I

    2013-01-01

    The optical characteristics of tantalum pentoxide films, deposited on Si(100) substrate by reactive sputtering, are studied. These films are investigated as high-kappa materials for the needs of nano-electronics, i.e. design of dynamic random access memories, etc. One problem in their implementation is that metal oxides are thermodynamically unstable with Si and an interfacial layer is formed between the oxide film and the silicon substrate during the deposition process. Herein, the center of attention is on the optical properties of that interfacial layer, which is studied by spectral photometric measurements. The evaluation of the optical parameters of the structure is fulfilled with the genetic algorithm approach. The spectral range of evaluation covers deep UV to NIR. The equivalent physical thickness (2.5 nm) and the equivalent refractive index of the interfacial layer are estimated from 236 to 750 nm as well as the thickness of the tantalum pentoxide film (9.5 nm). (paper)

  7. Development and application of a green-chemistry solution deposition technique for buffer layer coating on cube-textured metal substrates in view of further deposition of rare-earth based superconductors

    DEFF Research Database (Denmark)

    Pallewatta, Pallewatta G A P

    which consist of YBCO superconducting coatings on cube-textured Ni based alloy tapes.  Before the epitaxial deposition this superconducting layer, a buffer layer is applied on the metal substrate as a diffusion barrier which is also required to transfer the strong texture of the underlying substrate......, allowing the epitaxial growth of the superconducting layer. State-of-the-art coated conductor hetero structures are mainly based on CeO2 based buffer stacks that consist of a sequence of several different buffer layers. Buffer layers deposited by continuous chemical deposition techniques, which...... is expected to be very advantageous in reel-to-reel applications. The thickness of these SrTiO3 monolayers was large enough to stop the nickel and copper diffusion from the Cu/Ni substrate. Hence, the developed high quality buffer layers are expected to be acting as efficient diffusion barriers and also...

  8. Influence of the substrate on the morphological evolution of gold thin films during solid-state dewetting

    International Nuclear Information System (INIS)

    Nsimama, Patrick D.; Herz, Andreas; Wang, Dong; Schaaf, Peter

    2016-01-01

    Highlights: • Dewetting of thin gold films is faster on TiO_2 than on SiO_2. • Dewetting of thin gold films is faster on amorphous TiO_2 than on crystalline TiO_2. • The kinetics is attributed to the energy of adhesion. • The morphology of thin Au films deposited on TiO_2 substrates is different to those deposited on SiO_2 substrates. • The dewetting activation energy of Au films deposited on crystalline substrates was higher than the activation energy of Au nanofilms deposited on amorphous TiO_2 substrates. - Abstract: The evolution of electron-beam evaporated Au thin films deposited on crystalline TiO_2 (c-TiO_2) and amorphous TiO_2 (a-TiO_2) as well as amorphous SiO_2 substrates are investigated. The kinetic of dewetting is clearly dependent on the type of substrate and is faster on TiO_2 substrates than on SiO_2 substrates. This difference can result from the difference in adhesion energy. Furthermore, the kinetic of dewetting is faster on a-TiO_2 than on c-TiO_2, possibly due to the crystallization of TiO_2 during annealing induced dewetting process. The morphologies of dewetted Au films deposited on crystalline TiO_2 are characterized by branched holes. The XRD patterns of the Au films deposited on TiO_2 substrates constituted peaks from both metallic Au and anatase TiO_2. The activation energy of Au films deposited on crystalline TiO_2 substrates was higher than that that of the films deposited on amorphous TiO_2 substrates.

  9. Fabrication of highly active and cost effective SERS plasmonic substrates by electrophoretic deposition of gold nanoparticles on a DVD template

    Energy Technology Data Exchange (ETDEWEB)

    Leordean, Cosmin; Marta, Bogdan; Gabudean, Ana-Maria; Focsan, Monica; Botiz, Ioan; Astilean, Simion, E-mail: simion.astilean@phys.ubbcluj.ro

    2015-09-15

    Highlights: • Simple and cost effective electrophoretic method to fabricate plasmonic substrates. • SERS performance at three different excitation laser lines. • Promising applicability in SERS based biosensing. - Abstract: In this work we present a simple, rapid and cost effective method to fabricate highly active SERS substrates. This method consists in an electrophoretic deposition of gold nanoparticles on a metallic nanostructured template of a commercial digital versatile disk (DVD). The negatively charged gold nanoparticles self-assemble on the positively charged DVD metallic film connected to a positive terminal of a battery, due to the influence of the electric field. When gold nanoparticles self-assembled on DVD metallic film, a 10-fold additional enhancement of Raman signal was observed when compared with the case of GNPs self-assembled on a polycarbonate DVD substrate only. Finite-difference time-domain simulations demonstrated that the additional electromagnetic field arising in the hot-spots created between gold nanoparticles and DVD metallic film induces an additional enhancement of the Raman signal. SERS efficiency of the fabricated plasmonic substrate was successfully demonstrated through detection of para-aminothiophenol molecule with three different excitation laser lines (532, 633 and 785 nm). The enhancement factor was calculated to be 10{sup 6} and indicates that plasmonic substrates fabricated through this method could be a promising platform for future SERS based sensors.

  10. The thermodynamic approach to boron chemical vapour deposition based on a computer minimization of the total Gibbs free energy

    International Nuclear Information System (INIS)

    Naslain, R.; Thebault, J.; Hagenmuller, P.; Bernard, C.

    1979-01-01

    A thermodynamic approach based on the minimization of the total Gibbs free energy of the system is used to study the chemical vapour deposition (CVD) of boron from BCl 3 -H 2 or BBr 3 -H 2 mixtures on various types of substrates (at 1000 < T< 1900 K and 1 atm). In this approach it is assumed that states close to equilibrium are reached in the boron CVD apparatus. (Auth.)

  11. Substrate system for spray forming

    Energy Technology Data Exchange (ETDEWEB)

    Chu, Men G. (Export, PA); Chernicoff, William P. (Harrisburg, PA)

    2002-01-01

    A substrate system for receiving a deposit of sprayed metal droplets including a movable outer substrate on which the sprayed metal droplets are deposited. The substrate system also includes an inner substrate disposed adjacent the outer substrate where the sprayed metal droplets are deposited on the outer substrate. The inner substrate includes zones of differing thermal conductivity to resist substrate layer porosity and to resist formation of large grains and coarse constituent particles in a bulk layer of the metal droplets which have accumulated on the outer substrate. A spray forming apparatus and associated method of spray forming a molten metal to form a metal product using the substrate system of the invention is also provided.

  12. Effect of substrate surface on electromigration-induced sliding at hetero-interfaces

    International Nuclear Information System (INIS)

    Kumar, Praveen; Dutta, Indranath

    2013-01-01

    Electromigration (EM)-induced interfacial sliding between a metal film and Si substrate occurs when (i) only few grains exist across the width of the film and (ii) diffusivity through the interfacial region is significantly greater than diffusivity through the film. Here, the effect of the substrate surface layer on the kinetics of EM-induced interfacial sliding is assessed using Si substrates coated with various thin film interlayers. The kinetics of interfacial sliding, and therefore the EM-driven mass flow rate, strongly depends on the type of the interlayer (and hence the substrate surface composition), such that strongly bonded interfaces with slower interfacial diffusivity produce slower sliding. (paper)

  13. Chemometrics approach to substrate development, case: semisyntetic cheese

    DEFF Research Database (Denmark)

    Nielsen, Per Væggemose; Hansen, Birgitte Vedel

    1998-01-01

    from food production facilities.The Chemometrics approach to substrate development is illustrated by the development of a semisyntetic cheese substrate. Growth, colour formation and mycotoxin production of 6 cheese related fungi were studied on 9 types of natural cheeses and 24 synthetic cheese......, the most frequently occurring contaminant on semi-hard cheese. Growth experiments on the substrate were repeatable and reproducible. The substrate was also suitable for the starter P. camemberti. Mineral elements in cheese were shown to have strong effect on growth, mycotoxin production and colour...... formation of fungi. For P. roqueforti, P. discolor, P. verrucosum and Aspergillus versicolor the substrate was less suitable as a model cheese substrate, which indicates great variation in nutritional demands of the fungi. Substrates suitable for studies of specific cheese types was found for P. roqueforti...

  14. Improved stability of titanium based boron-doped chemical vapor deposited diamond thin-film electrode by modifying titanium substrate surface

    International Nuclear Information System (INIS)

    Lim, P.Y.; Lin, F.Y.; Shih, H.C.; Ralchenko, V.G.; Varnin, V.P.; Pleskov, Yu.V.; Hsu, S.F.; Chou, S.S.; Hsu, P.L.

    2008-01-01

    The film quality and electrochemical properties of BDD (boron-doped diamond) thin films grown by hot-filament chemical vapor deposition technique on titanium substrates that had been subjected to a range of pre-treatment processes were evaluated. The pre-roughened Ti-substrates are shown to support more adherent BDD films. It is evident that acid-etching the Ti-substrate involves surface hydrogenation that enhances nucleation and formation of diamond thereon. The prepared BDD film exhibits wide potential window and electrochemical reversibility. It also demonstrated a better long-term electrochemical stability based on the low variation in voltametric background current upon the exposing of the electrodes to repeated cycles of electrochemical metal deposition/stripping process

  15. Piezoelectric characterization of Pb(Zr,Ti)O3 thin films deposited on metal foil substrates by dip coating

    Science.gov (United States)

    Hida, Hirotaka; Hamamura, Tomohiro; Nishi, Takahito; Tan, Goon; Umegaki, Toshihito; Kanno, Isaku

    2017-10-01

    We fabricated the piezoelectric bimorphs composed of Pb(Zr,Ti)O3 (PZT) thin films on metal foil substrates. To efficiently inexpensively manufacture piezoelectric bimorphs with high flexibility, 1.2-µm-thick PZT thin films were directly deposited on both surfaces of 10- and 20-µm-thick bare stainless-steel (SS) foil substrates by dip coating with a sol-gel solution. We confirmed that the PZT thin films deposited on the SS foil substrates at 500 °C or above have polycrystalline perovskite structures and the measured relative dielectric constant and dielectric loss were 323-420 and 0.12-0.17, respectively. The PZT bimorphs were demonstrated by comparing the displacements of the cantilever specimens driven by single- and double-side PZT thin films on the SS foil substrates under the same applied voltage. We characterized the piezoelectric properties of the PZT bimorphs and the calculated their piezoelectric coefficient |e 31,f| to be 0.3-0.7 C/m2.

  16. Electrophoretic Deposition of Gallium with High Deposition Rate

    Directory of Open Access Journals (Sweden)

    Hanfei Zhang

    2014-12-01

    Full Text Available In this work, electrophoretic deposition (EPD is reported to form gallium thin film with high deposition rate and low cost while avoiding the highly toxic chemicals typically used in electroplating. A maximum deposition rate of ~0.6 μm/min, almost one order of magnitude higher than the typical value reported for electroplating, is obtained when employing a set of proper deposition parameters. The thickness of the film is shown to increase with deposition time when sequential deposition is employed. The concentration of Mg(NO32, the charging salt, is also found to be a critical factor to control the deposition rate. Various gallium micropatterns are obtained by masking the substrate during the process, demonstrating process compatibility with microfabrication. The reported novel approach can potentially be employed in a broad range of applications with Ga as a raw material, including microelectronics, photovoltaic cells, and flexible liquid metal microelectrodes.

  17. Thick film laser induced forward transfer for deposition of thermally and mechanically sensitive materials

    International Nuclear Information System (INIS)

    Kattamis, Nicholas T.; Purnick, Priscilla E.; Weiss, Ron; Arnold, Craig B.

    2007-01-01

    Laser forward transfer processes incorporating thin absorbing films can be used to deposit robust organic and inorganic materials but the deposition of more delicate materials has remained elusive due to contamination and stress induced during the transfer process. Here, we present the approach to high resolution patterning of sensitive materials by incorporating a thick film polymer absorbing layer that is able to dissipate shock energy through mechanical deformation. Multiple mechanisms for transfer as a function of incident laser energy are observed and we show viable and contamination-free deposition of living mammalian embryonic stem cells

  18. Rocking disc electro-deposition of copper films on Mo/MoSe{sub 2} substrates

    Energy Technology Data Exchange (ETDEWEB)

    Cummings, Charles Y.; Frith, Paul E. [Department of Chemistry, University of Bath, Claverton Down, Bath BA2 7AY (United Kingdom); Zoppi, Guillaume; Forbes, Ian [Northumbria Photovoltaics Applications Centre, Northumbria University, NE1 8ST (United Kingdom); Rogers, Keith D. [Cranfield Health, Cranfield University, Shrivenham Campus, Swindon, SN6 8LA (United Kingdom); Lane, David W. [Department of Applied Science, Security and Resilience, Cranfield University, Shrivenham, Swindon, SN6 8LA (United Kingdom); Marken, Frank, E-mail: F.Marken@bath.ac.uk [Department of Chemistry, University of Bath, Claverton Down, Bath BA2 7AY (United Kingdom)

    2011-08-31

    A novel electro-deposition method based on a rocking disc system with {pi}/3 amplitude and variable frequency is introduced. Uniform copper films were deposited from a 0.1 M CuSO{sub 4}/3.0 M NaOH/0.2 M sorbitol bath directly onto 12.1 cm{sup 2} Mo/MoSe{sub 2} substrates with X-ray diffraction showing a thickness variation of {+-}5% over this area. Investigation of the mass transport conditions suggests (i) uniform diffusion over the sample, (ii) a rate of mass transport proportional to the square root of the rocking rate, and (iii) turbulent conditions, which are able to dislodge gas bubbles during electro-deposition.

  19. Growth of InN films on spinel substrates by pulsed laser deposition

    Energy Technology Data Exchange (ETDEWEB)

    Mitamura, K. [Institute of Industrial Science (IIS), The University of Tokyo, 4-6-1 Komaba, Megruro-ku, Tokyo 153-8505 (Japan); Ohta, J.; Fujioka, H. [Institute of Industrial Science (IIS), The University of Tokyo, 4-6-1 Komaba, Megruro-ku, Tokyo 153-8505 (Japan); Kanagawa Academy of Science and Technology (KAST), 3-2-1 Sakado, Takatsu-ku, Kanagawa 213-0012 (Japan); Oshima, M. [Department of Applied Chemistry, The University of Tokyo, 7-3-1 Hongo, Bunkyo-ku, Tokyo 113-8656 (Japan)

    2007-10-15

    We have grown InN films on MgAl{sub 2}O{sub 4}(111) substrates with atomically flat surfaces using pulsed laser deposition (PLD) and compared their structural properties with those grown on (Mn,Zn)Fe{sub 2}O{sub 4}(111) substrates. It has been revealed that InN(0001) films grow on MgAl{sub 2}O{sub 4}(111) with an in-plane epitaxial relationship of InN[1 anti 100]//MgAl{sub 2}O{sub 4}[1 anti 10], achieving a lattice mismatch minimum. The InN films exhibited a clear sixfold rotational symmetry, without 30 rotational domains and with a full width at half maximum value of the InN 0002 rocking curve being 17.5 arcmin. Comparison between InN films grown on MgAl{sub 2}O{sub 4} and those on (Mn,Zn)Fe{sub 2}O{sub 4} led us to conclude that suppression of the interfacial reactions between the InN films and the substrate is inherently important to obtain high quality InN on substrates with a spinel structure. (copyright 2007 WILEY-VCH Verlag GmbH and Co. KGaA, Weinheim) (orig.)

  20. Molecular dynamics study of the effect of substrate temperature and Ar ion assisted deposition on the deposition of amorphous TiO{sub 2} films

    Energy Technology Data Exchange (ETDEWEB)

    Chen, Xian, E-mail: mus_c@qq.com [Science and Technology on Analog Integrated Circuit Laboratory, ChongQing, 401332 (China); Zhang, Jing [Science and Technology on Analog Integrated Circuit Laboratory, ChongQing, 401332 (China); Zhao, Yu-Qing [Key Laboratory for Physical Electronics and Devices of the Ministry of Education, Xi' an Jiaotong University, Xi’AN, 710049 (China)

    2017-05-15

    Highlights: • The surface roughness of a-TiO{sub 2} films is decreased with the increment of the Ar ion assisted energy. • The surface roughness of a-TiO{sub 2} films is decreased with higher substrate temperature when the substrate has an island structure. • The assisted Ar ion has power of making a flat surface and increasing the local temperature. • The assisted Ar ion will influence the growth mode with the change of surface atom mobility. • The Volmer-Weber (island) growth mode is inhibited with a high assisted Ar ion energy. - Abstract: This paper has investigated the impact of the substrate temperature and Ar ion assisted deposition on the surface structure formation mechanism and the film properties during the amorphous TiO{sub 2} thin film deposition process with the molecular dynamics simulation method. The results show that the reduction of the surface roughness happen when the energy of Ar ions assisted is increased or the substrate temperature rises, and also the film density on surface is changed with the increasing of Ar ions energy and substrate temperature. It is also found that the Volmer-Weber (island) growth mode of films is promoted by the lower Ar ion energy and higher substrate temperature when the substrate has an island structure. The assisted Ar ion has power of making a flat surface and increasing the local temperature. Besides, it will influence the growth mode with the change of surface atom mobility. With a high assisted Ar ion energy the Volmer-Weber (island) growth mode is inhibited, which will be conducive to the formation of more smooth film surface.

  1. Parametric Investigation of Diode and CO2 Laser in Direct Metal Deposition of H13 Tool Steel on Copper Substrate

    OpenAIRE

    M. Khalid Imran; Syed Masood; Milan Brandt; Sudip Bhattacharya; Jyotirmoy Mazumder

    2011-01-01

    In the present investigation, H13 tool steel has been deposited on copper alloy substrate using both CO2 and diode laser. A detailed parametric analysis has been carried out in order to find out optimum processing zone for coating defect free H13 tool steel on copper alloy substrate. Followed by parametric optimization, the microstructure and microhardness of the deposited clads have been evaluated. SEM micrographs revealed dendritic microstructure in both clads. However,...

  2. Electrical and mechanical stability of aluminum-doped ZnO films grown on flexible substrates by atomic layer deposition

    International Nuclear Information System (INIS)

    Luka, G.; Witkowski, B.S.; Wachnicki, L.; Jakiela, R.; Virt, I.S.; Andrzejczuk, M.; Lewandowska, M.; Godlewski, M.

    2014-01-01

    Highlights: • Transparent and conductive ZnO:Al films were grown by atomic layer deposition. • The films were grown on flexible substrates at low growth temperatures (110–140 °C). • So-obtained films have low resistivities, of the order of 10 −3 Ω cm. • Bending tests indicated a critical bending radius of ≈1.2 cm. • Possible sources of the film resistivity changes upon bending are proposed. - Abstract: Aluminum-doped zinc oxide (AZO) films were grown on polyethylene terephthalate (PET) substrates by atomic layer deposition (ALD) at low deposition temperatures (110–140 °C). The films have low resistivities, ∼10 −3 Ω cm, and high transparency (∼90%) in the visible range. Bending tests indicated a critical bending radius of ≈1.2 cm, below which the resistivity changes became irreversible. The films deposited on PET with additional buffer layer are more stable upon bending and temperature changes

  3. Structure and magnetic properties of Co2FeSi film deposited on Si/SiO2 substrate with Cr buffer layer

    Science.gov (United States)

    Chatterjee, Payel; Basumatary, Himalay; Raja, M. Manivel

    2018-05-01

    Co2FeSi thin films of 25 nm thickness with 50 nm thick Cr buffer layer was deposited on thermally oxidized Si substrates. Structural and magnetic properties of the films were studied as a function of annealing temperature and substrate temperatures. While the coercivity increases with increase in annealing temperature, it is found to decrease with increase in substrate temperature. A minimum coercivity of 18 Oe has been obtained for the film deposited at 550°C substrate temperature. This was attributed to the formation of L12 phase as observed from the GIXRD studies. The films with a good combination of soft magnetic properties and L21 crystal structure are suitable for spintronic applications.

  4. Deposition of gold nanoparticles on glass substrate by ultrasonic spray pyrolysis

    International Nuclear Information System (INIS)

    Garza, Maria de la; Hernandez, Tomas; Colas, Rafael; Gomez, Idalia

    2010-01-01

    Ultrasonic spray pyrolysis was used to deposit gold nanoparticles on a glass substrate using ZrO 2 as a surrounding medium. The deposition was made using three flow rates of caring gas. The characterization was made by UV-Vis spectroscopy, X-ray diffraction, scanning electronic microscopy and atomic force microscopy. The UV-Vis spectra showed that the surface plasmon resonance peak, indicative of the presence of gold nanoparticles, was shown to shift towards the red spectrum as the flow rate increased; this shift can be associated to the change in size of the particles, which are assumed to grow on a {1 1 1} planes, as was detected by X-ray diffraction. Gold nanoparticles of spheroidal morphology with a relation of around 2:1 were detected by scanning electron microscopy, these observations were confirmed by atomic force microscopy.

  5. Deposition of gold nanoparticles on glass substrate by ultrasonic spray pyrolysis

    Energy Technology Data Exchange (ETDEWEB)

    Garza, Maria de la; Hernandez, Tomas [Laboratorio de Materiales I, Centro de Laboratorios Especializados, Facultad de Ciencias Quimicas, Universidad Autonoma de Nuevo Leon. Av. Universidad s/n Cd. Universitaria, C.P. 66451, San Nicolas de los Garza, Nuevo Leon (Mexico); Colas, Rafael [Programa Doctoral en Ingenieria de Materiales, Facultad de Ingenieria Mecanica y Electrica, Universidad Autonoma de Nuevo Leon. Av. Universidad s/n Cd. Universitaria, C.P. 66451, San Nicolas de los Garza, Nuevo Leon (Mexico); Gomez, Idalia, E-mail: mgomez@fcq.uanl.mx [Laboratorio de Materiales I, Centro de Laboratorios Especializados, Facultad de Ciencias Quimicas, Universidad Autonoma de Nuevo Leon. Av. Universidad s/n Cd. Universitaria, C.P. 66451, San Nicolas de los Garza, Nuevo Leon (Mexico)

    2010-10-25

    Ultrasonic spray pyrolysis was used to deposit gold nanoparticles on a glass substrate using ZrO{sub 2} as a surrounding medium. The deposition was made using three flow rates of caring gas. The characterization was made by UV-Vis spectroscopy, X-ray diffraction, scanning electronic microscopy and atomic force microscopy. The UV-Vis spectra showed that the surface plasmon resonance peak, indicative of the presence of gold nanoparticles, was shown to shift towards the red spectrum as the flow rate increased; this shift can be associated to the change in size of the particles, which are assumed to grow on a {l_brace}1 1 1{r_brace} planes, as was detected by X-ray diffraction. Gold nanoparticles of spheroidal morphology with a relation of around 2:1 were detected by scanning electron microscopy, these observations were confirmed by atomic force microscopy.

  6. Antireflective conducting nanostructures with an atomic layer deposited an AlZnO layer on a transparent substrate

    International Nuclear Information System (INIS)

    Park, Hyun-Woo; Ji, Seungmuk; Herdini, Diptya Suci; Lim, Hyuneui; Park, Jin-Seong; Chung, Kwun-Bum

    2015-01-01

    Graphical abstract: - Highlights: • We investigated the antireflective conducting nanostructures on a transparent substrate using atomic layer deposited AlZnO films. • The conformal AlZnO layer on a transparent nanostructured substrate exhibited 5.52 × 10 −4 Ω cm in resistivity and 88% in average visible transmittance. • The improvement of transparency was explained by the gradual changes of the refractive index in the film depth direction. • The decrease in electrical resistivity is strongly correlated to the increased surface area with the nanostructure and the change of chemical bonding states. - Abstract: The antireflective conducting nanostructures on a transparent substrate were shown to have enhanced optical and electrical properties via colloidal lithography and atomic layer deposition. The conformal AlZnO layer on a transparent nanostructured substrate exhibited 5.52 × 10 −4 Ω cm in resistivity and 88% in average visible transmittance, both of which were superior to those of a flat transparent conducting substrate. The improvement of transparency was explained by the gradual changes of the refractive index in the film depth direction. The decrease in electrical resistivity is strongly correlated to the increased surface area with the nanostructure and the change of chemical bonding states.

  7. Growth, microstructure, and field-emission properties of synthesized diamond film on adamantane-coated silicon substrate by microwave plasma chemical vapor deposition

    International Nuclear Information System (INIS)

    Tiwari, Rajanish N.; Chang Li

    2010-01-01

    Diamond nucleation on unscratched Si surface is great importance for its growth, and detailed understanding of this process is therefore desired for many applications. The pretreatment of the substrate surface may influence the initial growth period. In this study, diamond films have been synthesized on adamantane-coated crystalline silicon {100} substrate by microwave plasma chemical vapor deposition from a gaseous mixture of methane and hydrogen gases without the application of a bias voltage to the substrates. Prior to adamantane coating, the Si substrates were not pretreated such as abraded/scratched. The substrate temperature was ∼530 deg. C during diamond deposition. The deposited films are characterized by scanning electron microscopy, Raman spectrometry, x-ray diffraction, and x-ray photoelectron spectroscopy. These measurements provide definitive evidence for high-crystalline quality diamond film, which is synthesized on a SiC rather than clean Si substrate. Characterization through atomic force microscope allows establishing fine quality criteria of the film according to the grain size of nanodiamond along with SiC. The diamond films exhibit a low-threshold (55 V/μm) and high current-density (1.6 mA/cm 2 ) field-emission (FE) display. The possible mechanism of formation of diamond films and their FE properties have been demonstrated.

  8. Deposition of polycrystalline Cd{sub 1-x}Zn{sub x} Te films on ZnTe/graphite and graphite substrates by close-spaced sublimation

    Energy Technology Data Exchange (ETDEWEB)

    Okamoto, Tamotsu; Akiba, Sho; Takahashi, Kohei; Nagatsuka, Satsuki; Kanda, Yohei [Department of Electrical and Electronic Engineering, Kisarazu National College of Technology, 2-11-1 Kiyomidai-higashi, Kisarazu, Chiba 292-0041 (Japan); Tokuda, Satoshi; Kishihara, Hiroyuki; Sato, Toshiyuki [Technology Research Laboratory, Shimadzu Corporation, 3-9-4 Hikaridai, Seika-cho, Soraku-gun, Kyoto 619-0237 (Japan)

    2014-07-15

    The effects of a ZnTe layer on the deposition of a Cd{sub 1-x}Zn{sub x}Te (CZT) layer in the initial stage of the close-spaced sublimation (CSS) deposition were investigated. The deposition rate was almost constant in the initial stage of the CdTe deposition on the ZnTe/graphite substrates. However, the deposition rate within 1 minute was lower than that after 1 minute in the CdTe deposition on graphite substrates. This result suggests that nucleation of CdTe directly deposited on graphite substrate is difficult when compared to that with a ZnTe layer. Furthermore, the effects of CdCl{sub 2} and ZnTe additions to the CdTe sources in the CSS deposition were also investigated. Both the grain size and the intensity of donor-acceptor pair (DAP) emission in photoluminescence (PL) spectra were decreased by the effect of CdCl{sub 2} addition. Zn content in CZT films was controlled by the ZnTe ratio in the CdTe/ZnTe powder sources. (copyright 2014 WILEY-VCH Verlag GmbH and Co. KGaA, Weinheim) (orig.)

  9. Chemical vapor deposition graphene transfer process to a polymeric substrate assisted by a spin coater

    International Nuclear Information System (INIS)

    Kessler, Felipe; Da Rocha, Caique O C; Medeiros, Gabriela S; Fechine, Guilhermino J M

    2016-01-01

    A new method to transfer chemical vapor deposition graphene to polymeric substrates is demonstrated here, it is called direct dry transfer assisted by a spin coater (DDT-SC). Compared to the conventional method DDT, the improvement of the contact between graphene-polymer due to a very thin polymeric film deposited by spin coater before the transfer process prevented air bubbles and/or moisture and avoided molecular expansion on the graphene-polymer interface. An acrylonitrile-butadiene-styrene copolymer, a high impact polystyrene, polybutadiene adipate-co-terephthalate, polylactide acid, and a styrene-butadiene-styrene copolymer are the polymers used for the transfers since they did not work very well by using the DDT process. Raman spectroscopy and optical microscopy were used to identify, to quantify, and to qualify graphene transferred to the polymer substrates. The quantity of graphene transferred was substantially increased for all polymers by using the DDT-SC method when compared with the DDT standard method. After the transfer, the intensity of the D band remained low, indicating low defect density and good quality of the transfer. The DDT-SC transfer process expands the number of graphene applications since the polymer substrate candidates are increased. (paper)

  10. Influence of the substrate on the morphological evolution of gold thin films during solid-state dewetting

    Energy Technology Data Exchange (ETDEWEB)

    Nsimama, Patrick D. [TU Ilmenau, Institute of Materials Engineering and Institute of Micro- and Nanotechnologies MacroNano, Chair Materials for Electrical Engineering and Electronics, 98693 Ilmenau (Germany); Dar Es Salaam Institute of Technology, P.O. Box 2958, Dar Es Salaam (Tanzania, United Republic of); Herz, Andreas; Wang, Dong [TU Ilmenau, Institute of Materials Engineering and Institute of Micro- and Nanotechnologies MacroNano, Chair Materials for Electrical Engineering and Electronics, 98693 Ilmenau (Germany); Schaaf, Peter, E-mail: peter.schaaf@tu-ilmenau.de [TU Ilmenau, Institute of Materials Engineering and Institute of Micro- and Nanotechnologies MacroNano, Chair Materials for Electrical Engineering and Electronics, 98693 Ilmenau (Germany)

    2016-12-01

    Highlights: • Dewetting of thin gold films is faster on TiO{sub 2} than on SiO{sub 2}. • Dewetting of thin gold films is faster on amorphous TiO{sub 2} than on crystalline TiO{sub 2}. • The kinetics is attributed to the energy of adhesion. • The morphology of thin Au films deposited on TiO{sub 2} substrates is different to those deposited on SiO{sub 2} substrates. • The dewetting activation energy of Au films deposited on crystalline substrates was higher than the activation energy of Au nanofilms deposited on amorphous TiO{sub 2} substrates. - Abstract: The evolution of electron-beam evaporated Au thin films deposited on crystalline TiO{sub 2} (c-TiO{sub 2}) and amorphous TiO{sub 2} (a-TiO{sub 2}) as well as amorphous SiO{sub 2} substrates are investigated. The kinetic of dewetting is clearly dependent on the type of substrate and is faster on TiO{sub 2} substrates than on SiO{sub 2} substrates. This difference can result from the difference in adhesion energy. Furthermore, the kinetic of dewetting is faster on a-TiO{sub 2} than on c-TiO{sub 2}, possibly due to the crystallization of TiO{sub 2} during annealing induced dewetting process. The morphologies of dewetted Au films deposited on crystalline TiO{sub 2} are characterized by branched holes. The XRD patterns of the Au films deposited on TiO{sub 2} substrates constituted peaks from both metallic Au and anatase TiO{sub 2}. The activation energy of Au films deposited on crystalline TiO{sub 2} substrates was higher than that that of the films deposited on amorphous TiO{sub 2} substrates.

  11. Impact of deposition temperature on the properties of SnS thin films grown over silicon substrate—comparative study of structural and optical properties with films grown on glass substrates

    Science.gov (United States)

    Assili, Kawther; Alouani, Khaled; Vilanova, Xavier

    2017-11-01

    Tin sulfide (SnS) thin films were chemically deposited over silicon substrate in a temperature range of 250 °C-400 °C. The effects of deposition temperature on the structural, morphological and optical properties of the films were evaluated. All films present an orthorhombic SnS structure with a preferred orientation along (040). High absorption coefficients (in the range of 105 cm-1) were found for all obtained films with an increase in α value when deposition temperature decreases. Furthermore, the effects of substrate type were investigated based on comparison between the present results and those obtained for SnS films grown under the same deposition conditions but over glass substrate. The results suggest that the formation of SnS films onto glass substrate is faster than onto silicon substrate. It is found that the substrate nature affects the orientation growth of the films and that SnS films deposited onto Si present more defects than those deposited onto glass substrate. The optical transmittance is also restricted by the substrate type, mostly below 1000 nm. The obtained results for SnS films onto silicon suggest their promising integration within optoelectronic devices.

  12. Radio frequency sputter deposition of high-quality conductive and transparent ZnO:Al films on polymer substrates for thin film solar cells applications

    Energy Technology Data Exchange (ETDEWEB)

    Fernandez, S. [Departamento de Energias Renovables, Energia Solar Fotovoltaica, Centro de Investigaciones Energeticas, Medioambientales y Tecnologicas (CIEMAT), Avda. Complutense 22, 28040 Madrid (Spain)], E-mail: susanamaria.fernandez@ciemat.es; Martinez-Steele, A.; Gandia, J.J. [Departamento de Energias Renovables, Energia Solar Fotovoltaica, Centro de Investigaciones Energeticas, Medioambientales y Tecnologicas (CIEMAT), Avda. Complutense 22, 28040 Madrid (Spain); Naranjo, F.B. [Grupo de Ingenieria Fotonica (GRIFO), Departamento de Electronica, Escuela Politecnica Superior, Universidad de Alcala. Campus Universitario, 28871 Alcala de Henares, Madrid (Spain)

    2009-03-31

    Thick aluminum-doped zinc oxide films were deposited at substrate temperatures from 100 {sup o}C to room temperature on polyethylene terephthalate by radio frequency magnetron sputtering, varying the deposition parameters such as radio frequency power and working pressure. Structural, optical and electrical properties were analyzed using an x-ray diffractometer, a spectrophotometer and a four-point probe, respectively. Films were polycrystalline showing a strong preferred c-axis orientation (002). The best optical and electrical results were achieved using a substrate temperature of 100 {sup o}C. Furthermore, high transmittances close to 80% in the visible wavelength range were obtained for those films deposited at the lowest Argon pressure used of 0.2 Pa. In addition, resistivities as low as 1.1 x 10{sup -3} {omega} cm were reached deposited at a RF power of 75 W. Finally, a comparison of the properties of the films deposited on polymer and glass substrates was performed, obtaining values of the figure of merit for the films on polymer comparable to those obtained on glass substrates, 17,700 {omega}{sup -1} cm{sup -1} vs 14,900 {omega}{sup -1} cm{sup -1}, respectively.

  13. Radio frequency sputter deposition of high-quality conductive and transparent ZnO:Al films on polymer substrates for thin film solar cells applications

    International Nuclear Information System (INIS)

    Fernandez, S.; Martinez-Steele, A.; Gandia, J.J.; Naranjo, F.B.

    2009-01-01

    Thick aluminum-doped zinc oxide films were deposited at substrate temperatures from 100 o C to room temperature on polyethylene terephthalate by radio frequency magnetron sputtering, varying the deposition parameters such as radio frequency power and working pressure. Structural, optical and electrical properties were analyzed using an x-ray diffractometer, a spectrophotometer and a four-point probe, respectively. Films were polycrystalline showing a strong preferred c-axis orientation (002). The best optical and electrical results were achieved using a substrate temperature of 100 o C. Furthermore, high transmittances close to 80% in the visible wavelength range were obtained for those films deposited at the lowest Argon pressure used of 0.2 Pa. In addition, resistivities as low as 1.1 x 10 -3 Ω cm were reached deposited at a RF power of 75 W. Finally, a comparison of the properties of the films deposited on polymer and glass substrates was performed, obtaining values of the figure of merit for the films on polymer comparable to those obtained on glass substrates, 17,700 Ω -1 cm -1 vs 14,900 Ω -1 cm -1 , respectively

  14. Layered graphene-mica substrates induce melting of DNA origami

    Science.gov (United States)

    Green, Nathaniel S.; Pham, Phi H. Q.; Crow, Daniel T.; Burke, Peter J.; Norton, Michael L.

    2018-04-01

    Monolayer graphene supported on mica substrates induce melting of cross-shaped DNA origami. This behavior can be contrasted with the case of origami on graphene on graphite, where an expansion or partially re-organized structure is observed. On mica, only well-formed structures are observed. Comparison of the morphological differences observed for these probes after adsorption on these substrates provides insights into the sensitivity of DNA based nanostructures to the properties of the graphene monolayer, as modified by its substrate.

  15. Influence of substrate material on the microstructure and optical properties of hot wall deposited SnS thin films

    International Nuclear Information System (INIS)

    Bashkirov, S.A.; Gremenok, V.F.; Ivanov, V.A.; Shevtsova, V.V.; Gladyshev, P.P.

    2015-01-01

    Tin monosulfide SnS raises an interest as a promising material for photovoltaics. The influence of the substrate material on the microstructure and optical properties of SnS thin films with [111] texture obtained by hot wall vacuum deposition on glass, molybdenum and indium tin oxide substrates is reported. The lattice parameters for layers grown on different substrates were determined by X-ray diffraction and their deviations from the data reported in the literature for single α-SnS crystals were discussed. The change in the degree of preferred orientation of the films depending on the substrate material is observed. The direct nature of the optical transitions with the optical band gap of 1.15 ± 0.01 eV is reported. - Highlights: • SnS thin films were hot wall deposited on glass, molybdenum and indium tin oxide. • Physical properties of the films were studied with respect to the substrate type. • The SnS lattice parameter deviations were observed and the explanation was given. • The direct optical transitions with the band gap of 1.15 ± 0.01 eV were observed

  16. Investigation of defects in ultra-thin Al{sub 2}O{sub 3} films deposited on pure copper by the atomic layer deposition technique

    Energy Technology Data Exchange (ETDEWEB)

    Chang, M.L.; Wang, L.C. [Department of Materials Science and Engineering, National Taiwan University, No. 1, Sec. 4, Roosevelt Road, Taipei 10617, Taiwan (China); Lin, H.C., E-mail: hclinntu@ntu.edu.tw [Department of Materials Science and Engineering, National Taiwan University, No. 1, Sec. 4, Roosevelt Road, Taipei 10617, Taiwan (China); Chen, M.J., E-mail: mjchen@ntu.edu.tw [Department of Materials Science and Engineering, National Taiwan University, No. 1, Sec. 4, Roosevelt Road, Taipei 10617, Taiwan (China); Lin, K.M. [Department of Materials Science and Engineering, Feng Chia University, No. 100, Wenhwa Road, Seatwen, Taichung 40724, Taiwan (China)

    2015-12-30

    Graphical abstract: Some residual OH ligands originating from incomplete reaction between TMA and surface species of OH* during ALD process induce the defects in deposited Al{sub 2}O{sub 3} films. Three possible types of defects are suggested. The analytic results indicate the defects are Type-I and/or Type-II but do not directly expose the substrate, like pinholes (Type-III). - Highlights: • Oxidation trials were conducted to investigate the defects in ultra-thin Al{sub 2}O{sub 3} films deposited ALD technique on pure copper. • The residual OH ligands in the deposited Al{sub 2}O{sub 3} films induce looser micro-structure which has worse oxidation resistance. • Superficial contamination particles on substrate surface are confirmed to be one of nucleation sites of the defects. - Abstract: Al{sub 2}O{sub 3} films with various thicknesses were deposited by the atomic layer deposition (ALD) technique on pure copper at temperatures of 100–200 °C. Oxidation trials were conducted in air at 200 °C to investigate the defects in these films. The analytic results show that the defects have a looser micro-structure compared to their surroundings, but do not directly expose the substrate, like pinholes. The film's crystallinity, mechanical properties and oxidation resistance could also be affected by these defects. Superficial contamination particles on the substrate surface are confirmed to be nucleation sites of the defects. A model for the mechanism of defect formation is proposed in this study.

  17. Organometallic Pt precursor on graphite substrate: deposition from SC CO2, reduction and morphology transformation as revealed by SFM

    International Nuclear Information System (INIS)

    Elmanovich, Igor V.; Naumkin, Alexander V.; Gallyamov, Marat O.; Khokhlov, Alexei R.

    2012-01-01

    Organometallic Pt precursor was deposited on model highly oriented pyrolytic graphite substrate from solutions in supercritical carbon dioxide. Morphology transformations during reduction process including real-time observations were studied by scanning force microscopy (SFM). We confirmed that SC CO 2 is a promising mediator in deposition process even for rather hydrophobic supports. SFM data show that thermal decomposition of the PtMe 2 (COD) precursor with subsequent hydrogen post-treatment allows one to obtain rather pure and well-defined Pt nanoparticles with average height above a substrate level of 4.5 ± 0.6 nm.

  18. Carbon diffusion in uncoated and titanium nitride coated iron substrates during microwave plasma assisted chemical vapor deposition of diamond

    International Nuclear Information System (INIS)

    Weiser, P.S.; Prawer, S.; Manory, R.R.; Paterson, P.J.K.; Stuart, Sue-Anne

    1992-01-01

    Auger Electron Spectroscopy has been employed to investigate the effectiveness of thin films of TiN as barriers to carbon diffusion during Chemical Vapor Deposition (CVD) of diamond onto Fe substrates. Auger Depth Profiling was used to monitor the C concentration in the TiN layer, through the interface and into the substrate both before and after CVD diamond deposition. The results show that a layer of TiN only 250 Angstroems thick is sufficient to inhibit soot formation on the Fe surface and C diffusion into the Fe bulk. 14 refs., 4 figs

  19. Micro- and nano-surface structures based on vapor-deposited polymers

    Directory of Open Access Journals (Sweden)

    Hsien-Yeh Chen

    2017-07-01

    Full Text Available Vapor-deposition processes and the resulting thin polymer films provide consistent coatings that decouple the underlying substrate surface properties and can be applied for surface modification regardless of the substrate material and geometry. Here, various ways to structure these vapor-deposited polymer thin films are described. Well-established and available photolithography and soft lithography techniques are widely performed for the creation of surface patterns and microstructures on coated substrates. However, because of the requirements for applying a photomask or an elastomeric stamp, these techniques are mostly limited to flat substrates. Attempts are also conducted to produce patterned structures on non-flat surfaces with various maskless methods such as light-directed patterning and direct-writing approaches. The limitations for patterning on non-flat surfaces are resolution and cost. With the requirement of chemical control and/or precise accessibility to the linkage with functional molecules, chemically and topographically defined interfaces have recently attracted considerable attention. The multifunctional, gradient, and/or synergistic activities of using such interfaces are also discussed. Finally, an emerging discovery of selective deposition of polymer coatings and the bottom-up patterning approach by using the selective deposition technology is demonstrated.

  20. Ion beam deposited epitaxial thin silicon films

    International Nuclear Information System (INIS)

    Orrman-Rossiter, K.G.; Al-Bayati, A.H.; Armour, D.G.; Donnelly, S.E.; Berg, J.A. van den

    1991-01-01

    Deposition of thin films using low energy, mass-separated ion beams is a potentially important low temperature method of producing epitaxial layers. In these experiments silicon films were grown on Si (001) substrates using 10-200 eV 28 Si + and 30 Si + ions at substrate temperatures in the range 273-1073 K, under ultrahigh-vacuum conditions (deposition pressure -7 Pa). The film crystallinity was assessed in situ using medium energy ion scattering (MEIS). Films of crystallinity comparable to bulk samples were grown using 10-40 eV 28 Si + and 30 Si + ions at deposition temperatures in the range 623-823 K. These experiments confirmed the role of key experimental parameters such as ion energy, substrate temperature during deposition, and the surface treatment prior to deposition. It was found that a high temperature in situ anneal (1350-1450 K) gave the best results for epitaxial nucleation, whereas low energy (20-40 eV) Cl + ion bombardment resulted in amorphous film growth. The deposition energy for good epitaxial growth indicates that it is necessary to provide enough energy to induce local mobility but not to cause atomic displacements leading to the buildup of stable defects, e.g. divacancies, below the surface layer of the growing film. (orig.)

  1. Role of substrate morphology in ion induced dewetting of thin solid films

    Energy Technology Data Exchange (ETDEWEB)

    Repetto, Luca, E-mail: luca.repetto@unige.it [Physics Department and Nanomed Labs, Università di Genova, Via Dodecaneso 33, 16146 Genova (Italy); Lo Savio, Roberto [Physics Department and Nanomed Labs, Università di Genova, Via Dodecaneso 33, 16146 Genova (Italy); Šetina Batič, Barbara [Inštitut Za Kovinske Materiale in Tehnologije, Lepi pot 11, 1000 Ljubljana (Slovenia); Firpo, Giuseppe; Valbusa, Ugo [Physics Department and Nanomed Labs, Università di Genova, Via Dodecaneso 33, 16146 Genova (Italy)

    2014-10-01

    Highlights: • We have created by ion bombardment silicon substrates with different topographies. • The substrates have been characterized by ellipsometry, AFM, SEM and EDX. • The substrates have been used for experiments of ion induced Cr films. • We show that different substrate topographies can induce different dewetted patterns. • Substrate topography can favor spinodal dewetting against heterogeneous nucleations. - Abstract: We investigate the role of the substrate morphology in the dewetting of ultrathin chromium films irradiated with 30 keV Ga ions. Silicon surfaces with different roughness were used as substrates for the films. The results of the irradiation experiments and of related simulations indicate that the chromium films can undergo a dewetting-like process through the two standard channels that show up for liquids, namely the spinodal channel, and the dewetting by heterogeneous nucleation. The two processes are competitive, and the prevailing one can be predicted and selected according to the characteristics of the substrate.

  2. Role of substrate morphology in ion induced dewetting of thin solid films

    International Nuclear Information System (INIS)

    Repetto, Luca; Lo Savio, Roberto; Šetina Batič, Barbara; Firpo, Giuseppe; Valbusa, Ugo

    2014-01-01

    Highlights: • We have created by ion bombardment silicon substrates with different topographies. • The substrates have been characterized by ellipsometry, AFM, SEM and EDX. • The substrates have been used for experiments of ion induced Cr films. • We show that different substrate topographies can induce different dewetted patterns. • Substrate topography can favor spinodal dewetting against heterogeneous nucleations. - Abstract: We investigate the role of the substrate morphology in the dewetting of ultrathin chromium films irradiated with 30 keV Ga ions. Silicon surfaces with different roughness were used as substrates for the films. The results of the irradiation experiments and of related simulations indicate that the chromium films can undergo a dewetting-like process through the two standard channels that show up for liquids, namely the spinodal channel, and the dewetting by heterogeneous nucleation. The two processes are competitive, and the prevailing one can be predicted and selected according to the characteristics of the substrate

  3. Synthesis of LSM films deposited by dip-coating on YSZ substrate; Sintese de filmes de LSM depositados por dip-coating em substratos de YSZ

    Energy Technology Data Exchange (ETDEWEB)

    Conceicao, Leandro da; Souza, Mariana M.V.M., E-mail: mmattos@eq.ufrj.b [Universidade Federal do Rio de Janeiro (EQ/UFRJ), RJ (Brazil). Escola de Quimica; Ribeiro, Nielson F.P. [Coordenacao dos Programas de Pos-graduacao de Engenharia (PEQ/COPPE/UFRJ), Rio de Janeiro, RJ (Brazil). Programa de Engenharia Quimica. Nucleo de Catalise

    2010-07-01

    The dip-coating process was used to deposit films of La{sub 0.7}Sr{sub 0.}3MnO{sub 3} (LSM) used as cathode in solid oxide fuel cells (SOFC). In this study we evaluated the relationship between the deposition parameters such as speed of withdrawal and number of deposited layers of LSM film on a substrate of 8% YSZ commercial, and structural properties, such as thickness and formation of cracks. The structure and morphology of the films were characterized by X-ray diffraction (XRD) and scanning electron microscopy (SEM). With parameters set the film had good adhesion to the substrate with a thickness around 10 {mu}m, showing possible adherence problems when more than one layer is deposited on the substrate. (author)

  4. The analysis of adhesion failure between Ni-coating and sintered NdFeB substrate

    Energy Technology Data Exchange (ETDEWEB)

    Hengxiu, Y; Yong, D; Zhenlun, S, E-mail: yanghengxiu@nimte.ac.cn [Ningbo Institute of Materials Technology and Engineering, Chinese Academy of Sciences, 315201 (China)

    2011-01-01

    Ni-coating was widely used to protect the sintered NdFeB magnet from corrosion by Watt electro-deposition solution. However, the protection failure always occurs due to poor adhesion strength between Ni-coating and NdFeB substrate. In present work, the adhesion strength of the Ni-coating on NdFeB substrate was measured by vertical tensile method to strip Ni-coating from NdFeB substrate. The results revealed that the adhesion failure was occurred in the side of the NdFeB substrate due to a weak zone sometimes shown cracks located inside of NdFeB substrate, rather than in the interface between Ni-coating and NdFeB substrate. Comparing with cross section morphology of NdFeB magnet after pretreatment, it is concluded that the crack could be formed during the electro-deposition process. The effect of the pH value of bath on adhesion strength indicated that the crack could be induced due to electrochemical hydrogenation of NdFeB substrate during electro-deposition.

  5. Effect of annealing temperature on optical and electrical properties of metallophthalocyanine thin films deposited on silicon substrate

    Directory of Open Access Journals (Sweden)

    Skonieczny R.

    2016-09-01

    Full Text Available The cobalt phthalocyanine (CoPc thin films (300 nm thick deposited on n-type silicon substrate have been studied using micro-Raman spectroscopy, atomic force spectroscopy (AFM and I-V measurement. The CoPc thin layers have been deposited at room temperature by the quasi-molecular beam evaporation technique. The micro-Raman spectra of CoPc thin films have been recorded in the spectral range of 1000 cm-1 to 1900 cm-1 using 488 nm excitation wavelength. Moreover, using surface Raman mapping it was possible to obtain information about polymorphic forms distribution (before and after annealing of metallophthalocyanine (α and β form from polarized Raman spectra. The I-V characteristics of the Au/CoPc/n-Si/Al Schottky barrier were also investigated. The obtained results showed that influence of the annealing process plays a crucial role in the ordering and electrical conductivity of the molecular structure of CoPc thin films deposited on n-type silicon substrate.

  6. The effect of substrate temperature on atomic layer deposited zinc tin oxide

    Energy Technology Data Exchange (ETDEWEB)

    Lindahl, Johan, E-mail: johan.lindahl@angstrom.uu.se; Hägglund, Carl, E-mail: carl.hagglund@angstrom.uu.se; Wätjen, J. Timo, E-mail: timo.watjen@angstrom.uu.se; Edoff, Marika, E-mail: marika.edoff@angstrom.uu.se; Törndahl, Tobias, E-mail: tobias.torndahl@angstrom.uu.se

    2015-07-01

    Zinc tin oxide (ZTO) thin films were deposited on glass substrates by atomic layer deposition (ALD), and the film properties were investigated for varying deposition temperatures in the range of 90 to 180 °C. It was found that the [Sn]/([Sn] + [Zn]) composition is only slightly temperature dependent, while properties such as growth rate, film density, material structure and band gap are more strongly affected. The growth rate dependence on deposition temperature varies with the relative number of zinc or tin containing precursor pulses and it correlates with the growth rate behavior of pure ZnO and SnO{sub x} ALD. In contrast to the pure ZnO phase, the density of the mixed ZTO films is found to depend on the deposition temperature and it increases linearly with about 1 g/cm{sup 3} in total over the investigated range. Characterization by transmission electron microscopy suggests that zinc rich ZTO films contain small (~ 10 nm) ZnO or ZnO(Sn) crystallites embedded in an amorphous matrix, and that these crystallites increase in size with increasing zinc content and deposition temperature. These crystallites are small enough for quantum confinement effects to reduce the optical band gap of the ZTO films as they grow in size with increasing deposition temperature. - Highlights: • Zinc tin oxide thin films were deposited by atomic layer deposition. • The structure and optical properties were studied at different growth temperatures. • The growth temperature had only a small effect on the composition of the films. • Small ZnO or ZnO(Sn) crystallites were observed by TEM in zinc rich ZTO films. • The growth temperature affects the crystallite size, which influences the band gap.

  7. The effect of substrate temperature on atomic layer deposited zinc tin oxide

    International Nuclear Information System (INIS)

    Lindahl, Johan; Hägglund, Carl; Wätjen, J. Timo; Edoff, Marika; Törndahl, Tobias

    2015-01-01

    Zinc tin oxide (ZTO) thin films were deposited on glass substrates by atomic layer deposition (ALD), and the film properties were investigated for varying deposition temperatures in the range of 90 to 180 °C. It was found that the [Sn]/([Sn] + [Zn]) composition is only slightly temperature dependent, while properties such as growth rate, film density, material structure and band gap are more strongly affected. The growth rate dependence on deposition temperature varies with the relative number of zinc or tin containing precursor pulses and it correlates with the growth rate behavior of pure ZnO and SnO x ALD. In contrast to the pure ZnO phase, the density of the mixed ZTO films is found to depend on the deposition temperature and it increases linearly with about 1 g/cm 3 in total over the investigated range. Characterization by transmission electron microscopy suggests that zinc rich ZTO films contain small (~ 10 nm) ZnO or ZnO(Sn) crystallites embedded in an amorphous matrix, and that these crystallites increase in size with increasing zinc content and deposition temperature. These crystallites are small enough for quantum confinement effects to reduce the optical band gap of the ZTO films as they grow in size with increasing deposition temperature. - Highlights: • Zinc tin oxide thin films were deposited by atomic layer deposition. • The structure and optical properties were studied at different growth temperatures. • The growth temperature had only a small effect on the composition of the films. • Small ZnO or ZnO(Sn) crystallites were observed by TEM in zinc rich ZTO films. • The growth temperature affects the crystallite size, which influences the band gap

  8. Quality of YBCO thin films grown on LAO substrates exposed to the film deposition - film removal processes

    Energy Technology Data Exchange (ETDEWEB)

    Blagoev, B; Nurgaliev, T [Institute of Electronics, Bulgarian Academy of Sciences, 72 Tzarigradsko Chaussee, 1784 Sofia (Bulgaria); Mozhaev, P B [Institute of Physics and Technology, Russian Academy of Sciences, 117218 Moscow (Russian Federation); Sardela, M; Donchev, T [Materials Research Laboratory, University of Illinois, 104 South Goodwin Ave., Urbana, IL 61801 (United States)], E-mail: blago_sb@yahoo.com

    2008-05-01

    The characteristics are investigated of high temperature superconducting YBa{sub 2}Cu{sub 3}O{sub 7} (YBCO) films grown on LaAlO{sub 3} (LAO) substrates being exposed a different number of times to YBCO film deposition and acid-solution-based cleaning procedures. Possible mechanisms of degradation of the substrate surface quality reflecting on the growing YBCO film parameters are discussed and analyzed.

  9. Nanomechanical properties of GaSe thin films deposited on Si(1 1 1) substrates by pulsed laser deposition

    International Nuclear Information System (INIS)

    Jian, Sheng-Rui; Juang, Jenh-Yih; Luo, Chih-Wei; Ku, Shin-An; Wu, Kaung-Hsiung

    2012-01-01

    Highlights: ► GaSe thin films are grown by PLD. ► Structural properties of GaSe thin films are measured by XRD. ► Hardness and Young’s modulus of GaSe thin films are measured by nanoindentation. - Abstract: The correlations between the crystalline structure and mechanical properties of GaSe thin films were investigated by means of X-ray diffraction (XRD) and nanoindentation techniques. The GaSe thin films were deposited on Si(1 1 1) substrates deposited at various deposition temperatures using pulsed laser deposition (PLD). The XRD results indicate that all the GaSe thin films are pure hexagonal phase with highly (0 0 0 l)-oriented characteristics. Nanoindentation results revealed apparent discontinuities (so-called multiple “pop-in” events) in the load-displacement curve, while no discontinuity was observed in the unloading segment of the load-displacement curve. The hardness and Young’s modulus of GaSe thin films determined by the continuous stiffness measurements (CSM) method indicated that both mechanical parameters increased with the increasing deposition temperature with the hardness and the Young’s modulus being increased from 1.2 ± 0.1 to 1.8 ± 0.1 GPa and from 39.6 ± 1.2 to 68.9 ± 2.7 GPa, respectively, as the deposition temperature was raised from 400 to 475 °C. These results suggest that the increased grain size might have played a prominent role in determining the mechanical properties of the PLD-derived GaSe thin films.

  10. Magnus-induced ratchet effects for skyrmions interacting with asymmetric substrates

    Science.gov (United States)

    Reichhardt, C.; Ray, D.; Olson Reichhardt, C. J.

    2015-07-01

    We show using numerical simulations that pronounced ratchet effects can occur for ac driven skyrmions moving over asymmetric quasi-one-dimensional substrates. We find a new type of ratchet effect called a Magnus-induced transverse ratchet that arises when the ac driving force is applied perpendicular rather than parallel to the asymmetry direction of the substrate. This transverse ratchet effect only occurs when the Magnus term is finite, and the threshold ac amplitude needed to induce it decreases as the Magnus term becomes more prominent. Ratcheting skyrmions follow ordered orbits in which the net displacement parallel to the substrate asymmetry direction is quantized. Skyrmion ratchets represent a new ac current-based method for controlling skyrmion positions and motion for spintronic applications.

  11. Determination and optimization of the ζ potential in boron electrophoretic deposition on aluminium substrates

    International Nuclear Information System (INIS)

    Oliveira Sampa, M.H. de; Vinhas, L.A.; Pino, E.S.

    1991-05-01

    In this work we present an introduction of the electrophoretic process followed by a detailed experimental treatment of the technique used in the determination and optimization of the ζ-potential, mainly as a function of the electrolyte concentration, in a high purity boron electrophoretics deposition on aluminium substrates used as electrodes in neutron detectors. (author)

  12. Structural, Optical, and Vibrational Properties of ZnO Microrods Deposited on Silicon Substrate

    Science.gov (United States)

    Lahlouh, Bashar I.; Ikhmayies, Shadia J.; Juwhari, Hassan K.

    2018-03-01

    Zinc oxide (ZnO) microrod films deposited by spray pyrolysis on silicon substrate at 350 ± 5°C have been studied and evaluated, and compared with thin films deposited by electron beam to confirm the identity of the studied samples. The films were characterized using different techniques. The microrod structure was studied and confirmed by scanning electron microscopy. Fourier-transform infrared (FTIR) spectroscopy and x-ray diffraction analysis confirmed successful deposition of ZnO thin films with the expected wurtzite structure. Reflectance data showed a substantial drop across the whole studied wavelength range. The photoluminescence (PL) spectra of the studied samples showed a peak at ˜ 360 nm, representing a signature of ZnO. The shift in the PL peak position is due to defects and other species present in the films, as confirmed by FTIR and energy-dispersive x-ray spectroscopy results.

  13. Flexibility of the Indium Tin Oxide Transparent Conductive Film Deposited Onto the Plastic Substrate

    Directory of Open Access Journals (Sweden)

    Shao-Kai Lu

    2014-03-01

    Full Text Available In this study, we utilize the RF magnetron sputtering system to deposit the indium tin oxide (ITO conductive transparent film with low resistivity and high light transmittance to the polyethylene tetephthalate (PET plastic substrate and measure the film’s bending property and reliability at different tensile/compressive strain bending curvatures as well as the flexibility after cycling bending. The results show that the critical curvatures corresponded to the significant increase in the resistance of the 150 nm-thick ITO film deposited onto the PET substrate under tensile and compressive stress areO 14.1 mm and 5.4 mm, respectively. By observing the film’s surface crack and morphology, we can further discover that the critical curvature of the crack generated when the film is bent is quite consistent with the critical curvature at which the conductivity property degrades, and the film can withstand a higher compressive strain bending. In addition, the resistance and adhesion behavior of the film almost is unchanged after cycling bent for 1000 times with the curvature below the critical curvature.

  14. INFLUENCE OF THE SILICON INTERLAYER ON DIAMOND-LIKE CARBON FILMS DEPOSITED ON GLASS SUBSTRATES

    Directory of Open Access Journals (Sweden)

    Deiler Antonio Lima Oliveira

    2012-06-01

    Full Text Available Diamond-like carbon (DLC films as a hard protective coating have achieved great success in a diversity of technological applications. However, adhesion of DLC films to substrates can restrict their applications. The influence of a silicon interlayer in order to improve DLC adhesion on glass substrates was investigated. Amorphous silicon interlayer and DLC films were deposited using plasma enhanced chemical vapor deposition from silane and methane, respectively. The bonding structure, transmittance, refraction index, and adherence of the films were also evaluated regarding the thickness of the silicon interlayer. Raman scattering spectroscopy did not show any substantial difference in DLC structure due to the interlayer thickness of the silicon. Optical measurements showed a sharp decrease of transmittance in the ultra-violet region caused by the fundamental absorption of the light. In addition, the absorption edge of transmittance shifted toward longer wavelength side in the ultra-violet region as the thickness of the silicon interlayer increased. The tribological results showed an increase of DLC adherence as the silicon interlayer increased, which was characterized by less cracks around the grooves.

  15. Deposition of magnetoelectric hexaferrite thin films on substrates of silicon

    Energy Technology Data Exchange (ETDEWEB)

    Zare, Saba; Izadkhah, Hessam; Vittoria, Carmine

    2016-12-15

    Magnetoelectric M-type hexaferrite thin films (SrCo{sub 2}Ti{sub 2}Fe{sub 8}O{sub 19}) were deposited using Pulsed Laser Deposition (PLD) technique on Silicon substrate. A conductive oxide layer of Indium-Tin Oxide (ITO) was deposited as a buffer layer with the dual purposes of 1) to reduce lattice mismatch between the film and silicon and 2) to lower applied voltages to observe magnetoelectric effects at room temperature on Silicon based devices. The film exhibited magnetoelectric effects as confirmed by vibrating sample magnetometer (VSM) techniques in voltages as low as 0.5 V. Without the oxide conductive layer the required voltages to observe magnetoelectric effects was typically about 1000 times larger. The magnetoelectric thin films were characterized by X-ray diffractometer, scanning electron microscope, energy-dispersive spectroscopy, vibrating sample magnetometer, and ferromagnetic resonance techniques. We measured saturation magnetization of 650 G, and coercive field of about 150 Oe for these thin films. The change in remanence magnetization was measured in the presence of DC voltages and the changes in remanence were in the order of 15% with the application of only 0.5 V (DC voltage). We deduced a magnetoelectric coupling, α, of 1.36×10{sup −9} s m{sup −1} in SrCo{sub 2}Ti{sub 2}Fe{sub 8}O{sub 19} thin films.

  16. Electrophoretic-deposited novel ternary silk fibroin/graphene oxide/hydroxyapatite nanocomposite coatings on titanium substrate for orthopedic applications

    Science.gov (United States)

    Li, Ming; Xiong, Pan; Mo, Maosong; Cheng, Yan; Zheng, Yufeng

    2016-09-01

    The combination of graphene oxide (GO) with robust mechanical property, silk fibroin (SF) with fascinating biological effects and hydroxyapatite (HA) with superior osteogenic activity is a competitive approach to make novel coatings for orthopedic applications. Herein, the feasibility of depositing ternary SF/GO/HA nanocomposite coatings on Ti substrate was firstly verified by exploiting electrophoretic nanotechnology, with SF being used as both a charging additive and a dispersion agent. The surface morphology, microstructure and composition, in vitro hemocompatibility and in vitro cytocompatibility of the resulting coatings were investigated by SEM, Raman, FTIR spectra and biocompatibility tests. Results demonstrated that GO, HA and SF could be co-deposited with a uniform, smooth thin-film morphology. The hemolysis rate analysis and the platelet adhesion test indicated good blood compatibility of the coatings. The human osteosarcoma MG63 cells displayed well adhesion and proliferation behaviors on the prepared coatings, with enhanced ALP activities. The present study suggested that SF/GO/HA nanocomposite coatings could be a promising candidate for the surface functionalization of biomaterials, especially as orthopedic implant coating.

  17. Influence of substrate biasing on the growth of c-axis oriented AlN thin films by RF reactive sputtering in pure nitrogen

    Energy Technology Data Exchange (ETDEWEB)

    Monteagudo-Lerma, L.; Naranjo, F.B.; Gonzalez-Herraez, M. [Departamento de Electronica, Escuela Politecnica, Universidad de Alcala, Campus Universitario, 28871 Alcala de Henares (Spain); Fernandez, S. [Departamento de Energias Renovables, Energia Solar Fotovoltaica, Centro de Investigaciones Energeticas, Medioambientales y Tecnologicas (CIEMAT), Avda. Complutense 22, 28040 Madrid (Spain)

    2012-03-15

    We report on the investigation of the influence of deposition conditions on structural, morphological and optical properties of AlN thin films deposited on sapphire (Al{sub 2}O{sub 3}) substrates by radio-frequency (RF) reactive sputtering. The deposition parameters studied are RF power, substrate temperature and substrate bias, while using pure nitrogen as reactive gas. The effect of such deposition parameters on AlN film properties are analyzed by different characterization methods as high resolution X-ray diffraction (HRXRD), field emission scanning electron microscopy (FESEM) and linear optical transmission. AlN thin films with a full-width at half-maximum (FWHM) of the rocking curve obtained for the (0002) diffraction peak of 1.2 are achieved under optimized conditions. The time resolved evolution of the self and externally-induced biasing of the substrate during deposition process is monitored and analyzed in terms of the rate of atomic species incorporation into the layer. The bias-induced change of the atomic incorporation leads to an enhancement in the structural quality of the layer and an increase of the deposition rate. (copyright 2012 WILEY-VCH Verlag GmbH and Co. KGaA, Weinheim) (orig.)

  18. Ferroelectric BaTiO3 thin films on Ti substrate fabricated using pulsed-laser deposition.

    Science.gov (United States)

    He, J; Jiang, J C; Liu, J; Collins, G; Chen, C L; Lin, B; Giurgiutiu, V; Guo, R Y; Bhalla, A; Meletis, E I

    2010-09-01

    We report on the fabrication of ferroelectric BaTiO3 thin films on titanium substrates using pulsed laser deposition and their microstructures and properties. Electron microscopy studies reveal that BaTiO3 films are composed of crystalline assemblage of nanopillars with average cross sections from 100 nm to 200 nm. The BaTiO3 films have good interface structures and strong adhesion with respect to Ti substrates by forming a rutile TiO2 intermediate layer with a gradient microstructure. The room temperature ferroelectric polarization measurements show that the as-deposited BTO films possess nearly the same spontaneous polarization as the bulk BTO ceramics indicating formation of ferroelectric domains in the films. Successful fabrication of such ferroelectric films on Ti has significant importance for the development of new applications such as structural health monitoring spanning from aerospace to civil infrastructure. The work can be extended to integrate other ferroelectric oxide films with various promising properties to monitor the structural health of materials.

  19. p-n Junction Dynamics Induced in a Graphene Channel by Ferroelectric-Domain Motion in the Substrate

    International Nuclear Information System (INIS)

    Kurchak, Anatolii I.; Eliseev, Eugene A.; Kalinin, Sergei V.; Strikha, Maksym V.; Morozovska, Anna N.

    2017-01-01

    The p - n junction dynamics induced in a graphene channel by stripe-domain nucleation, motion, and reversal in a ferroelectric substrate is explored using a self-consistent approach based on Landau-Ginzburg-Devonshire phenomenology combined with classical electrostatics. Relatively low gate voltages are required to induce the hysteresis of ferroelectric polarization and graphene charge in response to the periodic gate voltage. Pronounced nonlinear hysteresis of graphene conductance with a wide memory window corresponds to high amplitudes of gate voltage. Also, we reveal the extrinsic size effect in the dependence of the graphene-channel conductivity on its length. We predict that the top-gate–dielectric-layer–graphene-channel–ferroelectric-substrate nanostructure considered here can be a promising candidate for the fabrication of the next generation of modulators and rectifiers based on the graphene p - n junctions.

  20. Chemical deposition of La0.7Ca0.3MnO3±δ films on ceramic substrates

    Directory of Open Access Journals (Sweden)

    Cássio Morilla-Santos

    2011-01-01

    Full Text Available In this paper, it is reported the growth of La0.7Ca0.3MnO3±δ films using a chemical solution deposition method (CSD by the spin-coating technique. Such solution was prepared through a route based on modified polymeric precursor method. Spin-coating deposition on different ceramic substrates was performed and analyzed by X-ray diffraction (XRD, scanning electron microscopy (SEM and X-ray photoelectron spectroscopy (XPS. The magnetic response of the prepared specimens was studied using a SQUID magnetometer. The obtained results indicated uniform deposition on SrTiO3 and LaAlO3 substrates with similar characteristics. Furthermore, significant differences were detected in the Mn3+/Mn4+ valence ratio and a corresponding diverse magnetic response was observed. The sample prepared on SrTiO3 and LaAlO3 presented a critical temperature around 270 K as expected.

  1. Distinct crystallinity and orientations of hydroxyapatite thin films deposited on C- and A-plane sapphire substrates

    Science.gov (United States)

    Akazawa, Housei; Ueno, Yuko

    2014-10-01

    We report how the crystallinity and orientation of hydroxyapatite (HAp) films deposited on sapphire substrates depend on the crystallographic planes. Both solid-phase crystallization of amorphous HAp films and crystallization during sputter deposition at elevated temperatures were examined. The low-temperature epitaxial phase on C-plane sapphire substrates has c-axis orientated HAp crystals regardless of the crystallization route, whereas the preferred orientation switches to the (310) direction at higher temperatures. Only the symmetric stretching mode (ν1) of PO43- units appears in the Raman scattering spectra, confirming well-ordered crystalline domains. In contrast, HAp crystals grown on A-plane sapphire substrates are always oriented toward random orientations. Exhibiting all vibrational modes (ν1, ν3, and ν4) of PO43- units in the Raman scattering spectra reflects random orientation, violating the Raman selection rule. If we assume that Raman intensities of PO43- units represent the crystallinity of HAp films, crystallization terminating the surface with the C-plane is hindered by the presence of excess H2O and OH species in the film, whereas crystallization at random orientations on the A-plane sapphire is rather promoted by these species. Such contrasting behaviors between C-plane and A-plane substrates will reflect surface-plane dependent creation of crystalline seeds and eventually determine the orientation of resulting HAp films.

  2. Intact deposition of cationic vesicles on anionic cellulose fibers: Role of vesicle size, polydispersity, and substrate roughness studied via streaming potential measurements.

    Science.gov (United States)

    Kumar, Abhijeet; Gilson, Laurent; Henrich, Franziska; Dahl, Verena; Kleinen, Jochen; Gambaryan-Roisman, Tatiana; Venzmer, Joachim

    2016-07-01

    Understanding the mechanism of intact vesicle deposition on solid surfaces is important for effective utilization of vesicles as active ingredient carriers in applications such as drug delivery and fabric softening. In this study, the deposition of large (davg=12μm) and small (davg=0.27μm) cationic vesicles of ditallowethylester dimethylammonium chloride (DEEDMAC) on smooth and rough anionic cellulose fibers is investigated. The deposition process is studied quantitatively using streaming potential measurements and spectrophotometric determination of DEEDMAC concentrations. Natural and regenerated cellulose fibers, namely cotton and viscose, having rough and smooth surfaces, respectively, are used as adsorbents. Equilibrium deposition data and profiles of substrate streaming potential variation with deposition are used to gain insights into the fate of vesicles upon deposition and the deposition mechanism. Intact deposition of DEEDMAC vesicles is ascertained based on streaming potential variation with deposition in the form of characteristic saturating profiles which symbolize particle-like deposition. The same is also confirmed by confocal fluorescence microscopy. Substrate roughness is found to considerably influence the deposition mechanism which, in a novel application of electrokinetic methods, is elucidated via streaming potential measurements. Copyright © 2016 Elsevier Inc. All rights reserved.

  3. Pulsed laser deposited KY3F10: Ho3+ thin films: Influence of target to substrate

    CSIR Research Space (South Africa)

    Debelo, NG

    2017-04-01

    Full Text Available The influence of target to substrate distance (dts) on the structural, morphological and photoluminescence (PL) properties of commercially obtained KY3F10 : Ho3+ phosphor thin films prepared by pulsed laser deposition is investigated for dts values...

  4. Electrophoretic deposition of graphene oxide reinforced chitosan-hydroxyapatite nanocomposite coatings on Ti substrate.

    Science.gov (United States)

    Shi, Y Y; Li, M; Liu, Q; Jia, Z J; Xu, X C; Cheng, Y; Zheng, Y F

    2016-03-01

    Electrophoretic deposition (EPD) is a facile and feasible technique to prepare functional nanocomposite coatings for application in orthopedic-related implants. In this work, a ternary graphene oxide-chitosan-hydroxyapatite (GO-CS-HA) composite coating on Ti substrate was successfully fabricated by EPD. Coating microstructure and morphologies were investigated by scanning electron microscopy, contact angle test, Raman spectroscopy, Fourier transform infrared spectroscopy and thermogravimetric analysis. It was found GO-CS surface were uniformly decorated by HA nanoparticles. The potentiodynamic polarization test in simulated body fluid indicated that the GO-CS-HA coatings could provide effective protection of Ti substrate from corrosion. This ternary composite coating also exhibited good biocompatibility during incubation with MG63 cells. In addition, the nanocomposite coatings could decrease the attachment of Staphylococcus aureus.

  5. Characterization of bicrystalline epitaxial LaNiO{sub 3} films fabricated on MgO (1 0 0) substrates by pulsed laser deposition

    Energy Technology Data Exchange (ETDEWEB)

    Zheng Liang [School of Microelectronics and Solid-State Electronics, University of Electronics Science and Technology of China, Chengdu 610054 (China); Zhu Jun [School of Microelectronics and Solid-State Electronics, University of Electronics Science and Technology of China, Chengdu 610054 (China)]. E-mail: junzhu@uestc.edu.cn; Zhang Ying [School of Microelectronics and Solid-State Electronics, University of Electronics Science and Technology of China, Chengdu 610054 (China); Jiang Shuwen [School of Microelectronics and Solid-State Electronics, University of Electronics Science and Technology of China, Chengdu 610054 (China); Li Yanrong [School of Microelectronics and Solid-State Electronics, University of Electronics Science and Technology of China, Chengdu 610054 (China); Huawei Xian [School of Microelectronics and Solid-State Electronics, University of Electronics Science and Technology of China, Chengdu 610054 (China); Li Jinlong [School of Microelectronics and Solid-State Electronics, University of Electronics Science and Technology of China, Chengdu 610054 (China)

    2006-03-15

    A series of metallic LaNiO{sub 3} (LNO) thin films were deposited on MgO (1 0 0) substrates by pulsed laser deposition (PLD) under the oxygen pressure of 20 Pa at different substrate temperatures from 450 to 750 deg. C. X-ray diffraction (XRD) was used to characterize the crystal structure of LNO films. {theta}-2{theta} scans of XRD indicate that LNO film deposited at a substrate temperature of 700 deg. C has a high orientation of (l l 0). At other substrate temperatures, the LNO films have mixed phases of (l l 0) and (l 0 0). Furthermore, pole figure measurements show that LNO thin films, with the bicrystalline structure, were epitaxially deposited on MgO (1 0 0) substrates in the mode of LNO (1 1 0)//MgO (1 0 0) at 700 deg. C. Reflection high-energy electric diffraction (RHEED) and atomic force microscopy (AFM) were also performed to investigate the microstructure of LNO films with the high (l l 0) orientation. RHEED patterns clearly confirm this epitaxial relationship. An atomically smooth surface of LNO films at 700 deg. C was obtained. In addition, bicrystalline epitaxial LNO films, fabricated at 700 deg. C, present a excellent conductivity with a lower electrical resistivity of 300 {mu} {omega} cm. Thus, the obtained results indicate that bicystalline epitaxial LNO films could serve as a promising candidate of electrode materials for the fabrication of ferroelectric or dielectric films.

  6. Electrical response of electron selective atomic layer deposited TiO2‑x heterocontacts on crystalline silicon substrates

    Science.gov (United States)

    Ahiboz, Doğuşcan; Nasser, Hisham; Aygün, Ezgi; Bek, Alpan; Turan, Raşit

    2018-04-01

    Integration of oxygen deficient sub-stoichiometric titanium dioxide (TiO2‑x) thin films as the electron transporting-hole blocking layer in solar cell designs are expected to reduce fabrication costs by eliminating high temperature processes while maintaining high conversion efficiencies. In this paper, we conducted a study to reveal the electrical properties of TiO2‑x thin films grown on crystalline silicon (c-Si) substrates by atomic layer deposition (ALD) technique. Effect of ALD substrate temperature, post deposition annealing, and doping type of the c-Si substrate on the interface states and TiO2‑x bulk properties were extracted by performing admittance (C-V, G-V) and current-voltage (J-V) measurements. Moreover, the asymmetry in C-V and J-V measurements between the p-n type and n-n TiO2‑x-c-Si heterojunction types were examined and the electron transport selectivity of TiO2‑x was revealed.

  7. Enhanced properties of tungsten thin films deposited with a novel HiPIMS approach

    Science.gov (United States)

    Velicu, Ioana-Laura; Tiron, Vasile; Porosnicu, Corneliu; Burducea, Ion; Lupu, Nicoleta; Stoian, George; Popa, Gheorghe; Munteanu, Daniel

    2017-12-01

    Despite the tremendous potential for industrial use of tungsten (W), very few studies have been reported so far on controlling and tailoring the properties of W thin films obtained by physical vapor deposition techniques and, even less, for those deposited by High Power Impulse Magnetron Sputtering (HiPIMS). This study presents results on the deposition process and properties characterization of nanocrystalline W thin films deposited on silicon and molybdenum substrates (100 W average sputtering power) by conventional dc magnetron sputtering (dcMS) and HiPIMS techniques. Topological, structural, mechanical and tribological properties of the deposited thin films were investigated. It was found that in HiPIMS, both deposition process and coatings properties may be optimized by using an appropriate magnetic field configuration and pulsing design. Compared to the other deposited samples, the W films grown in multi-pulse (5 × 3 μs) HiPIMS assisted by an additional magnetic field, created with a toroidal-shaped permanent magnet placed in front of the magnetron cathode, show significantly enhanced properties, such as: smoother surfaces, higher homogeneity and denser microstructure, higher hardness and Young's modulus values, better adhesion to the silicon substrate and lower coefficient of friction. Mechanical behaviour and structural changes are discussed based on plasma diagnostics results.

  8. New system for vacuum deposition of refractory materials using an atmospheric-pressure inductively coupled plasma

    International Nuclear Information System (INIS)

    Merkle, B.D.; Kniseley, R.N.; Schmidt, F.A.

    1987-01-01

    We have successfully developed a technique utilizing an atmospheric-pressure inductively coupled plasma combined with a low-pressure deposition chamber for deposition of thin films. The equipment and method of operation are discussed. Refractory powders (Nb and Y 2 O 3 ) were injected into the plasma and deposited as Nb and substoichiometric yttrium oxide, YO/sub 1.49/, onto Fe and Cu substrates. The substoichiometric yttrium oxide deposit adhered well to the Fe and Cu substrates, while the Nb deposit adhered well to the Fe only. The Nb deposit on the Cu substrate flaked and peeled probably because of stresses induced from the thermal expansion mismatch between the Nb and Cu. Further studies will be undertaken to better understand the processes occurring in this type of plasma-coating system in order to optimize the instrumental parameters for particular coating applications

  9. a-Si:H crystallization from isothermal annealing and its dependence on the substrate used

    Energy Technology Data Exchange (ETDEWEB)

    Rojas-Lopez, M., E-mail: marlonrl@yahoo.com.mx [CIBA-Tlaxcala, Instituto Politecnico Nacional, Tepetitla, Tlax. 90700 (Mexico); Orduna-Diaz, A.; Delgado-Macuil, R.; Gayou, V.L.; Bibbins-Martinez, M. [CIBA-Tlaxcala, Instituto Politecnico Nacional, Tepetitla, Tlax. 90700 (Mexico); Torres-Jacome, A.; Trevino-Palacios, C.G. [INAOE, Tonantzintla, Puebla, Pue. 72000 (Mexico)

    2010-10-25

    We present hydrogenated amorphous silicon (a-Si:H) films which were deposited on two different substrates (glass and mono-crystalline silicon) after an isothermal annealing treatment at 250 deg. C for up to 14 h. The annealed amorphous films were analyzed using atomic force microscopy, Raman and FTIR spectroscopy. Films deposited on glass substrate experienced an amorphous-crystalline phase transition after annealing because of the metal-induced crystallization effect, reaching approximately 70% conversion after 14 h of annealing. An absorption frequency of the TO-phonon mode that varies systematically with the substoichiometry of the silicon oxide in the 1046-1170 cm{sup -1} region was observed, revealing the reactivity of the film with the annealing time. For similar annealing time, films deposited on mono-crystalline silicon substrate remained mainly amorphous with minimal Si-crystalline formation. Therefore, the crystalline formations and the shape of the films surfaces depends on the annealing time as well as on the substrate employed during the deposition process of the a-Si:H film.

  10. PLZT capacitor on glass substrate

    Science.gov (United States)

    Fairchild, M. Ray; Taylor, Ralph S.; Berlin, Carl W.; Wong, Celine W. K.; Ma, Beihai; Balachandran, Uthamalingam

    2016-01-05

    A lead-lanthanum-zirconium-titanate (PLZT) capacitor on a substrate formed of glass. The first metallization layer is deposited on a top side of the substrate to form a first electrode. The dielectric layer of PLZT is deposited over the first metallization layer. The second metallization layer deposited over the dielectric layer to form a second electrode. The glass substrate is advantageous as glass is compatible with an annealing process used to form the capacitor.

  11. Preparation and characterization of pulsed laser deposited CdTe thin films at higher FTO substrate temperature and in Ar + O{sub 2} atmosphere

    Energy Technology Data Exchange (ETDEWEB)

    Ding, Chao; Ming, Zhenxun [College of Materials Science and Engineering, Sichuan University, Chengdu 610064, Sichuan (China); Li, Bing, E-mail: libing70@126.com [College of Materials Science and Engineering, Sichuan University, Chengdu 610064, Sichuan (China); Feng, Lianghuan [College of Materials Science and Engineering, Sichuan University, Chengdu 610064, Sichuan (China); Wu, Judy [Department of Physics and Astronomy, Kansas University, Lawrence 66045 (United States)

    2013-06-20

    Highlights: • CdTe films were deposited by PLD at high substrate temperatures (400 °C, 550 °C). • CdTe films were achieved under the atmosphere (1.2 Torr) of Ar mixed with O{sub 2}. • Deposited CdTe films were cubic phase and had strong (1 0 0) preferred orientation. • Scanning electron microscope (SEM) showed an average grain size of 0.3–0.6 μm. • The ultra-thin film (CdS/PLD-CdTe) solar cell with efficiency of 6.68% was made. -- Abstract: Pulsed laser deposition (PLD) is one of the promising techniques for depositing cadmium telluride (CdTe) thin films. It has been reported that PLD CdTe thin films were almost deposited at the lower substrate temperatures (<300 °C) under vacuum conditions. However, the poor crystallinity of CdTe films prepared in this way renders them not conducive to the preparation of high-efficiency CdTe solar cells. To obtain high-efficiency solar cell devices, better crystallinity and more suitable grain size are needed, which requires the CdTe layer to be deposited by PLD at high substrate temperatures (>400 °C). In this paper, CdTe layers were deposited by PLD (KrF, λ = 248 nm, 10 Hz) at different higher substrate temperatures (T{sub s}). Excellent performance of CdTe films was achieved at higher substrate temperatures (400 °C, 550 °C) under an atmosphere of Ar mixed with O{sub 2} (1.2 Torr). X-ray diffraction analysis confirmed the formation of CdTe cubic phase with a strong (1 0 0) preferential orientation at all substrates temperatures on 60 mJ laser energy. The optical properties of CdTe were investigated, and the band gaps of CdTe films were 1.51 eV and 1.49 eV at substrate temperatures of 400 °C and 550 °C, respectively. Scanning electron microscopy (SEM) showed an average grain size of 0.3–0.6 μm. Thus, under these conditions of the atmosphere of Ar + O{sub 2} (15 Torr) and at the relatively high T{sub s} (500 °C), an thin-film (FTO/PLD-CdS (100 nm)/PLD-CdTe (∼1.5 μm)/HgTe: Cu/Ag) solar cell with an

  12. Effect of oxygen pressure of SiOx buffer layer on the electrical properties of GZO film deposited on PET substrate

    International Nuclear Information System (INIS)

    Ahn, Byung Du; Ko, Young Gun; Oh, Sang Hoon; Song, Jean-Ho; Kim, Hyun Jae

    2009-01-01

    The present work was made to investigate the effect of oxygen pressure of SiO x layer on the electrical properties of Ga-doped ZnO (GZO) films deposited on poly-ethylene telephthalate (PET) substrate by utilizing the pulsed-laser deposition at ambient temperature. For this purpose, the SiO x buffer layers were deposited at various oxygen pressures ranging from 13.3 to 46.7 Pa. With increasing oxygen pressure during the deposition of SiO x layer as a buffer, the electrical resistivity of GZO/SiO x /PET films gradually decreased from 7.6 x 10 -3 to 6.8 x 10 -4 Ω.cm, due to the enhanced mobility of GZO films. It was mainly due to the grain size of GZO films related to the roughened surface of the SiO x buffer layers. In addition, the average optical transmittance of GZO/SiO x /PET films in a visible regime was estimated to be ∼ 90% comparable to that of GZO deposited onto a glass substrate.

  13. Electrophoretic deposition of calcium silicate-reduced graphene oxide composites on titanium substrate

    DEFF Research Database (Denmark)

    Mehrali, Mehdi; Akhiani, Amir Reza; Talebian, Sepehr

    2016-01-01

    Calcium silicate (CS)/graphene coatings have been used to improve the biological and mechanical fixation of metallic prosthesis. Among the extraordinary features of graphene is its very high mechanical strength, which makes it an attractive nanoreinforcement material for composites. Calcium...... silicate-reduced graphene oxide (CS-rGO) composites were synthesized, using an in situ hydrothermal method. CS nanowires were uniformly decorated on the rGO, with an appropriate interfacial bonding. The CS-rGO composites behaved like hybrid composites when deposited on a titanium substrate by cathodic...

  14. Structural and photoluminescence characterization of SnO{sub 2}: F thin films deposited by advanced spray pyrolysis technique at low substrate temperature

    Energy Technology Data Exchange (ETDEWEB)

    Shewale, P.S. [Thin Film Physics Laboratory, Department of Electronics, Shivaji University, Kolhapur 416004 (India); Ung Sim, Kyu; Kim, Ye-bin; Kim, J.H. [Department of Materials Science and Engineering, Chonnam National University, 300 Yongbong-Dong, Buk-Gu, Gwangju 500757 (Korea, Republic of); Moholkar, A.V. [Department of Physics, Shivaji University, Kolhapur 416004 (India); Uplane, M.D., E-mail: mdu_eln@unishivaji.ac.in [Thin Film Physics Laboratory, Department of Electronics, Shivaji University, Kolhapur 416004 (India)

    2013-07-15

    Fluorine doped tin oxide (FTO) thin films were deposited on glass substrates, at different substrate temperatures using advanced spray pyrolysis technique. X-ray diffraction studies showed that the crystallinity of the thin films increased with increasing substrate temperature. FESEM and AFM studies support the conclusions drawn from X-ray diffraction studies. X-ray photoelectron studies confirm oxygen deficiency in formation of the FTO nanocrystallites. The photoluminescence of the FTO films were investigated. It was found that, room temperature photoluminescence spectra are dominated by oxygen vacancies and exhibit a rich violet photoluminescence band about ∼404 nm with an extensively feeble red emission about 700 nm. The Photoluminescence intensity varies with the substrate temperature. The photoemission position is observed to be independent of substrate temperature. -- Highlights: ► Photoluminescent FTO thin films were deposited at low substrate temperatures. ► Influence of substrate temperature on the PL characteristics was studied. ► The samples are polycrystalline with a cassiterite tetragonal crystal structure. ► The room temperature UV/violet PL emission was dominated by the oxygen vacancies. ► PL efficiency is optimum at 613 K substrate temperature.

  15. Aligned carbon nanotube, graphene and graphite oxide thin films via substrate-directed rapid interfacial deposition

    Science.gov (United States)

    D'Arcy, Julio M.; Tran, Henry D.; Stieg, Adam Z.; Gimzewski, James K.; Kaner, Richard B.

    2012-05-01

    A procedure for depositing thin films of carbon nanostructures is described that overcomes the limitations typically associated with solution based methods. Transparent and conductively continuous carbon coatings can be grown on virtually any type of substrate within seconds. Interfacial surface tension gradients result in directional fluid flow and film spreading at the water/oil interface. Transparent films of carbon nanostructures are produced including aligned ropes of single-walled carbon nanotubes and assemblies of single sheets of chemically converted graphene and graphite oxide. Process scale-up, layer-by-layer deposition, and a simple method for coating non-activated hydrophobic surfaces are demonstrated.A procedure for depositing thin films of carbon nanostructures is described that overcomes the limitations typically associated with solution based methods. Transparent and conductively continuous carbon coatings can be grown on virtually any type of substrate within seconds. Interfacial surface tension gradients result in directional fluid flow and film spreading at the water/oil interface. Transparent films of carbon nanostructures are produced including aligned ropes of single-walled carbon nanotubes and assemblies of single sheets of chemically converted graphene and graphite oxide. Process scale-up, layer-by-layer deposition, and a simple method for coating non-activated hydrophobic surfaces are demonstrated. Electronic supplementary information (ESI) available: Droplet coalescence, catenoid formation, mechanism of film growth, scanning electron micrographs showing carbon nanotube alignment, flexible transparent films of SWCNTs, AFM images of a chemically converted graphene film, and SEM images of SWCNT free-standing thin films. See DOI: 10.1039/c2nr00010e

  16. The effect of substrate on thermodynamic and kinetic anisotropies in atomic thin films

    International Nuclear Information System (INIS)

    Haji-Akbari, Amir; Debenedetti, Pablo G.

    2014-01-01

    Glasses have a wide range of technological applications. The recent discovery of ultrastable glasses that are obtained by depositing the vapor of a glass-forming liquid onto the surface of a cold substrate has sparked renewed interest in the effects of confinements on physicochemical properties of liquids and glasses. Here, we use molecular dynamics simulations to study the effect of substrate on thin films of a model glass-forming liquid, the Kob-Andersen binary Lennard-Jones system, and compute profiles of several thermodynamic and kinetic properties across the film. We observe that the substrate can induce large oscillations in profiles of thermodynamic properties such as density, composition, and stress, and we establish a correlation between the oscillations in total density and the oscillations in normal stress. We also demonstrate that the kinetic properties of an atomic film can be readily tuned by changing the strength of interactions between the substrate and the liquid. Most notably, we show that a weakly attractive substrate can induce the emergence of a highly mobile region in its vicinity. In this highly mobile region, structural relaxation is several times faster than in the bulk, and the exploration of the potential energy landscape is also more efficient. In the subsurface region near a strongly attractive substrate, however, the dynamics is decelerated and the sampling of the potential energy landscape becomes less efficient than the bulk. We explain these two distinct behaviors by establishing a correlation between the oscillations in kinetic properties and the oscillations in lateral stress. Our findings offer interesting opportunities for designing better substrates for the vapor deposition process or developing alternative procedures for situations where vapor deposition is not feasible

  17. The effect of substrate on thermodynamic and kinetic anisotropies in atomic thin films.

    Science.gov (United States)

    Haji-Akbari, Amir; Debenedetti, Pablo G

    2014-07-14

    Glasses have a wide range of technological applications. The recent discovery of ultrastable glasses that are obtained by depositing the vapor of a glass-forming liquid onto the surface of a cold substrate has sparked renewed interest in the effects of confinements on physicochemical properties of liquids and glasses. Here, we use molecular dynamics simulations to study the effect of substrate on thin films of a model glass-forming liquid, the Kob-Andersen binary Lennard-Jones system, and compute profiles of several thermodynamic and kinetic properties across the film. We observe that the substrate can induce large oscillations in profiles of thermodynamic properties such as density, composition, and stress, and we establish a correlation between the oscillations in total density and the oscillations in normal stress. We also demonstrate that the kinetic properties of an atomic film can be readily tuned by changing the strength of interactions between the substrate and the liquid. Most notably, we show that a weakly attractive substrate can induce the emergence of a highly mobile region in its vicinity. In this highly mobile region, structural relaxation is several times faster than in the bulk, and the exploration of the potential energy landscape is also more efficient. In the subsurface region near a strongly attractive substrate, however, the dynamics is decelerated and the sampling of the potential energy landscape becomes less efficient than the bulk. We explain these two distinct behaviors by establishing a correlation between the oscillations in kinetic properties and the oscillations in lateral stress. Our findings offer interesting opportunities for designing better substrates for the vapor deposition process or developing alternative procedures for situations where vapor deposition is not feasible.

  18. The effect of substrate on thermodynamic and kinetic anisotropies in atomic thin films

    Energy Technology Data Exchange (ETDEWEB)

    Haji-Akbari, Amir; Debenedetti, Pablo G., E-mail: pdebene@exchange.princeton.edu [Department of Chemical and Biological Engineering, Princeton University, Princeton, New Jersey 08544 (United States)

    2014-07-14

    Glasses have a wide range of technological applications. The recent discovery of ultrastable glasses that are obtained by depositing the vapor of a glass-forming liquid onto the surface of a cold substrate has sparked renewed interest in the effects of confinements on physicochemical properties of liquids and glasses. Here, we use molecular dynamics simulations to study the effect of substrate on thin films of a model glass-forming liquid, the Kob-Andersen binary Lennard-Jones system, and compute profiles of several thermodynamic and kinetic properties across the film. We observe that the substrate can induce large oscillations in profiles of thermodynamic properties such as density, composition, and stress, and we establish a correlation between the oscillations in total density and the oscillations in normal stress. We also demonstrate that the kinetic properties of an atomic film can be readily tuned by changing the strength of interactions between the substrate and the liquid. Most notably, we show that a weakly attractive substrate can induce the emergence of a highly mobile region in its vicinity. In this highly mobile region, structural relaxation is several times faster than in the bulk, and the exploration of the potential energy landscape is also more efficient. In the subsurface region near a strongly attractive substrate, however, the dynamics is decelerated and the sampling of the potential energy landscape becomes less efficient than the bulk. We explain these two distinct behaviors by establishing a correlation between the oscillations in kinetic properties and the oscillations in lateral stress. Our findings offer interesting opportunities for designing better substrates for the vapor deposition process or developing alternative procedures for situations where vapor deposition is not feasible.

  19. Deposition of bi-dispersed particles in inkjet-printed evaporating colloidal drops

    Science.gov (United States)

    Sun, Ying; Joshi, Abhijit; Chhasatia, Viral

    2010-11-01

    In this study, the deposition behaviors of inkjet-printed evaporating colloidal drops consisting of bi-dispersed micro and nano-sized particles are investigated by fluorescence microscopy and SEM. The results on hydrophilic glass substrates show that, evaporatively-driven outward flow drives the nanoparticles to deposit close to the pinned contact line while an inner ring deposition is formed by microparticles. This size-induced particle separation is consistent with the existence of a wedge-shaped drop edge near the contact line region of an evaporating drop on a hydrophilic substrate. The replenishing evaporatively-driven flow assembles nanoparticles closer to the pinned contact line forming an outer ring of nanoparticles and this particle jamming further enhances the contact line pinning. Microparticles are observed to form an inner ring inside the nano-sized deposits. This size-induced particle separation presents a new challenge to the uniformity of functional materials in bioprinting applications where nanoparticles and micro-sized cells are mixed together. On the other hand, particle self-assembly based on their sizes provides enables easy and well-controlled pattern formation. The effects of particle size contrast, particle volume fraction, substrate surface energy, and relative humidity of the printing environment on particle separation are examined in detail.

  20. Effect of the substrate surface topology and temperature on the structural properties of ZnO layers obtained by plasma enhanced chemical vapour deposition

    Energy Technology Data Exchange (ETDEWEB)

    Kitova, S; Danev, G, E-mail: skitova@clf.bas.b [Acad. J .Malinowski Central Laboratory of Photoprocesses, Bulgarian Academy of Sciences, Acad. G. Bonchev Str., Bl.109, 1113 Sofia (Bulgaria)

    2010-04-01

    In this work thin ZnO layers were grown by metal-organic PECVD (RF 13.56 MHz) on Si wafers. Zn acetylacetonate was used as a precursor and oxygen as oxidant. A system for dosed injection of the precursor and oxidant into the plasma reactor was developed. The influence of the substrate surface topology and temperature on the structural properties of the deposited layers was studied. ZnO and graphite powder dispersions were used to modify the silicon wafers before starting the deposition process of the layers. Some of the ZnO layers were deposited on the back, unpolished, side of Si wafers. Depositions at 400 {sup 0}C were performed to examine the effect of the substrate temperatures on the layer growth. The film structure was examined by XRD and SEM. The results show that all layers are crystalline with hexagonal wurtzite structure. The crystallites are preferentially oriented along the c-axis direction perpendicular to the substrate surfaces. ZnO layers deposited on thin ZnO seed films and clean Si surface exhibit well-developed grain structures and more c-axis preferred phase with better crystal quality than that of the layers deposited on graphite seed layer or rough, unpolished Si wafer.

  1. Role of plasma enhanced atomic layer deposition reactor wall conditions on radical and ion substrate fluxes

    Energy Technology Data Exchange (ETDEWEB)

    Sowa, Mark J., E-mail: msowa@ultratech.com [Ultratech/Cambridge NanoTech, 130 Turner Street, Building 2, Waltham, Massachusetts 02453 (United States)

    2014-01-15

    Chamber wall conditions, such as wall temperature and film deposits, have long been known to influence plasma source performance on thin film processing equipment. Plasma physical characteristics depend on conductive/insulating properties of chamber walls. Radical fluxes depend on plasma characteristics as well as wall recombination rates, which can be wall material and temperature dependent. Variations in substrate delivery of plasma generated species (radicals, ions, etc.) impact the resulting etch or deposition process resulting in process drift. Plasma enhanced atomic layer deposition is known to depend strongly on substrate radical flux, but film properties can be influenced by other plasma generated phenomena, such as ion bombardment. In this paper, the chamber wall conditions on a plasma enhanced atomic layer deposition process are investigated. The downstream oxygen radical and ion fluxes from an inductively coupled plasma source are indirectly monitored in temperature controlled (25–190 °C) stainless steel and quartz reactors over a range of oxygen flow rates. Etch rates of a photoresist coated quartz crystal microbalance are used to study the oxygen radical flux dependence on reactor characteristics. Plasma density estimates from Langmuir probe ion saturation current measurements are used to study the ion flux dependence on reactor characteristics. Reactor temperature was not found to impact radical and ion fluxes substantially. Radical and ion fluxes were higher for quartz walls compared to stainless steel walls over all oxygen flow rates considered. The radical flux to ion flux ratio is likely to be a critical parameter for the deposition of consistent film properties. Reactor wall material, gas flow rate/pressure, and distance from the plasma source all impact the radical to ion flux ratio. These results indicate maintaining chamber wall conditions will be important for delivering consistent results from plasma enhanced atomic layer deposition

  2. Deposition of low sheet resistance indium tin oxide directly onto functional small molecules

    KAUST Repository

    Franklin, Joseph B.; Fleet, Luke R.; Burgess, Claire H.; McLachlan, Martyn A.

    2014-01-01

    © 2014 Elsevier B.V. All rights reserved. We outline a methodology for depositing tin-doped indium oxide (ITO) directly onto semiconducting organic small molecule films for use as a transparent conducting oxide top-electrode. ITO films were grown using pulsed laser deposition onto copper(II)phthalocyanine (CuPc):buckminsterfullerene (C60) coated substrates. The ITO was deposited at a substrate temperature of 150 °C over a wide range of background oxygen pressures (Pd) (0.67-10 Pa). Deposition at 0.67 ≤ Pd ≤ 4.7 Pa led to delamination of the organic films owing to damage induced by the high energy ablated particles, at intermediate 4.7 ≤ Pd < 6.7 Pa pressures macroscopic cracking is observed in the ITO. Increasing Pd further, ≥ 6.7 Pa, supports the deposition of continuous, polycrystalline and highly transparent ITO films without damage to the CuPc:C60. The free carrier concentration of ITO is strongly influenced by Pd; hence growth at > 6.7 Pa induces a significant decrease in conductivity; with a minimum sheet resistance (Rs) of 145 /□ achieved for 300 nm thick ITO films. To reduce the Rs a multi-pressure deposition was implemented, resulting in the formation of polycrystalline, highly transparent ITO with an Rs of - 20/□ whilst maintaining the inherent functionality and integrity of the small molecule substrate.

  3. Deposition of low sheet resistance indium tin oxide directly onto functional small molecules

    KAUST Repository

    Franklin, Joseph B.

    2014-11-01

    © 2014 Elsevier B.V. All rights reserved. We outline a methodology for depositing tin-doped indium oxide (ITO) directly onto semiconducting organic small molecule films for use as a transparent conducting oxide top-electrode. ITO films were grown using pulsed laser deposition onto copper(II)phthalocyanine (CuPc):buckminsterfullerene (C60) coated substrates. The ITO was deposited at a substrate temperature of 150 °C over a wide range of background oxygen pressures (Pd) (0.67-10 Pa). Deposition at 0.67 ≤ Pd ≤ 4.7 Pa led to delamination of the organic films owing to damage induced by the high energy ablated particles, at intermediate 4.7 ≤ Pd < 6.7 Pa pressures macroscopic cracking is observed in the ITO. Increasing Pd further, ≥ 6.7 Pa, supports the deposition of continuous, polycrystalline and highly transparent ITO films without damage to the CuPc:C60. The free carrier concentration of ITO is strongly influenced by Pd; hence growth at > 6.7 Pa induces a significant decrease in conductivity; with a minimum sheet resistance (Rs) of 145 /□ achieved for 300 nm thick ITO films. To reduce the Rs a multi-pressure deposition was implemented, resulting in the formation of polycrystalline, highly transparent ITO with an Rs of - 20/□ whilst maintaining the inherent functionality and integrity of the small molecule substrate.

  4. Chemical deposition methods using supercritical fluid solutions

    Science.gov (United States)

    Sievers, Robert E.; Hansen, Brian N.

    1990-01-01

    A method for depositing a film of a desired material on a substrate comprises dissolving at least one reagent in a supercritical fluid comprising at least one solvent. Either the reagent is capable of reacting with or is a precursor of a compound capable of reacting with the solvent to form the desired product, or at least one additional reagent is included in the supercritical solution and is capable of reacting with or is a precursor of a compound capable of reacting with the first reagent or with a compound derived from the first reagent to form the desired material. The supercritical solution is expanded to produce a vapor or aerosol and a chemical reaction is induced in the vapor or aerosol so that a film of the desired material resulting from the chemical reaction is deposited on the substrate surface. In an alternate embodiment, the supercritical solution containing at least one reagent is expanded to produce a vapor or aerosol which is then mixed with a gas containing at least one additional reagent. A chemical reaction is induced in the resulting mixture so that a film of the desired material is deposited.

  5. Low temperature rf sputtering deposition of (Ba, Sr) TiO3 thin film with crystallization enhancement by rf power supplied to the substrate

    International Nuclear Information System (INIS)

    Yoshimaru, Masaki; Takehiro, Shinobu; Abe, Kazuhide; Onoda, Hiroshi

    2005-01-01

    The (Ba, Sr) TiO 3 thin film deposited by radio frequency (rf) sputtering requires a high deposition temperature near 500 deg. C to realize a high relative dielectric constant over of 300. For example, the film deposited at 330 deg. C contains an amorphous phase and shows a low relative dielectric constant of less than 100. We found that rf power supplied not only to the (Ba, Sr) TiO 3 sputtering target, but also to the substrate during the initial step of film deposition, enhanced the crystallization of the (Ba, Sr) TiO 3 film drastically and realized a high dielectric constant of the film even at low deposition temperatures near 300 deg. C. The 50-nm-thick film with only a 10 nm initial layer deposited with the substrate rf biasing is crystallized completely and shows a high relative dielectric constant of 380 at the deposition temperature of 330 deg. C. The (Ba, Sr) TiO 3 film deposited at higher temperatures (upwards of 400 deg. C) shows preferred orientation, while the film deposited at 330 deg. C with the 10 nm initial layer shows a preferred orientation on a -oriented ruthenium electrode. The unit cell of (Ba, Sr) TiO 3 (111) plane is similar to that of ruthenium (001) plane. We conclude that the rf power supplied to the substrate causes ion bombardments on the (Ba, Sr) TiO 3 film surface, which assists the quasiepitaxial growth of (Ba, Sr) TiO 3 film on the ruthenium electrode at low temperatures of less than 400 deg. C

  6. Low temperature rf sputtering deposition of (Ba, Sr) TiO3 thin film with crystallization enhancement by rf power supplied to the substrate

    Science.gov (United States)

    Yoshimaru, Masaki; Takehiro, Shinobu; Abe, Kazuhide; Onoda, Hiroshi

    2005-05-01

    The (Ba, Sr) TiO3 thin film deposited by radio frequency (rf) sputtering requires a high deposition temperature near 500 °C to realize a high relative dielectric constant over of 300. For example, the film deposited at 330 °C contains an amorphous phase and shows a low relative dielectric constant of less than 100. We found that rf power supplied not only to the (Ba, Sr) TiO3 sputtering target, but also to the substrate during the initial step of film deposition, enhanced the crystallization of the (Ba, Sr) TiO3 film drastically and realized a high dielectric constant of the film even at low deposition temperatures near 300 °C. The 50-nm-thick film with only a 10 nm initial layer deposited with the substrate rf biasing is crystallized completely and shows a high relative dielectric constant of 380 at the deposition temperature of 330 °C. The (Ba, Sr) TiO3 film deposited at higher temperatures (upwards of 400 °C) shows preferred orientation, while the film deposited at 330 °C with the 10 nm initial layer shows a preferred orientation on a -oriented ruthenium electrode. The unit cell of (Ba, Sr) TiO3 (111) plane is similar to that of ruthenium (001) plane. We conclude that the rf power supplied to the substrate causes ion bombardments on the (Ba, Sr) TiO3 film surface, which assists the quasiepitaxial growth of (Ba, Sr) TiO3 film on the ruthenium electrode at low temperatures of less than 400 °C.

  7. Characterization of the yttria-stabilized zirconia thin film electrophoretic deposited on La{sub 0.8}Sr{sub 0.2}MnO{sub 3} substrate

    Energy Technology Data Exchange (ETDEWEB)

    Yang, Koho [Department of Mold and Die Engineering, National Kaohsiung University of Applied Sciences, 415 Chien-Kung Rode, Kaohsiung 80782, Taiwan (China); Shen, Jung-Hsiung [Department of Mold and Die Engineering, National Kaohsiung University of Applied Sciences, 415 Chien-Kung Rode, Kaohsiung 80782, Taiwan (China); Yang, Kai-Yun [Department of Materials Science and Engineering, National Chen Kung University, 1 Ta-Hsueh Road, Tainan 70101, Taiwan (China); Hung, I-Ming [Department of Materials Science and Engineering, National Chen Kung University, 1 Ta-Hsueh Road, Tainan 70101, Taiwan (China); Department of Chemical Engineering and Materials Science, Yuan Ze University, 135 Yuan-Tung Road, Chungli, Taoyunn 320, Taiwan (China); Fung, Kuan-Zong [Department of Materials Science and Engineering, National Chen Kung University, 1 Ta-Hsueh Road, Tainan 70101, Taiwan (China); Wang, Moo-Chin [Faculty of Fragrance and Cosmetics, Kaohsiung Medical University, 100 Shi-Chuan 1st Road, Kaohsiung 807, Taiwan (China)]. E-mail: mcwang@kmu.edu.tw

    2007-06-14

    The yttria-stabilized zirconia (YSZ) thin films electrophoretic deposited on the La{sub 0.8}Sr{sub 0.2}MnO{sub 3} (LSM) substrate have been characterized by using zeta potential analysis, X-ray diffraction (XRD), scanning electron microscopy (SEM), and transmission electron microscopy (TEM). The La{sub 2}Zr{sub 2}O{sub 7} (LZ) formed at the interface between the YSZ thin film and LSM substrate, after sintered at 1400 {sup o}C for 52 h, are identified by XRD. The zeta potential of the YSZ particles in pure ethanol-acetone is about 7.8 mV, but when the I{sub 2} concentration is greater than 0.6 g/1, the zeta potential attains a constant value, 46 mV. The relation between deposit weight of the YSZ films and the applied voltage shows a non-linear behavior. Thickness of the YSZ thin film deposited on the LSM substrate by electrophoretic deposition is controlled by a diffusion process. A larger LZ with the thickness of 200 nm is formed at the interface between the YSZ film and the LSM substrate.

  8. Catalytic behaviors of ruthenium dioxide films deposited on ferroelectrics substrates, by spin coating process

    International Nuclear Information System (INIS)

    Khachane, M.; Nowakowski, P.; Villain, S.; Gavarri, J.R.; Muller, Ch.; Elaatmani, M.; Outzourhite, A.; Luk'yanchuk, I.; Zegzouti, A.; Daoud, M.

    2007-01-01

    Catalytic ruthenium dioxide films were deposited by spin-coating process on ferroelectric films mainly constituted of SrBi 2 Ta 2 O 9 (SBT) and Ba 2 NaNb 5 O 15 (BNN) phases. After thermal treatment under air, these ferroelectric-catalytic systems were characterized by X-ray diffraction and scanning electron microscopy (SEM). SEM images showed that RuO 2 film morphology depended on substrate nature. A study of CH 4 conversion into CO 2 and H 2 O was carried out using these catalytic-ferroelectric multilayers: the conversion was analyzed from Fourier transform infrared (FTIR) spectroscopy, at various temperatures. Improved catalytic properties were observed for RuO 2 films deposited on BNN oxide layer

  9. Effects of the oxygen fraction and substrate bias power on the electrical and optical properties of silicon oxide films by plasma enhanced chemical vapour deposition using TMOS/O2 gas

    International Nuclear Information System (INIS)

    Bang, S B; Chung, T H; Kim, Y; Kang, M S; Kim, J K

    2004-01-01

    Thin oxide films are deposited from tetramethoxysilane in an inductively coupled oxygen glow discharge supplied with radio frequency power. The chemical bonding states of deposited films are analysed by Fourier transform infrared spectroscopy. The deposition rate and optical properties are determined from spectroscopic ellipsometry. Capacitance-voltage measurements are performed in MOS capacitors to obtain the electrical properties of the deposited films. With these tools, the effects of the substrate bias power and the oxygen mole fraction in the gas on the properties of the film are investigated. The refractive index first decreases with an increase in the oxygen mole fraction, and then increases again, showing a behaviour opposite to that of the deposition rate. The deposition rate increases with increasing substrate bias power and then saturates, while the refractive index increases slightly with an increase in the substrate bias power. The fixed oxide charge density decreases with increasing oxygen fraction and with increasing substrate bias power, while the interface trap density increases with increasing oxygen fraction and with increasing substrate bias power

  10. Substrate temperature dependence of microcrystallinity in plasma-deposited, boron-doped hydrogenated silicon alloys

    International Nuclear Information System (INIS)

    Rajeswaran, G.; Kampas, F.J.; Vanier, P.E.; Sabatini, R.L.; Tafto, J.

    1983-01-01

    The glow-discharge decomposition of silane diluted in hydrogen using diborane as a dopant results in the deposition of p-type microcrystalline silicon films at relatively low temperatures. The conductivity of these films is critically dependent on the substrate temperature when the ratio of silane flow rate to total gas flow rate is 1%. Electron micrographs show that highly conducting films contain numerous clusters of 2.5-nm crystallites that are embedded in an amorphous medium

  11. Enhancement in (BHmax of PLD-made isotropic Nd-Fe-B thick film magnets deposited on Si substrates

    Directory of Open Access Journals (Sweden)

    M. Nakano

    2017-05-01

    Full Text Available Increase in Nd contents of a PLD-made isotropic Nd-Fe-B thick-film magnet enabled us to enhance the thickness of the film magnet deposited on a Si substrate because the linear expansion coefficient of Nd is an intermediate value between Nd2Fe14B and Si. The large amount of Nd, however, degraded the residual magnetic polarization and (BHmax. In the study, we reduced the Nd contents of each Nd-Fe-B film by inserting a Nd or a Nd-rich Nd-Fe-B buffer layer between a Nd-Fe-B film and a Si substrate in order to suppress the mechanical destruction together with the improvement in magnetic properties. It was found that the mechanical property of a Nd-Fe-B film comprising the Nd-Fe-B buffer layer in the thickness range from 10 to 60 μm was superior than that of a sample with the Nd buffer layer. Resultantly, an average (BHmax value of Nd-Fe-B films with each Nd-Fe-B buffer layer deposited on Si substrates could be enhanced by approximately 15 kJ/m3 compared to that of non-buffer-layered films.

  12. Morphology evolution in spinel manganite films deposited from an aqueous solution

    International Nuclear Information System (INIS)

    Ko, Song Won; Li, Jing; Trolier-McKinstry, Susan

    2012-01-01

    Spinel manganite films were deposited by the spin spray technique at low deposition temperatures ( 1000, agglomeration of small particles was dominant, which suggests that homogeneous nucleation is dominant during deposition. Heterogeneous nucleation was critical to obtain dense films. - Highlights: ► Film microstructure depends on supersaturation. ► Heterogeneous nucleation induces dense and continuous films. ► The spin spray technique enables use of a variety of substrates.

  13. Cold Gas-Sprayed Deposition of Metallic Coatings onto Ceramic Substrates Using Laser Surface Texturing Pre-treatment

    Science.gov (United States)

    Kromer, R.; Danlos, Y.; Costil, S.

    2018-04-01

    Cold spraying enables a variety of metals dense coatings onto metal surfaces. Supersonic gas jet accelerates particles which undergo with the substrate plastic deformation. Different bonding mechanisms can be created depending on the materials. The particle-substrate contact time, contact temperature and contact area upon impact are the parameters influencing physicochemical and mechanical bonds. The resultant bonding arose from plastic deformation of the particle and substrate and temperature increasing at the interface. The objective was to create specific topography to enable metallic particle adhesion onto ceramic substrates. Ceramic did not demonstrate deformation during the impact which minimized the intimate bonds. Laser surface texturing was hence used as prior surface treatment to create specific topography and to enable mechanical anchoring. Particle compressive states were necessary to build up coating. The coating deposition efficiency and adhesion strength were evaluated. Textured surface is required to obtain strong adhesion of metallic coatings onto ceramic substrates. Consequently, cold spray coating parameters depend on the target material and a methodology was established with particle parameters (diameters, velocities, temperatures) and particle/substrate properties to adapt the surface topography. Laser surface texturing is a promising tool to increase the cold spraying applications.

  14. Tritium decontamination from co-deposited layer on tungsten substrate by ultra violet lamp and laser

    International Nuclear Information System (INIS)

    Oya, Yasuhisa; Tadokoro, Takahiro; Shu, Wataru; Hayashi, Takumi; O'hira, Shigeru; Nishi, Masataka

    2001-01-01

    Tritium decontamination using ultra violet (UV) lamp and laser was performed. Simulated co-deposited layer on tungsten substrate was deposited by C 2 H 2 or C 2 D 2 glow discharge. The co-deposited layer was irradiated to UV lights from a xenon excimer lamp (172 nm) or ArF excimer laser (193 nm) and the in-situ decontamination behavior was evaluated by a mass spectrometer. After the UV irradiation, the hydrogen concentration in the co-deposited layer was evaluated by elastic recoil detection analysis (ERDA) and the depth profile was analyzed by secondary ion mass spectrometry (SIMS). For the co-deposited layer formed by C 2 D 2 glow discharge, it was found that M/e 3 (HD) gas was released mainly during the UV lamp irradiation while both M/e 3 (HD) and M/e 4 (D 2 ) gases were detected during the UV laser irradiation. Though the co-deposited layer was not removed by UV lamp irradiation, almost all the co-deposited layer was removed by UV laser irradiation within 1 min. The ratio of hydrogen against carbon in the co-deposited layer was estimated to be 0.53 by ERDA and the number of photon needed for removing 1 μm thick co-deposited layer was calculated to be 3.7x10 18 cm -2 for the UV laser by SIMS measurement. It is concluded that C-H (C-D) bond on the co-deposited layer were dissociated by irradiation of UV lamp while the co-deposited layer itself was removed by the UV laser irradiation. (author)

  15. Spectroellipsometric detection of silicon substrate damage caused by radiofrequency sputtering of niobium oxide

    Science.gov (United States)

    Lohner, Tivadar; Serényi, Miklós; Szilágyi, Edit; Zolnai, Zsolt; Czigány, Zsolt; Khánh, Nguyen Quoc; Petrik, Péter; Fried, Miklós

    2017-11-01

    Substrate surface damage induced by deposition of metal atoms by radiofrequency (rf) sputtering or ion beam sputtering onto single-crystalline silicon (c-Si) surface has been characterized earlier by electrical measurements. The question arises whether it is possible to characterize surface damage using spectroscopic ellipsometry (SE). In our experiments niobium oxide layers were deposited by rf sputtering on c-Si substrates in gas mixture of oxygen and argon. Multiple angle of incidence spectroscopic ellipsometry measurements were performed, a four-layer optical model (surface roughness layer, niobium oxide layer, native silicon oxide layer and ion implantation-amorphized silicon [i-a-Si] layer on a c-Si substrate) was created in order to evaluate the spectra. The evaluations yielded thicknesses of several nm for the i-a-Si layer. Better agreement could be achieved between the measured and the generated spectra by inserting a mixed layer (with components of c-Si and i-a-Si applying the effective medium approximation) between the silicon oxide layer and the c-Si substrate. High depth resolution Rutherford backscattering (RBS) measurements were performed to investigate the interface disorder between the deposited niobium oxide layer and the c-Si substrate. Atomic resolution cross-sectional transmission electron microscopy investigation was applied to visualize the details of the damaged subsurface region of the substrate.

  16. Effects of substrate temperature and post-deposition anneal on properties of evaporated cadmium telluride films

    International Nuclear Information System (INIS)

    Bacaksiz, E.; Basol, B.M.; Altunbas, M.; Novruzov, V.; Yanmaz, E.; Nezir, S.

    2007-01-01

    The effects of substrate temperature and post-deposition heat treatment steps on the morphology, structural, optical and electrical properties of thin film CdTe layers grown by vacuum evaporation were investigated. Scanning electron microscopy and X-ray diffraction (XRD) techniques were employed to study the structural changes. It was observed that the grain sizes and morphologies of as-deposited layers were similar for substrate temperatures of - 173 deg. C and - 73 deg. C. However, CdTe films produced at a substrate temperature of 27 deg. C had substantially larger grain size and clearly facetted morphology. Annealing at 200-400 deg. C in air did not cause any appreciable grain growth in any of the films irrespective of their growth temperature. However, annealing at 400 deg. C reduced faceting in all cases and initiated fusing between grains. XRD studies showed that this behavior after annealing at 400 deg. C coincided with an onset of a degree of randomization in the originally strong (111) texture of the as-grown layers. Optical band gap measurements showed sharpening of the band-edge upon annealing at 400 deg. C and a band gap value in the range of 1.46-1.49 eV. Resistivity measurements indicated that annealing at 400 deg. C in air forms a highly resistive compensated CdTe film. All results point to 400 deg. C to be a critical annealing temperature at which optical, structural and electrical properties of CdTe layers start to change

  17. Structural transformations in MoOx thin films grown by pulsed laser deposition

    International Nuclear Information System (INIS)

    Camacho-Lopez, M.A.; Haro-Poniatowski, E.; Escobar-Alarcon, L.

    2004-01-01

    In this work, laser-induced crystallization in MoO x thin films (1.8≤x≤2.1) is reported. This transformation involves a MoO x oxidation and subsequently a crystallization process from amorphous MoO 3 to crystalline αMoO 3 . For comparison purposes crystallization is induced thermally, in an oven, as well. The crystallization kinetics is monitored by Raman spectroscopy; a threshold in the energy density necessary to induce the phase transformation is determined in the case of photo-crystallization. This threshold depends on the type of substrate on which the film is deposited. For the thin films deposited on glass substrates, the structural transformation is from amorphous MoO x to the thermodynamically stable αMoO 3 crystalline phase. For the thin films deposited on Si(100) the structural transformation is from amorphous MoO x to a mixture of αMoO 3 and the thermodynamically unstable βMoO 3 crystalline phases. The structural transformations are also characterized by scanning electron microscopy and light-transmission experiments. (orig.)

  18. Indigenous unit for bending and twisting tests of ultra-thin films on a flexible substrate

    Science.gov (United States)

    D'souza, Slavia Deeksha; Hazarika, Pratim; Prakasarao, Ch Surya; Kovendhan, M.; Kumar, R. Arockia; Joseph, D. Paul

    2018-04-01

    An indigenous unit is designed to test the stability of thin films deposited on to a flexible substrate by inducing a required number of bending and twisting under specific conditions. The unit is designed using aluminum and automated by sending pulse width modulated signals to servo motors using ATmega328 microcontroller. We have tested the unit by imparting stress on to a commercial ITO film deposited on a PET substrate. After a definite number of bending and twisting cycles, the electrical and surface properties are studied and the results are discussed.

  19. Morphological and Structural Analysis of Nano-hydroxyapatite (n-hap) Coatings Electrodeposited on Titanium Substrate : Effect of Deposition Solution Concentration

    International Nuclear Information System (INIS)

    Nik Norziehana Che Isa; Norjanah Yury; Yusairie Mohd

    2011-01-01

    Various concentration of deposition solutions containing CaCl 2 and NH 4 H 2 PO 4 (with Ca/P ratio equal to 1.67) were used to study the effect of deposition solution concentration on the surface morphology and structure of Hydroxyapatite (HAp) coatings. Each HAp coating was deposited onto Ti substrate by applying a constant potential of 1.5 V (vs Ag/ AgCl) at 80 degree Celsius. The formation of HAp coatings was confirmed by FTIR and XRD analyses. Various morphologies consisting of HAp nanoparticles were produced from different deposition solutions as observed by SEM. The concentration of deposition solution has significantly affected the morphology of n-HAp coatings. (author)

  20. Preparation and characterization of Ge2Sb2Te5 phase change films on elastic substrates by pulsed laser deposition

    Energy Technology Data Exchange (ETDEWEB)

    Lu, Hongbing; Thelander, Erik; Benke, Julia; Rauschenbach, Bernd [Leibniz Institute of Surface Modification, 04318 Leipzig (Germany)

    2012-07-01

    Ge{sub 2}Sb{sub 2}Te{sub 5} (GST) thin films have attracted a great deal of interest as an active layer for data storage media due to its high switching rate and extremely good reversibility. Here we demonstrate the preparation of high-quality GST films on elastic polyimide substrates by pulsed laser deposition (PLD). The composition and chemical state of the films were investigated by energy dispersive X-ray spectroscopy (EDX) and X-ray photoelectron spectroscopy (XPS), respectively. The effect of annealing temperature on the crystalline nature of the films was also studied. As-deposited films were found to be amorphous. Crystalline phases with face-centered cubic and hexagonal structures appeared at 180 and 300 degrees, respectively. Importantly, no phase separation could be seen in the annealed films. Furthermore, reflectivity measurements were performed to characterize the as-deposited and annealed films, showing a high reflectivity contrast (up to 23%) between full crystalline and amorphous films. Our results indicate that PLD deposited GST film on polyimide substrate is a promising candidate for use in future flexible memory devices.

  1. Electron-beam induced deposition and autocatalytic decomposition of Co(CO3NO

    Directory of Open Access Journals (Sweden)

    Florian Vollnhals

    2014-07-01

    Full Text Available The autocatalytic growth of arbitrarily shaped nanostructures fabricated by electron beam-induced deposition (EBID and electron beam-induced surface activation (EBISA is studied for two precursors: iron pentacarbonyl, Fe(CO5, and cobalt tricarbonyl nitrosyl, Co(CO3NO. Different deposits are prepared on silicon nitride membranes and silicon wafers under ultrahigh vacuum conditions, and are studied by scanning electron microscopy (SEM and scanning transmission X-ray microscopy (STXM, including near edge X-ray absorption fine structure (NEXAFS spectroscopy. It has previously been shown that Fe(CO5 decomposes autocatalytically on Fe seed layers (EBID and on certain electron beam-activated surfaces, yielding high purity, polycrystalline Fe nanostructures. In this contribution, we investigate the growth of structures from Co(CO3NO and compare it to results obtained from Fe(CO5. Co(CO3NO exhibits autocatalytic growth on Co-containing seed layers prepared by EBID using the same precursor. The growth yields granular, oxygen-, carbon- and nitrogen-containing deposits. In contrast to Fe(CO5 no decomposition on electron beam-activated surfaces is observed. In addition, we show that the autocatalytic growth of nanostructures from Co(CO3NO can also be initiated by an Fe seed layer, which presents a novel approach to the fabrication of layered nanostructures.

  2. Laser-induced ion emission during polymer deposition from a flash-frozen water ice matrix

    DEFF Research Database (Denmark)

    Rodrigo, K.; Toftmann, Bo; Schou, Jørgen

    2004-01-01

    Flash-frozen water solutions of 1% weight PEG (polyethylene glycol) at -50 degreesC were used as targets at a laser wavelength of 355 nm for polymer deposition with Matrix-Assisted Pulsed Laser Evaporation (MAPLE). For medium laser fluences the transfer of PEG material to the substrate was accomp......Flash-frozen water solutions of 1% weight PEG (polyethylene glycol) at -50 degreesC were used as targets at a laser wavelength of 355 nm for polymer deposition with Matrix-Assisted Pulsed Laser Evaporation (MAPLE). For medium laser fluences the transfer of PEG material to the substrate...

  3. High-performance flexible surface-enhanced Raman scattering substrates fabricated by depositing Ag nanoislands on the dragonfly wing

    Science.gov (United States)

    Wang, Yuhong; Wang, Mingli; Shen, Lin; Sun, Xin; Shi, Guochao; Ma, Wanli; Yan, Xiaoya

    2018-04-01

    Natural dragonfly wing (DW), as a template, was deposited on noble metal sliver (Ag) nanoislands by magnetron sputtering to fabricate a flexible, low-cost, large-scale and environment-friendly surface-enhanced Raman scattering (SERS) substrate (Ag/DW substrate). Generally, materials with regular surface nanostructures are chosen for the templates, the selection of our new material with irregular surface nanostructures for substrates provides a new idea for the preparation of high-performance SERS-active substrates and many biomimetic materials. The optimum sputtering time of metal Ag was also investigated at which the prepared SERS-active substrates revealed remarkable SERS activities to 4-aminothiophenol (4-ATP) and crystal violet (CV). Even more surprisingly, the Ag/DW substrate with such an irregular template had reached the enhancement factor (EF) of ∼1.05 × 105 and the detection limit of 10-10 M to 4-ATP. The 3D finite-different time-domain (3D-FDTD) simulation illustrated that the "hot spots" between neighbouring Ag nanoislands at the top of pillars played a most important role in generating electromagnetic (EM) enhancement and strengthening Raman signals.

  4. Influence of nature of the substrate in the deposition of yttria-stabilized zirconia by spray pyrolysis

    International Nuclear Information System (INIS)

    Halmenschlager, C.M.; Malfatti, C.F.; Bergmann, C.P.; Neagu, R.

    2012-01-01

    Spray pyrolysis technique consist in spraying a precursor solution on a heated substrate. In the last few decades this process has attracted much attention because of its versatility. Controlling the parameters is possible to produce dense or porous film. Spray pyrolysis has been applied to obtain several materials such as electrodes or electrolytes for SOFC, semiconductors, materials for solar cells and so on. However, some behaviors such as Leidenfrost effect have been poorly considered and it may affect the coating quality. This work aims to evaluate the influence of the substrate and how Leidenfrost effect affects the coating by spray pyrolysis. To achieve this goal yttria-stabilized zirconia solutions made with different solvents were deposited on different substrates at different temperatures. These coatings were characterized by X-ray diffraction and scanning electron microscopy. The results show that there is a limit temperature which is related to properties of the solvent and the surface of the substrates where films are continuous. (author)

  5. Experimental investigation on photoelectric properties of ZAO thin film deposited on flexible substrate by magnetron sputtering

    Energy Technology Data Exchange (ETDEWEB)

    Hao, Ming [School of Mechanical Engineering and Automation, Northeastern University, 3-11 WenHua Rd., 319#, Shenyang, 110004 (China); Liu, Kun, E-mail: kliu@mail.neu.edu.cn [School of Mechanical Engineering and Automation, Northeastern University, 3-11 WenHua Rd., 319#, Shenyang, 110004 (China); Liu, Xinghua [Hubei Aerospace Industry Technology Academe Special Vehicle Technology Center, Wuhan (China); Wang, Dongyang; Ba, Dechun; Xie, Yuanhua; Du, Guangyu; Ba, Yaoshuai [School of Mechanical Engineering and Automation, Northeastern University, 3-11 WenHua Rd., 319#, Shenyang, 110004 (China)

    2016-12-01

    Highlights: • ZAO thin films were deposited on PET substrate. • A set of experimental parameters were systematically investigated. • Change rule of film photoelectric properties was obtained. • ZAO films with optimal properties were obtained at our working conditions. - Abstract: Transparent conductive ZAO (Zinc Aluminum Oxide) films on flexible substrates have a great potential for low-cost mass-production solar cells. ZAO thin films were achieved on flexible PET (polyethylene terephthalate) substrates by RF magnetron sputtering technology. The surface morphology and element content, the transmittance and the sheet resistance of the films were measured to determine the optical process parameters. The results show that the ZAO thin film shows the best parameters in terms of photoelectric performance including sputtering power, working pressure, sputtering time, substrate temperature (100 W, 1.5 Pa, 60 min, 125 °C). The sheet resistance of 510 Ω and transmittance in visible region of 92% were obtained after characterization. Surface morphology was uniform and compact with a good crystal grain.

  6. Experimental investigation on photoelectric properties of ZAO thin film deposited on flexible substrate by magnetron sputtering

    International Nuclear Information System (INIS)

    Hao, Ming; Liu, Kun; Liu, Xinghua; Wang, Dongyang; Ba, Dechun; Xie, Yuanhua; Du, Guangyu; Ba, Yaoshuai

    2016-01-01

    Highlights: • ZAO thin films were deposited on PET substrate. • A set of experimental parameters were systematically investigated. • Change rule of film photoelectric properties was obtained. • ZAO films with optimal properties were obtained at our working conditions. - Abstract: Transparent conductive ZAO (Zinc Aluminum Oxide) films on flexible substrates have a great potential for low-cost mass-production solar cells. ZAO thin films were achieved on flexible PET (polyethylene terephthalate) substrates by RF magnetron sputtering technology. The surface morphology and element content, the transmittance and the sheet resistance of the films were measured to determine the optical process parameters. The results show that the ZAO thin film shows the best parameters in terms of photoelectric performance including sputtering power, working pressure, sputtering time, substrate temperature (100 W, 1.5 Pa, 60 min, 125 °C). The sheet resistance of 510 Ω and transmittance in visible region of 92% were obtained after characterization. Surface morphology was uniform and compact with a good crystal grain.

  7. Optical Characterization of SERS Substrates Based on Porous Au Films Prepared by Pulsed Laser Deposition

    Directory of Open Access Journals (Sweden)

    V. V. Strelchuk

    2015-01-01

    Full Text Available The SERS (surface enhanced Raman spectroscopy substrates based on nanocomposite porous films with gold nanoparticles (Au NPs arrays were formed using the method of the pulsed laser deposition from the back low-energy flux of erosion torch particles on the glass substrate fixed at the target plain. The dependencies of porosity, and morphology of the surface of the film regions located near and far from the torch axis on the laser ablation regime, laser pulses energy density, their number, and argon pressure in the vacuum chamber, were ascertained. The Au NPs arrays with the controllable extinction spectra caused by the local surface plasmon resonance were prepared. The possibility of the formation of SERS substrates for the detection of the Rhodamine 6G molecules with the concentration 10−10 Mol/L with the enhancement factor 4·107 was shown.

  8. Preparation and characterization of electrochemically deposited carbon nitride films on silicon substrate

    International Nuclear Information System (INIS)

    Yan Xingbin; Xu Tao; Chen Gang; Yang Shengrong; Liu Huiwen; Xue Qunji

    2004-01-01

    Carbon nitride films (CN x films) were deposited on Si(100) substrates by the electrolysis of methanol-urea solution at high voltage, atmospheric pressure, and low temperature. The microstructure and morphology of the resulting CN x films were analysed by means of Raman spectroscopy, x-ray photoelectron spectroscopy (XPS), Fourier-transform infrared spectrometry (FTIR), x-ray diffraction (XRD), and atomic force microscopy. The tribological properties of the CN x films were examined on an UMT-2MT friction and wear test rig. The Raman spectrum showed two characteristic bands: a graphite G band and a disordered D band of carbon, which suggested the presence of an amorphous carbon matrix. XPS and FTIR measurements suggested the existence of both single and double carbon-nitride bonds in the film and the hydrogenation of the carbon nitride phase. The XRD spectrum showed various peaks of different d values, which could confirm the existence of the polycrystalline carbon nitride phase. The hydrogenated CN x films were compact and uniform, with a root mean square roughness of about 18 nm. The films showed excellent friction-reduction and wear-resistance, with the friction coefficient in the stable phase being about 0.08. In addition, the growth mechanism of the CN x films in liquid phase electro-deposition was discussed as well. It was assumed that the molecules of CH 3 OH and CO(NH 2 ) 2 were polarized under high electric field, and the CN x film was formed on the substrate through the reaction of the -CH 3 and -NH 2 groups on the cathode

  9. MOD approach for the growth of epitaxial CeO2 buffer layers on biaxially textured Ni-W substrates for YBCO coated conductors

    International Nuclear Information System (INIS)

    Bhuiyan, M S; Paranthaman, M; Sathyamurthy, S; Aytug, T; Kang, S; Lee, D F; Goyal, A; Payzant, E A; Salama, K

    2003-01-01

    We have grown epitaxial CeO 2 buffer layers on biaxially textured Ni-W substrates for YBCO coated conductors using a newly developed metal organic decomposition (MOD) approach. Precursor solution of 0.25 M concentration was spin coated on short samples of Ni-3 at%W (Ni-W) substrates and heat-treated at 1100 C in a gas mixture of Ar-4%H 2 for 15 min. Detailed x-ray studies indicate that CeO 2 films have good out-of-plane and in-plane textures with full-width-half-maximum values of 5.8 deg. and 7.5 deg., respectively. High temperature in situ XRD studies show that the nucleation of CeO 2 films starts at 600 C and the growth completes within 5 min when heated at 1100 C. SEM and AFM investigations of CeO 2 films reveal a fairly dense microstructure without cracks and porosity. Highly textured YSZ barrier layers and CeO 2 cap layers were deposited on MOD CeO 2 -buffered Ni-W substrates using rf-magnetron sputtering. Pulsed laser deposition (PLD) was used to grow YBCO films on these substrates. A critical current, J c , of about 1.5 MA cm -2 at 77 K and self-field was obtained on YBCO (PLD)/CeO 2 (sputtered)/YSZ (sputtered)/CeO 2 (spin-coated)/Ni-W

  10. Flexible and foldable paper-substrate thermoelectric generator (teg)

    KAUST Repository

    Rojas, Jhonathan Prieto

    2017-08-24

    Flexible and foldable paper-substrate thermoelectric generators (TEGs) and methods for making the paper-substrate TEGs are disclosed. A method includes depositing a plurality of thermocouples in series on a paper substrate to create a paper-substrate TEG, wherein the plurality of thermocouples is deposited between two contact points of the paper-substrate TEG. The method may also include setting the power density and maximum achievable temperature gradient of the paper-substrate TEG by folding the paper-substrate TEG. A paper-substrate TEG apparatus may include a paper substrate and a plurality of thermocouples deposited in series on the paper substrate between two contact points of the paper-substrate TEG, wherein the power density and maximum achievable temperature gradient of the paper-substrate TEG is set by folding the paper-substrate TEG.

  11. Microwave GaAs Integrated Circuits On Quartz Substrates

    Science.gov (United States)

    Siegel, Peter H.; Mehdi, Imran; Wilson, Barbara

    1994-01-01

    Integrated circuits for use in detecting electromagnetic radiation at millimeter and submillimeter wavelengths constructed by bonding GaAs-based integrated circuits onto quartz-substrate-based stripline circuits. Approach offers combined advantages of high-speed semiconductor active devices made only on epitaxially deposited GaAs substrates with low-dielectric-loss, mechanically rugged quartz substrates. Other potential applications include integration of antenna elements with active devices, using carrier substrates other than quartz to meet particular requirements using lifted-off GaAs layer in membrane configuration with quartz substrate supporting edges only, and using lift-off technique to fabricate ultrathin discrete devices diced separately and inserted into predefined larger circuits. In different device concept, quartz substrate utilized as transparent support for GaAs devices excited from back side by optical radiation.

  12. Anisotropic MoS2 Nanosheets Grown on Self-Organized Nanopatterned Substrates.

    Science.gov (United States)

    Martella, Christian; Mennucci, Carlo; Cinquanta, Eugenio; Lamperti, Alessio; Cappelluti, Emmanuele; Buatier de Mongeot, Francesco; Molle, Alessandro

    2017-05-01

    Manipulating the anisotropy in 2D nanosheets is a promising way to tune or trigger functional properties at the nanoscale. Here, a novel approach is presented to introduce a one-directional anisotropy in MoS 2 nanosheets via chemical vapor deposition (CVD) onto rippled patterns prepared on ion-sputtered SiO 2 /Si substrates. The optoelectronic properties of MoS 2 are dramatically affected by the rippled MoS 2 morphology both at the macro- and the nanoscale. In particular, strongly anisotropic phonon modes are observed depending on the polarization orientation with respect to the ripple axis. Moreover, the rippled morphology induces localization of strain and charge doping at the nanoscale, thus causing substantial redshifts of the phonon mode frequencies and a topography-dependent modulation of the MoS 2 workfunction, respectively. This study paves the way to a controllable tuning of the anisotropy via substrate pattern engineering in CVD-grown 2D nanosheets. © 2017 WILEY-VCH Verlag GmbH & Co. KGaA, Weinheim.

  13. Microstructural studies of La10.7Ca0.3MnO3 (LCMO) films on different (110) substrates deposited by pulsed laser deposition

    International Nuclear Information System (INIS)

    Tse, Y Y; Jones, I P; Chakalov, R I; Muirhead, C M; Palai, R; Huhtinen, H

    2008-01-01

    La 0.7 Ca 0.3 MnO 3 (LCMO) films grown on (110) surfaces of various (pseudo-) cubic substrates, SrTiO 3 (STO), LaAlO 3 (LAO) and La 0.3 Sr 0.7 Al 0.5 Ta 0.35 O 3 (LSAT), were studied by means of transmission electron microscopy (TEM). (110) LSAT substrate and LCMO film have the smallest lattice mismatch. (110) LAO substrate induces compressive strain while (110) STO induces tensile strain in the LCMO film. In all cases, the (010) 0 plane (long axis) of LCMO is parallel to the substrate surface. In the case of LSAT, the [001] 0 is parallel to [011] LSAT while the films on the other 2 substrates have [100] 0 // to the [011] substrates. Films grown on STO and LAO show an easy magnetisation when the applied magnetic field is in plane along the long-axis of the LCMO. In the case of LSAT, there is no in-plane anisotropy. The films on STO and LAO have twins as the dominant defects. The film on LSAT has less twining. High resolution TEM shows that LCMO on (110) LSAT (the least lattice mismatch) possesses a perfect interface with no misfit dislocations in the area of examination. The LCMO films on (110) LAO and (110) STO have nearly perfect interfaces with atomic sharpness but with misfit dislocations. The different in-plane crystal directions and strain distributions in the films may account for the different magnetisation behaviours of the LCMO films on the different (011) substrates.

  14. Pulsed Laser Deposition of Zinc Sulfide Thin Films on Silicon: The influence of substrate orientation and preparation on thin film morphology and texture

    OpenAIRE

    Heimdal, Carl Philip J

    2014-01-01

    The effect of orientation and preparation of silicon substrates on the growth morphology and crystalline structure of ZnS thin films deposited by pulsed laser deposition (PLD) has been investigated through scanning electron microscopy (SEM) and grazing incidence x-ray diffraction (GIXRD). ZnS thin films were grown on silicon (100) and (111), on HF-treated and untreated silicon (100) as well as substrates coated with Al, Ge and Au. The ZnS films showed entirely different morphologies for ZnS f...

  15. Adherent diamond film deposited on Cu substrate by carbon transport from nanodiamond buried under Pt interlayer

    Energy Technology Data Exchange (ETDEWEB)

    Liu Xuezhang [School of Materials Science and Engineering, Central South University, Changsha, 410083 (China); Wei Qiuping, E-mail: qiupwei@csu.edu.cn [School of Materials Science and Engineering, Central South University, Changsha, 410083 (China); State Key Laboratory of Powder Metallurgy, Central South University, Changsha, 410083 (China); Yu Zhiming, E-mail: zhiming@csu.edu.cn [School of Materials Science and Engineering, Central South University, Changsha, 410083 (China); State Key Laboratory of Powder Metallurgy, Central South University, Changsha, 410083 (China); Yang Taiming; Zhai Hao [School of Materials Science and Engineering, Central South University, Changsha, 410083 (China)

    2013-01-15

    Highlights: Black-Right-Pointing-Pointer Adherent polycrystalline diamond films were grown on copper substrate by carbon transport. Black-Right-Pointing-Pointer The nucleation density was increased to 10{sup 11} cm{sup -2}. Black-Right-Pointing-Pointer Diamond films were a composite structure of nano-crystalline diamond layer and micro-crystalline diamond layer. Black-Right-Pointing-Pointer Diamond nucleation was based by carbon dissolving from UDDs to Pt interlayer and formation of sp{sup 3}-bonded diamond clusters at the Pt surface. - Abstract: Diamond film deposited on Cu suffered from poor adhesion mainly due to the large mismatch of thermal expansion coefficients and the lack of affinity between carbon and Cu. Enhancing diamond nucleation by carbon transport from buried nanodiamond through a Pt ultrathin interlayer, adherent diamond film was then deposited on Cu substrate without distinctly metallic interlayer. This novel nucleation mechanism increased diamond nucleation density to 10{sup 11} cm{sup -2}, and developed diamond film with a composite structure of nano-crystalline diamond (NCD) layer and micro-crystalline diamond layer. Diamond film was characterized by the scanning electron microscope (SEM) and Raman spectroscope, respectively. The composition of diamond film/Cu substrate interface was examined by electron probe microanalysis (EPMA). The adhesion of diamond film was evaluated by indentation test. Those results show that a Pt ultrathin interlayer provides stronger chemically bonded interfaces and improve film adhesion.

  16. Adherent diamond film deposited on Cu substrate by carbon transport from nanodiamond buried under Pt interlayer

    International Nuclear Information System (INIS)

    Liu Xuezhang; Wei Qiuping; Yu Zhiming; Yang Taiming; Zhai Hao

    2013-01-01

    Highlights: ► Adherent polycrystalline diamond films were grown on copper substrate by carbon transport. ► The nucleation density was increased to 10 11 cm −2 . ► Diamond films were a composite structure of nano-crystalline diamond layer and micro-crystalline diamond layer. ► Diamond nucleation was based by carbon dissolving from UDDs to Pt interlayer and formation of sp 3 -bonded diamond clusters at the Pt surface. - Abstract: Diamond film deposited on Cu suffered from poor adhesion mainly due to the large mismatch of thermal expansion coefficients and the lack of affinity between carbon and Cu. Enhancing diamond nucleation by carbon transport from buried nanodiamond through a Pt ultrathin interlayer, adherent diamond film was then deposited on Cu substrate without distinctly metallic interlayer. This novel nucleation mechanism increased diamond nucleation density to 10 11 cm −2 , and developed diamond film with a composite structure of nano-crystalline diamond (NCD) layer and micro-crystalline diamond layer. Diamond film was characterized by the scanning electron microscope (SEM) and Raman spectroscope, respectively. The composition of diamond film/Cu substrate interface was examined by electron probe microanalysis (EPMA). The adhesion of diamond film was evaluated by indentation test. Those results show that a Pt ultrathin interlayer provides stronger chemically bonded interfaces and improve film adhesion.

  17. Dry deposition models for radionuclides dispersed in air: a new approach for deposition velocity evaluation schema

    Science.gov (United States)

    Giardina, M.; Buffa, P.; Cervone, A.; De Rosa, F.; Lombardo, C.; Casamirra, M.

    2017-11-01

    In the framework of a National Research Program funded by the Italian Minister of Economic Development, the Department of Energy, Information Engineering and Mathematical Models (DEIM) of Palermo University and ENEA Research Centre of Bologna, Italy are performing several research activities to study physical models and mathematical approaches aimed at investigating dry deposition mechanisms of radioactive pollutants. On the basis of such studies, a new approach to evaluate the dry deposition velocity for particles is proposed. Comparisons with some literature experimental data show that the proposed dry deposition scheme can capture the main phenomena involved in the dry deposition process successfully.

  18. Morphology, structure, and electrical properties of YBa2Cu3Ox thin films on tilted NdGaO3 substrates, deposited by DC-sputtering

    International Nuclear Information System (INIS)

    Mozhaev, Peter B.; Kotelyanskii, Iosif M.; Luzanov, Valery A.; Mozhaeva, Julia E.; Donchev, Todor; Mateev, Emil; Nurgaliev, Timur; Bdikin, Igor K.; Narymbetov, Bakhyt Zh.

    2005-01-01

    Thin YBa 2 Cu 3 O x (YBCO) films were deposited using DC-sputtering technique on NdGaO 3 substrates, tilted from (1 1 0) orientation by 0-26 deg . The structure and surface quality of the substrates were carefully characterized to obtain reliable results of thin films deposition. Structural, morphological and electrical properties of the YBCO thin films show three different ranges of inclination angle: vicinal, intermediate and high. In the vicinal range the properties of the film are generally the same as of the standard films deposited on (1 1 0) NdGaO 3 substrate. An increase of the inclination angle to the intermediate range results in a significant improvement of morphology and structural quality of the film. Best electrical parameters are measured for the films of the intermediate range also. Probable reason for such behavior is simultaneous and regular seeding of the film in the joints of facets on the substrate surface. Further increase of inclination angle leads to step bunching and oxygen out-diffusion, destroying both structural and electrical perfection of the tilted-axes YBCO film

  19. Luminescent properties of CaTiO3:Pr thin-film phosphor deposited on ZnO/ITO/glass substrate

    International Nuclear Information System (INIS)

    Chung, Sung Mook; Han, Sang Hyuk; Song, Kuk Hyun; Kim, Eung Soo; Kim, Young Jin

    2005-01-01

    Red-emitting CaTiO 3 :Pr phosphor thin films were deposited on glass, ZnO/ITO/glass, and ITO/glass substrates by RF magnetron sputtering. The effects of various substrates and heat treatment on the structural and luminous properties were investigated. The films deposited on ZnO/ITO/glass exhibited superior crystallinity and more enhanced PL and CL properties compared with those on ITO/glass. The intermediate ZnO layer between phosphor film and ITO contributed to the growing behaviors and the roughening of CaTiO 3 :Pr phosphor thin films, and consequently, to the excellent luminescence. The luminescent properties of the films were improved by following heat-treatment due to a combination of factors, namely the transformation from amorphous to poly crystalline phases, the activation of the activators, and the elimination of microdefects

  20. Electrical and optical properties of indium tin oxide thin films deposited on unheated substrates by d.c. reactive sputtering

    International Nuclear Information System (INIS)

    Karasawa, T.; Miyata, Y.

    1993-01-01

    Transparent conducting thin films of indium tin oxide (ITO) have been deposited by d.c. reactive planar magnetron sputtering by using metal In-Sn alloy target in an Ar-O 2 gas mixture. The study demonstrates that the deposition on unheated substrates achieved sheet resistance of as low as about 50-60 Ω/□ (or a resistivity of about 7 x 10 -4 Ω cm), and visible transmission of about 90% for a wavelength of 420 nm. The effects of heat treatment at 450 C in air depends on the deposition conditions of the as-deposited ITO films. Although annealing improves the properties of as-deposited ITO films which were deposited with non-optimum conditions, the optimized condition for the formation of the film in the as-deposited state is essential to obtain a high quality transparent conducting coating. (orig.)

  1. The role of low-energy electrons in focused electron beam induced deposition: four case studies of representative precursors

    Directory of Open Access Journals (Sweden)

    Rachel M. Thorman

    2015-09-01

    Full Text Available Focused electron beam induced deposition (FEBID is a single-step, direct-write nanofabrication technique capable of writing three-dimensional metal-containing nanoscale structures on surfaces using electron-induced reactions of organometallic precursors. Currently FEBID is, however, limited in resolution due to deposition outside the area of the primary electron beam and in metal purity due to incomplete precursor decomposition. Both limitations are likely in part caused by reactions of precursor molecules with low-energy (3, Pt(PF34, Co(CO3NO, and W(CO6. Through these case studies, it is evident that this combination of studies can provide valuable insight into potential mechanisms governing deposit formation in FEBID. Although further experiments and new approaches are needed, these studies are an important stepping-stone toward better understanding the fundamental physics behind the deposition process and establishing design criteria for optimized FEBID precursors.

  2. Measurement of the thickness of a target deposited in a substrate

    International Nuclear Information System (INIS)

    Martinez Q, E.; Aguilera, E.F.

    1990-12-01

    Being based on the Elastic scattering and in the Energy losses that suffer a projectile to the interacting with the matter, a method that allows to determine the thickness of a target deposited in a more heavy substrate is presented. The obtained results are consistent with that waited and the derived errors of the method are small. The used technique allows to reduce in considerable form the systematic errors coming from the calibration of the equipment. It is considered that this method is applicable in an interval of thickness quite wide and for many materials since it is only necessary to choose the projectile type and the energy of the same one appropriately. (Author)

  3. Mechanical Properties of TiTaHfNbZr High-Entropy Alloy Coatings Deposited on NiTi Shape Memory Alloy Substrates

    Science.gov (United States)

    Motallebzadeh, A.; Yagci, M. B.; Bedir, E.; Aksoy, C. B.; Canadinc, D.

    2018-04-01

    TiTaHfNbZr high-entropy alloy (HEA) thin films with thicknesses of about 750 and 1500 nm were deposited on NiTi substrates by RF magnetron sputtering using TiTaHfNbZr equimolar targets. The thorough experimental analysis on microstructure and mechanical properties of deposited films revealed that the TiTaHfNbZr films exhibited amorphous and cauliflower-like structure, where grain size and surface roughness increased concomitant with film thickness. More importantly, the current findings demonstrate that the TiTaHfNbZr HEA films with mechanical properties of the same order as those of the NiTi substrate constitute promising biomedical coatings effective in preventing Ni release.

  4. Mechanical Properties of TiTaHfNbZr High-Entropy Alloy Coatings Deposited on NiTi Shape Memory Alloy Substrates

    Science.gov (United States)

    Motallebzadeh, A.; Yagci, M. B.; Bedir, E.; Aksoy, C. B.; Canadinc, D.

    2018-06-01

    TiTaHfNbZr high-entropy alloy (HEA) thin films with thicknesses of about 750 and 1500 nm were deposited on NiTi substrates by RF magnetron sputtering using TiTaHfNbZr equimolar targets. The thorough experimental analysis on microstructure and mechanical properties of deposited films revealed that the TiTaHfNbZr films exhibited amorphous and cauliflower-like structure, where grain size and surface roughness increased concomitant with film thickness. More importantly, the current findings demonstrate that the TiTaHfNbZr HEA films with mechanical properties of the same order as those of the NiTi substrate constitute promising biomedical coatings effective in preventing Ni release.

  5. Direct deposition of gold on silicon with focused ion beams

    Energy Technology Data Exchange (ETDEWEB)

    Nebiker, P.W.; Doebeli, M. [Paul Scherrer Inst. (PSI), Villigen (Switzerland); Muehle, R. [Eidgenoessische Technische Hochschule, Zurich (Switzerland)

    1997-09-01

    Irradiation with ions at very low energies (below 500 eV) no longer induces a removal of substrate material, but the ions are directly deposited on the surface. In this way, gold has been deposited on silicon with focused ion beam exposure and the properties of the film have been investigated with atomic force microscopy and Auger electron spectroscopy. (author) 3 figs., 1 ref.

  6. ITO films deposited by rf-PERTE on unheated polymer substrates--properties dependence on In-Sn alloy composition

    International Nuclear Information System (INIS)

    Nunes de Carvalho, C.; Lavareda, G.; Fortunato, E.; Vilarinho, P.; Amaral, A.

    2004-01-01

    The study of the influence of different tin concentrations in the In-Sn alloy on the properties of indium tin oxide (ITO) thin films deposited by radio frequency (rf) plasma enhanced reactive thermal evaporation (rf-PERTE) onto flexible polymer and window glass substrates at room temperature is presented. The polymer substrate used is polyethylene terephthalate (PET). The tin concentration in the source alloy varied in the range 5-20 wt.%. The average thickness of the ITO films is of about 90 nm. Results show that ITO thin films deposited on PET from the evaporation of a 85%In:15%Sn alloy exhibit the following characteristics: an average visible transmittance of 80% and an electrical resistivity of 1.6x10 -3 Ω cm. On glass the value of the average visible transmittance increases (85%) and the resistivity decreases to 7.6x10 -4 Ω cm. The electrical properties of ITO films on PET are largely affected by the low carrier mobility

  7. Single-molecule FRET unveils induced-fit mechanism for substrate selectivity in flap endonuclease 1

    KAUST Repository

    Rashid, Fahad

    2017-02-23

    Human flap endonuclease 1 (FEN1) and related structure-specific 5\\'nucleases precisely identify and incise aberrant DNA structures during replication, repair and recombination to avoid genomic instability. Yet, it is unclear how the 5\\'nuclease mechanisms of DNA distortion and protein ordering robustly mediate efficient and accurate substrate recognition and catalytic selectivity. Here, single-molecule sub-millisecond and millisecond analyses of FEN1 reveal a protein-DNA induced-fit mechanism that efficiently verifies substrate and suppresses off-target cleavage. FEN1 sculpts DNA with diffusion-limited kinetics to test DNA substrate. This DNA distortion mutually \\'locks\\' protein and DNA conformation and enables substrate verification with extreme precision. Strikingly, FEN1 never misses cleavage of its cognate substrate while blocking probable formation of catalytically competent interactions with noncognate substrates and fostering their pre-incision dissociation. These findings establish FEN1 has practically perfect precision and that separate control of induced-fit substrate recognition sets up the catalytic selectivity of the nuclease active site for genome stability.

  8. Oriented thin films of Na {sub 0.6}CoO {sub 2} and Ca {sub 3}Co {sub 4}O {sub 9} deposited by spin-coating method on polycrystalline substrate

    Energy Technology Data Exchange (ETDEWEB)

    Buršík, J., E-mail: bursik@iic.cas.cz [Institute of Inorganic Chemistry ASCR, 250 68 Řež near Prague (Czech Republic); Soroka, M. [Institute of Inorganic Chemistry ASCR, 250 68 Řež near Prague (Czech Republic); Knížek, K.; Hirschner, J.; Levinský, P.; Hejtmánek, J. [Institute of Physics ASCR, Cukrovarnická 10, 162 00 Prague 6 (Czech Republic)

    2016-03-31

    Thin film of two thermoelectric materials, Na {sub x}CoO {sub 2} (x ~ 0.6) and Ca {sub 3}Co {sub 4}O {sub 9}, was deposited using the sol–gel spin-coating method on a polycrystalline yttria-stabilized zirconia (YSZ) substrate. Despite the polycrystalline character of the substrate, the c-axis preferred orientation was obtained, suggesting self-assembly growth mechanism. The deposition procedure used offers several benefits, namely simplicity, high deposition rate, low fabrication cost as well as low price of the substrate, and low thermal conductivity of the substrate suitable for characterization of thermoelectric properties and for applications. The thermoelectric properties of the thin films are comparable with bulk materials. The samples exhibit power factor 0.23 - 0.26 × 10{sup -3} W ⋅ m {sup -1} ⋅ K {sup -2} at 750 K. - Highlights: • Thin film of thermoelectric cobaltates was deposited using the spincoating method. • The c-axis preferred orientation was obtained on polycrystalline YSZ substrate. • Benefits of the chosen procedure are simplicity, low cost, and low thermal conductivity of the substrate.

  9. Photoluminescence and cathodoluminescence of YVO{sub 4}:Sm{sup 3+} thin films prepared by pulsed laser deposition method with various substrates

    Energy Technology Data Exchange (ETDEWEB)

    Yang, Hyun Kyoung; Chung, Jong Won; Moon, Byung Kee; Choi, Byung Chun; Jeong, Jung Hyun [Pukyong National University, Dept. of Physics, Busan (Korea); Yi, Soung-Soo [Silla University, Dept. of Electronic Material Engineering, Busan (Korea); Kim, Jung Hwan [Dongeui University, Dept. of Physics, Busan (Korea)

    2008-08-15

    YVO{sub 4}:Sm{sup 3+} films have been deposited on different substrates using pulsed laser deposition method. The films were deposited on fused silica, MgO(100), Al{sub 2}O{sub 3}(0001), and Si(100) substrates. The crystallinity and surface morphology of the films were investigated using X-ray diffraction (XRD) and scanning electron microscope (SEM), respectively. The films grown on the different substrates have different crystallinity and morphology. The full-width at half-maximum (FWHM) of (200) peak are 0.20, 0.14, 0.12, and 0.18 for fused silica, MgO(100), Al{sub 2}O{sub 3}(0001), and Si(100), respectively. The crystallite size, estimated by using Scherrer's formula, of phosphors grown on fused silica, MgO(100), Al{sub 2}O{sub 3}(0001), and Si(100) was about 7.25, 10.08, 11.88, and 8.14 nm, respectively and it has a maximum at 11.88 nm for the thin film grown on Al{sub 2}O{sub 3}(0001). The photoluminescence and the cathodoluminescence spectra were measured at room temperature using a luminescence spectrometer and the emitted radiation was dominated by the red emission peak at 602 nm radiated from the transition of {sup 4}G{sub 5/2}{yields}{sup 6}H{sub 7/2}. YVO{sub 4}:Sm{sup 3+} films have maximum PL intensity for the films grown on the Al{sub 2}O{sub 3}(0001) substrate and the brightness of the films were higher 1.3, 2.9, and 5.0 times in comparison with that of the YVO{sub 4}:Sm{sup 3+} films grown on MgO(100), fused silica, and Si (100) substrates, respectively. The crystallinity, surface morphology and luminescence spectra of thin-film phosphors were highly dependent on substrates. (orig.)

  10. Growth behavior of Bi{sub 2}Te{sub 3} and Sb{sub 2}Te{sub 3} thin films on graphene substrate grown by plasma-enhanced chemical vapor deposition

    Energy Technology Data Exchange (ETDEWEB)

    Lee, Chang Wan [Thin Film Materials Research Group, Korea Research Institute of Chemical Technology, Daejeon (Korea, Republic of); School of Electrical and Electronic Engineering, Yonsei University, Seoul (Korea, Republic of); Kim, Gun Hwan; Kang, Min A.; An, Ki-Seok; Lee, Young Kuk [Thin Film Materials Research Group, Korea Research Institute of Chemical Technology, Daejeon (Korea, Republic of); Kang, Seong Gu [School of Electrical Engineering and Computer Science, Gwangju Institute of Science and Technology, Gwangju (Korea, Republic of); Kim, Hyungjun [School of Electrical and Electronic Engineering, Yonsei University, Seoul (Korea, Republic of)

    2017-03-15

    A comparative study of the substrate effect on the growth mechanism of chalcogenide Bi{sub 2}Te{sub 3} and Sb{sub 2}Te{sub 3} thin films was carried out. Obvious microstructural discrepancy in both the as-deposited Bi{sub 2}Te{sub 3} and Sb{sub 2}Te{sub 3} thin films was observed when grown on graphene or SiO{sub 2}/Si substrate. Bi{sub 2}Te{sub 3} and Sb{sub 2}Te{sub 3} thin films deposited on the graphene substrate were observed to be grown epitaxially along c-axis and show very smooth surface compared to that on SiO{sub 2}/Si substrate. Based on the experimental results of this study, the initial adsorption sites on graphene substrate during deposition process, which had been discussed theoretically, could be demonstrated empirically. (copyright 2017 WILEY-VCH Verlag GmbH and Co. KGaA, Weinheim)

  11. Possible pitfalls in search of magnetic order in thin films deposited on single crystalline sapphire substrates

    International Nuclear Information System (INIS)

    Salzer, R.; Spemann, D.; Esquinazi, P.; Hoehne, R.; Setzer, A.; Schindler, K.; Schmidt, H.; Butz, T.

    2007-01-01

    We have studied the field and temperature dependence of the magnetic moment of single crystalline sapphire substrates with different surface orientations. All the substrates show a ferromagnetic behavior that partially changes after surface cleaning. The amount of magnetic impurities in the substrates was determined by particle induced X-ray emission. The overall analysis of the data indicates that the magnetic impurities very likely contribute to the measured ferromagnetic behavior but does not rule out completely intrinsic contributions. Our work stresses the necessity to use other than bulk characterization methods for the study of weak ferromagnetic signals of thin films grown on oxide substrates

  12. The growth of the metallic ZrNx thin films on P-GaN substrate by pulsed laser deposition

    Science.gov (United States)

    Gu, Chengyan; Sui, Zhanpeng; Li, Yuxiong; Chu, Haoyu; Ding, Sunan; Zhao, Yanfei; Jiang, Chunping

    2018-03-01

    Although metal nitride thin films have attractive prospects in plasmonic applications due to its stable properties in harsh environments containing high temperatures, shock, and contaminants, the effect of deposition parameters on the properties of the metallic ZrN grown on III-N semiconductors by pulse laser deposition still lacks of detailed exploration. Here we have successfully prepared metallic ZrNx films on p-GaN substrate by pulsed laser deposition in N2 ambient of various pressures at a fixed substrate temperature (475 °C). It is found that the films exhibit quite smooth surfaces and (111) preferred orientation. The X-ray photoelectron spectroscopy measurements indicate that carbon contamination can be completely removed and oxygen contamination is significantly reduced on the film surfaces after cleaning using Ar+ sputtering. The N/Zr ratio increases from 0.64 to 0.75 when the N2 pressure increases from 0.5 Pa to 3 Pa. The optical reflectivity spectra measured by the UV-vis-NIR spectrophotometer show that the ZrNx is a typical and good metallic-like material and its metallic properties can be tuned with changing the film compositions.

  13. Direct growth of large grain polycrystalline silicon films on aluminum-induced crystallization seed layer using hot-wire chemical vapor deposition

    International Nuclear Information System (INIS)

    Wu, Bing-Rui; Lo, Shih-Yung; Wuu, Dong-Sing; Ou, Sin-Liang; Mao, Hsin-Yuan; Wang, Jui-Hao; Horng, Ray-Hua

    2012-01-01

    Large grain polycrystalline silicon (poly-Si) films on glass substrates have been deposited on an aluminum-induced crystallization (AIC) seed layer using hot-wire chemical vapor deposition (HWCVD). A poly-Si seed layer was first formed by the AIC process and a thicker poly-Si film was subsequently deposited upon the seed layer using HWCVD. The effects of AIC annealing parameters on the structural and electrical properties of the poly-Si seed layers were characterized by Raman scattering spectroscopy, field-emission scanning electron microscopy, and Hall measurements. It was found that the crystallinity of seed layer was enhanced with increasing the annealing duration and temperature. The poly-Si seed layer formed at optimum annealing parameters can reach a grain size of 700 nm, hole concentration of 3.5 × 10 18 cm −3 , and Hall mobility of 22 cm 2 /Vs. After forming the seed layer, poly-Si films with good crystalline quality and high growth rate (> 1 nm/s) can be obtained using HWCVD. These results indicated that the HWCVD-deposited poly-Si film on an AIC seed layer could be a promising candidate for thin-film Si photovoltaic applications. - Highlights: ►Poly-Si seed layers are formed by aluminum-induced crystallization (AIC) process. ►Poly-Si on AIC seed layers are prepared by hot-wire chemical vapor deposition. ►AIC process parameters affect structural properties of poly-Si films. ►Increasing the annealing duration and temperature increases the film crystallinity.

  14. Chemically and size-resolved particulate matter dry deposition on stone and surrogate surfaces inside and outside the low emission zone of Milan: application of a newly developed "Deposition Box".

    Science.gov (United States)

    Ferrero, Luca; Casati, Marco; Nobili, Lara; D'Angelo, Luca; Rovelli, Grazia; Sangiorgi, Giorgia; Rizzi, Cristiana; Perrone, Maria Grazia; Sansonetti, Antonio; Conti, Claudia; Bolzacchini, Ezio; Bernardi, Elena; Vassura, Ivano

    2018-04-01

    The collection of atmospheric particles on not-filtering substrates via dry deposition, and the subsequent study of the particle-induced material decay, is trivial due to the high number of variables simultaneously acting on the investigated surface. This work reports seasonally resolved data of chemical composition and size distribution of particulate matter deposed on stone and surrogate surfaces obtained using a new method, especially developed at this purpose. A "Deposition Box" was designed allowing the particulate matter dry deposition to occur selectively removing, at the same time, variables that can mask the effect of airborne particles on material decay. A pitched roof avoided rainfall and wind variability; a standardised gentle air exchange rate ensured a continuous "sampling" of ambient air leaving unchanged the sampled particle size distribution and, at the same time, leaving quite calm condition inside the box, allowing the deposition to occur. Thus, the "Deposition Box" represents an affordable tool that can be used complementary to traditional exposure systems. With this system, several exposure campaigns, involving investigated stone materials (ISMs) (Carrara Marble, Botticino limestone, Noto calcarenite and Granite) and surrogate (Quartz, PTFE, and Aluminium) substrates, have been performed in two different sites placed in Milan (Italy) inside and outside the low emission zone. Deposition rates (30-90 μg cm -2  month -1 ) showed significant differences between sites and seasons, becoming less evident considering long-period exposures due to a positive feedback on the deposition induced by the deposited particles. Similarly, different stone substrates influenced the deposition rates too. The collected deposits have been observed with optical and scanning electron microscopes and analysed by ion chromatography. Ion deposition rates were similar in the two sites during winter, whereas it was greater outside the low emission zone during summer and

  15. Effects of flexible substrate thickness on Al-induced crystallization of amorphous Ge thin films

    Energy Technology Data Exchange (ETDEWEB)

    Oya, Naoki [Institute of Applied Physics, University of Tsukuba, Tsukuba, Ibaraki 305-8573 (Japan); Toko, Kaoru, E-mail: toko@bk.tsukuba.ac.jp [Institute of Applied Physics, University of Tsukuba, Tsukuba, Ibaraki 305-8573 (Japan); Saitoh, Noriyuki; Yoshizawa, Noriko [Electron Microscope Facility, TIA, AIST, 16-1 Onogawa, Tsukuba 305-8569 (Japan); Suemasu, Takashi [Institute of Applied Physics, University of Tsukuba, Tsukuba, Ibaraki 305-8573 (Japan)

    2015-05-29

    Amorphous germanium (a-Ge) thin films were directly crystallized on flexible plastic substrates at 325 °C using Al-induced crystallization. The thickness of the plastic substrate strongly influenced the crystal quality of the resulting polycrystalline Ge layers. Using a thicker substrate lowered the stress on the a-Ge layer during annealing, which increased the grain size and fraction of (111)-oriented grains within the Ge layer. Employing a 125-μm-thick substrate led to 95% (111)-oriented Ge with grains having an average size of 100 μm. Transmission electron microscopy demonstrated that the Ge grains had a low-defect density. Production of high-quality Ge films on plastic substrates allows for the possibility for developing Ge-based electronic and optical devices on inexpensive flexible substrates. - Highlights: • Polycrystalline Ge thin films are directly formed on flexible plastic substrates. • Al-induced crystallization allows the low-temperature growth (325 °C) of amorphous Ge. • The substrate bending during annealing strongly influences the crystal quality of poly-Ge. • A thick substrate (125 μm) leads to 95% (111)-oriented Ge with grains 100 μm in size.

  16. Characterization of ion beam induced nanostructures

    International Nuclear Information System (INIS)

    Ghatak, J.; Satpati, B.; Umananda, M.; Kabiraj, D.; Som, T.; Dev, B.N.; Akimoto, K.; Ito, K.; Emoto, T.; Satyam, P.V.

    2006-01-01

    Tailoring of nanostructures with energetic ion beams has become an active area of research leading to the fundamental understanding of ion-solid interactions at nanoscale regime and with possible applications in the near future. Rutherford backscattering spectrometry (RBS), high resolution transmission electron microscopy (HRTEM) and asymmetric X-ray Bragg-rocking curve experimental methods have been used to characterize ion-induced effects in nanostructures. The possibility of surface and sub-surface/interface alloying at nano-scale regime, ion-beam induced embedding, crater formation, sputtering yield variations for systems with isolated nanoislands, semi-continuous and continuous films of noble metals (Au, Ag) deposited on single crystalline silicon will be reviewed. MeV-ion induced changes in specified Au-nanoislands on silicon substrate are tracked as a function of ion fluence using ex situ TEM. Strain induced in the bulk silicon substrate surface due to 1.5 MeV Au 2+ and C 2+ ion beam irradiation is determined by using HRTEM and asymmetric Bragg X-ray rocking curve methods. Preliminary results on 1.5 MeV Au 2+ ion-induced effects in nanoislands of Co deposited on silicon substrate will be discussed

  17. Thin-film X-ray filters on microstructured substrates and their thermophysical properties

    Science.gov (United States)

    Mitrofanov, A. V.

    2018-02-01

    It is shown that structured substrates having micron- or submicron-sized through holes and coated with an ultrathin organic film can be used for the fabrication of thin-film X-ray filters via direct growth of functional layers on a substrate by sputter deposition, without additional complex processing steps. An optimised process is considered for the fabrication of X-ray filters on support structures in the form of electroplated fine nickel grids and on track-etched polymer membranes with micron- and submicrondiameter through pores. 'Optimisation' is here taken to mean matching the sputter deposition conditions with the properties of substrates so as to avoid overheating. The filters in question are intended for both imaging and single-channel detectors operating in the soft X-ray and vacuum UV spectral regions, at wavelengths from 10 to 60 nm. Thermal calculations are presented for the heating of ultrathin layers of organic films and thin-film support substrates during the sputter deposition of aluminium or other functional materials. The paper discusses approaches for cooling thinfilm composites during the sputter deposition process and the service of the filters in experiments and gives a brief overview of the works that utilised filters produced by the described technique on microstructured substrates, including orbital solar X-ray research in the framework of the CORONAS programme and laboratory laser plasma experiments.

  18. Diamond film deposition on WC–Co and steel substrates with a CrN interlayer for tribological applications

    International Nuclear Information System (INIS)

    Chandran, Maneesh; Hoffman, Alon

    2016-01-01

    The most renowned property of diamond is its exceptional hardness. By depositing diamond films on tungsten carbide (WC–Co) and steel substrates, the hardness of diamond can be combined with the toughness of these materials, resulting in an excellent wear resistance material for tribological applications. However, poor adhesion of diamond coating on these substrates leads to a lesser lifetime for the diamond coated tools than expected. The prime reasons for the lack of proper adhesion are the preferential formation of graphitic layer at the interface due to the catalytic activities of cobalt/iron and the interfacial residual stresses due to the mismatch in thermal expansion coefficients of diamond (1.5  ×  10 −6 K −1 ) and WC–Co (5.2  ×  10 −6 K −1 ) or steel (12  ×  10 −6 K −1 ). In this review, we discuss the possibility of using a Cr–N interlayer as a diffusion barrier to prevent the catalytic activities of cobalt/iron and also to relax the interfacial residual stresses to some extent to enhance the adhesion of diamond coatings on these substrates. An overview of the most pertinent results of the last two decades, including the recent progress is introduced. We describe in detail how the Cr–N interlayer with the desired properties is fabricated. We give a concise overview of diamond deposition process, including the methods to vary the grain size from microcrystalline to nanocrystalline, which are suitable for some tribological applications. We describe in detail on surface and interface analysis, residual stress measurements, assessment adhesion strength and tribological performance of diamond coated WC–Co and steel substrates using various characterization techniques. We conclude by highlighting the current progress and future perspectives of diamond coatings on these substrates for tribological applications. (topical review)

  19. Influence of pulsed substrate bias on the structure and properties of Ti-Al-N films deposited by cathodic vacuum arc

    Energy Technology Data Exchange (ETDEWEB)

    Zhang, G.P., E-mail: princeterry@163.com [Institute of Physics, Chinese Academy of Science, Beijing 100080 (China); Gao, G.J. [Changchun University of Science and Technology, College of Science, Changchun 130000 (China); Wang, X.Q.; Lv, G.H.; Zhou, L.; Chen, H.; Pang, H.; Yang, S.Z. [Institute of Physics, Chinese Academy of Science, Beijing 100080 (China)

    2012-07-15

    Ti-Al-N films were deposited by cathodic vacuum arc (CVA) technique in N{sub 2} atmosphere with different pulsed substrate bias. The influence of pulsed substrate bias (0 to -800 V) on the deposition rate, surface morphology, crystal structure, and mechanical properties of the Ti-Al-N films were systematically investigated. Increasing pulsed bias voltage resulted in the decrease of deposition rate but the increase of surface roughness. It was found that there was a strong correlation between the pulsed bias and film structure. All the films studied in this paper were composed of TiN, AlN, and Ti-Al-N ternary phases. The grains changed from equiaxial to columnar and exhibited preferred orientation when the pulsed bias increased. With the increase of pulsed bias voltage, the atomic ratio of Ti to Al element increased gradually, while the N to (Ti + Al) ratio decreased. The composite films present an enhanced nanohardness compared with binary TiN and ZrN films. The film deposited with pulsed bias of -200 V possessed the maximum scratch critical load and nanohardness. The minimum friction coefficient with pulsed bias of -300 V was obtained.

  20. The role of plasma induced substrate heating during high rate deposition of microcrystalline solar cells

    NARCIS (Netherlands)

    van den Donker, M.N.; Schmitz, R.; Appenzeller, W.; Rech, B.; Kessels, W.M.M.; Sanden, van de M.C.M.

    2006-01-01

    A 13.56 MHz parallel plate hydrogen-dild. silane plasma, operated at high pressure and high power, was used to deposit microcryst. silicon solar cells with efficiencies of 6-9% at high deposition rates of 0.4-1.2 nm/s. In this regime new challenges arise regarding temp. control, since the high

  1. Two dimensional radial gas flows in atmospheric pressure plasma-enhanced chemical vapor deposition

    Science.gov (United States)

    Kim, Gwihyun; Park, Seran; Shin, Hyunsu; Song, Seungho; Oh, Hoon-Jung; Ko, Dae Hong; Choi, Jung-Il; Baik, Seung Jae

    2017-12-01

    Atmospheric pressure (AP) operation of plasma-enhanced chemical vapor deposition (PECVD) is one of promising concepts for high quality and low cost processing. Atmospheric plasma discharge requires narrow gap configuration, which causes an inherent feature of AP PECVD. Two dimensional radial gas flows in AP PECVD induces radial variation of mass-transport and that of substrate temperature. The opposite trend of these variations would be the key consideration in the development of uniform deposition process. Another inherent feature of AP PECVD is confined plasma discharge, from which volume power density concept is derived as a key parameter for the control of deposition rate. We investigated deposition rate as a function of volume power density, gas flux, source gas partial pressure, hydrogen partial pressure, plasma source frequency, and substrate temperature; and derived a design guideline of deposition tool and process development in terms of deposition rate and uniformity.

  2. Study of Ni2-Mn-Ga phase formation by magnetron sputtering film deposition at low temperature onto Si substrates and LaNiO3/Pb(Ti,Zr)O3 buffer

    International Nuclear Information System (INIS)

    Figueiras, F.; Rauwel, E.; Amaral, V. S.; Vyshatko, N.; Kholkin, A. L.; Soyer, C.; Remiens, D.; Shvartsman, V. V.; Borisov, P.; Kleemann, W.

    2010-01-01

    Film deposition of Ni 2 MnGa phaselike alloy by radio frequency (rf) magnetron sputtering was performed onto bare Si(100) substrates and LaNiO 3 /Pb(Ti,Zr)O 3 (LNO/PZT) ferroelectric buffer layer near room temperature. The prepared samples were characterized using conventional x-ray diffraction (XRD), superconducting quantum interference device, and electron dispersive x-ray spectroscopy from scanning electron microscope observations. The optimized films deposited under high rf power and low argon pressure present good surface quality and highly textured phase crystallization. The positioning distance between the substrate and the target-holder axis has some limited effect on the film's composition due to the specific diffusion behavior of each element in the sputtering plasma. Extended four pole high resolution XRD analysis allowed one to discriminate the intended Ni-Mn-Ga tetragonal martensitic phase induced by the (100) LNO/PZT oriented buffer. This low temperature process appears to be very promising, allowing separate control of the functional layer's properties, while trying to achieve high electromagnetoelastic coupling.

  3. Electrostatic spray deposition of highly transparent silver nanowire electrode on flexible substrate.

    Science.gov (United States)

    Kim, Taegeon; Canlier, Ali; Kim, Geun Hong; Choi, Jaeho; Park, Minkyu; Han, Seung Min

    2013-02-01

    In this work, a modified polyol synthesis by adding KBr and by replacing the AgCl with NaCl seed was used to obtain high quality silver nanowires with long aspect ratios with an average length of 13.5 μm in length and 62.5 nm in diameter. The Ag nanowires suspended in methanol solution after removing any unwanted particles using a glass filter system were then deposited on a flexible polycarbonate substrate using an electrostatic spray system. Transmittance of 92.1% at wavelength of 550 nm with sheet resistance of 20 Ω/sq and haze of 4.9% were measured for the electrostatic sprayed Ag nanowire transparent electrode.

  4. High performance ZnO:Al films deposited on PET substrates using facing target sputtering

    Science.gov (United States)

    Guo, Tingting; Dong, Guobo; Gao, Fangyuan; Xiao, Yu; Chen, Qiang; Diao, Xungang

    2013-10-01

    ZnO:Al (ZAO) thin films have been deposited on flexible PET substrates using a plasma damage-free facing target sputtering system at room temperature. The structure, surface morphology, electrical and optical properties were investigated as a function of working power. All the samples have a highly preferred orientation of the c-axis perpendicular to the PET substrate and have a high quality surface. With increased working power, the carrier concentration changes slightly, the mobility increases at the beginning and decreases after it reaches a maximum value, in line with electrical conductivity. The figure of merit has been significantly improved with increasing of the working power. Under the optimized condition, the lowest resistivity of 1.3 × 10-3 Ω cm with a sheet resistance of 29 Ω/□ and the relative visible transmittance above 93% in the visible region were obtained.

  5. High performance ZnO:Al films deposited on PET substrates using facing target sputtering

    International Nuclear Information System (INIS)

    Guo, Tingting; Dong, Guobo; Gao, Fangyuan; Xiao, Yu; Chen, Qiang; Diao, Xungang

    2013-01-01

    ZnO:Al (ZAO) thin films have been deposited on flexible PET substrates using a plasma damage-free facing target sputtering system at room temperature. The structure, surface morphology, electrical and optical properties were investigated as a function of working power. All the samples have a highly preferred orientation of the c-axis perpendicular to the PET substrate and have a high quality surface. With increased working power, the carrier concentration changes slightly, the mobility increases at the beginning and decreases after it reaches a maximum value, in line with electrical conductivity. The figure of merit has been significantly improved with increasing of the working power. Under the optimized condition, the lowest resistivity of 1.3 × 10 −3 Ω cm with a sheet resistance of 29 Ω/□ and the relative visible transmittance above 93% in the visible region were obtained.

  6. Surface characterization of Zr/Ti/Nb tri-layered films deposited by magnetron sputtering on Si(111) and stainless steel substrates

    Energy Technology Data Exchange (ETDEWEB)

    Tallarico, Denise A.; Gobbi, Angelo L.; Filho, Pedro I. Paulin; Galtayries, Anouk; Nascente, Pedro A. P. [Federal University of Sao Carlos, Department of Materials Engineering, Via Washington Luis km 235, CEP 13565-905, Sao Carlos, SP (Brazil); Brazilian Synchrotron Light Laboratory, Microfabrication Laboratory, Rua Giuseppe Maximo Scolfaro 10.000, CEP 13083-100, Campinas, SP (Brazil); Federal University of Sao Carlos, Department of Materials Engineering, Via Washington Luis km 235, CEP 13565-905, Sao Carlos, SP (Brazil); Ecole Nationale Superieure de Chimie de Paris (Chimie ParisTech), Laboratoire de Physico-Chimie des Surfaces, UMR CNRS 7045, F-75231 Paris cedex 05 (France); Federal University of Sao Carlos, Department of Materials Engineering, Via Washington Luis km 235, CEP 13565-905, Sao Carlos, SP (Brazil)

    2012-09-15

    Among metallic materials, commercially pure titanium and titanium alloys are very often used as biomaterials for implants. Among these alloys, titanium-aluminum-vanadium alloy Ti-6 A-4 V is one of the most commonly used due to its excellent biocompatibility and ability to allow bone-implant integration. A new class of Ti alloys employs Zr for solid-solution hardening and Nb as {beta}-phase stabilizer. Metals such as Ti, Nb, and Zr-known as valve metals-usually have their surfaces covered by a thin oxide film that forms spontaneously in air. This oxide film constitutes a barrier between the metal and the medium. The Ti-Nb-Zr alloys have mechanical and corrosion resistance characteristics which make them suitable for use as implants. Tri-layered films of Ti-Nb-Zr were deposited on both Si(111) and stainless steel (SS) substrates using dc magnetron sputtering equipment, under an argon atmosphere according to the following methodology: a 100 nm thick layer of Nb was deposited on the substrate, followed by a 200 nm thick layer of Ti, and finally a 50 nm thick layer of Zr, on top of the multilayer stack. The morphology and chemical composition of the films were analyzed by atomic force microscopy (AFM), x-ray photoelectron spectroscopy (XPS), and time-of-flight secondary ion mass spectrometry (ToF-SIMS). AFM images showed that the Zr/Ti/Nb tri-layer films presented nanostructured grains and low roughness. The ToF-SIMS depth profiles confirmed the formation of a three-layered film on Si(111) with well-defined and sharp interfaces between the layers, while the deposition on the stainless steel substrate caused slight intermixing at the different alloy/Nb, Nb/Ti and Ti/Zr interfaces, reflecting the greater roughness of the raw substrate. The XPS results for the Zr/Ti/Nb layers deposited on Si(111) and SS confirmed that the outermost layer consisted of Zr only, with a predominance of ZrO{sub 2}, as the metal layer is passivated in air. An oxidation treatment of 1000 Degree

  7. Experimental and Simulated Investigations of Thin Polymer Substrates with an Indium Tin Oxide Coating under Fatigue Bending Loadings

    Directory of Open Access Journals (Sweden)

    Jiong-Shiun Hsu

    2016-08-01

    Full Text Available Stress-induced failure is a critical concern that influences the mechanical reliability of an indium tin oxide (ITO film deposited on a transparently flexible polyethylene terephthalate (PET substrate. In this study, a cycling bending mechanism was proposed and used to experimentally investigate the influences of compressive and tensile stresses on the mechanical stability of an ITO film deposited on PET substrates. The sheet resistance of the ITO film, optical transmittance of the ITO-coated PET substrates, and failure scheme within the ITO film were measured to evaluate the mechanical stability of the concerned thin films. The results indicated that compressive and tensile stresses generated distinct failure schemes within an ITO film and both led to increased sheet resistance and optical transmittance. In addition, tensile stress increased the sheet resistance of an ITO film more easily than compressive stress did. However, the influences of both compressive and tensile stress on increased optical transmittance were demonstrated to be highly similar. Increasing the thickness of a PET substrate resulted in increased sheet resistance and optical transmittance regardless of the presence of compressive or tensile stress. Moreover, J-Integral, a method based on strain energy, was used to estimate the interfacial adhesion strength of the ITO-PET film through the simulation approach enabled by a finite element analysis.

  8. Electrophoretic deposition of hydroxyapatite-hexagonal boron nitride composite coatings on Ti substrate.

    Science.gov (United States)

    Göncü, Yapıncak; Geçgin, Merve; Bakan, Feray; Ay, Nuran

    2017-10-01

    In this study, commercial pure titanium samples were coated with nano hydroxyapatite-nano hexagonal boron nitride (nano HA-nano hBN) composite by electrophoretic deposition (EPD). The effect of process parameters (applied voltage, deposition time and solid concentration) on the coating morphology, thickness and the adhesion behavior were studied systematically and crack free nano hBN-nano HA composite coating production was achieved for developing bioactive coatings on titanium substrates for orthopedic applications. For the examination of structural and morphological characteristics of the coating surfaces, various complementary analysis methods were performed. For the structural characterization, XRD and Raman Spectroscopy were used while, Scanning Electron Microscopy (SEM) equipped with an energy dispersive spectrometer (EDS) and Transmission Electron Microscopy (TEM) techniques were carried out for revealing the morphological characterization. The results showed that nano HA-nano hBN were successfully deposited on Ti surface with uniform, crack-free coating by EPD. The amounts of hBN in suspension are considered to have no effect on coating thickness. By adding hBN into HA, the morphology of HA did not change and hBN has no significant effect on porous structure. These nanostructured surfaces are expected to be suitable for proliferation of cells and have high potential for bioactive materials. Copyright © 2017 Elsevier B.V. All rights reserved.

  9. Manufacture of Bi-cuprate thin films on MgO single crystal substrates by chemical solution deposition

    DEFF Research Database (Denmark)

    Grivel, Jean-Claude; Bertelsen, Christian Vinther; Andersen, Niels Hessel

    2014-01-01

    Bi2Sr2CaCu2O8 thin films have been deposited on MgO single crystal substrates by spin-coating a solution based on 2-ethylhexanoate precursors dissolved in xylene. Pyrolysis takes place between 200°C and 450°C and is accompanied by the release of 2-ethylhexanoic acid, CO2 and H2O vapour. Highly c...

  10. A critical literature review of focused electron beam induced deposition

    International Nuclear Information System (INIS)

    Dorp, W. F. van; Hagen, C. W.

    2008-01-01

    An extensive review is given of the results from literature on electron beam induced deposition. Electron beam induced deposition is a complex process, where many and often mutually dependent factors are involved. The process has been studied by many over many years in many different experimental setups, so it is not surprising that there is a great variety of experimental results. To come to a better understanding of the process, it is important to see to which extent the experimental results are consistent with each other and with the existing model. All results from literature were categorized by sorting the data according to the specific parameter that was varied (current density, acceleration voltage, scan patterns, etc.). Each of these parameters can have an effect on the final deposit properties, such as the physical dimensions, the composition, the morphology, or the conductivity. For each parameter-property combination, the available data are discussed and (as far as possible) interpreted. By combining models for electron scattering in a solid, two different growth regimes, and electron beam induced heating, the majority of the experimental results were explained qualitatively. This indicates that the physical processes are well understood, although quantitatively speaking the models can still be improved. The review makes clear that several major issues remain. One issue encountered when interpreting results from literature is the lack of data. Often, important parameters (such as the local precursor pressure) are not reported, which can complicate interpretation of the results. Another issue is the fact that the cross section for electron induced dissociation is unknown. In a number of cases, a correlation between the vertical growth rate and the secondary electron yield was found, which suggests that the secondary electrons dominate the dissociation rather than the primary electrons. Conclusive evidence for this hypothesis has not been found. Finally

  11. Effect of high substrate bias and hydrogen and nitrogen incorporation on filtered cathodic vacuum arc deposited tetrahedral amorphous carbon films

    International Nuclear Information System (INIS)

    Panwar, O.S.; Khan, Mohd. Alim; Kumar, Mahesh; Shivaprasad, S.M.; Satyanarayana, B.S.; Dixit, P.N.; Bhattacharyya, R.; Khan, M.Y.

    2008-01-01

    The application of a sufficiently high negative substrate bias, during the growth of tetrahedral amorphous carbon (ta-C), is usually associated with low sp 3 bonding configuration and stressed films. However, in an effort to understand and utilize the higher pseudo thermo dynamical conditions during the film growth, at high negative substrate bias (- 300 V), reported here is a study on ta-C films grown under different hydrogen and nitrogen concentration. As grown ta-C films were studied under different negative substrate bias conditions. The variation of the sp 3 content and sp 3 /sp 2 ratio in the ta-C films exhibits a trend similar to those reported in literature, with a subtle variation in this report being the substrate bias voltage, which was observed to be around - 200 V, for obtaining the highest sp 3 (80%) bonding and sp 3 /sp 2 (3.95) ratio. The hydrogen and nitrogen incorporated ta-C films studied, at a bias of - 300 V, show an increase in sp 3 (87-91%) bonding and sp 3 /sp 2 (7-10) ratio in the range of studies reported. The inference is drawn on the basis of the set of data obtained from measurements carried out using X-ray photoelectron spectroscopy, X-ray induced Auger electron spectroscopy and Raman spectroscopy of as grown and hydrogen and nitrogen incorporated ta-C films deposited using an S bend filtered cathodic vacuum arc system. The study indicates the possibility of further tailoring ta-C film properties and also extending capabilities of the cathodic arc system for developing carbon based films for electronics and tribological applications

  12. Effect of high substrate bias and hydrogen and nitrogen incorporation on filtered cathodic vacuum arc deposited tetrahedral amorphous carbon films

    Energy Technology Data Exchange (ETDEWEB)

    Panwar, O.S. [Plasma Processed Materials Group, National Physical Laboratory, Dr. K.S. Krishnan Road, New Delhi-110 012 (India)], E-mail: ospanwar@mail.nplindia.ernet.in; Khan, Mohd. Alim [Plasma Processed Materials Group, National Physical Laboratory, Dr. K.S. Krishnan Road, New Delhi-110 012 (India); Kumar, Mahesh; Shivaprasad, S.M. [Surface Physics and Nanostructures Group, National Physical Laboratory, Dr. K.S. Krishnan Road, New Delhi-110 012 (India); Satyanarayana, B.S. [MIT Innovation Centre and Electronics and Communication Department, Manipal Institute of Technology, Manipal-579104 (India); Dixit, P.N. [Plasma Processed Materials Group, National Physical Laboratory, Dr. K.S. Krishnan Road, New Delhi-110 012 (India); Bhattacharyya, R. [Emeritus Scientist, National Physical Laboratory, New Delhi-110012 (India); Khan, M.Y. [Department of Physics, Jamia Millia Islamia, Central University, New Delhi-110025 (India)

    2008-02-29

    The application of a sufficiently high negative substrate bias, during the growth of tetrahedral amorphous carbon (ta-C), is usually associated with low sp{sup 3} bonding configuration and stressed films. However, in an effort to understand and utilize the higher pseudo thermo dynamical conditions during the film growth, at high negative substrate bias (- 300 V), reported here is a study on ta-C films grown under different hydrogen and nitrogen concentration. As grown ta-C films were studied under different negative substrate bias conditions. The variation of the sp{sup 3} content and sp{sup 3}/sp{sup 2} ratio in the ta-C films exhibits a trend similar to those reported in literature, with a subtle variation in this report being the substrate bias voltage, which was observed to be around - 200 V, for obtaining the highest sp{sup 3} (80%) bonding and sp{sup 3}/sp{sup 2} (3.95) ratio. The hydrogen and nitrogen incorporated ta-C films studied, at a bias of - 300 V, show an increase in sp{sup 3} (87-91%) bonding and sp{sup 3}/sp{sup 2} (7-10) ratio in the range of studies reported. The inference is drawn on the basis of the set of data obtained from measurements carried out using X-ray photoelectron spectroscopy, X-ray induced Auger electron spectroscopy and Raman spectroscopy of as grown and hydrogen and nitrogen incorporated ta-C films deposited using an S bend filtered cathodic vacuum arc system. The study indicates the possibility of further tailoring ta-C film properties and also extending capabilities of the cathodic arc system for developing carbon based films for electronics and tribological applications.

  13. Nanogranular Au films deposited on carbon covered Si substrates for enhanced optical reflectivity and Raman scattering

    International Nuclear Information System (INIS)

    Bhuvana, T; Kumar, G V Pavan; Narayana, Chandrabhas; Kulkarni, G U

    2007-01-01

    Electroless deposition of gold has been carried out on Si(100) surfaces precoated with laser ablated carbon layers of different thicknesses, and the resulting substrates have been characterized by a host of techniques. We first established the porous nature of the amorphous carbon layer by Raman and profilometric measurements. The Au uptake from the plating solution was optimal at a carbon layer thickness of 90 nm, where we observed nanogranules of ∼60-70 nm, well separated from each other in the carbon matrix (mean interparticle spacing ∼7 nm). We believe that the observed nanostructure is a result of Au 3+ electroless reduction on the Si surface through porous channels present in the amorphous carbon matrix. Importantly, this nanostructured substrate exhibited high reflectivity in the near IR region besides being effective as a substrate for surface enhanced Raman scattering (SERS) measurements with enhancement factors up to 10 7

  14. Structural transformations in MoO{sub x} thin films grown by pulsed laser deposition

    Energy Technology Data Exchange (ETDEWEB)

    Camacho-Lopez, M.A.; Haro-Poniatowski, E. [Departamento de Fisica, Laboratorio de Optica Cuantica, Universidad Autonoma Metropolitana Iztapalapa, Apdo. Postal 55-534, 09340, Mexico D. F. (Mexico); Escobar-Alarcon, L. [Departamento de Fisica, Instituto Nacional de Investigaciones Nucleares, Apdo. Postal 18-1027, 11801, Mexico D. F. (Mexico)

    2004-01-01

    In this work, laser-induced crystallization in MoO{sub x} thin films (1.8{<=}x{<=}2.1) is reported. This transformation involves a MoO{sub x} oxidation and subsequently a crystallization process from amorphous MoO{sub 3} to crystalline {alpha}MoO{sub 3}. For comparison purposes crystallization is induced thermally, in an oven, as well. The crystallization kinetics is monitored by Raman spectroscopy; a threshold in the energy density necessary to induce the phase transformation is determined in the case of photo-crystallization. This threshold depends on the type of substrate on which the film is deposited. For the thin films deposited on glass substrates, the structural transformation is from amorphous MoO{sub x} to the thermodynamically stable {alpha}MoO{sub 3} crystalline phase. For the thin films deposited on Si(100) the structural transformation is from amorphous MoO{sub x} to a mixture of {alpha}MoO{sub 3} and the thermodynamically unstable {beta}MoO{sub 3} crystalline phases. The structural transformations are also characterized by scanning electron microscopy and light-transmission experiments. (orig.)

  15. CuS p-type thin film characterization deposited on Ti, ITO and glass substrates using spray pyrolysis deposition (SPD) for light emitting diode (LED) application

    Energy Technology Data Exchange (ETDEWEB)

    Sabah, Fayroz A., E-mail: fayroz-arif@yahoo.com [Institue of Nano-Optoelectronics Research and Technology (INOR), School of Physics, Universiti Sains Malaysia, 11800 Penang (Malaysia); Department of Electrical Engineering, College of Engineering, Al-Mustansiriya University, Baghdad (Iraq); Ahmed, Naser M., E-mail: naser@usm.my; Hassan, Z., E-mail: zai@usm.my; Azzez, Shrook A. [Institue of Nano-Optoelectronics Research and Technology (INOR), School of Physics, Universiti Sains Malaysia, 11800 Penang (Malaysia); Rasheed, Hiba S., E-mail: hibasaad1980@yahoo.com [Institue of Nano-Optoelectronics Research and Technology (INOR), School of Physics, Universiti Sains Malaysia, 11800 Penang (Malaysia); Department of Physics, College of Education, Al-Mustansiriya University, Baghdad (Iraq); Al-Hazim, Nabeel Z., E-mail: nabeelnano333@gmail.com [Institue of Nano-Optoelectronics Research and Technology (INOR), School of Physics, Universiti Sains Malaysia, 11800 Penang (Malaysia); Ministry of Education, the General Directorate for Educational Anbar (Iraq)

    2016-07-06

    The copper sulphide (CuS) thin films were grown with good adhesion by spray pyrolysis deposition (SPD) on Ti, ITO and glass substrates at 200 °C. The distance between nozzle and substrate is 30 cm. The composition was prepared by mixing copper chloride CuCl{sub 2}.2H{sub 2}O as a source of Cu{sup 2+} and sodium thiosulfate Na{sub 2}S{sub 2}O{sub 3}.5H{sub 2}O as a source of and S{sup 2−}. Two concentrations (0.2 and 0.4 M) were used for each CuCl{sub 2} and Na{sub 2}S{sub 2}O{sub 3} to be prepared and then sprayed (20 ml). The process was started by spraying the solution for 3 seconds and after 10 seconds the cycle was repeated until the solution was sprayed completely on the hot substrates. The structural characteristics were studied using X-ray diffraction; they showed covellite CuS hexagonal crystal structure for 0.2 M concentration, and covellite CuS hexagonal crystal structure with two small peaks of chalcocite Cu{sub 2}S hexagonal crystal structure for 0.4 M concentration. Also the surface and electrical characteristics were investigated using Field Emission Scanning Electron Microscopy (FESEM) and current source device, respectively. The surface study for the CuS thin films showed nanorods to be established for 0.2 M concentration and mix of nanorods and nanoplates for 0.4 M concentration. The electrical study showed ohmic behavior and low resistivity for these films. Hall Effect was measured for these thin films, it showed that all samples of CuS are p- type thin films and ensured that the resistivity for thin films of 0.2 M concentration was lower than that of 0.4 M concentration; and for the two concentrations CuS thin film deposited on ITO had the lowest resistivity. This leads to the result that the conductivity was high for CuS thin film deposited on ITO substrate, and the conductivity of the three thin films of 0.2 M concentration was higher than that of 0.4 M concentration.

  16. Conformity and structure of titanium oxide films grown by atomic layer deposition on silicon substrates

    Energy Technology Data Exchange (ETDEWEB)

    Jogi, Indrek [University of Tartu, Institute of Experimental Physics and Technology, Taehe 4, 51010, Tartu (Estonia)], E-mail: indrek.jogi@ut.ee; Paers, Martti; Aarik, Jaan; Aidla, Aleks [University of Tartu, Institute of Physics, Riia 142, 51014, Tartu (Estonia); Laan, Matti [University of Tartu, Institute of Experimental Physics and Technology, Taehe 4, 51010, Tartu (Estonia); Sundqvist, Jonas; Oberbeck, Lars; Heitmann, Johannes [Qimonda Dresden GmbH and Co. OHG, Koenigsbruecker Strasse 180, 01099, Dresden (Germany); Kukli, Kaupo [University of Tartu, Institute of Experimental Physics and Technology, Taehe 4, 51010, Tartu (Estonia)

    2008-06-02

    Conformity and phase structure of atomic layer deposited TiO{sub 2} thin films grown on silicon substrates were studied. The films were grown using TiCl{sub 4} and Ti(OC{sub 2}H{sub 5}){sub 4} as titanium precursors in the temperature range from 125 to 500 {sup o}C. In all cases perfect conformal growth was achieved on patterned substrates with elliptical holes of 7.5 {mu}m depth and aspect ratio of about 1:40. Conformal growth was achieved with process parameters similar to those optimized for the growth on planar wafers. The dominant crystalline phase in the as-grown films was anatase, with some contribution from rutile at relatively higher temperatures. Annealing in the oxygen ambient resulted in (re)crystallization whereas the effect of annealing depended markedly on the precursors used in the deposition process. Compared to films grown from TiCl{sub 4}, the films grown from Ti(OC{sub 2}H{sub 5}){sub 4} were transformed into rutile in somewhat greater extent, whereas in terms of step coverage the films grown from Ti(OC{sub 2}H{sub 5}){sub 4} remained somewhat inferior compared to the films grown from TiCl{sub 4}.

  17. Investigation into the role of sodium chloride deposited on oxide and metal substrates in the initiation of hot corrosion

    Science.gov (United States)

    Birks, N.

    1983-01-01

    Sodium chloride is deposited on the surface of alumina substrates and exposed to air containing 1% SO2 at temperatures between 500 C and 700 C. In all cases the sodium chloride was converted to sodium sulfate. The volatilization of sodium chloride from the original salt particles was responsible for the development of a uniform coating of sodium sulfate on the alumina substrate. At temperatures above 625 C, a liquid NaCl-Na2SO4 autectic was formed on the substrate. The mechanisms for these reactions are given. One of the main roles of NaCl in low temperature hot corrosion lies in enabling a corrosive liquid to form.

  18. Uniformity and passivation research of Al2O3 film on silicon substrate prepared by plasma-enhanced atom layer deposition.

    Science.gov (United States)

    Jia, Endong; Zhou, Chunlan; Wang, Wenjing

    2015-01-01

    Plasma-enhanced atom layer deposition (PEALD) can deposit denser films than those prepared by thermal ALD. But the improvement on thickness uniformity and the decrease of defect density of the films deposited by PEALD need further research. A PEALD process from trimethyl-aluminum (TMA) and oxygen plasma was investigated to study the influence of the conditions with different plasma powers and deposition temperatures on uniformity and growth rate. The thickness and refractive index of films were measured by ellipsometry, and the passivation effect of alumina on n-type silicon before and after annealing was measured by microwave photoconductivity decay method. Also, the effects of deposition temperature and annealing temperature on effective minority carrier lifetime were investigated. Capacitance-voltage and conductance-voltage measurements were used to investigate the interface defect density of state (D it) of Al2O3/Si. Finally, Al diffusion P(+) emitter on n-type silicon was passivated by PEALD Al2O3 films. The conclusion is that the condition of lower substrate temperature accelerates the growth of films and that the condition of lower plasma power controls the films' uniformity. The annealing temperature is higher for samples prepared at lower substrate temperature in order to get the better surface passivation effects. Heavier doping concentration of Al increased passivation quality after annealing by the effective minority carrier lifetime up to 100 μs.

  19. Characterisation of Pristine and Recoated electron beam evaporation plasma-assisted physical vapour deposition Cr-N coatings on AISI M2 steel and WC-Co substrates

    International Nuclear Information System (INIS)

    Avelar-Batista, J.C.; Spain, E.; Housden, J.; Fuentes, G.G.; Rebole, R.; Rodriguez, R.; Montala, F.; Carreras, L.J.; Tate, T.J.

    2005-01-01

    This paper is focussed on the characterisation of electron beam evaporation plasma-assisted physical vapour deposition Cr-N coatings deposited on AISI M2 steel and hardmetal (K10) substrates in two different conditions: Pristine (i.e., coated) and Recoated (i.e., stripped and recoated). Analytical methods, including X-ray diffraction (XRD), scanning electron microscopy, scratch adhesion and pin-on-disc tests were used to evaluate several coating properties. XRD analyses indicated that both Pristine and Recoated coatings consisted of a mixture of hexagonal Cr 2 N and cubic CrN, regardless of substrate type. For the M2 steel substrate, only small differences were found in terms of coating phases, microstructure, adhesion, friction and wear coefficients between Pristine and Recoated. Recoated on WC-Co (K10) exhibited a less dense microstructure and significant inferior adhesion compared to Pristine on WC-Co (K10). The wear coefficient of Recoated on WC-Co was 100 times higher than those exhibited by all other specimens. The results obtained confirm that the stripping process did not adversely affect the Cr-N properties when this coating was deposited onto M2 steel substrates, but it is clear from the unsatisfactory tribological performance of Recoated on WC-Co that the stripping process is unsuitable for hardmetal substrates

  20. Effect of substrate temperature on orientation of subphthalocyanine molecule in organic photovoltaic cells

    International Nuclear Information System (INIS)

    Chou, Chi-Ta; Tang, Wei-Li; Tai, Yian; Lin, Chien-Hung; Liu, Chin-Hsin J.; Chen, Li-Chyong; Chen, Kuei-Hsien

    2012-01-01

    This study investigates the effect of substrate temperature (T s ) on the boron subphthalocyanine chloride (SubPc) thin film and its power conversion efficiency in SubPc/C 60 heterojunction photovoltaic cells. The orientations of SubPc molecules in thin films determined by X-ray diffraction is strongly correlated with the electronic properties of the organic thin films, and can be controlled by the substrate temperature during the vapor deposition. An optimal substrate temperature of 120 °C has been concluded to induced (221) molecular orientation over the (122) orientation and significantly improve the carrier transport of the SubPc thin film. A SubPc/C 60 heterojunction photovoltaic cells thus fabricated shows higher open-circuit voltage and up to 1.55% conversion efficiency has been achieved, which is attributed to preferential (221) orientation of the SubPc deposited at the elevated temperature.

  1. Formation mechanisms of metallic Zn nanodots by using ZnO thin films deposited on n-Si substrates

    International Nuclear Information System (INIS)

    Yuk, J. M.; Lee, J. Y.; Kim, Y.; No, Y. S.; Kim, T. W.; Choi, W. K.

    2010-01-01

    High-resolution transmission electron microscopy and energy dispersive x-ray spectroscopy results showed that metallic Zn nanodots (NDs) were fabricated through transformation of ZnO thin films by deposition of SiO x on ZnO/n-Si (100) heterostructures. The Zn NDs with various sizes and densities were formed due to the occurrence of the mass diffusion of atoms along the grain boundaries in the ZnO thin films. The fabrication mechanisms of metallic Zn NDs through transformation of ZnO thin films deposited on n-Si substrates are described on the basis of the experimental results.

  2. Surface resistance of YBa2Cu3O7 films deposited on LaGaO3 substrates

    International Nuclear Information System (INIS)

    Cooke, D.W.; Gray, E.R.; Houlton, R.J.; Javadi, H.H.S.; Maez, M.A.; Bennett, B.L.; Rusnak, B.; Meyer, E.A.; Arendt, P.N.; Beery, J.G.; Brown, D.R.; Garzon, F.H.; Raistriek, I.D.; Bolmaro, B.; Elliott, N.E.; Rollett, A.D.; Klein, N.; Muller, G.; Orbach, S.; Piel, H.; Josefowicz, J.Y.; Rensch, O.B.; Drabeck, L.; Gruner, G.

    1989-01-01

    Superconducting films of YBa 2 Cu 3 O 7 deposited onto LaGaO 3 substrates were prepared by e-beam and magnetron sputtering techniques. Surface resistance measurements made at 22 GHz, 86 GHz, and 148 GHz show that these films are superior to those deposited by similar techniques onto SrTiO 3 . Typical surface resistance values measured at 22 GHz and 12 K are ∼2 m(cgom) with the lowest value being 0.2 m(cgom), which is only 2 to 4 times higher than Nb. The surface resistance is proportional to the square of the measuring frequency

  3. Growth of Ge films by cluster beam deposition

    CERN Document Server

    Xu, J L; Feng, J Y

    2002-01-01

    Ge epitaxial layers with reasonable quality were grown on the Si(1 1 1) substrates by cluster beam deposition (CBD) process. The growth temperature plays a dominant role in the epitaxial growth of Ge films. The substrate temperature for epitaxial growth is about 500 deg. C, which is lower than the reported critical temperature of Ge epitaxial growth by MBE and CVD. A stress induced phase transition of Ge lattice from cubic to tetragonal is also observed in the CBD process, and the mechanism is discussed.

  4. Effect of Growth Pressure on Epitaxial Graphene Grown on 4H-SiC Substrates by Using Ethene Chemical Vapor Deposition

    Directory of Open Access Journals (Sweden)

    Shuxian Cai

    2015-08-01

    Full Text Available The Si(0001 face and C(000-1 face dependences on growth pressure of epitaxial graphene (EG grown on 4H-SiC substrates by ethene chemical vapor deposition (CVD was studied using atomic force microscopy (AFM and micro-Raman spectroscopy (μ-Raman. AFM revealed that EGs on Si-faced substrates had clear stepped morphologies due to surface step bunching. However, This EG formation did not occur on C-faced substrates. It was shown by μ-Raman that the properties of EG on both polar faces were different. EGs on Si-faced substrates were relatively thinner and more uniform than on C-faced substrates at low growth pressure. On the other hand, D band related defects always appeared in EGs on Si-faced substrates, but they did not appear in EG on C-faced substrate at an appropriate growth pressure. This was due to the μ-Raman covering the step edges when measurements were performed on Si-faced substrates. The results of this study are useful for optimized growth of EG on polar surfaces of SiC substrates.

  5. Multilayer graphene growth on polar dielectric substrates using chemical vapour deposition

    Science.gov (United States)

    Karamat, S.; Çelik, K.; Shah Zaman, S.; Oral, A.

    2018-06-01

    High quality of graphene is necessary for its applications at industrial scale production. The most convenient way is its direct growth on dielectrics which avoid the transfer route of graphene from metal to dielectric substrate usually followed by graphene community. The choice of a suitable dielectric for the gate material which can replace silicon dioxide (SiO2) is in high demand. Various properties like permittivity, thermodynamic stability, film morphology, interface quality, bandgap and band alignment of other dielectrics with graphene needs more exploration. A potential dielectric material is required which could be used to grow graphene with all these qualities. Direct growth of graphene on magnesium oxide (MgO) substrates is an interesting idea and will be a new addition in the library of 2D materials. The present work is about the direct growth of graphene on MgO substrates by an ambient pressure chemical vapour deposition (CVD) method. We address the surface instability issue of the polar oxides which is the most challenging factor in MgO. Atomic force microscopy (AFM) measurements showed the topographical features of the graphene coated on MgO. X-ray photoelectron spectroscopy (XPS) study is carried out to extract information regarding the presence of necessary elements, their bonding with substrates and to confirm the sp-2 hybridization of carbon, which is a characteristic feature of graphene film. The chemical shift is due to the surface reconstruction of MgO in the prepared samples. For graphene-MgO interface, valence band offset (VBO) and conduction band offset (CBO) extracted from valence band spectra reported. Further, we predicted the energy band diagram for single layer and thin film of graphene. By using the room-temperature energy band gap values of MgO and graphene, the CBO is calculated to be 6.85 eV for single layer and 5.66 eV for few layer (1-3) of graphene layers.

  6. Electrostatic powder coatings of pristine graphene: A new approach for coating of granular and fibril substrates

    Science.gov (United States)

    Nine, Md J.; Kabiri, Shervin; Tung, Tran Thanh; Tran, Diana N. H.; Losic, Dusan

    2018-05-01

    The use of pristine graphene (pG) based on solution processed coating technologies is often limited by their poor dispersibility in water and organic solvents which prevents to achieve the best performing properties of pG in coating applications. To address these limitations, we developed a dispersant-free coating approach of pG based on their intrinsic solid-lubricity and interlayer electrostatic interactions. The "rotating drum" method was established to provide suitable conditions for electrostatic deposition of pG-powder which is demonstrated on two model substrates with granular and fibril morphologies (urea and acrylic fibers) to improve their physical and electrical properties. The results showed that the pG coating enables to minimize moisture induced caking tendency of commercial urea prills at a relative humidity (RH) of 85% (higher than critical humidity) exhibiting greater moisture rejection ability (∼2 times higher than uncoated urea) and to improve their anti-abrasive properties. The pG-powder coating applied on nonconductive acrylic fibers provides a stable conductive layer (∼0.8 ± 0.1 kΩ/sq) which made them suitable for using in wearable electronics, sensors and electromagnetic interference (EMI) shielding. The developed coating method for pG-powder based on "rotating drum" is generic, simple, eco-friendly, low-cost, and scalable for broad range of coating applications.

  7. Nanoparticle layer deposition for highly controlled multilayer formation based on high-coverage monolayers of nanoparticles

    International Nuclear Information System (INIS)

    Liu, Yue; Williams, Mackenzie G.; Miller, Timothy J.; Teplyakov, Andrew V.

    2016-01-01

    This paper establishes a strategy for chemical deposition of functionalized nanoparticles onto solid substrates in a layer-by-layer process based on self-limiting surface chemical reactions leading to complete monolayer formation within the multilayer system without any additional intermediate layers — nanoparticle layer deposition (NPLD). This approach is fundamentally different from previously established traditional layer-by-layer deposition techniques and is conceptually more similar to well-known atomic and molecular layer deposition processes. The NPLD approach uses efficient chemical functionalization of the solid substrate material and complementary functionalization of nanoparticles to produce a nearly 100% coverage of these nanoparticles with the use of “click chemistry”. Following this initial deposition, a second complete monolayer of nanoparticles is deposited using a copper-catalyzed “click reaction” with the azide-terminated silica nanoparticles of a different size. This layer-by-layer growth is demonstrated to produce stable covalently-bound multilayers of nearly perfect structure over macroscopic solid substrates. The formation of stable covalent bonds is confirmed spectroscopically and the stability of the multilayers produced is tested by sonication in a variety of common solvents. The 1-, 2- and 3-layer structures are interrogated by electron microscopy and atomic force microscopy and the thickness of the multilayers formed is fully consistent with that expected for highly efficient monolayer formation with each cycle of growth. This approach can be extended to include a variety of materials deposited in a predesigned sequence on different substrates with a highly conformal filling. - Highlights: • We investigate the formation of high-coverage monolayers of nanoparticles. • We use “click chemistry” to form these monolayers. • We form multiple layers based on the same strategy. • We confirm the formation of covalent bonds

  8. Variable substrate temperature deposition of CoFeB film on Ta for manipulating the perpendicular coercive forces

    Energy Technology Data Exchange (ETDEWEB)

    Lakshmanan, Saravanan; Rao, Subha Krishna [Nanotechnology Research Centre, SRM University, Kattankulathur, Chennai 603203 (India); Muthuvel, Manivel Raja [Defence Metallurgical Research Laboratory (DMRL), Hyderabad 500058 (India); Chandrasekaran, Gopalakrishnan [Nanotechnology Research Centre, SRM University, Kattankulathur, Chennai 603203 (India); Therese, Helen Annal, E-mail: helen.a@ktr.srmuniv.ac.in [Nanotechnology Research Centre, SRM University, Kattankulathur, Chennai 603203 (India)

    2017-08-01

    Highlights: • Ta/CoFeB(50 nm)/Ta thin films were deposited at various substrate temperatures (T{sub s}). • CoFeB films deposited at T{sub s} such as RT, 450 °C, 475 °C and 500 °C exhibited perpendicular coercivity. • CoFeB deposited at 475 °C displayed a higher coercivity of 315 Oe and a low M{sub s} of 169 emu/cc. • The enhanced crystallization of CoFeB at the Ta/CoFeB interface results in higher H{sub c} (⟂). - Abstract: Magnetization of Ta/CoFeB/Ta trilayer films with thick layer of CoFeB deposited under different substrate temperatures (T{sub s}) via ultra-high vacuum DC sputtering technique has been measured with the applied magnetic field parallel and perpendicular to the plane of the film respectively to study the perpendicular coercive forces of the film. The samples were further analyzed for its structural, topological, morphological, and electrical transport properties. The core chemical states for the elements present in the CoFeB thin film were analyzed by XPS studies. Magnetization studies reveal the existence of perpendicular coercive forces in CoFeB films deposited only at certain temperatures such as RT, 450 °C, 475 °C and 500 °C. CoFeB film deposited at 475 °C exhibited a maximum coercivity of 315 Oe and a very low saturation magnetization (M{sub s}) of 169 emu/cc in perpendicular direction. This pronounced effect in perpendicular coercive forces observed for CoFeB475 could be attributed to the effect of temperature in enhancing the crystallization of the film at the Ta/CoFeB interfaces. However at temperatures higher than 475 °C the destruction of the Ta/CoFeB interface due to intermixing of Ta and CoFeB results in the disappearance of magnetic anisotropy.

  9. Purity and resistivity improvements for electron-beam-induced deposition of Pt

    Energy Technology Data Exchange (ETDEWEB)

    Mulders, J.J.L. [FEI Company, Eindhoven (Netherlands)

    2014-12-15

    Electron-beam-induced deposition (EBID) of platinum is used by many researchers. Its main application is the formation of a protective layer and the ''welding material'' for making a TEM lamella with a focused ion beam thinning process. For this application, the actual composition of the deposition is less relevant, and in practice, both the mechanical strength and the conductivity are sufficient. Another important application is the creation of an electrical connection to nanoscale structures such as nano-wires and graphene. To serve as an electrical contact, the resistivity of the Pt deposited structure has to be sufficiently low. Using the commonly used precursor MeCpPtMe{sub 3} for deposition, the resistivity as created by the basic process is 10{sup +5}-10{sup +6} higher than the value for bulk Pt, which is 10.6 μΩ cm. The reason for this is the high abundance of carbon in the deposition. To improve the deposition process, much attention has been given by the research community to parameter optimization, to ex situ or in situ removal of carbon by anneal steps, to prevention of carbon deposition by use of a carbon-free precursor, to electron beam irradiation under a high flux of oxygen and to the combination with other techniques such as atomic layer deposition (ALD). In the latter technique, the EBID structures are used as a 1-nm-thick seed layer only, while the ALD is used to selectively add pure Pt. These techniques have resulted in a low resistivity, today approaching the 10-150 μΩ cm, while the size and shape of the structure are preserved. Therefore, now, the technique is ready for application in the field of contacting nano-wires. (orig.)

  10. Advances in the electro-spark deposition coating process

    International Nuclear Information System (INIS)

    Johnson, R.N.; Sheldon, G.L.

    1986-04-01

    Electro-spark deposition (ESD) is a pulsed-arc micro-welding process using short-duration, high-current electrical pulses to deposit an electrode material on a metallic substrate. It is one of the few methods available by which a fused, metallurgically bonded coating can be applied with such a low total heat input that the bulk substrate material remains at or near ambient temperatures. The short duration of the electrical pulse allows an extremely rapid solidification of the deposited material and results in an exceptionally fine-grained, homogenous coating that approaches (and with some materials, actually is) an amorphous structure. This structure is believed to contribute to the good tribological and corrosion performance observed for hardsurfacing materials used in the demanding environments of high temperatures, liquid metals, and neutron irradiation. A brief historical review of the process is provided, followed by descriptions of the present state-of-the-art and of the performance and applications of electro-spark deposition coatings in liquid-metal-cooled nuclear reactors

  11. Chemical vapor deposition of diamond onto iron based substrates. The use of barrier layers

    International Nuclear Information System (INIS)

    Weiser, P.S.; Prawer, S.

    1995-01-01

    When Fe is exposed to the plasma environment suitable for the chemical vapor deposition (CVD) of diamond, the surface is rapidly covered with a thick layer graphitic soot and C swiftly diffuses into the Fe substrate. Once the soot reaches a critical thickness, diamond films nucleate and grow on top of it. However, adhesion of the film to the substrate is poor due to the lack of structural integrity of the soot layer, A thin coating of TiN on the Fe can act to prevent diffusion and soot formation. Diamond readily grows upon the TiN via an a-C interface layer, but the a-C/TiN interface is weak and delamination occurs at this interface. In order to try and improve the adhesion, the use of a high dose Ti implant was investigated to replace the TiN coating. 7 refs., 6 figs

  12. Rat liver responsiveness to gluconeogenic substrates during insulin-induced hypoglycemia

    Directory of Open Access Journals (Sweden)

    H.M. de Souza

    2001-06-01

    Full Text Available Hepatic responsiveness to gluconeogenic substrates during insulin-induced hypoglycemia was investigated. For this purpose, livers were perfused with a saturating concentration of 2 mM glycerol, 5 mM L-alanine or 5 mM L-glutamine as gluconeogenic substrates. All experiments were performed 1 h after an ip injection of saline (CN group or 1 IU/kg of insulin (IN group. The IN group showed higher (P<0.05 hepatic glucose production from glycerol, L-alanine and L-glutamine and higher (P<0.05 production of L-lactate, pyruvate and urea from L-alanine and L-glutamine. In addition, ip injection of 100 mg/kg glycerol, L-alanine and L-glutamine promoted glucose recovery. The results indicate that the hepatic capacity to produce glucose from gluconeogenic precursors was increased during insulin-induced hypoglycemia.

  13. Low temperature metal free growth of graphene on insulating substrates by plasma assisted chemical vapor deposition

    Science.gov (United States)

    Muñoz, R.; Munuera, C.; Martínez, J. I.; Azpeitia, J.; Gómez-Aleixandre, C.; García-Hernández, M.

    2017-03-01

    Direct growth of graphene films on dielectric substrates (quartz and silica) is reported, by means of remote electron cyclotron resonance plasma assisted chemical vapor deposition r-(ECR-CVD) at low temperature (650 °C). Using a two step deposition process- nucleation and growth- by changing the partial pressure of the gas precursors at constant temperature, mostly monolayer continuous films, with grain sizes up to 500 nm are grown, exhibiting transmittance larger than 92% and sheet resistance as low as 900 Ω sq-1. The grain size and nucleation density of the resulting graphene sheets can be controlled varying the deposition time and pressure. In additon, first-principles DFT-based calculations have been carried out in order to rationalize the oxygen reduction in the quartz surface experimentally observed. This method is easily scalable and avoids damaging and expensive transfer steps of graphene films, improving compatibility with current fabrication technologies.

  14. Structural anomalies induced by the metal deposition methods in 2D silver nanoparticle arrays prepared by nanosphere lithography

    Energy Technology Data Exchange (ETDEWEB)

    Huang, Shengli, E-mail: huangsl@xmu.edu.cn [Fujian Provincial Key Lab of Semiconductors and Applications, Department of Physics, Xiamen University, Xiamen, Fujian 361005 (China); State Key Lab of Silicon Materials, Zhejiang University, Hangzhou 310027 (China); Yang, Qianqian [Fujian Provincial Key Lab of Semiconductors and Applications, Department of Physics, Xiamen University, Xiamen, Fujian 361005 (China); State Key Lab of Silicon Materials, Zhejiang University, Hangzhou 310027 (China); Zhang, Chunjing; Kong, Lingqi; Li, Shuping; Kang, Junyong [Fujian Provincial Key Lab of Semiconductors and Applications, Department of Physics, Xiamen University, Xiamen, Fujian 361005 (China)

    2013-06-01

    Silver nanoparticle arrays with 2-dimensional hexagonal arrangement were fabricated on the silicon substrates by nanosphere lithography. The silver film was deposited either by thermal evaporation or by magnetron sputtering under different conditions. The nanostructures of the achieved sphere template and the array units were characterized by scanning electron microscopy and atomic force microscopy, and were found to be anomalous under different deposition parameters. Comparative study indicated that the formation of the various 2-dimensional silver nanoparticle array structures was dominated by the thermal energy (temperature), kinetic energy and deposition direction of the deposited metal atoms as well as the size and nanocurvature of the colloidal particles and the metal clusters. - Highlights: • Silver nanoparticle arrays with different nanostructures on silicon substrates. • Various deposition parameters in arrays formation systematically examined. • Possible mechanisms and optimization of nanostructures formation addressed.

  15. Effect of deposition angle on the structure and properties of pulsed-DC magnetron sputtered TiAlN thin films

    International Nuclear Information System (INIS)

    Shetty, A.R.; Karimi, A.; Cantoni, M.

    2011-01-01

    This article reports the comparison of structure and properties of titanium aluminum nitride (TiAlN) films deposited onto Si(100) substrates under normal and oblique angle depositions using pulsed-DC magnetron sputtering. The substrate temperature was set at room temperature, 400 o C and 650 o C, and the bias was kept at 0, - 25, - 50, and - 80 V for both deposition angles. The surface and cross-section of the films were observed by scanning electron microscopy. It was found that as the deposition temperature increases, films deposited under normal incidence exhibit distinct faceted crystallites, whereas oblique angle deposited (OAD) films develop a kind of 'tiles of a roof' or 'stepwise structure', with no facetted crystallites. The OAD films showed an inclined columnar structure, with columns tilting in the direction of the incident flux. As the substrate temperature was increased, the tilting of columns nearly approached the substrate normal. Both hardness and Young's modulus decreases when the flux angle was changed from α = 0 o to 45 o as measured by nanoindentation. This was attributed to the voids formed due to the shadowing effect. The crystallographic properties of these coatings were studied by θ-2θ scan and pole figure X-ray diffraction. Films deposited at α = 0 o showed a mixed (111) and (200) out-of-plane orientation with random in-plane alignment. On the other hand, films deposited at α = 45 o revealed an inclined texture with (111) orientation moving towards the incident flux direction and the (200) orientation approaching the substrate normal, showing substantial in-plane alignment.

  16. Identifying suitable substrates for high-quality graphene-based heterostructures

    Science.gov (United States)

    Banszerus, L.; Janssen, H.; Otto, M.; Epping, A.; Taniguchi, T.; Watanabe, K.; Beschoten, B.; Neumaier, D.; Stampfer, C.

    2017-06-01

    We report on a scanning confocal Raman spectroscopy study investigating the strain-uniformity and the overall strain and doping of high-quality chemical vapour deposited (CVD) graphene-based heterostuctures on a large number of different substrate materials, including hexagonal boron nitride (hBN), transition metal dichalcogenides, silicon, different oxides and nitrides, as well as polymers. By applying a hBN-assisted, contamination free, dry transfer process for CVD graphene, high-quality heterostructures with low doping densities and low strain variations are assembled. The Raman spectra of these pristine heterostructures are sensitive to substrate-induced doping and strain variations and are thus used to probe the suitability of the substrate material for potential high-quality graphene devices. We find that the flatness of the substrate material is a key figure for gaining, or preserving high-quality graphene.

  17. Investigation of thermal and hot-wire chemical vapor deposition copper thin films on TiN substrates using CupraSelect as precursor.

    Science.gov (United States)

    Papadimitropoulos, G; Davazoglou, D

    2011-09-01

    Copper films were deposited on oxidized Si substrates covered with TiN using a novel chemical vapor deposition reactor in which reactions were assisted by a heated tungsten filament (hot-wire CVD, HWCVD). Liquid at room temperature hexafluoroacetylacetonate Cu(I) trimethylvinylsilane (CupraSelect) was directly injected into the reactor with the aid of a direct-liquid injection (DLI) system using N2 as carrier gas. The deposition rates of HWCVD Cu films obtained on TiN covered substrates were found to increase with filament temperature (65 and 170 degrees C were tested). The resistivities of HWCVD Cu films were found to be higher than for thermally grown films due to the possible presence of impurities into the Cu films from the incomplete dissociation of the precursor and W impurities caused by the presence of the filament. For HWCVD films grown at a filament temperature of 170 degrees C, smaller grains are formed than at 65 degrees C as shown from the taken SEM micrographs. XRD diffractograms taken on Cu films deposited on TiN could not reveal the presence of W compounds originating from the filament because the relative peak was masked by the TiN [112] peak.

  18. Anatase thin film with diverse epitaxial relationship grown on yttrium stabilized zirconia substrate by chemical vapor deposition

    International Nuclear Information System (INIS)

    Miyagi, Takahira; Ogawa, Tomoyuki; Kamei, Masayuki; Wada, Yoshiki; Mitsuhashi, Takefumi; Yamazaki, Atsushi

    2003-01-01

    An anatase epitaxial thin film with diverse epitaxial relationship, YSZ (001) // anatase (001), YSZ (010) // anatase (110), was grown on a single crystalline yttrium stabilized zirconia (YSZ) (001) substrate by metal organic chemical vapor deposition (MOCVD). The full width at half maximum (FWHM) of the (004) reflection of this anatase epitaxial film was 0.4deg, and the photoluminescence of this anatase epitaxial film showed visible emission with broad spectral width and large Stokes shift at room temperature. These results indicate that this anatase epitaxial film possessed almost equal crystalline quality compared with that grown under identical growth conditions on single crystalline SrTiO 3 substrate. (author)

  19. Stress Analysis in Polymeric Coating Layer Deposited on Rigid Substrate

    Energy Technology Data Exchange (ETDEWEB)

    Lee, Sang Soon Lee [Korea University of Technology and Education, School of Mechatronics Engineering, Chonan (Korea, Republic of)

    2015-08-15

    This paper presents an analysis of thermal stress induced along the interface between a polymeric coating layer and a steel substrate as a result of uniform temperature change. The epoxy layer is assumed to be a linear viscoelastic material and to be theromorheologically simple. The viscoelastic boundary element method is employed to investigate the behavior of interface stresses. The numerical results exhibit relaxation of interface stresses and large stress gradients, which are observed in the vicinity of the free surface. Since the exceedingly large stresses cannot be borne by the polymeric coating layer, local cracking or delamination can occur at the interface corner.

  20. Vertically aligned carbon nanotube growth by pulsed laser deposition and thermal chemical vapor deposition methods

    International Nuclear Information System (INIS)

    Sohn, Jung Inn; Nam, Chunghee; Lee, Seonghoon

    2002-01-01

    We have grown vertically aligned carbon nanotubes on the various substrates such as a planar p-type Si(1 0 0) wafer, porous Si wafer, SiO 2 , Si 3 N 4 , Al 2 O 3 , and Cr by thermal chemical vapor deposition (CVD) at 800 deg.C, using C 2 H 2 gas as a carbon source and Fe catalyst films deposited by a pulsed laser on the substrates. The Fe films were deposited for 5 min by pulsed laser deposition (PLD). The advantage of Fe deposition by PLD over other deposition methods lies in the superior adhesion of Fe to a Si substrate due to high kinetic energies of the generated Fe species. Scanning electron microscopy (SEM) images show that vertically well-aligned carbon nanotubes are grown on Fe nanoparticles formed from the thermal annealing of the Fe film deposited by PLD on the various substrates. Atomic force microscopy (AFM) images show that the Fe film annealed at 800 deg.C is broken to Fe nanoparticles of 10-50 nm in size. We show that the appropriate density of Fe nanoparticles formed from the thermal annealing of the film deposited by PLD is crucial in growing vertically aligned carbon nanotubes. Using a PLD and a lift-off method, we developed the selective growth of carbon nanotubes on a patterned Fe-coated Si substrate

  1. In situ growth optimization in focused electron-beam induced deposition

    Directory of Open Access Journals (Sweden)

    Paul M. Weirich

    2013-12-01

    Full Text Available We present the application of an evolutionary genetic algorithm for the in situ optimization of nanostructures that are prepared by focused electron-beam-induced deposition (FEBID. It allows us to tune the properties of the deposits towards the highest conductivity by using the time gradient of the measured in situ rate of change of conductance as the fitness parameter for the algorithm. The effectiveness of the procedure is presented for the precursor W(CO6 as well as for post-treatment of Pt–C deposits, which were obtained by the dissociation of MeCpPt(Me3. For W(CO6-based structures an increase of conductivity by one order of magnitude can be achieved, whereas the effect for MeCpPt(Me3 is largely suppressed. The presented technique can be applied to all beam-induced deposition processes and has great potential for a further optimization or tuning of parameters for nanostructures that are prepared by FEBID or related techniques.

  2. Laser deposition of HTSC films

    International Nuclear Information System (INIS)

    Sobol', Eh.N.; Bagratashvili, V.N.; Zherikhin, A.N.; Sviridov, A.P.

    1990-01-01

    Studies of the high-temperature superconducting (HTSC) films fabrication by the laser deposition are reviewed. Physical and chemical processes taking place during laser deposition are considered, such as the target evaporation, the material transport from the target to the substrate, the film growth on the substrate, thermochemical reactions and mass transfer within the HTSC films and their stability. The experimental results on the laser deposition of different HTSC ceramics and their properties investigations are given. The major technological issues are discussed including the deposition schemes, the oxygen supply, the target compositions and structure, the substrates and interface layers selection, the deposition regimes and their impact on the HTSC films properties. 169 refs.; 6 figs.; 2 tabs

  3. Impact of post deposition annealing in the electrically active traps at the interface between Ge(001) substrates and LaGeO{sub x} films grown by molecular beam deposition

    Energy Technology Data Exchange (ETDEWEB)

    Molle, Alessandro [Laboratorio MDM, CNR-IMM, via C. Olivetti 2, Agrate Brianza (MB) I-20864 (Italy); Baldovino, Silvia; Fanciulli, Marco [Laboratorio MDM, CNR-IMM, via C. Olivetti 2, Agrate Brianza (MB) I-20864 (Italy); Dipartimento di Scienza dei Materiali, Universita degli Studi di Milano Bicocca, Milano (Italy); Tsoutsou, Dimitra; Golias, Evangelos; Dimoulas, Athanasios [MBE Laboratory, Institute of Materials Science, NCSR DEMOKRITOS, Athens 153 10 (Greece)

    2011-10-15

    Changes in the electron trapping at the interface between Ge substrates and LaGeO{sub x} films grown by atomic O assisted molecular beam deposition are inferred upon post deposition annealing treatment on the as-deposited films from electrically detected magnetic resonance (EDMR) spectroscopy and from the electrical response of Pt/LaGeO{sub x}/Ge metal oxide semiconductor (MOS) capacitors. The improved electrical performance of the MOS capacitors upon annealing is consistent with the EDMR detected reduction of oxide defects which are associated with GeO species in the LaGeO{sub x} layer as evidenced by x-ray photoelectron spectroscopy.

  4. Structural and Electromagnetic Properties of Ni-Mn-Ga Thin Films Deposited on Si Substrates

    Directory of Open Access Journals (Sweden)

    Pereira M. J.

    2014-07-01

    Full Text Available Ni2MnGa thin films raise great interest due to their properties, which provide them with strong potential for technological applications. Ni2MnGa thin films were prepared by r.f. sputtering deposition on Si substrates at low temperature (400 ºC. Film thicknesses in the range 10-120 nm were obtained. A study of the structural, magnetic and electrical properties of the films is presented. We find that the deposited films show some degree of crystallinity, with coexisting cubic and tetragonal structural phases, the first one being preponderant over the latter, particularly in the thinner films. The films possess soft magnetic properties and their coercivity is thickness dependent in the range 15-200 Oe at 300K. Electrical resistivity measurements signal the structural transition and suggest the occurrence of avalanche and return-point memory effects, in temperature cycling through the magnetic/structural transition range.

  5. Influences of ultra-thin Ti seed layers on the dewetting phenomenon of Au films deposited on Si oxide substrates

    Science.gov (United States)

    Kamiko, Masao; Kim, So-Mang; Jeong, Young-Seok; Ha, Jae-Ho; Koo, Sang-Mo; Ha, Jae-Geun

    2018-05-01

    The influences of a Ti seed layer (1 nm) on the dewetting phenomenon of Au films (5 nm) grown onto amorphous SiO2 substrates have been studied and compared. Atomic force microscopy results indicated that the introduction of Ti between the substrate and Au promoted the dewetting phenomenon. X-ray diffraction measurements suggested that the initial deposition of Ti promoted crystallinity of Au. A series of Auger electron spectroscopy and X-ray photoelectron spectroscopy results revealed that Ti transformed to a Ti oxide layer by reduction of the amorphous SiO2 substrate surface, and that the Ti seed layer remained on the substrate, without going through the dewetting process during annealing. We concluded that the enhancement of Au dewetting and the improvement in crystallinity of Au by the insertion of Ti could be attributed to the fact that Au location was changed from the surface of the amorphous SiO2 substrate to that of the Ti oxide layer.

  6. A new approach to assess the chemical composition of powder deposits damaging the stone surfaces of historical monuments.

    Science.gov (United States)

    Fermo, Paola; Turrion, Raquel Gonzalez; Rosa, Mario; Omegna, Alessandra

    2015-04-01

    The issue of conservation of the monumental heritage worldwide is mainly related to atmospheric pollution that causes the degradation of stone surfaces. The powder deposits present on the stone monuments reflect the composition of the aerosol particulate matter (PM) to which the surfaces are exposed, so the chemical characterization of the outermost damaged layers is necessary in order to adopt mitigation measurements to reduce PM emissions. In the present paper, a new analytical approach is proposed to investigate the chemical composition of powder deposits present on Angera stone, a dolomitic rock used in the Richini courtyard, a masterpiece of Lombard Baroque and placed in Milan. Inorganic and organic components present in these deposits have been analyzed by IC (ion chromatography) and a new approach mainly bases on thermal analyses, respectively. Gypsum is the main inorganic constituent indicating a composition similar to that of black crusts, hard black patina covering the degraded building surfaces. Ammonium nitrate present in the powder is able to react with the stone substrate to form magnesium nitrate which can migrate into the porous stone. The carbonaceous fraction powder deposits (i.e. OC = Organic Carbon and EC = Elemental Carbon) have been quantified by a new simple thermal approach based on carbon hydrogen nitrogen (CHN) analysis. The presence of high concentration of EC confirms that the powder deposits are evolving to black crust. Low values of water-soluble organic carbon (WSOC, determined by total organic carbon-TOC), with respect to what is normally found in PM, may indicate a migration process of organic substances into the stone with a worsening of the conservation conditions. The presence of heavy metals of anthropogenic origin and acting as catalysts in the black crust formation process has been highlighted by SEM-EDS (electron microscopy coupled with an energy dispersive spectrometer) as well.

  7. Aligned, plasma sprayed SmCo5 deposits

    International Nuclear Information System (INIS)

    Kumar, K.; Das, D.

    1986-01-01

    Highly aligned SmCo 5 deposits were produced using plasma spraying. c-axis alignment, normal to the plane of the deposit, was achieved by depositing the Sm-Co alloys on steel substrates maintained at high temperatures. The substrates were heated by the plasma flame to obtain the high temperatures. The attainment of a range of substrate temperatures was made possible through control over the geometry of the substrate

  8. Amorphous indium tin oxide films deposited on flexible substrates by facing target sputtering at room temperature

    International Nuclear Information System (INIS)

    Xiao, Yu; Gao, Fangyuan; Dong, Guobo; Guo, Tingting; Liu, Qirong; Ye, Di; Diao, Xungang

    2014-01-01

    Indium tin oxide (ITO) thin films were deposited on polyethylene terephthalate substrates using a DC facing target sputtering (DC-FTS) system at room temperature. The sputtering conditions including oxygen partial pressure and discharge current were varied from 0% to 4% and 0.5 A to 1.3 A, respectively. X-ray diffraction and scanning electron microscopy were used to study the structure and surface morphology of as-prepared films. All the films exhibited amorphous structures and smooth surfaces. The dependence of electrical and optical properties on various deposition parameters was investigated by a linear array four-point probe, Hall-effect measurements, and ultraviolet/visible spectrophotometry. A lowest sheet resistance of 17.4 Ω/square, a lowest resistivity of 3.61 × 10 −4 Ω cm, and an average relative transmittance over 88% in the visible range were obtained under the optimal deposition conditions. The relationship between the Hall mobility (μ) and carrier concentration (n) was interpreted by a functional relation of μ ∼ n −0.127 , which indicated that ionized donor scattering was the dominant electron scattering mechanism. It is also confirmed that the carrier concentration in ITO films prepared by the DC-FTS system is mainly controlled by the number of activated Sn donors rather than oxygen vacancies. - Highlights: • ITO thin films were grown on PET substrates by DC facing target sputtering system. • All the films were prepared at room temperature and exhibited amorphous structure. • Highly conductive and transparent ITO thin films were obtained. • The dominant ionized donor scattering mechanism was suggested

  9. Amorphous indium tin oxide films deposited on flexible substrates by facing target sputtering at room temperature

    Energy Technology Data Exchange (ETDEWEB)

    Xiao, Yu [Solar Film Laboratory, School of Physics and Nuclear Energy Engineering, Beihang University, Beijing 100191 (China); Gao, Fangyuan, E-mail: gaofangyuan@buaa.edu.cn [Solar Film Laboratory, School of Physics and Nuclear Energy Engineering, Beihang University, Beijing 100191 (China); Dong, Guobo; Guo, Tingting; Liu, Qirong [Solar Film Laboratory, School of Physics and Nuclear Energy Engineering, Beihang University, Beijing 100191 (China); Ye, Di [Institute of High Energy Physics, Chinese Academy of Sciences, Beijing 100191 (China); Diao, Xungang [Solar Film Laboratory, School of Physics and Nuclear Energy Engineering, Beihang University, Beijing 100191 (China)

    2014-04-01

    Indium tin oxide (ITO) thin films were deposited on polyethylene terephthalate substrates using a DC facing target sputtering (DC-FTS) system at room temperature. The sputtering conditions including oxygen partial pressure and discharge current were varied from 0% to 4% and 0.5 A to 1.3 A, respectively. X-ray diffraction and scanning electron microscopy were used to study the structure and surface morphology of as-prepared films. All the films exhibited amorphous structures and smooth surfaces. The dependence of electrical and optical properties on various deposition parameters was investigated by a linear array four-point probe, Hall-effect measurements, and ultraviolet/visible spectrophotometry. A lowest sheet resistance of 17.4 Ω/square, a lowest resistivity of 3.61 × 10{sup −4} Ω cm, and an average relative transmittance over 88% in the visible range were obtained under the optimal deposition conditions. The relationship between the Hall mobility (μ) and carrier concentration (n) was interpreted by a functional relation of μ ∼ n{sup −0.127}, which indicated that ionized donor scattering was the dominant electron scattering mechanism. It is also confirmed that the carrier concentration in ITO films prepared by the DC-FTS system is mainly controlled by the number of activated Sn donors rather than oxygen vacancies. - Highlights: • ITO thin films were grown on PET substrates by DC facing target sputtering system. • All the films were prepared at room temperature and exhibited amorphous structure. • Highly conductive and transparent ITO thin films were obtained. • The dominant ionized donor scattering mechanism was suggested.

  10. ZnO Nanowires Synthesized by Vapor Phase Transport Deposition on Transparent Oxide Substrates

    Directory of Open Access Journals (Sweden)

    Taylor Curtis

    2010-01-01

    Full Text Available Abstract Zinc oxide nanowires have been synthesized without using metal catalyst seed layers on fluorine-doped tin oxide (FTO substrates by a modified vapor phase transport deposition process using a double-tube reactor. The unique reactor configuration creates a Zn-rich vapor environment that facilitates formation and growth of zinc oxide nanoparticles and wires (20–80 nm in diameter, up to 6 μm in length, density <40 nm apart at substrate temperatures down to 300°C. Electron microscopy and other characterization techniques show nanowires with distinct morphologies when grown under different conditions. The effect of reaction parameters including reaction time, temperature, and carrier gas flow rate on the size, morphology, crystalline structure, and density of ZnO nanowires has been investigated. The nanowires grown by this method have a diameter, length, and density appropriate for use in fabricating hybrid polymer/metal oxide nanostructure solar cells. For example, it is preferable to have nanowires no more than 40 nm apart to minimize exciton recombination in polymer solar cells.

  11. Porous substrates filled with nanomaterials

    Science.gov (United States)

    Worsley, Marcus A.; Baumann, Theodore F.; Satcher, Jr., Joe H.; Stadermann, Michael

    2018-04-03

    A composition comprising: at least one porous carbon monolith, such as a carbon aerogel, comprising internal pores, and at least one nanomaterial, such as carbon nanotubes, disposed uniformly throughout the internal pores. The nanomaterial can be disposed in the middle of the monolith. In addition, a method for making a monolithic solid with both high surface area and good bulk electrical conductivity is provided. A porous substrate having a thickness of 100 microns or more and comprising macropores throughout its thickness is prepared. At least one catalyst is deposited inside the porous substrate. Subsequently, chemical vapor deposition is used to uniformly deposit a nanomaterial in the macropores throughout the thickness of the porous substrate. Applications include electrical energy storage, such as batteries and capacitors, and hydrogen storage.

  12. Hydrogenated amorphous silicon p-i-n solar cells deposited under well controlled ion bombardment using pulse-shaped substrate biasing

    NARCIS (Netherlands)

    Wank, M. A.; van Swaaij, R.; R. van de Sanden,; Zeman, M.

    2012-01-01

    We applied pulse-shaped biasing (PSB) to the expanding thermal plasma deposition of intrinsic hydrogenated amorphous silicon layers at substrate temperatures of 200 degrees C and growth rates of about 1?nm/s. Fourier transform infrared spectroscopy of intrinsic films showed a densification with

  13. Molecular Orientation in Two Component Vapor-Deposited Glasses: Effect of Substrate Temperature and Molecular Shape

    Science.gov (United States)

    Powell, Charles; Jiang, Jing; Walters, Diane; Ediger, Mark

    Vapor-deposited glasses are widely investigated for use in organic electronics including the emitting layers of OLED devices. These materials, while macroscopically homogenous, have anisotropic packing and molecular orientation. By controlling this orientation, outcoupling efficiency can be increased by aligning the transition dipole moment of the light-emitting molecules parallel to the substrate. Light-emitting molecules are typically dispersed in a host matrix, as such, it is imperative to understand molecular orientation in two-component systems. In this study we examine two-component vapor-deposited films and the orientations of the constituent molecules using spectroscopic ellipsometry, UV-vis and IR spectroscopy. The role of temperature, composition and molecular shape as it effects molecular orientation is examined for mixtures of DSA-Ph in Alq3 and in TPD. Deposition temperature relative to the glass transition temperature of the two-component mixture is the primary controlling factor for molecular orientation. In mixtures of DSA-Ph in Alq3, the linear DSA-Ph has a horizontal orientation at low temperatures and slight vertical orientation maximized at 0.96Tg,mixture, analogous to one-component films.

  14. Influence of Energy and Temperature in Cluster Coalescence Induced by Deposition

    Directory of Open Access Journals (Sweden)

    J. C. Jiménez-Sáez

    2012-01-01

    Full Text Available Coalescence induced by deposition of different Cu clusters on an epitaxial Co cluster supported on a Cu(001 substrate is studied by constant-temperature molecular dynamics simulations. The degree of epitaxy of the final system increases with increasing separation between the centres of mass of the projectile and target clusters during the collision. Structure, roughness, and epitaxial order of the supported cluster also influence the degree of epitaxy. The effect of energy and temperature is determinant on the epitaxial condition of the coalesced cluster, especially both factors modify the generation, growth and interaction among grains. A higher temperature favours the epitaxial growth for low impact parameters. A higher energy contributes to the epitaxial coalescence for any initial separation between the projectile and target clusters. The influence of projectile energy is notably greater than the influence of temperature since higher energies allow greater and instantaneous atomic reorganizations, so that the number of arisen grains just after the collision becomes smaller. The appearance of grain boundary dislocations is, therefore, a decisive factor in the epitaxial growth of the coalesced cluster.

  15. Substrate channel in nitrogenase revealed by a molecular dynamics approach.

    Science.gov (United States)

    Smith, Dayle; Danyal, Karamatullah; Raugei, Simone; Seefeldt, Lance C

    2014-04-15

    Mo-dependent nitrogenase catalyzes the biological reduction of N2 to two NH3 molecules at FeMo-cofactor buried deep inside the MoFe protein. Access of substrates, such as N2, to the active site is likely restricted by the surrounding protein, requiring substrate channels that lead from the surface to the active site. Earlier studies on crystallographic structures of the MoFe protein have suggested three putative substrate channels. Here, we have utilized submicrosecond atomistic molecular dynamics simulations to allow the nitrogenase MoFe protein to explore its conformational space in an aqueous solution at physiological ionic strength, revealing a putative substrate channel. The viability of this observed channel was tested by examining the free energy of passage of N2 from the surface through the channel to FeMo-cofactor, resulting in the discovery of a very low energy barrier. These studies point to a viable substrate channel in nitrogenase that appears during thermal motions of the protein in an aqueous environment and that approaches a face of FeMo-cofactor earlier implicated in substrate binding.

  16. Method for deposition of a conductor in integrated circuits

    Science.gov (United States)

    Creighton, J. Randall; Dominguez, Frank; Johnson, A. Wayne; Omstead, Thomas R.

    1997-01-01

    A method is described for fabricating integrated semiconductor circuits and, more particularly, for the selective deposition of a conductor onto a substrate employing a chemical vapor deposition process. By way of example, tungsten can be selectively deposited onto a silicon substrate. At the onset of loss of selectivity of deposition of tungsten onto the silicon substrate, the deposition process is interrupted and unwanted tungsten which has deposited on a mask layer with the silicon substrate can be removed employing a halogen etchant. Thereafter, a plurality of deposition/etch back cycles can be carried out to achieve a predetermined thickness of tungsten.

  17. Texture orientation of glancing angle deposited copper nanowire arrays

    International Nuclear Information System (INIS)

    Alouach, H.; Mankey, G.J.

    2004-01-01

    Self-assembled copper nanowires were deposited on native oxide Si(100) substrates using glancing angle deposition with and without substrate rotation. Wire morphology, texture and crystallographic orientation are strongly dependent on the deposition parameters. A method for determining the preferred crystal orientation is described. This orientation is found to be different from what is expected from the geometric orientation of the wires. For wires deposited without substrate rotation, the face-centered-cubic (fcc)(111) crystal orientation, which corresponds to the close-packed, low surface energy (111) plane of copper, lies between the long axis of the wire and that normal to the substrate. X-ray diffraction data show that the wires exhibit bundling behavior perpendicular to the plane of incidence. For samples deposited with azimuthal rotation of the substrate, the fcc(111) directions in the wires are evenly distributed in a cone around the long axis of the wires, which point normal to the substrate. When the substrate is rotated during deposition at an angle of 75 deg., the wires exhibit a strong fcc(220) texture. These observations show that wires deposited with substrate rotation are highly textured and have random orientations in the plane of the substrate

  18. Engineering electrical properties of graphene: chemical approaches

    International Nuclear Information System (INIS)

    Kim, Yong-Jin; Kim, Yuna; Hong, Byung Hee; Novoselov, Konstantin

    2015-01-01

    To ensure the high performance of graphene-based devices, it is necessary to engineer the electrical properties of graphene with enhanced conductivity, controlled work function, opened or closed bandgaps, etc. This can be performed by various non-covalent chemical approaches, including molecular adsorption, substrate-induced doping, polymerization on graphene, deposition of metallic thin films or nanoparticles, etc. In addition, covalent approaches such as the substitution of carbon atoms with boron or nitrogen and the functionalization with hydrogen or fluorine are useful to tune the bandgaps more efficiently, with better uniformity and stability. In this review, representative examples of chemically engineered graphene and its device applications will be reviewed, and remaining challenges will be discussed. (topical review)

  19. Surface engineering of biaxial Gd2Zr2O7 thin films deposited on Ni–5at%W substrates by a chemical solution method

    DEFF Research Database (Denmark)

    Yue, Zhao; Grivel, Jean-Claude; Liu, Min

    2012-01-01

    crystal structure along the film thickness observed by a transmission electron microscope. On the basis of the enhanced understanding of the crystallization processes, we demonstrate a possibility of engineering the surface morphology and texture in the film deposited on technical substrates using...... a chemical solution deposition route....

  20. Summary and presentation of the international workshop on beam induced energy deposition (issues, concerns, solutions)

    International Nuclear Information System (INIS)

    Soundranayagam, R.

    1991-11-01

    This report discusses: energy deposition and radiation shielding in antriproton source at FNAL; radiation issues/problems at RHIC; radiation damage to polymers; radiation effects on optical fibre in the SSC tunnel; capabilities of the Brookhaven Radiation Effects Facility; the SSC interaction region; the FLUKA code system, modifications, recent extension and experimental verification; energy particle transport calculations and comparisons with experimental data; Los Alamos High Energy Transport code system; MCNP features and applications; intercomparison of Monte Carlo codes designed for simulation of high energy hadronic cascades; event generator, DTUJET-90 and DTUNUC; Preliminary hydrodynamic calculations of beam energy deposition; MESA code calculations of material response to explosive energy deposition; Smooth particle hydrodynamic; hydrodynamic effects and mass depletion phenomena in targets; beam dump: Beam sweeping and spoilers; Design considerations to mitigate effects of accidental beam dump; SSC beam abort and absorbed; beam abort system of SSC options; unconventional scheme for beam spoilers; low β quadrupoles: Energy deposition and radioactivation; beam induces energy deposition in the SSC components; extension of SSC-SR-1033 approach to radioactivation in LHC and SSC detectors; energy deposition in the SSC low-β IR-quads; beam losses and collimation in the LHC; and radiation shielding around scrapers

  1. Wrinkle-Free Single-Crystal Graphene Wafer Grown on Strain-Engineered Substrates.

    Science.gov (United States)

    Deng, Bing; Pang, Zhenqian; Chen, Shulin; Li, Xin; Meng, Caixia; Li, Jiayu; Liu, Mengxi; Wu, Juanxia; Qi, Yue; Dang, Wenhui; Yang, Hao; Zhang, Yanfeng; Zhang, Jin; Kang, Ning; Xu, Hongqi; Fu, Qiang; Qiu, Xiaohui; Gao, Peng; Wei, Yujie; Liu, Zhongfan; Peng, Hailin

    2017-12-26

    Wrinkles are ubiquitous for graphene films grown on various substrates by chemical vapor deposition at high temperature due to the strain induced by thermal mismatch between the graphene and substrates, which greatly degrades the extraordinary properties of graphene. Here we show that the wrinkle formation of graphene grown on Cu substrates is strongly dependent on the crystallographic orientations. Wrinkle-free single-crystal graphene was grown on a wafer-scale twin-boundary-free single-crystal Cu(111) thin film fabricated on sapphire substrate through strain engineering. The wrinkle-free feature of graphene originated from the relatively small thermal expansion of the Cu(111) thin film substrate and the relatively strong interfacial coupling between Cu(111) and graphene, based on the strain analyses as well as molecular dynamics simulations. Moreover, we demonstrated the transfer of an ultraflat graphene film onto target substrates from the reusable single-crystal Cu(111)/sapphire growth substrate. The wrinkle-free graphene shows enhanced electrical mobility compared to graphene with wrinkles.

  2. Hydrogenated amorphous silicon p–i–n solar cells deposited under well controlled ion bombardment using pulse-shaped substrate biasing

    NARCIS (Netherlands)

    Wank, M.A.; Swaaij, van R.A.C.M.M.; Sanden, van de M.C.M.; Zeman, M.

    2012-01-01

    We applied pulse-shaped biasing (PSB) to the expanding thermal plasma deposition of intrinsic hydrogenated amorphous silicon layers at substrate temperatures of 200¿°C and growth rates of about 1¿nm/s. Fourier transform infrared spectroscopy of intrinsic films showed a densification with increasing

  3. Growth and structural investigations of epitaxial hexagonal YMnO3 thin films deposited on wurtzite GaN(001) substrates

    International Nuclear Information System (INIS)

    Balasubramanian, K.R.; Chang, Kai-Chieh; Mohammad, Feroz A.; Porter, Lisa M.; Salvador, Paul A.; DiMaio, Jeffrey; Davis, Robert F.

    2006-01-01

    Epitaxial hexagonal YMnO 3 (h-YMnO 3 ) films having sharp (00l) X-ray diffraction peaks were grown above 700 deg. C in 5 mTorr O 2 via pulsed laser deposition both on as-received wurtzite GaN/AlN/6H-SiC(001) (w-GaN) substrates as well as on w-GaN surfaces that were etched in 50% HF solution. High-resolution transmission electron microscopy revealed an interfacial layer between film and the unetched substrate; this layer was absent in those samples wherein an etched substrate was used. However, the substrate treatment did not affect the epitaxial arrangement between the h-YMnO 3 film and w-GaN substrate. The epitaxial relationships of the h-YMnO 3 films with the w-GaN(001) substrate was determined via X-ray diffraction to be (001) YMnO 3 -parallel (001) GaN : [11-bar0] YMnO 3 -parallel [110] GaN ; in other words, the basal planes of the film and the substrate are aligned parallel to one another, as are the most densely packed directions in planes of the film and the substrate. Interestingly, this arrangement has a larger lattice mismatch than if the principal axes of the unit cells were aligned

  4. The structural and electrical characterisation of SiGe heterostructures deposited on strain relaxed virtual substrates

    International Nuclear Information System (INIS)

    Hammond, R.

    1998-09-01

    The influence of lateral dimensions on the relaxation mechanism and the resulting effect on the surface topography of limited-area, linearly graded Si 1-x Ge x virtual substrates has been investigated for the first time. A dramatic change in the relaxation mechanism of such buffer layers has been observed for depositions on Si mesa pillars of lateral dimensions of 10μm and below. For such depositions, misfit dislocations are able to extend, unhindered, and terminate at the edges of the growth zone. In this manner, orthogonal misfit dislocation interactions are avoided, yielding a surface free of the problematic surface cross-hatch roughening. However, as the lateral dimension of the growth zone is increased to 20μm, orthogonal misfit interactions occur and relaxation is dominated by the Modified Frank-Read (MFR) multiplication mechanism. The resulting surface morphology shows a pronounced surface cross-hatch roughening. It is proposed that such cross-hatch roughening is a direct consequence of the cooperative stress fields associated with the MFR mechanism. It is postulated that the method of limited-area, linearly graded buffer layers provides a unique opportunity, by which 'ideal' virtual substrates, free of surface cross-hatch and threading dislocations, may be produced to any Ge content. In addition, a unique method by which the electrical performance of low temperature, strained layer depositions may be optimised is discussed. The method relies on the elimination of as-grown lattice imperfections via a post growth thermal anneal treatment. A 25-fold increase in low temperature hole mobility of a Si 0.5 Ge 0.5 /Si 0.7 Ge 0.3 heterostructure has been demonstrated using a 30minute, 750 deg C in-situ, post growth anneal. (author)

  5. Stability of perovskite solar cells on flexible substrates

    Science.gov (United States)

    Tam, Ho Won; Chen, Wei; Liu, Fangzhou; He, Yanling; Leung, Tik Lun; Wang, Yushu; Wong, Man Kwong; Djurišić, Aleksandra B.; Ng, Alan Man Ching; He, Zhubing; Chan, Wai Kin; Tang, Jinyao

    2018-02-01

    Perovskite solar cells are emerging photovoltaic technology with potential for low cost, high efficiency devices. Currently, flexible devices efficiencies over 15% have been achieved. Flexible devices are of significant interest for achieving very low production cost via roll-to-roll processing. However, the stability of perovskite devices remains a significant challenge. Unlike glass substrate which has negligible water vapor transmission rate (WVTR), polymeric flexible film substrates suffer from high moisture permeability. As PET and PEN flexible substrates exhibit higher water permeability then glass, transparent flexible backside encapsulation should be used to maximize light harvesting in perovskite layer while WVTR should be low enough. Wide band gap materials are transparent in the visible spectral range low temperature processable and can be a moisture barrier. For flexible substrates, approaches like atomic layer deposition (ALD) and low temperature solution processing could be used for metal oxide deposition. In this work, ALD SnO2, TiO2, Al2O3 and solution processed spin-on-glass was used as the barrier layer on the polymeric side of indium tin oxide (ITO) coated PEN substrates. The UV-Vis transmission spectra of the prepared substrates were investigated. Perovskite solar cells will be fabricated and stability of the devices were encapsulated with copolymer films on the top side and tested under standard ISOS-L-1 protocol and then compared to the commercial unmodified ITO/PET or ITO/PEN substrates. In addition, devices with copolymer films laminated on both sides successfully surviving more than 300 hours upon continuous AM1.5G illumination were demonstrated.

  6. Enhancement of low pressure cold sprayed copper coating adhesion by laser texturing on aluminum substrates

    Science.gov (United States)

    Knapp, Wolfgang; Gillet, Vincent; Courant, Bruno; Aubignat, Emilie; Costil, Sophie; Langlade, Cécile

    2017-02-01

    Surface pre-treatment is fundamental in thermal spraying processes to obtain a sufficient bonding strength between substrate and coating. Different pre-treatments can be used, mostly grit-blasting for current industrial applications. This study is focused on Cu-Al2O3 coatings obtained by Low Pressure Cold Spray on AW5083 aluminum alloy substrate. Bonding strength is measured by tensile adhesion test, while deposition efficiency is measured. Substrates are textured by laser, using a pattern of equally spaced grooves with almost constant diameter and variations of depth. Results show that bonding strength is improved up to +81% compared to non-treated substrate, while deposition efficiency remains constant. The study of the samples after rupture reveals a modification of the failure mode, from mixed failure to cohesive failure. A modification of crack propagation is also noticed, the shape of laser textured grooves induces a deviation of cracks inside the coating instead of following the interface between the layers.

  7. Electrical and optical properties of nitrogen doped SnO2 thin films deposited on flexible substrates by magnetron sputtering

    International Nuclear Information System (INIS)

    Fang, Feng; Zhang, Yeyu; Wu, Xiaoqin; Shao, Qiyue; Xie, Zonghan

    2015-01-01

    Graphical abstract: The best SnO 2 :N TCO film: about 80% transmittance and 9.1 × 10 −4 Ω cm. - Highlights: • Nitrogen-doped tin oxide film was deposited on PET by RF-magnetron sputtering. • Effects of oxygen partial pressure on the properties of thin films were investigated. • For SnO 2 :N film, visible light transmittance was 80% and electrical resistivity was 9.1 × 10 −4 Ω cm. - Abstract: Nitrogen-doped tin oxide (SnO 2 :N) thin films were deposited on flexible polyethylene terephthalate (PET) substrates at room temperature by RF-magnetron sputtering. Effects of oxygen partial pressure (0–4%) on electrical and optical properties of thin films were investigated. Experimental results showed that SnO 2 :N films were amorphous state, and O/Sn ratios of SnO 2 :N films were deviated from the standard stoichiometry 2:1. Optical band gap of SnO 2 :N films increased from approximately 3.10 eV to 3.42 eV as oxygen partial pressure increased from 0% to 4%. For SnO 2 :N thin films deposited on PET, transmittance was about 80% in the visible light region. The best transparent conductive oxide (TCO) deposited on flexible PET substrates was SnO 2 :N thin films preparing at 2% oxygen partial pressure, the transmittance was about 80% and electrical conductivity was about 9.1 × 10 −4 Ω cm

  8. Phase-field model for deposition process of platinum nanoparticles on carbon substrate

    International Nuclear Information System (INIS)

    Yamakawa, S; Hyodo, S; Okazaki-Maeda, K; Kohyama, M

    2008-01-01

    Platinum supported on a carbon carrier is widely used as a catalyst for polymer electrolyte membrane fuel cells. The catalytic activity is significantly affected by the size distribution and morphologies of the platinum particles. The objective of this study is to extend the phase-field approach to describe the formation process of platinum particles onto the substrate. The microstructural evolution of a nanoparticle was represented by the temporal evolution of the field variables related to the platinum concentration, long-range crystallographic ordering and phase transition. First-principles calculations were performed in order to estimate the interaction energies between several different types of platinum clusters and a graphene sheet. The platinum density profile concentrated over the substrate surface led to the formation of three-dimensional islands in accordance with the Volmer-Weber mode of growth. The size distributions of the platinum particles were sensitive to the heterogeneity of the substrate surface and to the competitive nucleation and growth processes

  9. Realistic reflectance spectrum of thin films covering a transparent optically thick substrate

    Energy Technology Data Exchange (ETDEWEB)

    Cesaria, M., E-mail: maura.cesaria@le.infn.it; Caricato, A. P.; Martino, M. [Department of Mathematics and Physics “Ennio De Giorgi,” University of Salento, Via Arnesano, I-73100 Lecce (Italy)

    2014-07-21

    A spectrophotometric strategy is presented and discussed for calculating realistically the reflectance spectrum of an absorbing film deposited over a thick transparent or semi-transparent substrate. The developed route exploits simple mathematics, has wide range of applicability (high-to-weak absorption regions and thick-to-ultrathin films), rules out numerical and curve-fitting procedures as well as model-functions, inherently accounts for the non-measurable contribution of the film-substrate interface as well as substrate backside, and describes the film reflectance spectrum as determined by the experimental situation (deposition approach and parameters). The reliability of the method is tested on films of a well-known material (indium tin oxide) by deliberately changing film thickness and structural quality through doping. Results are found consistent with usual information yielded by reflectance, its inherent relationship with scattering processes and contributions to the measured total reflectance.

  10. Influence of the deposition-induced stress on the magnetic properties of magnetostrictive amorphous (Fe80Co20)80B20 multilayers with orthogonal anisotropy

    International Nuclear Information System (INIS)

    Gonzalez-Guerrero, Miguel; Prieto, Jose Luis; Sanchez, Pedro; Aroca, Claudio

    2007-01-01

    In this work, we experimentally justify that the control of the mechanical stress induced during the deposition of sputtered amorphous magnetostrictive (Fe 80 Co 20 ) 80 B 20 allows a custom design of its magnetic properties. FeCoB multilayers have been sputtered on thermal oxide Si substrates with different buffer materials. The crystalline quality and the thermomechanical properties of the buffer layer influence both the coercive and the anisotropy field. Those buffer layers with both high rigidity and poor thermal conductivity do not allow the dissipation of energy of the incoming sputtered material. Therefore, the mechanical stresses related to the deposition process cannot be released, leading to magnetic layers with high easy-axis coercive field and low anisotropy field. This shows that the mechanical stresses accumulated during deposition are a key parameter for the control of coercivity

  11. A new approach to epitaxially grow high-quality GaN films on Si substrates: the combination of MBE and PLD.

    Science.gov (United States)

    Wang, Wenliang; Wang, Haiyan; Yang, Weijia; Zhu, Yunnong; Li, Guoqiang

    2016-04-22

    High-quality GaN epitaxial films have been grown on Si substrates with Al buffer layer by the combination of molecular beam epitaxy (MBE) and pulsed laser deposition (PLD) technologies. MBE is used to grow Al buffer layer at first, and then PLD is deployed to grow GaN epitaxial films on the Al buffer layer. The surface morphology, crystalline quality, and interfacial property of as-grown GaN epitaxial films on Si substrates are studied systematically. The as-grown ~300 nm-thick GaN epitaxial films grown at 850 °C with ~30 nm-thick Al buffer layer on Si substrates show high crystalline quality with the full-width at half-maximum (FWHM) for GaN(0002) and GaN(102) X-ray rocking curves of 0.45° and 0.61°, respectively; very flat GaN surface with the root-mean-square surface roughness of 2.5 nm; as well as the sharp and abrupt GaN/AlGaN/Al/Si hetero-interfaces. Furthermore, the corresponding growth mechanism of GaN epitaxial films grown on Si substrates with Al buffer layer by the combination of MBE and PLD is hence studied in depth. This work provides a novel and simple approach for the epitaxial growth of high-quality GaN epitaxial films on Si substrates.

  12. Near-equilibrium chemical vapor deposition of high-quality single-crystal graphene directly on various dielectric substrates.

    Science.gov (United States)

    Chen, Jianyi; Guo, Yunlong; Jiang, Lili; Xu, Zhiping; Huang, Liping; Xue, Yunzhou; Geng, Dechao; Wu, Bin; Hu, Wenping; Yu, Gui; Liu, Yunqi

    2014-03-05

    By using near-equilibrium chemical vapor deposition, it is demonstrated that high-quality single-crystal graphene can be grown on dielectric substrates. The maximum size is about 11 μm. The carrier mobility can reach about 5650 cm(2) V(-1) s(-1) , which is comparable to those of some metal-catalyzed graphene crystals, reflecting the good quality of the graphene lattice. © 2013 WILEY-VCH Verlag GmbH & Co. KGaA, Weinheim.

  13. High mobility single-crystalline-like GaAs thin films on inexpensive flexible metal substrates by metal-organic chemical vapor deposition

    International Nuclear Information System (INIS)

    Dutta, P.; Rathi, M.; Gao, Y.; Yao, Y.; Selvamanickam, V.; Zheng, N.; Ahrenkiel, P.; Martinez, J.

    2014-01-01

    We demonstrate heteroepitaxial growth of single-crystalline-like n and p-type doped GaAs thin films on inexpensive, flexible, and light-weight metal foils by metal-organic chemical vapor deposition. Single-crystalline-like Ge thin film on biaxially textured templates made by ion beam assisted deposition on metal foil served as the epitaxy enabling substrate for GaAs growth. The GaAs films exhibited strong (004) preferred orientation, sharp in-plane texture, low grain misorientation, strong photoluminescence, and a defect density of ∼10 7  cm −2 . Furthermore, the GaAs films exhibited hole and electron mobilities as high as 66 and 300 cm 2 /V-s, respectively. High mobility single-crystalline-like GaAs thin films on inexpensive metal substrates can pave the path for roll-to-roll manufacturing of flexible III-V solar cells for the mainstream photovoltaics market.

  14. High mobility single-crystalline-like GaAs thin films on inexpensive flexible metal substrates by metal-organic chemical vapor deposition

    Energy Technology Data Exchange (ETDEWEB)

    Dutta, P., E-mail: pdutta2@central.uh.edu; Rathi, M.; Gao, Y.; Yao, Y.; Selvamanickam, V. [Department of Mechanical Engineering, University of Houston, Houston, Texas 77204 (United States); Zheng, N.; Ahrenkiel, P. [Department of Nanoscience and Nanoengineering, South Dakota School of Mines and Technology, Rapid City, South Dakota 57701 (United States); Martinez, J. [Materials Evaluation Laboratory, NASA Johnson Space Center, Houston, Texas 77085 (United States)

    2014-09-01

    We demonstrate heteroepitaxial growth of single-crystalline-like n and p-type doped GaAs thin films on inexpensive, flexible, and light-weight metal foils by metal-organic chemical vapor deposition. Single-crystalline-like Ge thin film on biaxially textured templates made by ion beam assisted deposition on metal foil served as the epitaxy enabling substrate for GaAs growth. The GaAs films exhibited strong (004) preferred orientation, sharp in-plane texture, low grain misorientation, strong photoluminescence, and a defect density of ∼10{sup 7 }cm{sup −2}. Furthermore, the GaAs films exhibited hole and electron mobilities as high as 66 and 300 cm{sup 2}/V-s, respectively. High mobility single-crystalline-like GaAs thin films on inexpensive metal substrates can pave the path for roll-to-roll manufacturing of flexible III-V solar cells for the mainstream photovoltaics market.

  15. Deposition of the low resistive ITO-films by means of reactive magnetron sputtering of the In/Sn target on the cold substrate

    OpenAIRE

    Zhidik, Y. S.; Troyan, P. E.; Baturina, E. V.; Korzhenko, Dmitry Vladimirovich; Yuriev, Yuri Nikolaevich

    2016-01-01

    Detailed information on the deposition technology of the low-resistive ITO-films in oxygen-containing media by magnetron reactive sputtering from the In(90%)/Sn(10%) target on the cold substrate is given. Developed technology allows deposition ITO-films with sheet resistance transparency higher than 90%. Developed technology is notable for high reproducibility of results and is compatible with production technology of semiconductor devices of optoelectronics.

  16. Magnetic coupling between liquid 3He and a solid state substrate: a new approach

    Science.gov (United States)

    Klochkov, Alexander V.; Naletov, Vladimir V.; Tayurskii, Dmitrii A.; Tagirov, Murat S.; Suzuki, Haruhiko

    2000-07-01

    We suggest a new approach for solving the long-standing problem of a magnetic coupling between liquid 3He and a solid state substrate at temperatures above the Fermi temperature. The approach is based on our previous careful investigations of the physical state of a solid substrate by means of several experimental methods (EPR, NMR, conductometry, and magnetization measurements). The developed approach allows, first, to get more detailed information about the magnetic coupling phenomenon by varying the repetition time in pulse NMR investigations of liquid 3He in contact with the solid state substrate and, second, to compare the obtained dependences and the data of NMR-cryoporometry and AFM-microscopy.

  17. Electrochemical deposition of molybdenum sulfide thin films on conductive plastic substrates as platinum-free flexible counter electrodes for dye-sensitized solar cells

    Energy Technology Data Exchange (ETDEWEB)

    Cheng, Chao-Kuang; Hsieh, Chien-Kuo, E-mail: jack_hsieh@mail.mcut.edu.tw

    2015-06-01

    In this study, pulsed electrochemical deposition (pulsed ECD) was used to deposit molybdenum sulfide (MoS{sub x}) thin films on indium tin oxide/polyethylene naphthalate (ITO/PEN) substrates as flexible counter electrodes (CEs) for dye-sensitized solar cells (DSSCs). The surface morphologies and elemental distributions of the prepared MoS{sub x} thin films were examined using field-emission scanning electron microscope (FE-SEM) equipped with energy-dispersive X-ray spectroscopy. The chemical states and crystallinities of the prepared MoS{sub x} thin films were examined by X-ray photoelectron spectroscopy and X-ray diffraction, respectively. The optical transmission (T (%)) properties of the prepared MoS{sub x} samples were determined by ultraviolet–visible spectrophotometry. Cyclic voltammetry (CV) and Tafel-polarization measurements were performed to analyze the electrochemical properties and catalytic activities of the thin films for redox reactions. The FE-SEM results showed that the MoS{sub x} thin films were deposited uniformly on the ITO/PEN flexible substrates via the pulsed ECD method. The CV and Tafel-polarization curve measurements demonstrated that the deposited MoS{sub x} thin films exhibited excellent performances for the reduction of triiodide ions. The photoelectric conversion efficiency (PCE) of the DSSC produced with the pulsed ECD MoS{sub x} thin-film CE was examined by a solar simulator. In combination with a dye-sensitized TiO{sub 2} working electrode and an iodine-based electrolyte, the DSSC with the MoS{sub x} flexible CE showed a PCE of 4.39% under an illumination of AM 1.5 (100 mW cm{sup −2}). Thus, we report that the MoS{sub x} thin films are active catalysts for triiodide reduction. The MoS{sub x} thin films are prepared at room temperature and atmospheric pressure and in a simple and rapid manner. This is an important practical contribution to the production of flexible low-cost thin-film CEs based on plastic substrates. The MoS{sub x

  18. Synthesis of Y-Tip Graphitic Nanoribbons from Alcohol Catalytic Chemical Vapor Deposition on Piezoelectric Substrate

    Directory of Open Access Journals (Sweden)

    Zainab Yunusa

    2015-01-01

    Full Text Available We report the synthesis of Graphitic Nanoribbons (GNRs using Alcohol Catalytic Chemical Vapor Deposition (ACCVD. Bulk GNR was synthesized directly on a piezoelectric substrate using one-step ACCVD. The synthesized GNRs were characterized by X-Ray Diffraction (XRD, Scanning Electron Microscope (SEM, Transmission Electron Microscope (TEM, Energy Dispersive X-Ray (EDX, Atomic Force Microscopy (AFM, and Raman spectroscopy. The characterization results showed Y-tip morphology of bulk and filamentous as-grown GNR having varying width that lies between tens and hundreds of nm and length of several microns. Based on the thickness obtained from the AFM and the analysis from the Raman spectroscopy, it was concluded that the synthesized GNRs are multiple-layered and graphitic in nature. With the direct synthesis of GNR on a piezoelectric substrate, it could have applications in the sensor industries, while the Y-tip GNR could have potentialities in semiconductor applications.

  19. Substrate-induced inactivation of the OXA2 beta-lactamase.

    Science.gov (United States)

    Ledent, P; Frère, J M

    1993-01-01

    The hydrolysis time courses of 22 beta-lactam antibiotics by the class D OXA2 beta-lactamase were studied. Among these, only three appeared to correspond to the integrated Henri-Michaelis equation. 'Burst' kinetics, implying branched pathways, were observed with most penicillins, cephalosporins and with flomoxef and imipenem. Kinetic parameters characteristic of the different phases of the hydrolysis were determined for some substrates. Mechanisms generally accepted to explain such reversible partial inactivations involving branches at either the free enzyme or the acyl-enzyme were inadequate to explain the enzyme behaviour. The hydrolysis of imipenem was characterized by the occurrence of two 'bursts', and that of nitrocefin by a partial substrate-induced inactivation complicated by a competitive inhibition by the hydrolysis product. PMID:8240304

  20. Effect of the substrate temperature on the microstructure and texture of Mg90Zr10 (at.%) films deposited by sputtering

    International Nuclear Information System (INIS)

    Garces, Gerardo; Landais, Stephan; Adeva, Paloma

    2006-01-01

    The microstructure of Mg 90 Zr 10 (at.%) films obtained by sputtering onto copper substrate at three different temperatures (180, 320 and 350 deg. C) has been studied. Films exhibited an intense (0 0 0 1) basal plane fibre texture with the fibre axis parallel to the growth direction. Their microstructure consisted of columnar grains growing from the copper substrate to the free surface which is typical of the zone II of the Movchan and Demchishin zone model developed for PVD materials. Nevertheless, the microstructure of films was dependent on the substrate temperature. The grain diameter increased as the substrate temperature was increased. Moreover, the dislocation density inside the grains as well as that piled-up forming sub-grain boundaries decreased as the deposition temperature increased. Although the film growth in zone II is controlled by surface diffusion the larger surface mobility of the atoms as the substrate temperature increased led to changes in the solubility of zirconium. At low substrate temperatures all zirconium was in solid solution. However, at 350 deg. C the formation of small zirconium particles occurred at grain boundaries

  1. Microstructural studies of La{sub 10.7}Ca{sub 0.3}MnO{sub 3} (LCMO) films on different (110) substrates deposited by pulsed laser deposition

    Energy Technology Data Exchange (ETDEWEB)

    Tse, Y Y; Jones, I P [School of Engineering, Department of Metallurgy and Materials, University of Birmingham, Edgbaston, Birmingham B15 2TT (United Kingdom); Chakalov, R I; Muirhead, C M; Palai, R [School of Physics and Astronomy, University of Birmingham, Edgbaston, Birmingham B15 2TT (United Kingdom); Huhtinen, H [Department of Physics, University of Turku, Turku (Finland)], E-mail: y.y.tse@bham.ac.uk

    2008-08-15

    La{sub 0.7}Ca{sub 0.3}MnO{sub 3} (LCMO) films grown on (110) surfaces of various (pseudo-) cubic substrates, SrTiO{sub 3} (STO), LaAlO{sub 3} (LAO) and La{sub 0.3}Sr{sub 0.7}Al{sub 0.5}Ta{sub 0.35}O{sub 3} (LSAT), were studied by means of transmission electron microscopy (TEM). (110) LSAT substrate and LCMO film have the smallest lattice mismatch. (110) LAO substrate induces compressive strain while (110) STO induces tensile strain in the LCMO film. In all cases, the (010){sub 0} plane (long axis) of LCMO is parallel to the substrate surface. In the case of LSAT, the [001]{sub 0} is parallel to [011] LSAT while the films on the other 2 substrates have [100]{sub 0}// to the [011] substrates. Films grown on STO and LAO show an easy magnetisation when the applied magnetic field is in plane along the long-axis of the LCMO. In the case of LSAT, there is no in-plane anisotropy. The films on STO and LAO have twins as the dominant defects. The film on LSAT has less twining. High resolution TEM shows that LCMO on (110) LSAT (the least lattice mismatch) possesses a perfect interface with no misfit dislocations in the area of examination. The LCMO films on (110) LAO and (110) STO have nearly perfect interfaces with atomic sharpness but with misfit dislocations. The different in-plane crystal directions and strain distributions in the films may account for the different magnetisation behaviours of the LCMO films on the different (011) substrates.

  2. Substrate effects on the characteristics of (In2O3)1-x (ZnO)x films

    International Nuclear Information System (INIS)

    Park, J. M.; Kim, J. J.; Kim, H. M.; Kim, J. H.; Ryu, S. W.; Park, S. H.; Ahn, J. S.

    2006-01-01

    The electrical and the optical properties of (In 2 O 3 ) 1-x (ZnO) x (IZO) films deposited by the rf magnetron sputtering on plastic substrates, such as polyethylene terephthalate (PET) and poly carbonate (PC), were investigated. The results are compared with those of IZO films deposited on a conventional coring glass (CG) substrate. The average transmittance of the IZO films deposited on plastic substrates is over 80 %, irrespective of the substrate, which is comparable to that of IZO films deposited on CG substrates. IZO films deposited on PC or PET substrates show larger resistivities than those deposited on CG substrates. This may be attributed to the fact that compositions, such as H 2 O or the organic solvent contained in the plastic substrates, are adsorbed into the IZO layer during sputtering. The surface resistance of the IZO films is nearly independent of the substrate and decreases with increasing deposition time. Compared to the IZO films deposited on PET substrates without hard coatings, those deposited on PET substrates with hard coatings show superior electrical stability for thermal environments.

  3. Laser assisted modification and chemical metallization of electron-beam deposited ceria thin films

    International Nuclear Information System (INIS)

    Krumov, E.; Starbov, N.; Starbova, K.; Perea, A.; Solis, J.

    2009-01-01

    Excimer laser processing is applied for tailoring the surface morphology and phase composition of CeO 2 ceramic thin films. E-beam evaporation technique is used to deposit samples on stainless steel and silicate glass substrates. The films are then irradiated with ArF* excimer laser pulses under different exposure conditions. Scanning electron microscopy, optical spectrophotometry, X-ray diffractometry and EDS microanalysis are used to characterize the non-irradiated and laser-processed films. Upon UV laser exposure there is large increase of the surface roughness that is accompanied by photo-darkening and ceria reduction. It is shown that the laser induced changes in the CeO 2 films facilitate the deposition of metal nano-aggregates in a commercial copper electroless plating bath. The significance of laser modification as a novel approach for the production of CeO 2 based thin film catalysts is discussed.

  4. Laser assisted modification and chemical metallization of electron-beam deposited ceria thin films

    Energy Technology Data Exchange (ETDEWEB)

    Krumov, E., E-mail: emodk@clf.bas.bg [Central Laboratory of Photoprocesses ' Acad. Jordan Malinowski' , Bulgarian Academy of Sciences, Acad. Georgy Bonchev Str., bl. 109, 1113 Sofia (Bulgaria); Starbov, N.; Starbova, K. [Central Laboratory of Photoprocesses ' Acad. Jordan Malinowski' , Bulgarian Academy of Sciences, Acad. Georgy Bonchev Str., bl. 109, 1113 Sofia (Bulgaria); Perea, A.; Solis, J. [Instituto de Optica ' Daza de Valdes' , CSIC, 28006 Madrid (Spain)

    2009-11-15

    Excimer laser processing is applied for tailoring the surface morphology and phase composition of CeO{sub 2} ceramic thin films. E-beam evaporation technique is used to deposit samples on stainless steel and silicate glass substrates. The films are then irradiated with ArF* excimer laser pulses under different exposure conditions. Scanning electron microscopy, optical spectrophotometry, X-ray diffractometry and EDS microanalysis are used to characterize the non-irradiated and laser-processed films. Upon UV laser exposure there is large increase of the surface roughness that is accompanied by photo-darkening and ceria reduction. It is shown that the laser induced changes in the CeO{sub 2} films facilitate the deposition of metal nano-aggregates in a commercial copper electroless plating bath. The significance of laser modification as a novel approach for the production of CeO{sub 2} based thin film catalysts is discussed.

  5. Rapid Solidification of a New Generation Aluminum-Lithium Alloy via Electrospark Deposition

    Science.gov (United States)

    Heard, David W.; Boselli, Julien; Gauvin, Raynald; Brochu, Mathieu

    Electrospark deposition (ESD) is a rapid solidification processing technique capable of depositing a metal onto a conductive substrate. The short pulse duration and high pulse frequency, combined with the small amount of material transferred during each pulse, results in high cooling rates being realized, on the order of 105-106 C/sec. This study investigates the ability to induce solute trapping behavior, for a new generation aluminum-lithium alloy, AA2199, using ESD.

  6. Effect of substrate temperature on the microstructural properties of titanium nitride nanowires grown by pulsed laser deposition

    International Nuclear Information System (INIS)

    Gbordzoe, S.; Kotoka, R.; Craven, Eric; Kumar, D.; Wu, F.; Narayan, J.

    2014-01-01

    The current work reports on the growth and microstructural characterization of titanium nitride (TiN) nanowires on single crystal silicon substrates using a pulsed laser deposition method. The physical and microstructural properties of the nanowires were characterized using field emission scanning electron microscopy (FESEM) and transmission electron microscopy (TEM). The corrosion properties of the TiN nanowires compared to TiN thin film were evaluated using Direct Current potentiodynamic and electrochemical impedance spectroscopy. The nanowires corroded faster than the TiN thin film, because the nanowires have a larger surface area which makes them more reactive in a corrosive environment. It was observed from the FESEM image analyses that as the substrate temperature increases from 600 °C to 800 °C, there was an increase in both diameter (25 nm–50 nm) and length (150 nm–250 nm) of the nanowire growth. There was also an increase in spatial density with an increase of substrate temperature. The TEM results showed that the TiN nanowires grow epitaxially with the silicon substrate via domain matching epitaxy paradigm, despite a large misfit

  7. Tribological behavior of plasma-polymerized aminopropyltriethoxysilane films deposited on thermoplastic elastomers substrates

    Energy Technology Data Exchange (ETDEWEB)

    Alba-Elías, Fernando, E-mail: fernando.alba@unirioja.es [Department of Mechanical Engineering, University of La Rioja, c/Luis de Ulloa 20, 26004 Logroño, La Rioja (Spain); Sainz-García, Elisa; González-Marcos, Ana [Department of Mechanical Engineering, University of La Rioja, c/Luis de Ulloa 20, 26004 Logroño, La Rioja (Spain); Ordieres-Meré, Joaquín [ETSII, Polytechnic University of Madrid, c/José Gutiérrez Abascal 2, 28006 Madrid (Spain)

    2013-07-01

    Thermoplastic elastomers (TPE) are multifunctional polymeric materials that are characterized by moderate cost, excellent mechanical properties (high elasticity, good flexibility, hardness, etc.), high tensile strength, oxidation and wettability. With an objective of reducing the superficial friction coefficient of TPE, this work analyzes the characteristics of coating films that are based on aminopropyltriethoxysilane (APTES) over a TPE substrate. Since this material is heat-sensitive, it is necessary to use a technology that permits the deposition of coatings at low temperatures without affecting the substrate integrity. Thus, an atmospheric-pressure plasma jet system (APPJ) with a dielectric barrier discharge (DBD) was used in this study. The coated samples were analyzed by Scanning Electron Microscopy, Atomic Force Microscopy, Fourier-Transform Infrared with Attenuated Total Reflectance Spectroscopy, X-ray Photoelectron Spectroscopy and tribological tests (friction coefficient and wear rate). The studies showed that the coated samples that contain a higher amount of forms of silicon (SiOSi) and nitrogen (amines, amides and imines) have lower friction coefficients. The sample coated at a specific plasma power of 550 W and an APTES flow rate of 1.5 slm had the highest values of SiOSi and nitrogen-containing groups peak intensity and atomic percentages of Si2p and SiO{sub 4}, and the lowest percentages of C1s and average friction coefficient. The results of this research permit one to conclude that APPJ with a DBD is a promising technique to use in coating SiO{sub x} and nitrogen-containing groups layers on polymeric materials. - Highlights: • SiO{sub x} thin films on thermoplastic elastomers by atmospheric pressure plasma jet. • Study of influence of plasma power and precursor flow rate on film's properties. • Friction coefficient is inversely related to the amount of SiOSi and N groups. • Nitrogen groups from the ionization gas (N{sub 2}) seem to

  8. Deposition of the low resistive ITO-films by means of reactive magnetron sputtering of the In/Sn target on the cold substrate

    Science.gov (United States)

    Zhidik, Y. S.; Troyan, P. E.; Baturina, E. V.; Korzhenko, D. V.; Yurjev, Y. N.

    2016-06-01

    Detailed information on the deposition technology of the low-resistive ITO-films in oxygen-containing media by magnetron reactive sputtering from the In(90%)/Sn(10%) target on the cold substrate is given. Developed technology allows deposition ITO-films with sheet resistance 2-3 Ω/□, transparency higher than 90%. Developed technology is notable for high reproducibility of results and is compatible with production technology of semiconductor devices of optoelectronics.

  9. NiTi intermetallic surface coatings by laser metal deposition for improving wear properties of Ti-6Al-4V substrates

    CSIR Research Space (South Africa)

    Mokgalaka, MN

    2014-03-01

    Full Text Available The NiTi intermetallic possesses a number of good properties, such as high wear, oxidation, and corrosion resistance. This paper focuses on the deposition of NiTi intermetallic coatings on Ti6Al4V substrate by laser melting of Ti and Ni elemental...

  10. Microstructural and magnetic properties of thin obliquely deposited films: A simulation approach

    Energy Technology Data Exchange (ETDEWEB)

    Solovev, P.N., E-mail: platon.solovev@gmail.com [Kirensky Institute of Physics, Siberian Branch of the Russian Academy of Sciences, 50/38, Akademgorodok, Krasnoyarsk 660036 (Russian Federation); Siberian Federal University, 79, pr. Svobodnyi, Krasnoyarsk 660041 (Russian Federation); Izotov, A.V. [Kirensky Institute of Physics, Siberian Branch of the Russian Academy of Sciences, 50/38, Akademgorodok, Krasnoyarsk 660036 (Russian Federation); Siberian Federal University, 79, pr. Svobodnyi, Krasnoyarsk 660041 (Russian Federation); Belyaev, B.A. [Kirensky Institute of Physics, Siberian Branch of the Russian Academy of Sciences, 50/38, Akademgorodok, Krasnoyarsk 660036 (Russian Federation); Siberian Federal University, 79, pr. Svobodnyi, Krasnoyarsk 660041 (Russian Federation); Reshetnev Siberian State Aerospace University, 31, pr. Imeni Gazety “Krasnoyarskii Rabochii”, Krasnoyarsk 660014 (Russian Federation)

    2017-05-01

    The relation between microstructural and magnetic properties of thin obliquely deposited films has been studied by means of numerical techniques. Using our developed simulation code based on ballistic deposition model and Fourier space approach, we have investigated dependences of magnetometric tensor components and magnetic anisotropy parameters on the deposition angle of the films. A modified Netzelmann approach has been employed to study structural and magnetic parameters of an isolated column in the samples with tilted columnar microstructure. Reliability and validity of used numerical methods is confirmed by a good agreement of the calculation results with each other, as well as with our experimental data obtained by the ferromagnetic resonance measurements of obliquely deposited thin Ni{sub 80}Fe{sub 20} films. The combination of these numerical methods can be used to design a magnetic film with a desirable value of uniaxial magnetic anisotropy and to extract the obliquely deposited film structure from only magnetic measurements. - Highlights: • We present a simulation approach to study a relation between structural and magnetic properties of oblique films. • The calculated dependence of magnetic anisotropy on a deposition angle accords well with the experiment. • A modified Netzelmann approach is proposed. • It allows for the computation of magnetic and structural parameters of an isolated column. • Proposed approach can be used for theoretical studies and for characterization of oblique films.

  11. Conformal, planarizing and bridging AZ5214-E layers deposited by a 'draping' technique on non-planar III V substrates

    Science.gov (United States)

    Eliás, P.; Strichovanec, P.; Kostic, I.; Novák, J.

    2006-12-01

    A draping technique was tested for the deposition of positive-tone AZ5214-E photo-resist layers on non-planar (1 0 0)-oriented III-V substrates, which had a variety of three-dimensional (3D) topographies micromachined in them that consisted, e.g., of mesa ridges confined to side facets with variable tilt, inverted pyramidal holes and stubs confined to perpendicular side facets. All objects were sharp-edged. In each draping experiment, an AZ5214-E sheet was (1) formed floating on the water surface, (2) lowered onto a non-planar substrate and (3) draped over it during drying to form either self-sustained, or conformal, or planarizing layers over the non-planar substrates. The draping process is based on the depression of the glass transition temperature Tg of AZ5214-E material induced by penetrant water molecules that interact with AZ5214-E. During the process, the molecules are initially trapped under an AZ5214-E sheet and then transported out through the sheet via permeation. The water-AZ5214-E interaction modifies the stiffness κ of the sheet. The magnitude of the effect depends on temperature T and on partial water vapour pressure difference p(T, P, κ): the net effect is that Tg = f(C(T, P), p(T, P, κ)) is lowered as the concentration C of water increases with T and p, where P is the permeability of the sheet. The interaction depressed the Tg of the sheets as low as or lower than 53 °C for 6 µm thick sheets. At room temperature T Tg, the sheet becomes rubbery and mouldable by adhesion and capillary forces. As a result, it can either contour or planarize the topography depending on its geometry and thickness of the sheet.

  12. Tailoring Si(100) substrate surfaces for GaP growth by Ga deposition: A low-energy electron microscopy study

    Energy Technology Data Exchange (ETDEWEB)

    Rienäcker, Michael; Borkenhagen, Benjamin, E-mail: b.borkenhagen@pe.tu-clausthal.de; Lilienkamp, Gerhard; Daum, Winfried [TU Clausthal, Institut für Energieforschung und Physikalische Technologien, Leibnizstraße 4, D-38678 Clausthal-Zellerfeld (Germany)

    2015-08-07

    For GaP-on-Si(100) heteroepitaxy, currently considered as a model system for monolithic integration of III–V semiconductors on Si(100), the surface steps of Si(100) have a major impact on the quality of the GaP film. Monoatomic steps cause antiphase domains in GaP with detrimental electronic properties. A viable route is to grow the III–V epilayer on single-domain Si(100) with biatomic steps, but preferably not at the expense of reduced terrace widths introduced by miscut substrates. We have performed in situ investigations of the influence of Ga deposition on the kinetics of surface steps and terraces of Si(100) at substrate temperatures above 600 °C by low-energy electron microscopy. Starting from nearly equally distributed T{sub A} and T{sub B} terraces of a two-domain Si(100) surface, submonolayer deposition of Ga results in a transformation into a surface dominated by T{sub A} terraces and biatomic D{sub A} steps. This transformation is reversible, and Si(100) with monoatomic steps is recovered upon termination of the Ga flux. Under conditions of higher coverages (but still below 0.25 monolayer), we observe restructuring into a surface with T{sub B} dominance, similar to the findings of Hara et al. [J. Appl. Phys. 98, 083515 (2005)]. The occurrence and mutual transformations of surface structures with different terrace and step structures in a narrow range of temperatures and Ga deposition rates is discussed.

  13. Effects of substrate heating and vacuum annealing on optical and electrical properties of alumina-doped ZnO films deposited by DC magnetron sputtering

    Science.gov (United States)

    Tang, Chien-Jen; Wang, Chun-Yuan; Jaing, Cheng-Chung

    2011-10-01

    Alumina-doped zinc oxide (AZO) films have wide range of applications in optical and optoelectronic devices. AZO films have advantage in high transparency, high stability to hydrogen plasma and low cost to alternative ITO film. AZO film was prepared by direct-current (DC) magnetron sputtering from ceramic ZnO:Al2O3 target. The AZO films were compared in two different conditions. The first is substrate heating process, in which AZO film was deposited by different substrate temperature, room temperature, 150 °C and 250 °C. The second is vacuum annealing process, in which AZO film with deposited at room temperature have been annealed at 250 °C and 450 °C in vacuum. The optical properties, electrical properties, grain size and surface structure properties of the films were studied by UV-VIS-NIR spectrophotometer, Hall effect measurement equipment, x-ray diffraction, and scanning electron microscopy. The resistivity, carrier mobility, carrier concentration, and grain size of AZO films were 1.92×10-3 Ω-cm, 6.38 cm2/Vs, 5.08×1020 #/cm3, and 31.48 nm respectively, in vacuum annealing of 450 °C. The resistivity, carrier mobility, carrier concentration, and grain size of AZO films were 8.72×10-4 Ω-cm, 6.32 cm2/Vs, 1.13×1021 #/cm3, and 31.56 nm, respectively, when substrate temperature was at 250 °C. Substrate heating process is better than vacuum annealed process for AZO film deposited by DC Magnetron Sputtering.

  14. RFID and Memory Devices Fabricated Integrally on Substrates

    Science.gov (United States)

    Schramm, Harry F.

    2004-01-01

    Electronic identification devices containing radio-frequency identification (RFID) circuits and antennas would be fabricated integrally with the objects to be identified, according to a proposal. That is to say, the objects to be identified would serve as substrates for the deposition and patterning of the materials of the devices used to identify them, and each identification device would be bonded to the identified object at the molecular level. Vacuum arc vapor deposition (VAVD) is the NASA derived process for depositing layers of material on the substrate. This proposal stands in contrast to the current practice of fabricating RFID and/or memory devices as wafer-based, self-contained integrated-circuit chips that are subsequently embedded in or attached to plastic cards to make smart account-information cards and identification badges. If one relies on such a chip to store data on the history of an object to be tracked and the chip falls off or out of the object, then one loses both the historical data and the means to track the object and verify its identity electronically. Also, in contrast is the manufacturing philosophy in use today to make many memory devices. Today s methods involve many subtractive processes such as etching. This proposal only uses additive methods, building RFID and memory devices from the substrate up in thin layers. VAVD is capable of spraying silicon, copper, and other materials commonly used in electronic devices. The VAVD process sprays most metals and some ceramics. The material being sprayed has a very strong bond with the substrate, whether that substrate is metal, ceramic, or even wood, rock, glass, PVC, or paper. An object to be tagged with an identification device according to the proposal must be compatible with a vacuum deposition process. Temperature is seldom an issue as the substrate rarely reaches 150 F (66 C) during the deposition process. A portion of the surface of the object would be designated as a substrate for

  15. Kinetics of hydroxyapatite deposition on solid substrates modified by sequential implantation of Ca and P ions - Part I. FTIR and Raman spectroscopy study

    Science.gov (United States)

    Pecheva, Emilia V.; Pramatarova, Liliana D.; Maitz, Manfred F.; Pham, Mihn T.; Kondyuirin, Alexey V.

    2004-07-01

    In this work, the kinetics of hydroxyapatite (HA) deposition on solid substrates from liquid precursor (simulated body fluid, SBF) is investigated. The surfaces of stainless steel, silicon and silica glass substrates are modified by sequential implantation of Ca and P ions. Three groups of samples of each material: (i) ion-implanted; (ii) ion-implanted and thermally treated at 873 K in air for 60 min; and (iii) untreated are prepared. To investigate the kinetics of the HA deposition, all three groups of samples are introduced at equal conditions into SBF whose supersaturation is maintained during the whole 6-day period of immersion. The layers are analyzed by FTIR and Raman spectroscopy. Both techniques complement each other and show the formation of HA with incorporated CO 32- and HPO 42- groups. Following the kinetics of the deposition process, it is concluded that the speed of deposition is different on the three materials modified by Ca and P implantation and by oxidation, compared to untreated samples but in order to distinguish clearly the effect of the ion implantation and oxidation the very initial moment of nucleation and layer growth should be more carefully investigated.

  16. Growth and characterization of yttrium iron garnet films on Si substrates by Chemical Solution Deposition (CSD) technique

    Energy Technology Data Exchange (ETDEWEB)

    Guo, Xin; Chen, Ying; Wang, Genshui [Key Laboratory of Inorganic Function Materials and Devices, Shanghai Institute of Ceramics, Chinese Academy of Sciences, University of Chinese Academy of Sciences, 1295 Dingxi Rd., Shanghai 200050 (China); Zhang, Yuanyuan [Key Laboratory of Polar Materials and Devices, Ministry of Education, Department of Electronic Engineering, East China Normal University, 500 Dongchuan Rd., Shanghai 200241 (China); Ge, Jun [Key Laboratory of Inorganic Function Materials and Devices, Shanghai Institute of Ceramics, Chinese Academy of Sciences, University of Chinese Academy of Sciences, 1295 Dingxi Rd., Shanghai 200050 (China); Tang, Xiaodong [Key Laboratory of Polar Materials and Devices, Ministry of Education, Department of Electronic Engineering, East China Normal University, 500 Dongchuan Rd., Shanghai 200241 (China); Ponchel, Freddy; Rémiens, Denis [Institute of Electronics, Microelectronics and Nanotechnology (IEMN)–DOAE, UMR CNRS 8520, Université des Sciences et Technologies de Lille, 59652 Villeneuve d’Ascq Cedex (France); Dong, Xianlin, E-mail: xldong@mail.sic.ac.cn [Key Laboratory of Inorganic Function Materials and Devices, Shanghai Institute of Ceramics, Chinese Academy of Sciences, University of Chinese Academy of Sciences, 1295 Dingxi Rd., Shanghai 200050 (China)

    2016-06-25

    Yttrium Iron Garnet (YIG) films were prepared on Si substrates by Chemical Solution Deposition (CSD) technique using acetic acid and deionized water as solvents. Well-crystallized and crack-free YIG films were obtained when annealed at 750 °C and 850 °C respectively, showing a low surface roughness of several nanometers. When annealed at 750 °C for 30 min, the saturated magnetization (Ms) and coercive field (Hc) of YIG films were 0.121 emu/mm{sup 3} (4πMs = 1.52 kGs) and 7 Oe respectively, which were similar to that prepared by PLD technique. The peak-to-peak linewidth of ferromagnetic resonance (FMR) was 220 Oe at 9.10 GHz. The results demonstrated that CSD was an excellent technique to prepare high quality yttrium iron garnet (YIG) films on silicon, which could provide a lower-cost way for large-scale production on Si-based integrated devices. - Highlights: • The preparation of YIG films by Chemical Solution Deposition are demonstrated. • Well-crystallized and crack-free YIG films can be obtained on Si substrate by CSD. • YIG films can be crystallized in 750 °C with good magnetic performances. • It's beneficial to large-scale production of YIG films on Si integrated devices.

  17. Achieving uniform layer deposition by atmospheric-pressure plasma-enhanced chemical vapor deposition

    Energy Technology Data Exchange (ETDEWEB)

    Lee, Jae-Ok [Department of Plasma Engineering, Korea Institute of Machinery & Materials (KIMM), Daejeon 305-343 (Korea, Republic of); Kang, Woo Seok, E-mail: kang@kimm.re.kr [Department of Plasma Engineering, Korea Institute of Machinery & Materials (KIMM), Daejeon 305-343 (Korea, Republic of); Department of Environment & Energy Mechanical Engineering, University of Science & Technology (UST), Daejeon 305-350 (Korea, Republic of); Hur, Min; Lee, Jin Young [Department of Plasma Engineering, Korea Institute of Machinery & Materials (KIMM), Daejeon 305-343 (Korea, Republic of); Song, Young-Hoon [Department of Plasma Engineering, Korea Institute of Machinery & Materials (KIMM), Daejeon 305-343 (Korea, Republic of); Department of Environment & Energy Mechanical Engineering, University of Science & Technology (UST), Daejeon 305-350 (Korea, Republic of)

    2015-12-31

    This work investigates the use of plasma-enhanced chemical vapor deposition under atmospheric pressure for achieving uniform layer formation. Electrical and optical measurements demonstrated that the counterbalance between oxygen and precursors maintained the homogeneous discharge mode, while creating intermediate species for layer deposition. Several steps of the deposition process of the layers, which were processed on a stationary stage, were affected by flow stream and precursor depletion. This study showed that by changing the flow streamlines using substrate stage motion uniform layer deposition under atmospheric pressure can be achieved. - Highlights: • Zirconium oxide was deposited by atmospheric-pressure plasma-enhanced chemical vapor deposition. • Homogeneous plasma was maintained by counterbalancing between discharge gas and precursors. • Several deposition steps were observed affected by the gas flow stream and precursor depletion. • Thin film layer was uniformly grown when the substrate underwent a sweeping motion.

  18. Electron-spin-resonance study of radiation-induced paramagnetic defects in oxides grown on (100) silicon substrates

    International Nuclear Information System (INIS)

    Kim, Y.Y.; Lenahan, P.M.

    1988-01-01

    We have used electron-spin resonance to investigate radiation-induced point defects in Si/SiO 2 structures with (100) silicon substrates. We find that the radiation-induced point defects are quite similar to defects generated in Si/SiO 2 structures grown on (111) silicon substrates. In both cases, an oxygen-deficient silicon center, the E' defect, appears to be responsible for trapped positive charge. In both cases trivalent silicon (P/sub b/ centers) defects are primarily responsible for radiation-induced interface states. In earlier electron-spin-resonance studies of unirradiated (100) substrate capacitors two types of P/sub b/ centers were observed; in oxides prepared in three different ways only one of these centers, the P/sub b/ 0 defect, is generated in large numbers by ionizing radiation

  19. Thickness Dependence of Optoelectrical Properties of Mo-Doped In2O3 Films Deposited on Polyethersulfone Substrates by Ion-Beam-Assisted Evaporation

    Directory of Open Access Journals (Sweden)

    Chin-Chiuan Kuo

    2010-01-01

    Full Text Available Indium molybdenum oxide (IMO films were deposited onto the polyethersulfone (PES substrates by ion-beam-assisted evaporation (IBAE deposition at low temperature in this study. The effects of film thickness on their optical and electrical properties were investigated. The results show that the deposited IMO films exhibit a preferred orientation of B(222. The electrical resistivity of the deposited film initially reduces then subsequently increases with film thickness. The IMO film with the lowest resistivity of 7.61 × 10−4 ohm-cm has been achieved when the film thickness is 120 nm. It exhibits a satisfactory surface roughness pv of 8.75 nm and an average visible transmittance of 78.7%.

  20. Influence of substrate bias voltage on the properties of TiO2 deposited by radio-frequency magnetron sputtering on 304L for biomaterials applications

    International Nuclear Information System (INIS)

    Bait, L.; Azzouz, L.; Madaoui, N.; Saoula, N.

    2017-01-01

    Highlights: • TiO 2 films were deposited on stainless steel 304L RF magnetron sputtering at different substrate bias. • The hardness of TiO 2 coated 304L are higher than those obtained for uncoated substrate. • TiO 2 films provide good protection for stainless steel against corrosion in Ringer solution. - Abstract: The aim of this paper is to investigate the effect of the substrate bias, varied from 0 to −100 V, on the structure and properties of the TiO 2 thin films for biomaterials applications. The TiO 2 films were grown onto 304L stainless steel substrate using radio-frequency (rf) magnetron sputtering from a pure titanium target in Ar-O 2 gas mixture. The variation of substrate bias voltage from 0 to −100 V produces variations of structure and mechanical properties of the films. The deposited films were characterized by X-rays diffraction, nanoindentation and potentiodynamic polarization. Also, the friction and wear properties of TiO 2 films sliding against alumina ball in air were investigated. Experimental results showed that the thickness increases for non-biased substrate voltage to Vs = −100 V from 820 nm to 1936 nm respectively. The roughness is in the range of 50 nm and 14 nm. XRD results show that all structures of the films are crystalline and changed with varying the bias voltage. The anatase phase is predominant in the low negative bias range (0–50 V). The hardness significantly increased from 2.2 to 6.4 GPa when the bias voltage was increased from 0 to 75 V and then slightly decrease to 5.1 GPa as further increased to 100 V. At the same time, the results indicate that TiO 2 films deposited at −100 V exhibited better wear resistance compared to the other samples, i.e. the minimum wear rates and the lower coefficient of friction of 0.16. In order to simulate natural biological conditions, physiological serum (pH = 6.3), thermostatically controlled at 37 °C, was used as the electrolyte for the study of the electrochemical properties

  1. Deposition of stress free c-axis oriented LiNbO3 thin film grown on (002) ZnO coated Si substrate

    International Nuclear Information System (INIS)

    Shandilya, Swati; Gupta, Vinay; Tomar, Monika

    2012-01-01

    C-axis oriented lithium niobate thin films have been deposited on Si substrate using RF sputtering technique. A thin buffer layer of c-axis (002) oriented ZnO on Si substrate has been used as a nucleating layer to promote the growth of (006) oriented LiNbO 3 film. The processing gas composition and pressure are found to be very critical in obtaining stress free LiNbO 3 film having desired (006) orientation. The LiNbO 3 films deposited under unique combination of sputtering pressure (10 mTorr) and argon percentage (80%) in reactive gas (Ar + O 2 ) composition become almost stress free having lattice parameter (1.3867 A) close to the bulk value. The observed variation in the structural properties and optical phonon modes observed by Raman spectroscopic studies of the oriented LiNbO 3 thin film with stress has been correlated with growth kinetics.

  2. Properties of Ce-doped ITO films deposited on polymer substrate by DC magnetron sputtering

    International Nuclear Information System (INIS)

    Kang, Y.M.; Kwon, S.H.; Choi, J.H.; Cho, Y.J.; Song, P.K.

    2010-01-01

    Ce-doped indium tin oxide (ITO:Ce) films were deposited on flexible polyimide substrates by DC magnetron sputtering using ITO targets containing various CeO 2 contents (CeO 2 : 0, 0.5, 3.0, 4.0, 6.0 wt.%) at room temperature and post-annealed at 200 o C. The crystallinity of the ITO films decreased with increasing Ce content, and it led to a decrease in surface roughness. In addition, a relatively small change in resistance in dynamic stress mode was obtained for ITO:Ce films even after the annealing at high temperature (200 o C). The minimum resistivity of the amorphous ITO:Ce films was 3.96 x 10 -4 Ωcm, which was deposited using a 3.0 wt.% CeO 2 doped ITO target. The amorphous ITO:Ce films not only have comparable electrical properties to the polycrystalline films but also have a crystallization temperature > 200 o C. In addition, the amorphous ITO:Ce film showed stable mechanical properties in the bended state.

  3. Interpretation of the two-components observed in high resolution X-ray diffraction {omega} scan peaks for mosaic ZnO thin films grown on c-sapphire substrates using pulsed laser deposition

    Energy Technology Data Exchange (ETDEWEB)

    Durand, O., E-mail: olivier.durand@insa-rennes.fr [Universite Europeenne de Bretagne, INSA, FOTON, UMR 6082, 20 avenue des Buttes de Coesmes, F-35708 RENNES (France); Letoublon, A. [Universite Europeenne de Bretagne, INSA, FOTON, UMR 6082, 20 avenue des Buttes de Coesmes, F-35708 RENNES (France); Rogers, D.J. [Nanovation SARL, 103 bis rue de Versailles, 91400 Orsay (France); SUPA, School of Physics and Astronomy, University of St. Andrews, St. Andrews, KY16 9SS (United Kingdom); Hosseini Teherani, F. [Nanovation SARL, 103 bis rue de Versailles, 91400 Orsay (France)

    2011-07-29

    X-ray scattering methods were applied to the study of thin mosaic ZnO layers deposited on c-Al{sub 2}O{sub 3} substrates using Pulsed Laser Deposition. High Resolution (HR) studies revealed two components in the {omega} scans (transverse scans) which were not resolved in conventional 'open-detector' {omega} rocking curves: a narrow, resolution-limited, peak, characteristic of long-range correlation, and a broad peak, attributed to defect-related diffuse-scattering inducing a limited transverse structural correlation length. Thus, for such mosaic films, the conventional {omega} rocking curve Full Width at Half Maximum linewidth was found to be ill-adapted as an overall figure-of-merit for the structural quality, in that the different contributions were not meaningfully represented. A 'Williamson-Hall like' integral breadth (IB) metric for the HR (00.l) transverse-scans was thus developed as a reliable, fast, accurate and robust alternative to the rocking curve linewidth for routine non-destructive testing of such mosaic thin films. For a typical ZnO/c-Al{sub 2}O{sub 3} film, the IB method gave a limited structural correlation length of 110 nm {+-} 9 nm. The results are coherent with a thin film containing misfit dislocations at the film-substrate interface.

  4. 2D mesoscale colloidal crystal patterns on polymer substrates

    Science.gov (United States)

    Bredikhin, Vladimir; Bityurin, Nikita

    2018-05-01

    The development of nanosphere lithography relies on the ability of depositing 2D colloidal crystals comprising micro- and nano-size elements on substrates of different materials. One of the most difficult problems here is deposition of coatings on hydrophobic substrates, e.g. polymers, from aqueous colloidal solutions. We use UV photooxidation for substrate hydrophilization. We demonstrate a new method of producing a two-dimensional ordered array of polymer microparticles (polystyrene microspheres ∼1 μm in diameter) on a polymer substrate (PMMA). We show that implementation of the new deposition technique for directed self-assembly of microspheres on an UV irradiated surface provides an opportunity to obtain coatings on a hydrophilized PMMA surface of large area (∼5 cm2). UV irradiation of the surface through masks allows creating 2D patterns consisting of mesoscale elements formed by the deposited self-assembled microparticles owing to the fact that the colloidal particles are deposited only on the irradiated area leaving the non-irradiated sections intact.

  5. Formation of patterned arrays of Au nanoparticles on SiC surface by template confined dewetting of normal and oblique deposited nanoscale films

    Energy Technology Data Exchange (ETDEWEB)

    Ruffino, F., E-mail: francesco.ruffino@ct.infn.it; Grimaldi, M.G.

    2013-06-01

    We report on the formation of patterned arrays of Au nanoparticles (NPs) on 6H SiC surface. To this end, we exploit the thermal-induced dewetting properties of a template confined deposited nanoscale Au film. In this approach, the Au surface pattern order, on the SiC substrate, is established by a template confined deposition using a micrometric template. Then, a dewetting process of the patterned Au film is induced by thermal processes. We compare the results, about the patterns formation, obtained for normal and oblique deposited Au films. We show that the normal and oblique depositions, through the same template, originate different patterns of the Au film. As a consequence of these different starting patterns, after the thermal processes, different patterns for the arrays of NPs originating from the dewetting mechanisms are obtained. For each fixed deposition angle α, the pattern evolution is analyzed, by scanning electron microscopy, as a function of the annealing time at 1173 K (900 °C). From these analyses, quantitative evaluations on the NPs size evolution are drawn. - Highlights: • Micrometric template-confined nanoscale gold films are deposited on silicon carbide. • The dewetting process of template-confined gold films on silicon carbide is studied. • Comparison of dewetting process of normal and oblique deposited gold films is drawn. • Patterned arrays of gold nanoparticles on silicon carbide surface are produced.

  6. Formation of patterned arrays of Au nanoparticles on SiC surface by template confined dewetting of normal and oblique deposited nanoscale films

    International Nuclear Information System (INIS)

    Ruffino, F.; Grimaldi, M.G.

    2013-01-01

    We report on the formation of patterned arrays of Au nanoparticles (NPs) on 6H SiC surface. To this end, we exploit the thermal-induced dewetting properties of a template confined deposited nanoscale Au film. In this approach, the Au surface pattern order, on the SiC substrate, is established by a template confined deposition using a micrometric template. Then, a dewetting process of the patterned Au film is induced by thermal processes. We compare the results, about the patterns formation, obtained for normal and oblique deposited Au films. We show that the normal and oblique depositions, through the same template, originate different patterns of the Au film. As a consequence of these different starting patterns, after the thermal processes, different patterns for the arrays of NPs originating from the dewetting mechanisms are obtained. For each fixed deposition angle α, the pattern evolution is analyzed, by scanning electron microscopy, as a function of the annealing time at 1173 K (900 °C). From these analyses, quantitative evaluations on the NPs size evolution are drawn. - Highlights: • Micrometric template-confined nanoscale gold films are deposited on silicon carbide. • The dewetting process of template-confined gold films on silicon carbide is studied. • Comparison of dewetting process of normal and oblique deposited gold films is drawn. • Patterned arrays of gold nanoparticles on silicon carbide surface are produced

  7. Uranium deposit interpretation based on resistivity and induced polarization data in Rabau hulu sector

    International Nuclear Information System (INIS)

    Dwi Haryanto; Bambang Soetopo; Adhika Junara Karunianto; Supriyanto

    2015-01-01

    Rabau Hulu area, Kalan, Kalimantan Barat is a potential area of uranium that has been explored in detail by various methods. Methods of resistivity and induced polarization can be applied in the exploration of uranium deposits in which its mineralization associated with sulphide minerals. Processing, analysis, and interpretation of resistivity and induced polarization data conducted in order to identify the distribution of uranium deposits and lithology of the rocks in the study area. Uranium deposits in the area Rabau Hulu is generally associated with sulphides, tourmaline and contained in favorable rocks. Symptoms of uranium mineralization encountered in other forms of irregular and uneven consists of uraninite, pyrite, chalcopyrite, pyrrhotite, molybdenite, and ilmenite minerals. Data acquisition using dipole-dipole configuration in an area of approximately 36 hectares, 46 lines along ± 425 m. Acquisition of induced polarization frequency domain data which the same points and lines with resistivity data. Data processing produces resistivity and metal factor values and subsequently made two-dimensional section. Determination of resistivity and induced polarization are done by correlated boreholes data with the results of data processing. Resistivity of uranium deposits zone worth less than 2,000 Ωm and the value of metal factor greater than 90 mho/m. Uranium deposit zone is expanding along with the depth. Uranium deposits distribution trending Southwestern-Northeast and shaped lens. (author)

  8. Ammonia release method for depositing metal oxides

    Energy Technology Data Exchange (ETDEWEB)

    Silver, G.L.; Martin, F.S.

    1993-12-31

    A method of depositing metal oxides on substrates which is indifferent to the electrochemical properties of the substrates and which comprises forming ammine complexes containing metal ions and thereafter effecting removal of ammonia from the ammine complexes so as to permit slow precipitation and deposition of metal oxide on the substrates.

  9. Magnetoresistive multilayers deposited on the AAO membranes

    International Nuclear Information System (INIS)

    Malkinski, Leszek M.; Chalastaras, Athanasios; Vovk, Andriy; Jung, Jin-Seung; Kim, Eun-Mee; Jun, Jong-Ho; Ventrice, Carl A.

    2005-01-01

    Silicon and GaAs wafers are the most commonly used substrates for deposition of giant magnetoresistive (GMR) multilayers. We explored a new type of a substrate, prepared electrochemically by anodization of aluminum sheets, for deposition of GMR multilayers. The surface of this AAO substrate consists of nanosized hemispheres organized in a regular hexagonal array. The current applied along the substrate surface intersects many magnetic layers in the multilayered structure, which results in enhancement of giant magnetoresistance effect. The GMR effect in uncoupled Co/Cu multilayers was significantly larger than the magnetoresistance of similar structures deposited on Si

  10. Dependence of surface-enhanced infrared absorption (SEIRA) enhancement and spectral quality on the choice of underlying substrate: a closer look at silver (Ag) films prepared by physical vapor deposition (PVD).

    Science.gov (United States)

    Killian, Michelle M; Villa-Aleman, Eliel; Sun, Zhelin; Crittenden, Scott; Leverette, Chad L

    2011-03-01

    Silver (Ag) films of varying thickness were simultaneously deposited using physical vapor deposition (PVD) onto six infrared (IR) substrates (BaF(2), CaF(2), Ge, AMTIR, KRS-5, and ZnSe) in order to correlate the morphology of the deposited film with optimal SEIRA response and spectral band symmetry and quality. Significant differences were observed in the surface morphology of the deposited silver films, the degree of enhancement provided, and the spectral appearance of para-nitrobenzoic acid (PNBA) cast films for each silver-coated substrate. These differences were attributed to each substrate's chemical properties, which dictate the morphology of the Ag film and ultimately determine the spectral appearance of the adsorbed analyte and the magnitude of SEIRA enhancement. Routine SEIRA enhancement factors (EFs) for all substrates were between 5 and 150. For single-step Ag depositions, the following ranking identifies the greatest SEIRA enhancement factor and the maximum absorption of the 1345 cm(-1) spectral marker of PNBA at the optimal silver thickness for each substrate: BaF(2) (EF = 85 ± 19, 0.059 A, 10 nm Ag) > CaF(2) (EF = 75 ± 30, 0.052 A, 10 nm Ag) > Ge (EF = 45 ± 8, 0.019 A, 5 nm Ag) > AMTIR (EF = 38 ± 8, 0.024 A, 15 nm Ag) > KRS-5 (EF = 24 ± 1, 0.015 A, 12 nm Ag) > ZnSe (EF = 9 ± 5, 0.008 A, 8 nm Ag). A two-step deposition provides 59% larger EFs than single-step depositions of Ag on CaF(2). A maximum EF of 147 was calculated for a cast film of PNBA (surface coverage = 341 ng/cm(2)) on a 10 nm two-step Ag film on CaF(2) (0.102 A, 1345 cm(-1) symmetric NO(2) stretching band). The morphology of the two-step Ag film has smaller particles and greater particle density than the single-step Ag film.

  11. Direct growth of nanocrystalline hexagonal boron nitride films on dielectric substrates

    Energy Technology Data Exchange (ETDEWEB)

    Tay, Roland Yingjie [School of Electrical and Electronic Engineering, Nanyang Technological University, 50 Nanyang Avenue, Singapore, Singapore 639798 (Singapore); Temasek Laboratories@NTU, 50 Nanyang Avenue, Singapore, Singapore 639798 (Singapore); Tsang, Siu Hon [Temasek Laboratories@NTU, 50 Nanyang Avenue, Singapore, Singapore 639798 (Singapore); Loeblein, Manuela; Chow, Wai Leong [School of Electrical and Electronic Engineering, Nanyang Technological University, 50 Nanyang Avenue, Singapore, Singapore 639798 (Singapore); CNRS-International NTU Thales Research Alliance CINTRA UMI 3288, Research Techno Plaza, 50 Nanyang Drive, Singapore, Singapore 637553 (Singapore); Loh, Guan Chee [Institue of High Performance Computing, 1 Fusionopolis Way, #16-16 Connexis, Singapore 138632 (Singapore); Department of Physics, Michigan Technological University, Houghton, Michigan 49931 (United States); Toh, Joo Wah; Ang, Soon Loong [School of Electrical and Electronic Engineering, Nanyang Technological University, 50 Nanyang Avenue, Singapore, Singapore 639798 (Singapore); Teo, Edwin Hang Tong, E-mail: htteo@ntu.edu.sg [School of Electrical and Electronic Engineering, Nanyang Technological University, 50 Nanyang Avenue, Singapore, Singapore 639798 (Singapore); School of Materials Science and Engineering, Nanyang Technological University, 50 Nanyang Avenue, Singapore, Singapore 639798 (Singapore)

    2015-03-09

    Atomically thin hexagonal-boron nitride (h-BN) films are primarily synthesized through chemical vapor deposition (CVD) on various catalytic transition metal substrates. In this work, a single-step metal-catalyst-free approach to obtain few- to multi-layer nanocrystalline h-BN (NCBN) directly on amorphous SiO{sub 2}/Si and quartz substrates is demonstrated. The as-grown thin films are continuous and smooth with no observable pinholes or wrinkles across the entire deposited substrate as inspected using optical and atomic force microscopy. The starting layers of NCBN orient itself parallel to the substrate, initiating the growth of the textured thin film. Formation of NCBN is due to the random and uncontrolled nucleation of h-BN on the dielectric substrate surface with no epitaxial relation, unlike on metal surfaces. The crystallite size is ∼25 nm as determined by Raman spectroscopy. Transmission electron microscopy shows that the NCBN formed sheets of multi-stacked layers with controllable thickness from ∼2 to 25 nm. The absence of transfer process in this technique avoids any additional degradation, such as wrinkles, tears or folding and residues on the film which are detrimental to device performance. This work provides a wider perspective of CVD-grown h-BN and presents a viable route towards large-scale manufacturing of h-BN substrates and for coating applications.

  12. Morphology and transmittance of porous alumina on glass substrate

    Energy Technology Data Exchange (ETDEWEB)

    Guo Peitao, E-mail: guopeitao@hotmail.com [Wuhan University of Technology. Wuhan (China); Xia Zhilin [Wuhan University of Technology. Wuhan (China); Key Laboratory of Low Dimensional Materials and Application Technology, Xiangtan University, Ministry of Education, Xiangtan (China); Xue Yiyu [Wuhan University of Technology. Wuhan (China); Huang Caihua [China Three Gorges University, Yichang (China); Zhao Lixin [Wuhan University of Technology. Wuhan (China)

    2011-02-01

    The porous optical film has higher threshold of laser-induced damage than densified films, for the study of mechanism of laser-induced damage of porous optical film with ordered pore structure. Porous anodic alumina (PAA) film with high transmittance on glass substrate has been prepared. Aluminum film was deposited on glass substrate by means of resistance and electron beam heat (EBH) evaporation. Porous alumina was prepared in oxalic acid solution under different anodizing conditions. At normal incidence, the optical transmittance spectrum over 300-1000 nm spectra region was obtained by spectrophotometer. SEM was introduced to analysis the morphology of the porous alumina film. The pore aperture increased with the increase of anodizing voltage, which resulted in a rapid decrease of the pore concentration and the optical thickness of porous alumina film. Damage morphology of porous alumina film is found to be typically defects initiated, and the defect is the pore presented on the film.

  13. Effect of Solution Molarity, Substrate Temperature and Spray Time on The Structural and Optical Properties Of ZnO Thin Films Deposited By Spray Pyrolysis

    International Nuclear Information System (INIS)

    Ramadan, A.A.; Hashem, H. M.; El-Sayed, S. M.; Ashour, A.H.; Abdel-Haleem, S.M.

    2013-01-01

    Zinc oxide thin films were deposited on a glass substrate by spray pyrolysis technique using solution of zinc acetate and air as the carrier gas. Effects of solution molarity, substrate temperature and spray time on films properties were investigated. All films deposited were characterized using X-ray diffraction for structural characterization and UV-VIS transmission spectrophotometry for optical properties. According to the analytical method, the type of crystal lattice was found to be hexagonal and X-ray diffraction (XRD) patterns showed that the films deposited were polycrystalline with (002) plane as preferential orientation. The values of lattice constant, grain size, micro strain and dislocation density of all samples were calculated. In addition, Optical behaviors of film samples were analyzed by obtaining transmission spectra, in the wavelength range of 350-800 nm. The UV-VIS spectroscopy shows the high transparency of ZnO films in the UV region. An optimization of the films has been carried out to determine the best preparation conditions.

  14. Antiphase inversion domains in lithium cobaltite thin films deposited on single-crystal sapphire substrates

    International Nuclear Information System (INIS)

    Zheng, S.J.; Fisher, C.A.J.; Hitosugi, T.; Kumatani, A.; Shiraki, S.; Ikuhara, Y.H.; Kuwabara, A.; Moriwake, H.; Oki, H.; Ikuhara, Y.

    2013-01-01

    Antiphase inversion domains in LiCoO 2 thin films prepared by pulsed laser deposition on sapphire single-crystal substrates are analyzed using a combination of (scanning) transmission electron microscopy and first-principles calculations. Domains form epitaxially on the substrates with orientation relationships of [112 ¯ 0] LiCoO 2 (0001) LiCoO 2 //[11 ¯ 00] α-Al 2 O 3 (0001) α-Al 2 O 3 and [1 ¯ 1 ¯ 20] LiCoO 2 (0001) LiCoO 2 //[11 ¯ 00] α-Al 2 O 3 (0001) α-Al 2 O 3 . In addition, substrate/film interfaces with the above orientation relationships always have the same stacking sequence of Al–O–Co–O–Li–O. This is confirmed to be the most energetically stable stacking arrangement according to first-principles calculations. Individual domains form as a result of steps one (0 0 0 1) O–Al–O spacing in height on the otherwise flat substrate surface. Because the orientation of adjacent (0 0 0 1) AlO 6 octahedra in Al 2 O 3 are rotated by 180°, while LiO 6 and CoO 6 octahedra in LiCoO 2 are all aligned in the same direction, substrate steps produce LiCoO 2 domains rotated 180° relative to their neighbors. The similar size of oxygen octahedra in the two materials also means that the step height is close to the layer spacing in LiCoO 2 , so that (0 0 0 1) Li and Co layers of adjacent domains are shifted by one layer relative to each other at each domain boundary, aligning Li layers with Co layers across the boundary. The combination of these two effects generates antiphase inversion domains. The domain boundaries effectively sever Li-ion diffusion pathways in the (0 0 0 1) planes between domains and thus are expected to have a detrimental effect on Li-ion conductivity

  15. Deuterium trapping in tungsten deposition layers formed by deuterium plasma sputtering

    International Nuclear Information System (INIS)

    Alimov, V.Kh.; Roth, J.; Shu, W.M.; Komarov, D.A.; Isobe, K.; Yamanishi, T.

    2010-01-01

    A study of the influence of the deposition conditions on the surface morphology and deuterium (D) concentration in tungsten (W) deposition layers formed by magnetron sputtering and in the linear plasma generator has been carried out. Thick W layers (≥0.4 μm) deposited onto copper substrates demonstrate areas of pilling and, after post-deposition heating to 1300 K, flaking-off and fracturing. For thin W layers (≤80 nm) deposited onto stainless steel (SS) and W substrates, no areas of flaking-off and fracturing exist both after deposition and after post-deposition heating to 673 K for the SS substrate and to 1300 K for the W substrate. The concentration of deuterium in the W layers was found to decrease with increasing substrate temperature and with increasing tungsten deposition rate. For layers with relatively high concentration of oxygen (0.20-0.60 O/W), a decrease of the D concentration with increasing substrate temperature is more pronounced than that for layers deposited in good vacuum conditions. To describe the evolution of the D/W ratio with the substrate temperature and the tungsten deposition rate, an empirical equation proposed by De Temmerman and Doerner [J. Nucl. Mater. 389 (2009) 479] but with alternative parameters has been used.

  16. Temperature dependence of InN film deposition by an RF plasma-assisted reactive ion beam sputtering deposition technique

    International Nuclear Information System (INIS)

    Shinoda, Hiroyuki; Mutsukura, Nobuki

    2005-01-01

    Indium nitride (InN) films were deposited on Si(100) substrates using a radiofrequency (RF) plasma-assisted reactive ion beam sputtering deposition technique at various substrate temperatures. The X-ray diffraction patterns of the InN films suggest that the InN films deposited at substrate temperatures up to 370 deg C were cubic crystalline InN; and at 500 deg C, the InN film was hexagonal crystalline InN. In a scanning electron microscope image of the InN film surface, facets of cubic single-crystalline InN grains were clearly observed on the InN film deposited at 370 deg C. The inclusion of metallic indium appeared on the InN film deposited at 500 deg C

  17. Thermally Stable, Piezoelectric and Pyroelectric Polymeric Substrates and Method Relating Thereto

    Science.gov (United States)

    Simpson, Joycelyn O. (Inventor); St.Clair, Terry L. (Inventor)

    1995-01-01

    Production of an electric voltage in response to mechanical excitation (piezoelectricity) or thermal excitation (pyroelectricity) requires a material to have a preferred dipole orientation in its structure. This preferred orientation or polarization occurs naturally in some crystals such as quartz and can be induced into some ceramic and polymeric materials by application of strong electric or mechanical fields. For some materials, a combination of mechanical and electrical orientation is necessary to completely polarize the material. The only commercially available piezoelectric polymer is poly(vinylidene fluoride) (PVF2). However, this polymer has material and process limitations which prohibit its use in numerous device applications where thermal stability is a requirement. By the present invention, thermally stable, piezoelectric and pyroelectric polymeric substrates were prepared from polymers having a softening temperature greater than 1000C. A metal electrode material is deposited onto the polymer substrate and several electrical leads are attached to it. The polymer substrate is heated in a low dielectric medium to enhance molecular mobility of the polymer chains. A voltage is then applied to the polymer substrate inducing polarization. The voltage is then maintained while the polymer substrate is cooled 'freezing in' the molecular orientation. The novelty of the invention resides in the process of preparing the piezoelectric and pyroelectric polymeric substrate. The nonobviousness of the invention is found in heating the polymeric substrate in a low dielectric medium while applying a voltage.

  18. Maternal Docosahexaenoic Acid Increases Adiponectin and Normalizes IUGR-Induced Changes in Rat Adipose Deposition

    Directory of Open Access Journals (Sweden)

    Heidi N. Bagley

    2013-01-01

    Full Text Available Intrauterine growth restriction (IUGR predisposes to obesity and adipose dysfunction. We previously demonstrated IUGR-induced increased visceral adipose deposition and dysregulated expression of peroxisome proliferator activated receptor-γ2 (PPARγ2 in male adolescent rats, prior to the onset of obesity. In other studies, activation of PPARγ increases subcutaneous adiponectin expression and normalizes visceral adipose deposition. We hypothesized that maternal supplementation with docosahexaenoic acid (DHA, a PPARγ agonist, would normalize IUGR adipose deposition in association with increased PPARγ, adiponectin, and adiponectin receptor expression in subcutaneous adipose. To test these hypotheses, we used a well-characterized model of uteroplacental-insufficiency-(UPI- induced IUGR in the rat with maternal DHA supplementation. Our primary findings were that maternal DHA supplementation during rat pregnancy and lactation (1 normalizes IUGR-induced changes in adipose deposition and visceral PPARγ expression in male rats and (2 increases serum adiponectin, as well as adipose expression of adiponectin and adiponectin receptors in former IUGR rats. Our novel findings suggest that maternal DHA supplementation may normalize adipose dysfunction and promote adiponectin-induced improvements in metabolic function in IUGR.

  19. Maternal docosahexaenoic acid increases adiponectin and normalizes IUGR-induced changes in rat adipose deposition.

    Science.gov (United States)

    Bagley, Heidi N; Wang, Yan; Campbell, Michael S; Yu, Xing; Lane, Robert H; Joss-Moore, Lisa A

    2013-01-01

    Intrauterine growth restriction (IUGR) predisposes to obesity and adipose dysfunction. We previously demonstrated IUGR-induced increased visceral adipose deposition and dysregulated expression of peroxisome proliferator activated receptor- γ 2 (PPAR γ 2) in male adolescent rats, prior to the onset of obesity. In other studies, activation of PPAR γ increases subcutaneous adiponectin expression and normalizes visceral adipose deposition. We hypothesized that maternal supplementation with docosahexaenoic acid (DHA), a PPAR γ agonist, would normalize IUGR adipose deposition in association with increased PPAR γ , adiponectin, and adiponectin receptor expression in subcutaneous adipose. To test these hypotheses, we used a well-characterized model of uteroplacental-insufficiency-(UPI-) induced IUGR in the rat with maternal DHA supplementation. Our primary findings were that maternal DHA supplementation during rat pregnancy and lactation (1) normalizes IUGR-induced changes in adipose deposition and visceral PPAR γ expression in male rats and (2) increases serum adiponectin, as well as adipose expression of adiponectin and adiponectin receptors in former IUGR rats. Our novel findings suggest that maternal DHA supplementation may normalize adipose dysfunction and promote adiponectin-induced improvements in metabolic function in IUGR.

  20. A comparison of different spray chemical vapour deposition methods for the production of undoped ZnO thin films

    International Nuclear Information System (INIS)

    Garnier, Jerome; Bouteville, Anne; Hamilton, Jeff; Pemble, Martyn E.; Povey, Ian M.

    2009-01-01

    Two different methods of spray chemical vapour deposition have been used to grow ZnO thin films on glass substrates from zinc acetate solution over the temperature range 400 o C to 550 o C. The first of these is named InfraRed Assisted Spray Chemical Vapour Deposition (IRAS-CVD). This method uses intense IR radiation to heat not only the substrate but also the gaseous species entering the reactor. The second method is a more conventional approach known simply as ultrasonic spray CVD, which utilises IR lamps to heat the substrate only. By way of comparing these two approaches we present data obtained from contact angle measurements, crystallinity and mean crystallite size, photoluminescence, electrical and optical properties. Additionally we have examined the role of annealing within the IRAS-CVD reactor environment.