WorldWideScience

Sample records for submicron soi process

  1. Deep sub-micron FD-SOI for front-end application

    International Nuclear Information System (INIS)

    Ikeda, H.; Arai, Y.; Hara, K.; Hayakawa, H.; Hirose, K.; Ikegami, Y.; Ishino, H.; Kasaba, Y.; Kawasaki, T.; Kohriki, T.; Martin, E.; Miyake, H.; Mochizuki, A.; Tajima, H.; Tajima, O.; Takahashi, T.; Takashima, T.; Terada, S.; Tomita, H.; Tsuboyama, T.

    2007-01-01

    In order to confirm benefits of a deep sub-micron FD-SOI and to identify possible issues concerning front-end circuits with the FD-SOI, we have submitted a small design to Oki Electric Industry Co., Ltd. via the multi-chip project service of VDEC, the University of Tokyo. The initial test results and future plans for development are presented

  2. Photonic bandpass filter characteristics of multimode SOI waveguides integrated with submicron gratings.

    Science.gov (United States)

    Sah, Parimal; Das, Bijoy Krishna

    2018-03-20

    It has been shown that a fundamental mode adiabatically launched into a multimode SOI waveguide with submicron grating offers well-defined flat-top bandpass filter characteristics in transmission. The transmitted spectral bandwidth is controlled by adjusting both waveguide and grating design parameters. The bandwidth is further narrowed down by cascading two gratings with detuned parameters. A semi-analytical model is used to analyze the filter characteristics (1500  nm≤λ≤1650  nm) of the device operating in transverse-electric polarization. The proposed devices were fabricated with an optimized set of design parameters in a SOI substrate with a device layer thickness of 250 nm. The pass bandwidth of waveguide devices integrated with single-stage gratings are measured to be ∼24  nm, whereas the device with two cascaded gratings with slightly detuned periods (ΔΛ=2  nm) exhibits a pass bandwidth down to ∼10  nm.

  3. Electronics and Sensor Study with the OKI SOI process

    CERN Document Server

    Arai, Yasuo

    2007-01-01

    While the SOI (Silicon-On-Insulator) device concept is very old, commercialization of the technology is relatively new and growing rapidly in high-speed processor and lowpower applications. Furthermore, features such as latch-up immunity, radiation hardness and high-temperature operation are very attractive in high energy and space applications. Once high-quality bonded SOI wafers became available in the late 90s, it opened up the possibility to get two different kinds of Si on a single wafer. This makes it possible to realize an ideal pixel detector; pairing a fully-depleted radiation sensor with CMOS circuitry in an industrial technology. In 2005 we started Si pixel R&D with OKI Electric Ind. Co., Ltd. which is the first market supplier of Fully-Depleted SOI products. We have developed processes for p+/n+ implants to the substrate and for making connections between the implants and circuits in the OKI 0.15μm FD-SOI CMOS process. We have preformed two Multi Project Wafer (MPW) runs using this SOI proces...

  4. Indium arsenide-on-SOI MOSFETs with extreme lattice mismatch

    Science.gov (United States)

    Wu, Bin

    Both molecular beam epitaxy (MBE) and metal organic chemical vapor deposition (MOCVD) have been used to explore the growth of InAs on Si. Despite 11.6% lattice mismatch, planar InAs structures have been observed by scanning electron microscopy (SEM) when nucleating using MBE on patterned submicron Si-on-insulator (SOI) islands. Planar structures of size as large as 500 x 500 nm 2 and lines of width 200 nm and length a few microns have been observed. MOCVD growth of InAs also generates single grain structures on Si islands when the size is reduced to 100 x 100 nm2. By choosing SOI as the growth template, selective growth is enabled by MOCVD. Post-growth pattern-then-anneal process, in which MOCVD InAs is deposited onto unpatterned SOI followed with patterning and annealing of InAs-on-Si structure, is found to change the relative lattice parameters of encapsulated 17/5 nm InAs/Si island. Observed from transmission electron diffraction (TED) patterns, the lattice mismatch of 17/5 nm InAs/Si island reduces from 11.2 to 4.2% after being annealed at 800°C for 30 minutes. High-k Al2O3 dielectrics have been deposited by both electron-beam-enabled physical vapor deposition (PVD) and atomic layer deposition (ALD). Films from both techniques show leakage currents on the order of 10-9A/cm2, at ˜1 MV/cm electric field, breakdown field > ˜6 MV/cm, and dielectric constant > 6, comparable to those of reported ALD prior arts by Groner. The first MOSFETs with extreme lattice mismatch InAs-on-SOI channels using PVD Al2O3 as the gate dielectric are characterized. Channel recess was used to improve the gate control of the drain current.

  5. Research on SOI-based micro-resonator devices

    Science.gov (United States)

    Xiao, Xi; Xu, Haihua; Hu, Yingtao; Zhou, Liang; Xiong, Kang; Li, Zhiyong; Li, Yuntao; Fan, Zhongchao; Han, Weihua; Yu, Yude; Yu, Jinzhong

    2010-10-01

    SOI (silicon-on-insulator)-based micro-resonator is the key building block of silicon photonics, which is considered as a promising solution to alleviate the bandwidth bottleneck of on-chip interconnects. Silicon-based sub-micron waveguide, microring and microdisk devices are investigated in Institute of Semiconductors, Chinese Academy of Sciences. The main progress in recent years is presented in this talk, such as high Q factor single mode microdisk filters, compact thirdorder microring filters with the through/drop port extinctions to be ~ 30/40 dB, fast microring electro-optical switches with the switch time of 10 Gbit/s high speed microring modulators.

  6. Influence of edge effects on single event upset susceptibility of SOI SRAMs

    International Nuclear Information System (INIS)

    Gu, Song; Liu, Jie; Zhao, Fazhan; Zhang, Zhangang; Bi, Jinshun; Geng, Chao; Hou, Mingdong; Liu, Gang; Liu, Tianqi; Xi, Kai

    2015-01-01

    An experimental investigation of the single event upset (SEU) susceptibility for heavy ions at tilted incidence was performed. The differences of SEU cross-sections between tilted incidence and normal incidence at equivalent effective linear energy transfer were 21% and 57% for the silicon-on-insulator (SOI) static random access memories (SRAMs) of 0.5 μm and 0.18 μm feature size, respectively. The difference of SEU cross-section raised dramatically with increasing tilt angle for SOI SRAM of deep-submicron technology. The result of CRÈME-MC simulation for tilted irradiation of the sensitive volume indicates that the energy deposition spectrum has a substantial tail extending into the low energy region. The experimental results show that the influence of edge effects on SEU susceptibility cannot be ignored in particular with device scaling down

  7. Towards Polarization Diversity on the SOI Platform With Simple Fabrication Process

    DEFF Research Database (Denmark)

    Ding, Yunhong; Liu, Liu; Peucheret, Christophe

    2011-01-01

    We present a polarization diversity circuit built on the silicon-on-insulator (SOI) platform, which can be fabricated by a simple process. The polarization diversity is based on two identical air-clad asymmetrical directional couplers, which simultaneously play the roles of polarization splitter...... and rotator. A silicon polarization diversity circuit with a single microring resonator is fabricated on the SOI platform. Only ${1-dB polarization-dependent loss is demonstrated. A significant improvement of the polarization dependence is obtained for 20-Gb/s nonreturn-to-zero differential phase-shift keying...

  8. A Demonstrator Analog Signal Processing Circuit in a Radiation Hard SOI-CMOS Technology

    CERN Multimedia

    2002-01-01

    % RD-9 A Demonstrator Analog Signal Processing Circuit in a Radiation Hard SOI-CMOS Technology \\\\ \\\\Radiation hardened SOI-CMOS (Silicon-On-Insulator, Complementary Metal-Oxide- \\linebreak Semiconductor planar microelectronic circuit technology) was a likely candidate technology for mixed analog-digital signal processing electronics in experiments at the future high luminosity hadron colliders. We have studied the analog characteristics of circuit designs realized in the Thomson TCS radiation hard technologies HSOI3-HD. The feature size of this technology was 1.2 $\\mu$m. We have irradiated several devices up to 25~Mrad and 3.10$^{14}$ neutrons cm$^{-2}$. Gain, noise characteristics and speed have been measured. Irradiation introduces a degradation which in the interesting bandwidth of 0.01~MHz~-~1~MHz is less than 40\\%. \\\\ \\\\Some specific SOI phenomena have been studied in detail, like the influence on the noise spectrum of series resistence in the thin silicon film that constitutes the body of the transistor...

  9. Process Optimization for Monolithic Integration of Piezoresistive Pressure Sensor and MOSFET Amplifier with SOI Approach

    International Nuclear Information System (INIS)

    Kumar, V Vinoth; Dasgupta, A; Bhat, K N; KNatarajan

    2006-01-01

    In this paper we present the design and process optimization for fabricating piezoresitive pressure sensor and MOSFET Differential Amplifier simultaneously on the same chip. Silicon On Insulator approach has been used for realizing the membrane as well as the electronics on the same chip. The amplifier circuit has been configured in the common source connection and it has been designed with PSPICE simulation to achieve a voltage gain of about 5. In the initial set of experiments the Pressure sensor and the amplifier were fabricated on separate chips to optimize the process steps and tested in the hybrid mode. In the next set of experiments, SOI wafer having the SOI layer thickness of about 11 microns was used for realizing the membrane by anisotropic etching from the backside. The piezo-resistive pressure sensor was realized on this membrane by connecting the polysilicon resistors in the form of a Wheatstone bridge. The MOSFET source follower amplifier was also fabricated on the same SOI wafer by tailoring the process steps to suit the requirement of simultaneous fabrication of piezoresistors and the amplifier for achieving MOSFET Integrated Pressure Sensor. Reproducible results have been achieved on the SOI wafers, with the process steps developed in the laboratory. Sensitivity of 270 mV /Bar/10V, with the on chip amplifier gain of 4.5, has been achieved with this process

  10. HARM processing techniques for MEMS and MOEMS devices using bonded SOI substrates and DRIE

    Science.gov (United States)

    Gormley, Colin; Boyle, Anne; Srigengan, Viji; Blackstone, Scott C.

    2000-08-01

    Silicon-on-Insulator (SOI) MEMS devices (1) are rapidly gaining popularity in realizing numerous solutions for MEMS, especially in the optical and inertia application fields. BCO recently developed a DRIE trench etch, utilizing the Bosch process, and refill process for high voltage dielectric isolation integrated circuits on thick SOI substrates. In this paper we present our most recently developed DRIE processes for MEMS and MOEMS devices. These advanced etch techniques are initially described and their integration with silicon bonding demonstrated. This has enabled process flows that are currently being utilized to develop optical router and filter products for fiber optics telecommunications and high precision accelerometers.

  11. Analyses of the radiation-caused characteristics change in SOI MOSFETs using field shield isolation

    International Nuclear Information System (INIS)

    Hirano, Yuuichi; Maeda, Shigeru; Fernandez, Warren; Iwamatsu, Toshiaki; Yamaguchi, Yasuo; Maegawa, Shigeto; Nishimura, Tadashi

    1999-01-01

    Reliability against radiation ia an important issue in silicon on insulator metal oxide semiconductor field effect transistors (SOI MOSFETs) used in satellites and nuclear power plants and so forth which are severely exposed to radiation. Radiation-caused characteristic change related to the isolation-edge in an irradiated environment was analyzed on SOI MOSFETs. Moreover short channel effects for an irradiated environment were investigated by simulations. It was revealed that the leakage current which was observed in local oxidation of silicon (LOCOS) isolated SOI MOSFETs was successfully suppressed by using field shield isolation. Simulated potential indicated that the potential rise at the LOCOS edge can not be seen in the case of field shield isolation edge which does not have physical isolation. Also it was found that the threshold voltage shift caused by radiation in short channel regime is severer than that in long regime channel. In transistors with a channel length of 0.18μm, a potential rise of the body region by radiation-induced trapped holes can be seen in comparison with that of 1.0μm. As a result, we must consider these effects for designing deep submicron devices used in an irradiated environment. (author)

  12. Process optimization of a deep trench isolation structure for high voltage SOI devices

    International Nuclear Information System (INIS)

    Zhu Kuiying; Qian Qinsong; Zhu Jing; Sun Weifeng

    2010-01-01

    The process reasons for weak point formation of the deep trench on SOI wafers have been analyzed in detail. An optimized trench process is also proposed. It is found that there are two main reasons: one is over-etching laterally of the silicon on the surface of the buried oxide caused by a fringe effect; and the other is the slow growth rate of the isolation oxide in the concave silicon corner of the trench bottom. In order to improve the isolation performance of the deep trench, two feasible ways for optimizing the trench process are proposed. The improved process thickens the isolation oxide and rounds sharp silicon corners at their weak points, increasing the applied voltage by 15-20 V at the same leakage current. The proposed new trench isolation process has been verified in the foundry's 0.5-μm HV SOI technology. (semiconductor devices)

  13. BUSFET - A Novel Radiation-Hardened SOI Transistor

    International Nuclear Information System (INIS)

    Dodd, P.E.; Draper, B.L.; Schwank, J.R.; Shaneyfelt, M.R.

    1999-01-01

    A partially-depleted SOI transistor structure has been designed that does not require the use of specially-processed hardened buried oxides for total-dose hardness and maintains the intrinsic SEU and dose rate hardness advantages of SOI technology

  14. Characterization of SOI monolithic detector system

    Science.gov (United States)

    Álvarez-Rengifo, P. L.; Soung Yee, L.; Martin, E.; Cortina, E.; Ferrer, C.

    2013-12-01

    A monolithic active pixel sensor for charged particle tracking was developed. This research is performed within the framework of an R&D project called TRAPPISTe (Tracking Particles for Physics Instrumentation in SOI Technology) whose aim is to evaluate the feasibility of developing a Monolithic Active Pixel Sensor (MAPS) with Silicon-on-Insulator (SOI) technology. Two chips were fabricated: TRAPPISTe-1 and TRAPPISTe-2. TRAPPISTe-1 was produced at the WINFAB facility at the Université catholique de Louvain (UCL), Belgium, in a 2 μm fully depleted (FD-SOI) CMOS process. TRAPPISTe-2 was fabricated with the LAPIS 0.2 μm FD-SOI CMOS process. The electrical characterization on single transistor test structures and of the electronic readout for the TRAPPISTe series of monolithic pixel detectors was carried out. The behavior of the prototypes’ electronics as a function of the back voltage was studied. Results showed that both readout circuits exhibited sensitivity to the back voltage. Despite this unwanted secondary effect, the responses of TRAPPISTe-2 amplifiers can be improved by a variation in the circuit parameters.

  15. Automotive SOI-BCD Technology Using Bonded Wafers

    International Nuclear Information System (INIS)

    Himi, H.; Fujino, S.

    2008-01-01

    The SOI-BCD device is excelling in high temperature operation and noise immunity because the integrated elements can be electrically separated by dielectric isolation. We have promptly paid attention to this feature and have concentrated to develop SOI-BCD devices seeking to match the automotive requirement. In this paper, the feature technologies specialized for automotive SOI-BCD devices, such as buried N + layer for impurity gettering and noise shielding, LDMOS with improved ESD robustness, crystal defect-less process, and wafer direct bonding through the amorphous layer for intelligent power IC are introduced.

  16. A Monolithic Active Pixel Sensor for ionizing radiation using a 180 nm HV-SOI process

    Energy Technology Data Exchange (ETDEWEB)

    Hemperek, Tomasz, E-mail: hemperek@uni-bonn.de; Kishishita, Tetsuichi; Krüger, Hans; Wermes, Norbert

    2015-10-01

    An improved SOI-MAPS (Silicon On Insulator Monolithic Active Pixel Sensor) for ionizing radiation based on thick-film High Voltage SOI technology (HV-SOI) has been developed. Similar to existing Fully Depleted SOI-based (FD-SOI) MAPS, a buried silicon oxide inter-dielectric (BOX) layer is used to separate the CMOS electronics from the handle wafer which is used as a depleted charge collection layer. FD-SOI MAPS suffers from radiation damage such as transistor threshold voltage shifts due to charge traps in the oxide layers and charge states created at the silicon oxide boundaries (back gate effect). The X-FAB 180-nm HV-SOI technology offers an additional isolation by deep non-depleted implant between the BOX layer and the active circuitry which mitigates this problem. Therefore we see in this technology a high potential to implement radiation-tolerant MAPS with fast charge collection property. The design and measurement results from a first prototype are presented including charge collection in neutron irradiated samples.

  17. Monolithic integration of micromachined sensors and CMOS circuits based on SOI technologies

    International Nuclear Information System (INIS)

    Yu Xiaomei; Tang Yaquan; Zhang Haitao

    2008-01-01

    This note presents a novel way to monolithically integrate micro-cantilever sensors and signal conditioning circuits by combining SOI CMOS and SOI micromachining technologies. In order to improve the sensor performance and reduce the system volume, an integrated sensor system composed of a piezoresistive cantilever array, a temperature-compensation current reference, a digitally controlled multiplexer and an instrument amplifier is designed and finally fabricated. A post-SOI CMOS process is developed to realize the integrated sensor system which is based on a standard CMOS process with one more mask to define the cantilever structure at the end of the process. Measurements on the finished SOI CMOS devices and circuits show that the integration process has good compatibility both for the cantilever sensors and for the CMOS circuits, and the SOI CMOS integration process can decrease about 25% sequences compared with the bulk silicon CMOS process. (note)

  18. A monolithic active pixel sensor for ionizing radiation using a 180 nm HV-SOI process

    Energy Technology Data Exchange (ETDEWEB)

    Hemperek, Tomasz; Kishishita, Tetsuichi; Krueger, Hans; Wermes, Norbert [Institute of Physics, University of Bonn, Bonn (Germany)

    2016-07-01

    An improved SOI-MAPS (Silicon On Insulator Monolithic Active Pixel Sensor) for ionizing radiation based on thick-180 nm High Voltage SOI technology (HV-SOI) has been developed. Similar to existing Fully Depleted SOI-based (FD-SOI) MAPS, a buried silicon oxide inter-dielectric (BOX) layer is used to separate the CMOS electronics from the handle wafer which is used as a depleted charge collection layer. Standard FD-SOI MAPS suffer from radiation damage such as transistor threshold voltage shifts due to trapped charge in the buried oxide layer and charged interface states created at the silicon oxide boundaries (back gate effect). The X-FAB 180 nm HV-SOI technology offers an additional isolation using a deep non-depleted implant between the BOX layer and the active circuitry which mitigates this problem. Therefore we see in this technology a high potential to implement radiation-tolerant MAPS with fast charge collection. The design and measurement results from first prototypes are presented including radiation tolerance to total ionizing dose and charge collection properties of neutron irradiated samples.

  19. Nonlinear Parasitic Capacitance Modelling of High Voltage Power MOSFETs in Partial SOI Process

    DEFF Research Database (Denmark)

    Fan, Lin; Knott, Arnold; Jørgensen, Ivan Harald Holger

    2016-01-01

    : off-state, sub-threshold region, and on-state in the linear region. A high voltage power MOSFET is designed in a partial Silicon on Insulator (SOI) process, with the bulk as a separate terminal. 3D plots and contour plots of the capacitances versus bias voltages for the transistor summarize...

  20. Lift-off process for deep-submicron-size junctions using supercritical CO2

    International Nuclear Information System (INIS)

    Fukushima, A.; Kubota, H.; Yuasa, S.; Takahachi, T.; Kadoriku, S.; Miyake, K.

    2007-01-01

    Deep-submicron-size (∼100-nm-size) junctions are a key element to investigate spin-torque transfer phenomena such as current induced magnetization reversal or the spin-torque diode effect. In the fabrication of submicron-size junctions using an etching method, the lift-off process after the etching process tends to be difficult as the size of junctions shrinks. In this study, we present a new lift-off process using supercritical CO 2 . In this process, the samples were immersed in solvent (mixture of N-Methyl-2-pyrrolidone and isopropanol), and pressurized by CO 2 gas. The CO 2 gas then went into supercritical phase and the solvent was removed by a continuous flow of CO 2 . We obtained considerable yield rate (success ratio in lift-off process) of more than 50% for the samples down to 100-nm-size junctions

  1. A study of process-related electrical defects in SOI lateral bipolar transistors fabricated by ion implantation

    Science.gov (United States)

    Yau, J.-B.; Cai, J.; Hashemi, P.; Balakrishnan, K.; D'Emic, C.; Ning, T. H.

    2018-04-01

    We report a systematic study of process-related electrical defects in symmetric lateral NPN transistors on silicon-on-insulator (SOI) fabricated using ion implantation for all the doped regions. A primary objective of this study is to see if pipe defects (emitter-collector shorts caused by locally enhanced dopant diffusion) are a show stopper for such bipolar technology. Measurements of IC-VCE and Gummel currents in parallel-connected transistor chains as a function of post-fabrication rapid thermal anneal cycles allow several process-related electrical defects to be identified. They include defective emitter-base and collector-base diodes, pipe defects, and defects associated with a dopant-deficient region in an extrinsic base adjacent its intrinsic base. There is no evidence of pipe defects being a major concern in SOI lateral bipolar transistors.

  2. Characterizing SOI Wafers By Use Of AOTF-PHI

    Science.gov (United States)

    Cheng, Li-Jen; Li, Guann-Pyng; Zang, Deyu

    1995-01-01

    Developmental nondestructive method of characterizing layers of silicon-on-insulator (SOI) wafer involves combination of polarimetric hyperspectral imaging by use of acousto-optical tunable filters (AOTF-PHI) and computational resources for extracting pertinent data on SOI wafers from polarimetric hyperspectral images. Offers high spectral resolution and both ease and rapidity of optical-wavelength tuning. Further efforts to implement all of processing of polarimetric spectral image data in special-purpose hardware for sake of procesing speed. Enables characterization of SOI wafers in real time for online monitoring and adjustment of production. Also accelerates application of AOTF-PHI to other applications in which need for high-resolution spectral imaging, both with and without polarimetry.

  3. Laser direct writing using submicron-diameter fibers.

    Science.gov (United States)

    Tian, Feng; Yang, Guoguang; Bai, Jian; Xu, Jianfeng; Hou, Changlun; Liang, Yiyong; Wang, Kaiwei

    2009-10-26

    In this paper, a novel direct writing technique using submicron-diameter fibers is presented. The submicron-diameter fiber probe serves as a tightly confined point source and it adopts micro touch mode in the process of writing. The energy distribution of direct writing model is analyzed by Three-Dimension Finite-Difference Time-Domain method. Experiments demonstrate that submicron-diameter fiber direct writing has some advantages: simple process, 350-nm-resolution (lower than 442-nm-wavelength), large writing area, and controllable width of lines. In addition, by altering writing direction of lines, complex submicron patterns can be fabricated.

  4. Propriété de soi et indifférence morale du rapport à soi

    Directory of Open Access Journals (Sweden)

    Nathalie Maillard Romagnoli

    2011-05-01

    Full Text Available Je m’interroge dans cet article sur les implications du principe libertarien de la pleine propriété de soi sur la question du rapport moral à soi-même. À travers le principe de la pleine propriété de soi, les libertariens défendent la liberté entière de chacun de vivre comme il l���entend, pourvu que les droits des autres soient respectés. Apparemment, ce principe n’a pas grand-chose à nous dire sur ce que nous sommes moralement autorisés à nous faire à nous-mêmes ou non. Certains libertariens, comme Vallentyne, soutiennent toutefois que le principe de la pleine propriété de soi est incompatible avec l’existence de devoirs envers soi. La pleine propriété de soi impliquerait l’indifférence morale du rapport à soi. Je soutiens dans cet article que le principe de la pleine propriété de soi n’implique pas que ce que nous nous faisons à nous-mêmes soit moralement indifférent. Je veux aussi montrer que même si les libertariens, et en particulier Vallentyne, soutiennent la thèse de l’indifférence morale du rapport à soi, celle-ci n’est pas liée à la thèse de la pleine propriété de soi, mais bien plutôt à leur subjectivisme moral.ABSTRACTI ask in this article what the libertarian principle of full self-ownership has to say about volontary actions directed towards oneself. Through the principle of full self-ownership, libertarians defend the persons’ individual liberty to live as they choose to do, as long as they don’t infringe on the rights of others. Apparently, this principle doesn’t have much to say about what we are morally allowed to do to ourselves or not. Some libertarians, however, like Vallentyne, maintain that, if we have duties or obligations to ourselves, then we cannot be full self-owner. In this perspective, full self-ownership would imply that what we do to ourselves is morally indifferent. I want to show in this article that full self-ownership is compatible with the

  5. First results of a Double-SOI pixel chip for X-ray imaging

    Energy Technology Data Exchange (ETDEWEB)

    Lu, Yunpeng, E-mail: yplu@ihep.ac.cn [State Key Laboratory of Particle Detection and Electronics (Institute of High Energy Physics, CAS), Beijing 100049 (China); Ouyang, Qun [State Key Laboratory of Particle Detection and Electronics (Institute of High Energy Physics, CAS), Beijing 100049 (China); Arai, Yasuo [Institute of Particle and Nuclear Studies, High Energy Accelerator Research Org., KEK, Tsukuba 305-0801 (Japan); Liu, Yi; Wu, Zhigang; Zhou, Yang [State Key Laboratory of Particle Detection and Electronics (Institute of High Energy Physics, CAS), Beijing 100049 (China)

    2016-09-21

    Aiming at low energy X-ray imaging, a prototype chip based on Double-SOI process was designed and tested. The sensor and pixel circuit were characterized. The long lasting crosstalk issue in SOI technology was understood. The operation of pixel was verified with a pulsed infrared laser beam. The depletion of sensor revealed by signal amplitudes is consistent with the one revealed by I–V curve. An s-curve fitting resulted in a sigma of 153 e{sup −} among which equivalent noise charge (ENC) contributed 113 e{sup −}. It's the first time that the crosstalk issue in SOI technology was solved and a counting type SOI pixel demonstrated the detection of low energy radiation quantitatively.

  6. FinFET and UTBB for RF SOI communication systems

    Science.gov (United States)

    Raskin, Jean-Pierre

    2016-11-01

    Performance of RF integrated circuit (IC) is directly linked to the analog and high frequency characteristics of the transistors, the quality of the back-end of line process as well as the electromagnetic properties of the substrate. Thanks to the introduction of the trap-rich high-resistivity Silicon-on-Insulator (SOI) substrate on the market, the ICs requirements in term of linearity are fulfilled. Today partially depleted SOI MOSFET is the mainstream technology for RF SOI systems. Future generations of mobile communication systems will require transistors with better high frequency performance at lower power consumption. The advanced MOS transistors in competition are FinFET and Ultra Thin Body and Buried oxide (UTBB) SOI MOSFETs. Both devices have been intensively studied these last years. Most of the reported data concern their digital performance. In this paper, their analog/RF behavior is described and compared. Both show similar characteristics in terms of transconductance, Early voltage, voltage gain, self-heating issue but UTBB outperforms FinFET in terms of cutoff frequencies thanks to their relatively lower fringing parasitic capacitances.

  7. Simulation of dual-gate SOI MOSFET with different dielectric layers

    Science.gov (United States)

    Yadav, Jyoti; Chaudhary, R.; Mukhiya, R.; Sharma, R.; Khanna, V. K.

    2016-04-01

    The paper presents the process design and simulation of silicon-on-insulator (SOI)-based dual-gate metal oxide field-effect transistor (DG-MOSFET) stacked with different dielectric layers on the top of gate oxide. A detailed 2D process simulation of SOI-MOSFETs and its electrical characterization has been done using SILVACO® TCAD tool. A variation in transconductance was observed with different dielectric layers, AlN-gate MOSFET having the highest tranconductance value as compared to other three dielectric layers (SiO2, Si3N4 and Al2O3).

  8. Micromachined Thin-Film Sensors for SOI-CMOS Co-Integration

    Science.gov (United States)

    Laconte, Jean; Flandre, D.; Raskin, Jean-Pierre

    Co-integration of sensors with their associated electronics on a single silicon chip may provide many significant benefits regarding performance, reliability, miniaturization and process simplicity without significantly increasing the total cost. Micromachined Thin-Film Sensors for SOI-CMOS Co-integration covers the challenges and interests and demonstrates the successful co-integration of gas flow sensors on dielectric membrane, with their associated electronics, in CMOS-SOI technology. We firstly investigate the extraction of residual stress in thin layers and in their stacking and the release, in post-processing, of a 1 μm-thick robust and flat dielectric multilayered membrane using Tetramethyl Ammonium Hydroxide (TMAH) silicon micromachining solution.

  9. Method to improve commercial bonded SOI material

    Science.gov (United States)

    Maris, Humphrey John; Sadana, Devendra Kumar

    2000-07-11

    A method of improving the bonding characteristics of a previously bonded silicon on insulator (SOI) structure is provided. The improvement in the bonding characteristics is achieved in the present invention by, optionally, forming an oxide cap layer on the silicon surface of the bonded SOI structure and then annealing either the uncapped or oxide capped structure in a slightly oxidizing ambient at temperatures greater than 1200.degree. C. Also provided herein is a method for detecting the bonding characteristics of previously bonded SOI structures. According to this aspect of the present invention, a pico-second laser pulse technique is employed to determine the bonding imperfections of previously bonded SOI structures.

  10. A novel SOI pressure sensor for high temperature application

    International Nuclear Information System (INIS)

    Li Sainan; Liang Ting; Wang Wei; Hong Yingping; Zheng Tingli; Xiong Jijun

    2015-01-01

    The silicon on insulator (SOI) high temperature pressure sensor is a novel pressure sensor with high-performance and high-quality. A structure of a SOI high-temperature pressure sensor is presented in this paper. The key factors including doping concentration and power are analyzed. The process of the sensor is designed with the critical process parameters set appropriately. The test result at room temperature and high temperature shows that nonlinear error below is 0.1%, and hysteresis is less than 0.5%. High temperature measuring results show that the sensor can be used for from room temperature to 350 °C in harsh environments. It offers a reference for the development of high temperature piezoresistive pressure sensors. (semiconductor devices)

  11. Performance analysis of SOI MOSFET with rectangular recessed channel

    Science.gov (United States)

    Singh, M.; Mishra, S.; Mohanty, S. S.; Mishra, G. P.

    2016-03-01

    In this paper a two dimensional (2D) rectangular recessed channel-silicon on insulator metal oxide semiconductor field effect transistor (RRC-SOI MOSFET), using the concept of groove between source and drain regions, which is one of the channel engineering technique to suppress the short channel effect (SCE). This suppression is mainly due to corner potential barrier of the groove and the simulation is carried out by using ATLAS 2D device simulator. To have further improvement of SCE in RRC-SOI MOSFET, three more devices are designed by using dual material gate (DMG) and gate dielectric technique, which results in formation of devices i.e. DMRRC-SOI,MLSMRRC-SOI, MLDMRRC-SOI MOSFET. The effect of different structures of RRC-SOI on AC and RF parameters are investigated and the importance of these devices over RRC MOSFET regarding short channel effect is analyzed.

  12. Performance analysis of SOI MOSFET with rectangular recessed channel

    International Nuclear Information System (INIS)

    Singh, M; Mishra, G P; Mishra, S; Mohanty, S S

    2016-01-01

    In this paper a two dimensional (2D) rectangular recessed channel–silicon on insulator metal oxide semiconductor field effect transistor (RRC-SOI MOSFET), using the concept of groove between source and drain regions, which is one of the channel engineering technique to suppress the short channel effect (SCE). This suppression is mainly due to corner potential barrier of the groove and the simulation is carried out by using ATLAS 2D device simulator. To have further improvement of SCE in RRC-SOI MOSFET, three more devices are designed by using dual material gate (DMG) and gate dielectric technique, which results in formation of devices i.e. DMRRC-SOI,MLSMRRC-SOI, MLDMRRC-SOI MOSFET. The effect of different structures of RRC-SOI on AC and RF parameters are investigated and the importance of these devices over RRC MOSFET regarding short channel effect is analyzed. (paper)

  13. BUSFET -- A radiation-hardened SOI transistor

    International Nuclear Information System (INIS)

    Schwank, J.R.; Shaneyfelt, M.R.; Draper, B.L.; Dodd, P.E.

    1999-01-01

    The total-dose hardness of SOI technology is limited by radiation-induced charge trapping in gate, field, and SOI buried oxides. Charge trapping in the buried oxide can lead to back-channel leakage and makes hardening SOI transistors more challenging than hardening bulk-silicon transistors. Two avenues for hardening the back-channel are (1) to use specially prepared SOI buried oxides that reduce the net amount of trapped positive charge or (2) to design transistors that are less sensitive to the effects of trapped charge in the buried oxide. In this work, the authors propose a partially-depleted SOI transistor structure for mitigating the effects of trapped charge in the buried oxide on radiation hardness. They call this structure the BUSFET--Body Under Source FET. The BUSFET utilizes a shallow source and a deep drain. As a result, the silicon depletion region at the back channel caused by radiation-induced charge trapping in the buried oxide does not form a conducting path between source and drain. Thus, the BUSFET structure design can significantly reduce radiation-induced back-channel leakage without using specially prepared buried oxides. Total dose hardness is achieved without degrading the intrinsic SEU or dose rate hardness of SOI technology. The effectiveness of the BUSFET structure for reducing total-dose back-channel leakage depends on several variables, including the top silicon film thickness and doping concentration, and the depth of the source. 3-D simulations show that for a body doping concentration of 10 18 cm -3 , a drain bias of 3 V, and a source depth of 90 nm, a silicon film thickness of 180 nm is sufficient to almost completely eliminate radiation-induced back-channel leakage. However, for a doping concentration of 3 x 10 17 cm -3 , a thicker silicon film (300 nm) must be used

  14. Stochastic process variation in deep-submicron CMOS circuits and algorithms

    CERN Document Server

    Zjajo, Amir

    2014-01-01

    One of the most notable features of nanometer scale CMOS technology is the increasing magnitude of variability of the key device parameters affecting performance of integrated circuits. The growth of variability can be attributed to multiple factors, including the difficulty of manufacturing control, the emergence of new systematic variation-generating mechanisms, and most importantly, the increase in atomic-scale randomness, where device operation must be described as a stochastic process. In addition to wide-sense stationary stochastic device variability and temperature variation, existence of non-stationary stochastic electrical noise associated with fundamental processes in integrated-circuit devices represents an elementary limit on the performance of electronic circuits. In an attempt to address these issues, Stochastic Process Variation in Deep-Submicron CMOS: Circuits and Algorithms offers unique combination of mathematical treatment of random process variation, electrical noise and temperature and ne...

  15. BUSFET - A Novel Radiation-Hardened SOI Transistor

    International Nuclear Information System (INIS)

    Schwank, J.R.; Shaneyfelt, M.R.; Draper, B.L.; Dodd, P.E.

    1999-01-01

    The total-dose hardness of SOI technology is limited by radiation-induced charge trapping in gate, field, and SOI buried oxides. Charge trapping in the buried oxide can lead to back-channel leakage and makes hardening SOI transistors more challenging than hardening bulk-silicon transistors. Two avenues for hardening the back-channel are (1) to use specially prepared SOI buried oxides that reduce the net amount of trapped positive charge or (2) to design transistors that are less sensitive to the effects of trapped charge in the buried oxide. In this work, we propose a new partially-depleted SOI transistor structure that we call the BUSFET--Body Under Source FET. The BUSFET utilizes a shallow source and a deep drain. As a result, the silicon depletion region at the back channel caused by radiation-induced charge trapping in the buried oxide does not form a conducting path between source and drain. Thus, the BUSFET structure design can significantly reduce radiation-induced back-channel leakage without using specially prepared buried oxides. Total dose hardness is achieved without degrading the intrinsic SEU and dose rate hardness of SOI technology. The effectiveness of the BUSFET structure for reducing total-dose back-channel leakage depends on several variables, including the top silicon film thickness and doping concentration and the depth of the source. 3-D simulations show that for a doping concentration of 10 18 cm -3 and a source depth of 90 nm, a silicon film thickness of 180 nm is sufficient to almost completely eliminate radiation-induced back-channel leakage. However, for a doping concentration of 3x10 17 cm -3 , a thicker silicon film (300 nm) must be used

  16. SOI silicon on glass for optical MEMS

    DEFF Research Database (Denmark)

    Larsen, Kristian Pontoppidan; Ravnkilde, Jan Tue; Hansen, Ole

    2003-01-01

    and a final sealing at the interconnects can be performed using a suitable polymer. Packaged MEMS on glass are advantageous within Optical MEMS and for sensitive capacitive devices. We report on experiences with bonding SOI to Pyrex. Uniform DRIE shallow and deep etching was achieved by a combination......A newly developed fabrication method for fabrication of single crystalline Si (SCS) components on glass, utilizing Deep Reactive Ion Etching (DRIE) of a Silicon On Insulator (SOI) wafer is presented. The devices are packaged at wafer level in a glass-silicon-glass (GSG) stack by anodic bonding...... of an optimized device layout and an optimized process recipe. The behavior of the buried oxide membrane when used as an etch stop for the through-hole etch is described. No harmful buckling or fracture of the membrane is observed for an oxide thickness below 1 μm, but larger and more fragile released structures...

  17. New insights on SOI Tunnel FETs with low-temperature process flow for CoolCube™ integration

    Science.gov (United States)

    Diaz Llorente, C.; Le Royer, C.; Batude, P.; Fenouillet-Beranger, C.; Martinie, S.; Lu, C.-M. V.; Allain, F.; Colinge, J.-P.; Cristoloveanu, S.; Ghibaudo, G.; Vinet, M.

    2018-06-01

    This paper reports the fabrication and electrical characterization of planar SOI Tunnel FETs (TFETs) made using a Low-Temperature (LT) process designed for 3D sequential integration. These proof-of-concept TFETs feature junctions obtained by Solid Phase Epitaxy Regrowth (SPER). Their electrical behavior is analyzed and compared to reference samples (regular process using High-Temperature junction formation, HT). Dual ID-VDS measurements verify that the TFET structures present Band-to-Band tunnelling (BTBT) carrier injection and not Schottky Barrier tunnelling. P-mode operating LT TFETs deliver an ON state current similar to that of the HT reference, opening the door towards optimized devices operating with very low threshold voltage VTH and low supply voltage VDD.

  18. Le soi et l’estime de soi chez l’enfant: Une revue systématique de la littérature

    OpenAIRE

    Pinto, Alexandra Maria Pereira Inácio Sequeira; Gatinho, Ana Rita dos Santos; Tereno, Susana; Veríssimo, Manuela

    2016-01-01

    Cette étude vise : a) à analyser les différentes méthodes utilisées pour l’étude du Soi et chez les enfants, en ce que concerne sa qualité et son potentiel et b) à synthétiser les résultats déjà obtenus en termes de Soi/d’estime de soi/d’autoconcept, pour les enfants en âge préscolaire. Après avoir établi des critères rigoureux d’inclusion et d’exclusion, 33 articles ont été sélectionnés, dans plusieurs bases de données, nationales et international...

  19. Anomalous DIBL Effect in Fully Depleted SOI MOSFETs Using Nanoscale Gate-Recessed Channel Process

    Directory of Open Access Journals (Sweden)

    Avi Karsenty

    2015-01-01

    Full Text Available Nanoscale Gate-Recessed Channel (GRC Fully Depleted- (FD- SOI MOSFET device with a silicon channel thickness (tSi as low as 2.2 nm was first tested at room temperature for functionality check and then tested at low temperature (77 K for I-V characterizations. In spite of its FD-SOI nanoscale thickness and long channel feature, the device has surprisingly exhibited a Drain-Induced Barrier Lowering (DIBL effect at RT. However, this effect was suppressed at 77 K. If the apparition of such anomalous effect can be explained by a parasitic short channel transistor located at the edges of the channel, its suppression is explained by the decrease of the potential barrier between the drain and the channel when lowering the temperature.

  20. Ultrabroadband Hybrid III-V/SOI Grating Reflector for On-chip Lasers

    DEFF Research Database (Denmark)

    Park, Gyeong Cheol; Taghizadeh, Alireza; Chung, Il-Sug

    2016-01-01

    We report on a new type of III-V/SOI grating reflector with a broad stopband of 350 nm. This reflector has promising prospects for applications in high-speed III-V/SOI vertical cavity lasers with an improved heat dissipation capability.......We report on a new type of III-V/SOI grating reflector with a broad stopband of 350 nm. This reflector has promising prospects for applications in high-speed III-V/SOI vertical cavity lasers with an improved heat dissipation capability....

  1. Intrinsic Nonlinearities and Layout Impacts of 100 V Integrated Power MOSFETs in Partial SOI Process

    DEFF Research Database (Denmark)

    Fan, Lin; Knott, Arnold; Jørgensen, Ivan Harald Holger

    Parasitic capacitances of power semiconductors are a part of the key design parameters of state-of-the-art very high frequency (VHF) power supplies. In this poster, four 100 V integrated power MOSFETs with different layout structures are designed, implemented, and analyzed in a 0.18 ȝm partial...... Silicon-on-Insulator (SOI) process with a die area 2.31 mm2.  A small-signal model of power MOSFETs is proposed to systematically analyze the nonlinear parasitic capacitances in different transistor states: off-state, sub-threshold region, and on-state in the linear region. 3D plots are used to summarize...

  2. New insights into fully-depleted SOI transistor response during total-dose irradiation

    International Nuclear Information System (INIS)

    Schwank, J.R.; Shaneyfelt, M.R.; Dodd, P.E.; Burns, J.A.; Keast, C.L.; Wyatt, P.W.

    1999-01-01

    In this paper, we present irradiation results on 2-fully depleted processes (HYSOI6, RKSOI) that show SOI (silicon on insulator) device response can be more complicated than originally suggested by others. The major difference between the 2 process versions is that the RKSOI process incorporates special techniques to minimize pre-irradiation parasitic leakage current from trench sidewalls. Transistors were irradiated at room temperature using 10 keV X-ray source. Worst-case bias configuration for total-dose testing fully-depleted SOI transistors was found to be process dependent. It appears that the worst-case bias for HYPOI6 process is the bias that causes the largest increase in sidewall leakage. The RKSOI process shows a different response during irradiation, the transition response appears to be dominated by charge trapping in the buried oxide. These results have implications for hardness assurance testing. (A.C.)

  3. Analysis and modeling of wafer-level process variability in 28 nm FD-SOI using split C-V measurements

    Science.gov (United States)

    Pradeep, Krishna; Poiroux, Thierry; Scheer, Patrick; Juge, André; Gouget, Gilles; Ghibaudo, Gérard

    2018-07-01

    This work details the analysis of wafer level global process variability in 28 nm FD-SOI using split C-V measurements. The proposed approach initially evaluates the native on wafer process variability using efficient extraction methods on split C-V measurements. The on-wafer threshold voltage (VT) variability is first studied and modeled using a simple analytical model. Then, a statistical model based on the Leti-UTSOI compact model is proposed to describe the total C-V variability in different bias conditions. This statistical model is finally used to study the contribution of each process parameter to the total C-V variability.

  4. Monolithic integration of SOI waveguide photodetectors and transimpedance amplifiers

    Science.gov (United States)

    Li, Shuxia; Tarr, N. Garry; Ye, Winnie N.

    2018-02-01

    In the absence of commercial foundry technologies offering silicon-on-insulator (SOI) photonics combined with Complementary Metal Oxide Semiconductor (CMOS) transistors, monolithic integration of conventional electronics with SOI photonics is difficult. Here we explore the implementation of lateral bipolar junction transistors (LBJTs) and Junction Field Effect Transistors (JFETs) in a commercial SOI photonics technology lacking MOS devices but offering a variety of n- and p-type ion implants intended to provide waveguide modulators and photodetectors. The fabrication makes use of the commercial Institute of Microelectronics (IME) SOI photonics technology. Based on knowledge of device doping and geometry, simple compact LBJT and JFET device models are developed. These models are then used to design basic transimpedance amplifiers integrated with optical waveguides. The devices' experimental current-voltage characteristics results are reported.

  5. Investigation of veritcal graded channel doping in nanoscale fully-depleted SOI-MOSFET

    Science.gov (United States)

    Ramezani, Zeinab; Orouji, Ali A.

    2016-10-01

    For achieving reliable transistor, we investigate an amended channel doping (ACD) engineering which improves the electrical and thermal performances of fully-depleted silicon-on-insulator (SOI) MOSFET. We have called the proposed structure with the amended channel doping engineering as ACD-SOI structure and compared it with a conventional fully-depleted SOI MOSFET (C-SOI) with uniform doping distribution using 2-D ATLAS simulator. The amended channel doping is a vertical graded doping that is distributed from the surface of structure with high doping density to the bottom of channel, near the buried oxide, with low doping density. Short channel effects (SCEs) and leakage current suppress due to high barrier height near the source region and electric field modification in the ACD-SOI in comparison with the C-SOI structure. Furthermore, by lower electric field and electron temperature near the drain region that is the place of hot carrier generation, we except the improvement of reliability and gate induced drain lowering (GIDL) in the proposed structure. Undesirable Self heating effect (SHE) that become a critical challenge for SOI MOSFETs is alleviated in the ACD-SOI structure because of utilizing low doping density near the buried oxide. Thus, refer to accessible results, the ACD-SOI structure with graded distribution in vertical direction is a reliable device especially in low power and high temperature applications.

  6. Croire en soi, croire en l'autre

    Directory of Open Access Journals (Sweden)

    Eugène Enriquez

    2014-04-01

    Full Text Available La croyance aux Dieux ou en un Dieu unique c'est-à-dire à l'incroyable est fort répandue et semble normale comme avoir confiance en soi et en l'autre. Mais croire en soi et en l'autre apparaît étonnant car ce serait se mettre sur le même rang que Dieu. Effectivement l'homme essaie de ressembler à Dieu. Mais à Dieu blessé, faillible, s'interrogeant constamment. Ce Dieu nouveau est un "sujet amoureux" amoureux de soi, de l'autre et de la vie. Il se conduit comme un "Dichter" assumant une responsabilité morale. Il est difficile, voire souvent impossible de se situer comme un "Dichter". C'est pourtant la tâche à laquelle l'homme contemporain est confronté.

  7. Submicron superconducting structures

    International Nuclear Information System (INIS)

    Golovashkin, A.I.; Lykov, A.N.

    1986-01-01

    An overview of works concerning superconducting structures of submicron dimensions and a system of such structures is given. It is noted that usage of the above structures in superconducting microelectronics permits, first, to increase the element packing density, to decrease the signal transmission time, capacity, power dissipated in high-frequency applications. Secondly, negligible coherence length in transition metals, their alloys and high-temperature compounds also restrict the dimensions of superconducting weak couplings when the 'classical' Josephson effect is displayed. The most effective methods for production of submicron superconducting structures are the following: lithography, double scribering. Recently the systems of superconducting submicron elements are extensively studied. It is shown that such systems can be phased by magnetic field

  8. Using a novel spectroscopic reflectometer to optimize a radiation-hardened submicron silicon-on-sapphire CMOS process

    International Nuclear Information System (INIS)

    Do, N.T.; Zawaideh, E.; Vu, T.Q.; Warren, G.; Mead, D.; Do, N.T.; Li, G.P.; Tsai, C.S.

    1999-01-01

    A radiation-hardened sub-micron silicon-on-sapphire CMOS process is monitored and optimized using a novel optical technique based on spectroscopic reflectometry. Quantitative measurements of the crystal quality, surface roughness, and device radiation hardness show excellent correlation between this technique and the Atomic Force Microscopy. (authors)

  9. Ultra compact triplexing filters based on SOI nanowire AWGs

    Science.gov (United States)

    Jiashun, Zhang; Junming, An; Lei, Zhao; Shijiao, Song; Liangliang, Wang; Jianguang, Li; Hongjie, Wang; Yuanda, Wu; Xiongwei, Hu

    2011-04-01

    An ultra compact triplexing filter was designed based on a silicon on insulator (SOI) nanowire arrayed waveguide grating (AWG) for fiber-to-the-home FTTH. The simulation results revealed that the design performed well in the sense of having a good triplexing function. The designed SOI nanowire AWGs were fabricated using ultraviolet lithography and induced coupler plasma etching. The experimental results showed that the crosstalk was less than -15 dB, and the 3 dB-bandwidth was 11.04 nm. The peak wavelength output from ports a, c, and b were 1455, 1510 and 1300 nm, respectively, which deviated from our original expectations. The deviation of the wavelength is mainly caused by 45 nm width deviation of the arrayed waveguides during the course of the fabrication process and partly caused by material dispersion.

  10. VCSELs and silicon light sources exploiting SOI grating mirrors

    DEFF Research Database (Denmark)

    Chung, Il-Sug; Mørk, Jesper

    2012-01-01

    In this talk, novel vertical-cavity laser structure consisting of a dielectric Bragg reflector, a III-V active region, and a high-index-contrast grating made in the Si layer of a silicon-on-insulator (SOI) wafer will be presented. In the Si light source version of this laser structure, the SOI...... the Bragg reflector. Numerical simulations show that both the silicon light source and the VCSEL exploiting SOI grating mirrors have superior performances, compared to existing silicon light sources and long wavelength VCSELs. These devices are highly adequate for chip-level optical interconnects as well...

  11. Ultra compact triplexing filters based on SOI nanowire AWGs

    Energy Technology Data Exchange (ETDEWEB)

    Zhang Jiashun; An Junming; Zhao Lei; Song Shijiao; Wang Liangliang; Li Jianguang; Wang Hongjie; Wu Yuanda; Hu Xiongwei, E-mail: junming@red.semi.ac.cn [State Key Laboratory on Integrated Optoelectronics, Institute of Semiconductors, Chinese Academy of Sciences, Beijing 100083 (China)

    2011-04-15

    An ultra compact triplexing filter was designed based on a silicon on insulator (SOI) nanowire arrayed waveguide grating (AWG) for fiber-to-the-home FTTH. The simulation results revealed that the design performed well in the sense of having a good triplexing function. The designed SOI nanowire AWGs were fabricated using ultraviolet lithography and induced coupler plasma etching. The experimental results showed that the crosstalk was less than -15 dB, and the 3 dB-bandwidth was 11.04 nm. The peak wavelength output from ports a, c, and b were 1455, 1510 and 1300 nm, respectively, which deviated from our original expectations. The deviation of the wavelength is mainly caused by 45 nm width deviation of the arrayed waveguides during the course of the fabrication process and partly caused by material dispersion. (semiconductor devices)

  12. Ultra compact triplexing filters based on SOI nanowire AWGs

    International Nuclear Information System (INIS)

    Zhang Jiashun; An Junming; Zhao Lei; Song Shijiao; Wang Liangliang; Li Jianguang; Wang Hongjie; Wu Yuanda; Hu Xiongwei

    2011-01-01

    An ultra compact triplexing filter was designed based on a silicon on insulator (SOI) nanowire arrayed waveguide grating (AWG) for fiber-to-the-home FTTH. The simulation results revealed that the design performed well in the sense of having a good triplexing function. The designed SOI nanowire AWGs were fabricated using ultraviolet lithography and induced coupler plasma etching. The experimental results showed that the crosstalk was less than -15 dB, and the 3 dB-bandwidth was 11.04 nm. The peak wavelength output from ports a, c, and b were 1455, 1510 and 1300 nm, respectively, which deviated from our original expectations. The deviation of the wavelength is mainly caused by 45 nm width deviation of the arrayed waveguides during the course of the fabrication process and partly caused by material dispersion. (semiconductor devices)

  13. Hybrid III-V/SOI Resonant Cavity Photodetector

    DEFF Research Database (Denmark)

    Learkthanakhachon, Supannee; Taghizadeh, Alireza; Park, Gyeong Cheol

    2016-01-01

    A hybrid III-V/SOI resonant cavity photo detector has been demonstrated, which comprises an InP grating reflectorand a Si grating reflector. It can selectively detects an incident light with 1.54-µm wavelength and TM polarization.......A hybrid III-V/SOI resonant cavity photo detector has been demonstrated, which comprises an InP grating reflectorand a Si grating reflector. It can selectively detects an incident light with 1.54-µm wavelength and TM polarization....

  14. Scaling limits and reliability of SOI CMOS technology

    International Nuclear Information System (INIS)

    Ioannou, D E

    2005-01-01

    As bulk and PD-SOI CMOS approach their scaling limit (at gate length of around 50 nm), there is a renewed interest on FD-SOI because of its potential for continued scalability beyond this limit. In this review the performance and reliability of extremely scaled FD transistors are discussed and an attempt is made to identify critical areas for further research. (invited paper)

  15. Electrical properties and radiation hardness of SOI systems with multilayer buried dielectric

    International Nuclear Information System (INIS)

    Barchuk, I.P.; Kilchitskaya, V.I.; Lysenko, V.S.

    1997-01-01

    In this work SOI structures with buried SiO 2 -Si 3 N 4 -SiO 2 layers have been fabricated by the ZMR-technique with the aim of improving the total dose radiation hardness of the buried dielectric layer. To optimize the fabrication process, buried layers were investigated by secondary ion mass spectrometry before and after the ZMR process, and the obtained results were compared with electrical measurements. It is shown that optimization of the preparation processes of the initial buried dielectric layers provides ZMR SOI structures with multilayer buried isolation, which are of high quality for both Si film interfaces. Particular attention is paid to the investigation of radiation-induced charge trapping in buried insulators. Buried isolation structures with a nitride layer exhibit significant reduction of radiation-induced positive charge as compared to classical buried SiO 2 layers produced by either the ZMR or the SIMOX technique

  16. Design and fabrication of piezoresistive p-SOI Wheatstone bridges for high-temperature applications

    Science.gov (United States)

    Kähler, Julian; Döring, Lutz; Merzsch, Stephan; Stranz, Andrej; Waag, Andreas; Peiner, Erwin

    2011-06-01

    For future measurements while depth drilling, commercial sensors are required for a temperature range from -40 up to 300 °C. Conventional piezoresistive silicon sensors cannot be used at higher temperatures due to an exponential increase of leakage currents which results in a drop of the bridge voltage. A well-known procedure to expand the temperature range of silicon sensors and to reduce leakage currents is to employ Silicon-On-Insulator (SOI) instead of standard wafer material. Diffused resistors can be operated up to 200 °C, but show the same problems beyond due to leakage of the p-njunction. Our approach is to use p-SOI where resistors as well as interconnects are defined by etching down to the oxide layer. Leakage is suppressed and the temperature dependence of the bridges is very low (TCR = (2.6 +/- 0.1) μV/K@1 mA up to 400 °C). The design and process flow will be presented in detail. The characteristics of Wheatstone bridges made of silicon, n- SOI, and p-SOI will be shown for temperatures up to 300 °C. Besides, thermal FEM-simulations will be described revealing the effect of stress between silicon and the silicon-oxide layer during temperature cycling.

  17. New Insights into Fully-Depleted SOI Transistor Response During Total Dose Irradiation

    International Nuclear Information System (INIS)

    Burns, J.A.; Dodd, P.E.; Keast, C.L.; Schwank, J.R.; Shaneyfelt, M.R.; Wyatt, P.W.

    1999-01-01

    Worst-case bias configuration for total-dose testing fully-depleted SOI transistors was found to be process dependent. No evidence was found for total-dose induced snap back. These results have implications for hardness assurance testing

  18. Submicron X-ray diffraction

    International Nuclear Information System (INIS)

    MacDowell, Alastair; Celestre, Richard; Tamura, Nobumichi; Spolenak, Ralph; Valek, Bryan; Brown, Walter; Bravman, John; Padmore, Howard; Batterman, Boris; Patel, Jamshed

    2000-01-01

    At the Advanced Light Source in Berkeley the authors have instrumented a beam line that is devoted exclusively to x-ray micro diffraction problems. By micro diffraction they mean those classes of problems in Physics and Materials Science that require x-ray beam sizes in the sub-micron range. The instrument is for instance, capable of probing a sub-micron size volume inside micron sized aluminum metal grains buried under a silicon dioxide insulating layer. The resulting Laue pattern is collected on a large area CCD detector and automatically indexed to yield the grain orientation and deviatoric (distortional) strain tensor of this sub-micron volume. A four-crystal monochromator is then inserted into the beam, which allows monochromatic light to illuminate the same part of the sample. Measurement of diffracted photon energy allows for the determination of d spacings. The combination of white and monochromatic beam measurements allow for the determination of the total strain/stress tensor (6 components) inside each sub-micron sized illuminated volume of the sample

  19. A novel nanoscale SOI MOSFET by embedding undoped region for improving self-heating effect

    Science.gov (United States)

    Ghaffari, Majid; Orouji, Ali A.

    2018-06-01

    Because of the low thermal conductivity of the SiO2 (oxide), the Buried Oxide (BOX) layer in a Silicon-On-Insulator Metal-Oxide Semiconductor Field-Effect Transistor (SOI MOSFET) prevents heat dissipation in the silicon layer and causes increase in the device lattice temperature. In this paper, a new technique is proposed for reducing Self-Heating Effects (SHEs). The key idea in the proposed structure is using a Silicon undoped Region (SR) in the nanoscale SOI MOSFET under the drain and channel regions in order to decrease the SHE. The novel transistor is named Silicon undoped Region SOI-MOSFET (SR-SOI). Due to the embedded silicon undoped region in the suitable place, the proposed structure has decreased the device lattice temperature. The location and dimensions of the proposed region have been carefully optimized to achieve the best results. This work has explored enhancement such as decreased maximum lattice temperature, increased electron mobility, increased drain current, lower DC drain conductance and higher DC transconductance and also decreased bandgap energy variations. Also, for modeling of the structure in the SPICE tools, the main characterizations have been extracted such as thermal resistance (RTH), thermal capacitance (CTH), and SHE characteristic frequency (fTH). All parameters are extracted in relation with the AC operation indicate excellent performance of the SR-SOI device. The results show that proposed region is a suitable alternative to oxide as a part of the buried oxide layer in SOI structures and has better performance in high temperature. Using two-dimensional (2-D) and two-carrier device simulation is done comparison of the SR-SOI structure with a Conventional SOI (C-SOI). As a result, the SR-SOI device can be regarded as a useful substitution for the C-SOI device in nanoscale integrated circuits as a reliable device.

  20. Technology development for SOI monolithic pixel detectors

    International Nuclear Information System (INIS)

    Marczewski, J.; Domanski, K.; Grabiec, P.; Grodner, M.; Jaroszewicz, B.; Kociubinski, A.; Kucharski, K.; Tomaszewski, D.; Caccia, M.; Kucewicz, W.; Niemiec, H.

    2006-01-01

    A monolithic detector of ionizing radiation has been manufactured using silicon on insulator (SOI) wafers with a high-resistivity substrate. In our paper the integration of a standard 3 μm CMOS technology, originally designed for bulk devices, with fabrication of pixels in the bottom wafer of a SOI substrate is described. Both technological sequences have been merged minimizing thermal budget and providing suitable properties of all the technological layers. The achieved performance proves that fully depleted monolithic active pixel matrix might be a viable option for a wide spectrum of future applications

  1. Worst-Case Bias During Total Dose Irradiation of SOI Transistors

    International Nuclear Information System (INIS)

    Ferlet-Cavrois, V.; Colladant, T.; Paillet, P.; Leray, J.-L; Musseau, O.; Schwank, James R.; Shaneyfelt, Marty R.; Pelloie, J.L.; Du Port de Poncharra, J.

    2000-01-01

    The worst case bias during total dose irradiation of partially depleted SOI transistors (from SNL and from CEA/LETI) is correlated to the device architecture. Experiments and simulations are used to analyze SOI back transistor threshold voltage shift and charge trapping in the buried oxide

  2. Advanced Liquid-Free, Piezoresistive, SOI-Based Pressure Sensors for Measurements in Harsh Environments

    Directory of Open Access Journals (Sweden)

    Ha-Duong Ngo

    2015-08-01

    Full Text Available In this paper we present and discuss two innovative liquid-free SOI sensors for pressure measurements in harsh environments. The sensors are capable of measuring pressures at high temperatures. In both concepts media separation is realized using a steel membrane. The two concepts represent two different strategies for packaging of devices for use in harsh environments and at high temperatures. The first one is a “one-sensor-one-packaging_technology” concept. The second one uses a standard flip-chip bonding technique. The first sensor is a “floating-concept”, capable of measuring pressures at temperatures up to 400 °C (constant load with an accuracy of 0.25% Full Scale Output (FSO. A push rod (mounted onto the steel membrane transfers the applied pressure directly to the center-boss membrane of the SOI-chip, which is placed on a ceramic carrier. The chip membrane is realized by Deep Reactive Ion Etching (DRIE or Bosch Process. A novel propertied chip housing employing a sliding sensor chip that is fixed during packaging by mechanical preloading via the push rod is used, thereby avoiding chip movement, and ensuring optimal push rod load transmission. The second sensor can be used up to 350 °C. The SOI chips consists of a beam with an integrated centre-boss with was realized using KOH structuring and DRIE. The SOI chip is not “floating” but bonded by using flip-chip technology. The fabricated SOI sensor chip has a bridge resistance of 3250 Ω. The realized sensor chip has a sensitivity of 18 mV/µm measured using a bridge current of 1 mA.

  3. Advanced Liquid-Free, Piezoresistive, SOI-Based Pressure Sensors for Measurements in Harsh Environments.

    Science.gov (United States)

    Ngo, Ha-Duong; Mukhopadhyay, Biswaijit; Ehrmann, Oswin; Lang, Klaus-Dieter

    2015-08-18

    In this paper we present and discuss two innovative liquid-free SOI sensors for pressure measurements in harsh environments. The sensors are capable of measuring pressures at high temperatures. In both concepts media separation is realized using a steel membrane. The two concepts represent two different strategies for packaging of devices for use in harsh environments and at high temperatures. The first one is a "one-sensor-one-packaging_technology" concept. The second one uses a standard flip-chip bonding technique. The first sensor is a "floating-concept", capable of measuring pressures at temperatures up to 400 °C (constant load) with an accuracy of 0.25% Full Scale Output (FSO). A push rod (mounted onto the steel membrane) transfers the applied pressure directly to the center-boss membrane of the SOI-chip, which is placed on a ceramic carrier. The chip membrane is realized by Deep Reactive Ion Etching (DRIE or Bosch Process). A novel propertied chip housing employing a sliding sensor chip that is fixed during packaging by mechanical preloading via the push rod is used, thereby avoiding chip movement, and ensuring optimal push rod load transmission. The second sensor can be used up to 350 °C. The SOI chips consists of a beam with an integrated centre-boss with was realized using KOH structuring and DRIE. The SOI chip is not "floating" but bonded by using flip-chip technology. The fabricated SOI sensor chip has a bridge resistance of 3250 Ω. The realized sensor chip has a sensitivity of 18 mV/µm measured using a bridge current of 1 mA.

  4. The Bridges SOI Model School Program at Palo Verde School, Palo Verde, Arizona.

    Science.gov (United States)

    Stock, William A.; DiSalvo, Pamela M.

    The Bridges SOI Model School Program is an educational service based upon the SOI (Structure of Intellect) Model School curriculum. For the middle seven months of the academic year, all students in the program complete brief daily exercises that develop specific cognitive skills delineated in the SOI model. Additionally, intensive individual…

  5. A novel self-aligned oxygen (SALOX) implanted SOI MOSFET device structure

    Science.gov (United States)

    Tzeng, J. C.; Baerg, W.; Ting, C.; Siu, B.

    The morphology of the novel self-aligned oxygen implanted SOI (SALOX SOI) [1] MOSFET was studied. The channel silicon of SALOX SOI was confirmed to be undamaged single crystal silicon and was connected with the substrate. Buried oxide formed by oxygen implantation in this SALOX SOI structure was shown by a cross section transmission electron micrograph (X-TEM) to be amorphous. The source/drain silicon on top of the buried oxide was single crystal, as shown by the transmission electron diffraction (TED) pattern. The source/drain regions were elevated due to the buried oxide volume expansion. A sharp silicon—silicon dioxide interface between the source/drain silicon and buried oxide was observed by Auger electron spectroscopy (AES). Well behaved n-MOS transistor current voltage characteristics were obtained and showed no I-V kink.

  6. Micromachined thin-film sensors for SOI-CMOS co-integration

    CERN Document Server

    Laconte, Jean; Raskin, Jean-Pierre

    2006-01-01

    Co-integration of MEMS and MOS in SOI technology is promising and well demonstrated hereThe impact of Micromachining on SOI devices is deeply analyzed for the first timeInclude extensive TMAH etching, residual stress, microheaters, gas-flow sensors reviewResidual stresses in thin films need to be more and more monitored in MEMS designsTMAH micromachining is an attractive alternative to KOH.

  7. A 680 V LDMOS on a thin SOI with an improved field oxide structure and dual field plate

    International Nuclear Information System (INIS)

    Wang Zhongjian; Cheng Xinhong; Xia Chao; Xu Dawei; Cao Duo; Song Zhaorui; Yu Yuehui; Shen Dashen

    2012-01-01

    A 680 V LDMOS on a thin SOI with an improved field oxide (FOX) and dual field plate was studied experimentally. The FOX structure was formed by an 'oxidation-etch-oxidation' process, which took much less time to form, and had a low protrusion profile. A polysilicon field plate extended to the FOX and a long metal field plate was used to improve the specific on-resistance. An optimized drift region implant for linear-gradient doping was adopted to achieve a uniform lateral electric field. Using a SimBond SOI wafer with a 1.5 μm top silicon and a 3 μm buried oxide layer, CMOS compatible SOI LDMOS processes are designed and implemented successfully. The off-state breakdown voltage reached 680 V, and the specific on-resistance was 8.2 Ω·mm 2 . (semiconductor devices)

  8. Scanning SQUID susceptometers with sub-micron spatial resolution

    Energy Technology Data Exchange (ETDEWEB)

    Kirtley, John R., E-mail: jkirtley@stanford.edu; Rosenberg, Aaron J.; Palmstrom, Johanna C.; Holland, Connor M.; Moler, Kathryn A. [Department of Applied Physics, Stanford University, Stanford, California 94305-4045 (United States); Paulius, Lisa [Department of Physics, Western Michigan University, Kalamazoo, Michigan 49008-5252 (United States); Spanton, Eric M. [Department of Physics, Stanford University, Stanford, California 94305-4045 (United States); Schiessl, Daniel [Attocube Systems AG, Königinstraße 11A, 80539 Munich (Germany); Jermain, Colin L.; Gibbons, Jonathan [Department of Physics, Cornell University, Cornell, Ithaca, New York 14853 (United States); Fung, Y.-K.K.; Gibson, Gerald W. [IBM Research Division, T. J. Watson Research Center, Yorktown Heights, New York 10598 (United States); Huber, Martin E. [Department of Physics, University of Colorado Denver, Denver, Colorado 80217-3364 (United States); Ralph, Daniel C. [Department of Physics, Cornell University, Cornell, Ithaca, New York 14853 (United States); Kavli Institute at Cornell, Ithaca, New York 14853 (United States); Ketchen, Mark B. [OcteVue, Hadley, Massachusetts 01035 (United States)

    2016-09-15

    Superconducting QUantum Interference Device (SQUID) microscopy has excellent magnetic field sensitivity, but suffers from modest spatial resolution when compared with other scanning probes. This spatial resolution is determined by both the size of the field sensitive area and the spacing between this area and the sample surface. In this paper we describe scanning SQUID susceptometers that achieve sub-micron spatial resolution while retaining a white noise floor flux sensitivity of ≈2μΦ{sub 0}/Hz{sup 1/2}. This high spatial resolution is accomplished by deep sub-micron feature sizes, well shielded pickup loops fabricated using a planarized process, and a deep etch step that minimizes the spacing between the sample surface and the SQUID pickup loop. We describe the design, modeling, fabrication, and testing of these sensors. Although sub-micron spatial resolution has been achieved previously in scanning SQUID sensors, our sensors not only achieve high spatial resolution but also have integrated modulation coils for flux feedback, integrated field coils for susceptibility measurements, and batch processing. They are therefore a generally applicable tool for imaging sample magnetization, currents, and susceptibilities with higher spatial resolution than previous susceptometers.

  9. Scanning SQUID susceptometers with sub-micron spatial resolution

    International Nuclear Information System (INIS)

    Kirtley, John R.; Rosenberg, Aaron J.; Palmstrom, Johanna C.; Holland, Connor M.; Moler, Kathryn A.; Paulius, Lisa; Spanton, Eric M.; Schiessl, Daniel; Jermain, Colin L.; Gibbons, Jonathan; Fung, Y.-K.K.; Gibson, Gerald W.; Huber, Martin E.; Ralph, Daniel C.; Ketchen, Mark B.

    2016-01-01

    Superconducting QUantum Interference Device (SQUID) microscopy has excellent magnetic field sensitivity, but suffers from modest spatial resolution when compared with other scanning probes. This spatial resolution is determined by both the size of the field sensitive area and the spacing between this area and the sample surface. In this paper we describe scanning SQUID susceptometers that achieve sub-micron spatial resolution while retaining a white noise floor flux sensitivity of ≈2μΦ_0/Hz"1"/"2. This high spatial resolution is accomplished by deep sub-micron feature sizes, well shielded pickup loops fabricated using a planarized process, and a deep etch step that minimizes the spacing between the sample surface and the SQUID pickup loop. We describe the design, modeling, fabrication, and testing of these sensors. Although sub-micron spatial resolution has been achieved previously in scanning SQUID sensors, our sensors not only achieve high spatial resolution but also have integrated modulation coils for flux feedback, integrated field coils for susceptibility measurements, and batch processing. They are therefore a generally applicable tool for imaging sample magnetization, currents, and susceptibilities with higher spatial resolution than previous susceptometers.

  10. SOI technology for power management in automotive and industrial applications

    Science.gov (United States)

    Stork, Johannes M. C.; Hosey, George P.

    2017-02-01

    Semiconductor on Insulator (SOI) technology offers an assortment of opportunities for chip manufacturers in the Power Management market. Recent advances in the automotive and industrial markets, along with emerging features, the increasing use of sensors, and the ever-expanding "Internet of Things" (IoT) are providing for continued growth in these markets while also driving more complex solutions. The potential benefits of SOI include the ability to place both high-voltage and low-voltage devices on a single chip, saving space and cost, simplifying designs and models, and improving performance, thereby cutting development costs and improving time to market. SOI also offers novel new approaches to long-standing technologies.

  11. A monolithic pixel sensor (TRAPPISTe-2) for particle physics instrumentation in OKI 0.2μm SOI technology

    Science.gov (United States)

    Soung Yee, L.; Alvarez, P.; Martin, E.; Cortina, E.; Ferrer, C.

    2012-12-01

    A monolithic active pixel sensor for charged particle tracking has been developed within the frame of a research and development project called TRAPPISTe (Tracking Particles for Physics Instrumentation in SOI Technology). TRAPPISTe aims to study the feasibility of developing a monolithic pixel sensor with SOI technology. TRAPPISTe-2 is the second prototype in this series and was fabricated with an OKI 0.20μm fully depleted (FD-SOI) CMOS process. This device contains test transistors and amplifiers, as well as two pixel matrices with integrated 3-transistor and amplifier readout electronics. The results presented are based on the first electrical measurements performed on the test structures and laser measurements on the pixel matrices.

  12. Second Harmonic Generation characterization of SOI wafers: Impact of layer thickness and interface electric field

    Science.gov (United States)

    Damianos, D.; Vitrant, G.; Lei, M.; Changala, J.; Kaminski-Cachopo, A.; Blanc-Pelissier, D.; Cristoloveanu, S.; Ionica, I.

    2018-05-01

    In this work, we investigate Second Harmonic Generation (SHG) as a non-destructive characterization method for Silicon-On-Insulator (SOI) materials. For thick SOI stacks, the SHG signal is related to the thickness variations of the different layers. However, in thin SOI films, the comparison between measurements and optical modeling suggests a supplementary SHG contribution attributed to the electric fields at the SiO2/Si interfaces. The impact of the electric field at each interface of the SOI on the SHG is assessed. The SHG technique can be used to evaluate interfacial electric fields and consequently interface charge density in SOI materials.

  13. Light emitting diode with high aspect ratio submicron roughness for light extraction and methods of forming

    Science.gov (United States)

    Li, Ting [Ventura, CA

    2011-04-26

    The surface morphology of an LED light emitting surface is changed by applying a reactive ion etch (RIE) process to the light emitting surface. High aspect ratio, submicron roughness is formed on the light emitting surface by transferring a thin film metal hard-mask having submicron patterns to the surface prior to applying a reactive ion etch process. The submicron patterns in the metal hard-mask can be formed using a low cost, commercially available nano-patterned template which is transferred to the surface with the mask. After subsequently binding the mask to the surface, the template is removed and the RIE process is applied for time duration sufficient to change the morphology of the surface. The modified surface contains non-symmetric, submicron structures having high aspect ratio which increase the efficiency of the device.

  14. An SOI CMOS-Based Multi-Sensor MEMS Chip for Fluidic Applications.

    Science.gov (United States)

    Mansoor, Mohtashim; Haneef, Ibraheem; Akhtar, Suhail; Rafiq, Muhammad Aftab; De Luca, Andrea; Ali, Syed Zeeshan; Udrea, Florin

    2016-11-04

    An SOI CMOS multi-sensor MEMS chip, which can simultaneously measure temperature, pressure and flow rate, has been reported. The multi-sensor chip has been designed keeping in view the requirements of researchers interested in experimental fluid dynamics. The chip contains ten thermodiodes (temperature sensors), a piezoresistive-type pressure sensor and nine hot film-based flow rate sensors fabricated within the oxide layer of the SOI wafers. The silicon dioxide layers with embedded sensors are relieved from the substrate as membranes with the help of a single DRIE step after chip fabrication from a commercial CMOS foundry. Very dense sensor packing per unit area of the chip has been enabled by using technologies/processes like SOI, CMOS and DRIE. Independent apparatuses were used for the characterization of each sensor. With a drive current of 10 µA-0.1 µA, the thermodiodes exhibited sensitivities of 1.41 mV/°C-1.79 mV/°C in the range 20-300 °C. The sensitivity of the pressure sensor was 0.0686 mV/(V excit kPa) with a non-linearity of 0.25% between 0 and 69 kPa above ambient pressure. Packaged in a micro-channel, the flow rate sensor has a linearized sensitivity of 17.3 mV/(L/min) -0.1 in the tested range of 0-4.7 L/min. The multi-sensor chip can be used for simultaneous measurement of fluid pressure, temperature and flow rate in fluidic experiments and aerospace/automotive/biomedical/process industries.

  15. An SEU resistant 256K SOI SRAM

    Science.gov (United States)

    Hite, L. R.; Lu, H.; Houston, T. W.; Hurta, D. S.; Bailey, W. E.

    1992-12-01

    A novel SEU (single event upset) resistant SRAM (static random access memory) cell has been implemented in a 256K SOI (silicon on insulator) SRAM that has attractive performance characteristics over the military temperature range of -55 to +125 C. These include worst-case access time of 40 ns with an active power of only 150 mW at 25 MHz, and a worst-case minimum WRITE pulse width of 20 ns. Measured SEU performance gives an Adams 10 percent worst-case error rate of 3.4 x 10 exp -11 errors/bit-day using the CRUP code with a conservative first-upset LET threshold. Modeling does show that higher bipolar gain than that measured on a sample from the SRAM lot would produce a lower error rate. Measurements show the worst-case supply voltage for SEU to be 5.5 V. Analysis has shown this to be primarily caused by the drain voltage dependence of the beta of the SOI parasitic bipolar transistor. Based on this, SEU experiments with SOI devices should include measurements as a function of supply voltage, rather than the traditional 4.5 V, to determine the worst-case condition.

  16. Characterization of pixel sensor designed in 180 nm SOI CMOS technology

    Science.gov (United States)

    Benka, T.; Havranek, M.; Hejtmanek, M.; Jakovenko, J.; Janoska, Z.; Marcisovska, M.; Marcisovsky, M.; Neue, G.; Tomasek, L.; Vrba, V.

    2018-01-01

    A new type of X-ray imaging Monolithic Active Pixel Sensor (MAPS), X-CHIP-02, was developed using a 180 nm deep submicron Silicon On Insulator (SOI) CMOS commercial technology. Two pixel matrices were integrated into the prototype chip, which differ by the pixel pitch of 50 μm and 100 μm. The X-CHIP-02 contains several test structures, which are useful for characterization of individual blocks. The sensitive part of the pixel integrated in the handle wafer is one of the key structures designed for testing. The purpose of this structure is to determine the capacitance of the sensitive part (diode in the MAPS pixel). The measured capacitance is 2.9 fF for 50 μm pixel pitch and 4.8 fF for 100 μm pixel pitch at -100 V (default operational voltage). This structure was used to measure the IV characteristics of the sensitive diode. In this work, we report on a circuit designed for precise determination of sensor capacitance and IV characteristics of both pixel types with respect to X-ray irradiation. The motivation for measurement of the sensor capacitance was its importance for the design of front-end amplifier circuits. The design of pixel elements, as well as circuit simulation and laboratory measurement techniques are described. The experimental results are of great importance for further development of MAPS sensors in this technology.

  17. Development of an X-ray imaging system with SOI pixel detectors

    Energy Technology Data Exchange (ETDEWEB)

    Nishimura, Ryutaro, E-mail: ryunishi@post.kek.jp [School of High Energy Accelerator Science, SOKENDAI (The Graduate University for Advanced Studies), Oho 1-1, Tsukuba, Ibaraki 305-0801 (Japan); Arai, Yasuo; Miyoshi, Toshinobu [Institute of Particle and Nuclear Studies, High Energy Accelerator Research Organization (KEK-IPNS), Oho 1-1, Tsukuba, Ibaraki 305-0801 (Japan); Hirano, Keiichi; Kishimoto, Shunji; Hashimoto, Ryo [Institute of Materials Structure Science, High Energy Accelerator Research Organization (KEK-IMSS), Oho 1-1, Tsukuba, Ibaraki 305-0801 (Japan)

    2016-09-21

    An X-ray imaging system employing pixel sensors in silicon-on-insulator technology is currently under development. The system consists of an SOI pixel detector (INTPIX4) and a DAQ system based on a multi-purpose readout board (SEABAS2). To correct a bottleneck in the total throughput of the DAQ of the first prototype, parallel processing of the data taking and storing processes and a FIFO buffer were implemented for the new DAQ release. Due to these upgrades, the DAQ throughput was improved from 6 Hz (41 Mbps) to 90 Hz (613 Mbps). The first X-ray imaging system with the new DAQ software release was tested using 33.3 keV and 9.5 keV mono X-rays for three-dimensional computerized tomography. The results of these tests are presented. - Highlights: • The X-ray imaging system employing the SOI pixel sensor is currently under development. • The DAQ of the first prototype has the bottleneck in the total throughput. • The new DAQ release solve the bottleneck by parallel processing and FIFO buffer. • The new DAQ release was tested using 33.3 keV and 9.5 keV mono X-rays.

  18. SOI MESFETs on high-resistivity, trap-rich substrates

    Science.gov (United States)

    Mehr, Payam; Zhang, Xiong; Lepkowski, William; Li, Chaojiang; Thornton, Trevor J.

    2018-04-01

    The DC and RF characteristics of metal-semiconductor field-effect-transistors (MESFETs) on conventional CMOS silicon-on-insulator (SOI) substrates are compared to nominally identical devices on high-resistivity, trap-rich SOI substrates. While the DC transfer characteristics are statistically identical on either substrate, the maximum available gain at GHz frequencies is enhanced by ∼2 dB when using the trap-rich substrates, with maximum operating frequencies, fmax, that are approximately 5-10% higher. The increased fmax is explained by the reduced substrate conduction at GHz frequencies using a lumped-element, small-signal model.

  19. Characterization of ultrathin SOI film and application to short channel MOSFETs.

    Science.gov (United States)

    Tang, Xiaohui; Reckinger, Nicolas; Larrieu, Guilhem; Dubois, Emmanuel; Flandre, Denis; Raskin, Jean-Pierre; Nysten, Bernard; Jonas, Alain M; Bayot, Vincent

    2008-04-23

    In this study, a very dilute solution (NH(4)OH:H(2)O(2):H(2)O 1:8:64 mixture) was employed to reduce the thickness of commercially available SOI wafers down to 3 nm. The etch rate is precisely controlled at 0.11 Å s(-1) based on the self-limited etching speed of the solution. The thickness uniformity of the thin film, evaluated by spectroscopic ellipsometry and by high-resolution x-ray reflectivity, remains constant through the thinning process. Moreover, the film roughness, analyzed by atomic force microscopy, slightly improves during the thinning process. The residual stress in the thin film is much smaller than that obtained by sacrificial oxidation. Mobility, measured by means of a bridge-type Hall bar on 15 nm film, is not significantly reduced compared to the value of bulk silicon. Finally, the thinned SOI wafers were used to fabricate Schottky-barrier metal-oxide-semiconductor field-effect transistors with a gate length down to 30 nm, featuring state-of-the-art current drive performance.

  20. A MEMS SOI-based piezoresistive fluid flow sensor

    Science.gov (United States)

    Tian, B.; Li, H. F.; Yang, H.; Song, D. L.; Bai, X. W.; Zhao, Y. L.

    2018-02-01

    In this paper, a SOI (silicon-on-insulator)-based piezoresistive fluid flow sensor is presented; the presented flow sensor mainly consists of a nylon sensing head, stainless steel cantilever beam, SOI sensor chip, printed circuit board, half-cylinder gasket, and stainless steel shell. The working principle of the sensor and some detailed contrastive analysis about the sensor structure were introduced since the nylon sensing head and stainless steel cantilever beam have distinct influence on the sensor performance; the structure of nylon sensing head and stainless steel cantilever beam is also discussed. The SOI sensor chip was fabricated using micro-electromechanical systems technologies, such as reactive ion etching and low pressure chemical vapor deposition. The designed fluid sensor was packaged and tested; a calibration installation system was purposely designed for the sensor experiment. The testing results indicated that the output voltage of the sensor is proportional to the square of the fluid flow velocity, which is coincident with the theoretical derivation. The tested sensitivity of the sensor is 3.91 × 10-4 V ms2/kg.

  1. Silicon-on-insulator (SOI) active pixel sensors with the photosite implemented in the substrate

    Science.gov (United States)

    Zheng, Xinyu (Inventor); Pain, Bedabrata (Inventor)

    2005-01-01

    Active pixel sensors for a high quality imager are fabricated using a silicon-on-insulator (SOI) process by integrating the photodetectors on the SOI substrate and forming pixel readout transistors on the SOI thin-film. The technique can include forming silicon islands on a buried insulator layer disposed on a silicon substrate and selectively etching away the buried insulator layer over a region of the substrate to define a photodetector area. Dopants of a first conductivity type are implanted to form a signal node in the photodetector area and to form simultaneously drain/source regions for a first transistor in at least a first one of the silicon islands. Dopants of a second conductivity type are implanted to form drain/source regions for a second transistor in at least a second one of the silicon islands. Isolation rings around the photodetector also can be formed when dopants of the second conductivity type are implanted. Interconnections among the transistors and the photodetector are provided to allow signals sensed by the photodetector to be read out via the transistors formed on the silicon islands.

  2. L’estime de soi : un cas particulier d’estime sociale ?

    OpenAIRE

    Santarelli, Matteo

    2016-01-01

    Un des traits plus originaux de la théorie intersubjective de la reconnaissance d’Axel Honneth, consiste dans la façon dont elle discute la relation entre estime sociale et estime de soi. En particulier, Honneth présente l’estime de soi comme un reflet de l’estime sociale au niveau individuel. Dans cet article, je discute cette conception, en posant la question suivante : l’estime de soi est-elle un cas particulier de l’estime sociale ? Pour ce faire, je me concentre sur deux problèmes crucia...

  3. Improved operation of graded-channel SOI nMOSFETs down to liquid helium temperature

    Science.gov (United States)

    Pavanello, Marcelo Antonio; de Souza, Michelly; Ribeiro, Thales Augusto; Martino, João Antonio; Flandre, Denis

    2016-11-01

    This paper presents the operation of Graded-Channel (GC) Silicon-On-Insulator (SOI) nMOSFETs at low temperatures down to liquid helium temperature in comparison to standard uniformly doped transistors. Devices from two different technologies have been measured and show that the mobility increase rate with temperature for GC SOI transistors is similar to uniformly doped devices for temperatures down to 90 K. However, at liquid helium temperature the rate of mobility increase is larger in GC SOI than in standard devices because of the different mobility scattering mechanisms. The analog properties of GC SOI devices have been investigated down to 4.16 K and show that because of its better transconductance and output conductance, an intrinsic voltage gain improvement with temperature is also obtained for devices in the whole studied temperature range. GC devices are also capable of reducing the impact ionization due to the high electric field in the drain region, increasing the drain breakdown voltage of fully-depleted SOI MOSFETs at any studied temperature and the kink voltage at 4.16 K.

  4. An SOI CMOS-Based Multi-Sensor MEMS Chip for Fluidic Applications †

    Science.gov (United States)

    Mansoor, Mohtashim; Haneef, Ibraheem; Akhtar, Suhail; Rafiq, Muhammad Aftab; De Luca, Andrea; Ali, Syed Zeeshan; Udrea, Florin

    2016-01-01

    An SOI CMOS multi-sensor MEMS chip, which can simultaneously measure temperature, pressure and flow rate, has been reported. The multi-sensor chip has been designed keeping in view the requirements of researchers interested in experimental fluid dynamics. The chip contains ten thermodiodes (temperature sensors), a piezoresistive-type pressure sensor and nine hot film-based flow rate sensors fabricated within the oxide layer of the SOI wafers. The silicon dioxide layers with embedded sensors are relieved from the substrate as membranes with the help of a single DRIE step after chip fabrication from a commercial CMOS foundry. Very dense sensor packing per unit area of the chip has been enabled by using technologies/processes like SOI, CMOS and DRIE. Independent apparatuses were used for the characterization of each sensor. With a drive current of 10 µA–0.1 µA, the thermodiodes exhibited sensitivities of 1.41 mV/°C–1.79 mV/°C in the range 20–300 °C. The sensitivity of the pressure sensor was 0.0686 mV/(Vexcit kPa) with a non-linearity of 0.25% between 0 and 69 kPa above ambient pressure. Packaged in a micro-channel, the flow rate sensor has a linearized sensitivity of 17.3 mV/(L/min)−0.1 in the tested range of 0–4.7 L/min. The multi-sensor chip can be used for simultaneous measurement of fluid pressure, temperature and flow rate in fluidic experiments and aerospace/automotive/biomedical/process industries. PMID:27827904

  5. Fabrication of sub-micron whole waffer SIS tunnel junctions for millimeter wave mixers

    International Nuclear Information System (INIS)

    Huq, S.E.; Blamire, M.G.; Evetts, J.E.; Hasko, D.G.; Ahmed, H.

    1991-01-01

    As a part of a programme for the development of a space-qualified sub-mm-wave mixer operating in the region of one terahertz we have been developing the processes required for the fabrication of submicron whole wafer tunnel junctions. Using the self-aligned whole-wafer process (SAWW) with electron beam lithography we have been able to reliably fabricate high quality (V m > 20 mV) submicron tunnel junctions from whole wafer Nb/AlO x /Nb structures. In particular we show that the junction quality is independent of size down to 0.3 μm 2 junction area. The problems of film stress, anodization, registration for electron beam lithography and lift-off, which limit the yield of good quality sub-micron scale junctions are addressed in this paper

  6. Mechanisms of Current Transfer in Electrodeposited Layers of Submicron Semiconductor Particles

    Science.gov (United States)

    Zhukov, N. D.; Mosiyash, D. S.; Sinev, I. V.; Khazanov, A. A.; Smirnov, A. V.; Lapshin, I. V.

    2017-12-01

    Current-voltage ( I- V) characteristics of conductance in multigrain layers of submicron particles of silicon, gallium arsenide, indium arsenide, and indium antimonide have been studied. Nanoparticles of all semiconductors were obtained by processing initial single crystals in a ball mill and applied after sedimentation onto substrates by means of electrodeposition. Detailed analysis of the I- V curves of electrodeposited layers shows that their behavior is determined by the mechanism of intergranular tunneling emission from near-surface electron states of submicron particles. Parameters of this emission process have been determined. The proposed multigrain semiconductor structures can be used in gas sensors, optical detectors, IR imagers, etc.

  7. Total dose radiation effects of pressure sensors fabricated on uni-bond-SOI materials

    International Nuclear Information System (INIS)

    Zhu Shiyang; Huang Yiping; Wang Jin; Li Anzhen; Shen Shaoqun; Bao Minhang

    2001-01-01

    Piezoresistive pressure sensors with a twin-island structure were successfully fabricated using high quality Uni-bond-SOI (On Insulator) materials. Since the piezoresistors were structured by the single crystalline silicon overlayer of the SOI wafer and were totally isolated by the buried SiO 2 , the sensors are radiation-hard. The sensitivity and the linearity of the pressure sensors keep their original values after being irradiated by 60 Co γ-rays up to 2.3 x 10 4 Gy(H 2 O). However, the offset voltage of the sensor has a slight drift, increasing with the radiation dose. The absolute value of the offset voltage deviation depends on the pressure sensor itself. For comparison, corresponding polysilicon pressure sensors were fabricated using the similar process and irradiated at the same condition

  8. Single-Event Upset and Scaling Trends in New Generation of the Commercial SOI PowerPC Microprocessors

    Science.gov (United States)

    Irom, Farokh; Farmanesh, Farhad; Kouba, Coy K.

    2006-01-01

    Single-event upset effects from heavy ions are measured for Motorola silicon-on-insulator (SOI) microprocessor with 90 nm feature sizes. The results are compared with previous results for SOI microprocessors with feature sizes of 130 and 180 nm. The cross section of the 90 nm SOI processors is smaller than results for 130 and 180 nm counterparts, but the threshold is about the same. The scaling of the cross section with reduction of feature size and core voltage for SOI microprocessors is discussed.

  9. Approaches of multilayer overlay process control for 28nm FD-SOI derivative applications

    Science.gov (United States)

    Duclaux, Benjamin; De Caunes, Jean; Perrier, Robin; Gatefait, Maxime; Le Gratiet, Bertrand; Chapon, Jean-Damien; Monget, Cédric

    2018-03-01

    Derivative technology like embedded Non-Volatile Memories (eNVM) is raising new types of challenges on the "more than Moore" path. By its construction: overlay is critical across multiple layers, by its running mode: usage of high voltage are stressing leakages and breakdown, and finally with its targeted market: Automotive, Industry automation, secure transactions… which are all requesting high device reliability (typically below 1ppm level). As a consequence, overlay specifications are tights, not only between one layer and its reference, but also among the critical layers sharing the same reference. This work describes a broad picture of the key points for multilayer overlay process control in the case of a 28nm FD-SOI technology and its derivative flows. First, the alignment trees of the different flow options have been optimized using a realistic process assumptions calculation for indirect overlay. Then, in the case of a complex alignment tree involving heterogeneous scanner toolset, criticality of tool matching between reference layer and critical layers of the flow has been highlighted. Improving the APC control loops of these multilayer dependencies has been studied with simulations of feed-forward as well as implementing new rework algorithm based on multi-measures. Finally, the management of these measurement steps raises some issues for inline support and using calculations or "virtual overlay" could help to gain some tool capability. A first step towards multilayer overlay process control has been taken.

  10. Output-Conductance Transition-Free Method for Improving Radio-Frequency Linearity of SOI MOSFET Circuits

    Directory of Open Access Journals (Sweden)

    A. Daghighi

    2013-09-01

    Full Text Available In this article, a novel concept is introduced to improve the radio frequency (RF linearity of partially-depleted (PD silicon-on-insulator (SOI MOSFET circuits. The transition due to the non-zero body resistance (RBody in output conductance of PD SOI devices leads to linearity degradation. A relation for RBody is defined to eliminate the transition and a method to obtain transition-free circuit is shown. 3-D Simulations of various body-contacted devices are carried out to extract the transition-free body resistances. To identify the output conductance transition-free concept and its application to RF circuits, a 2.4 GHz low noise amplifier (LNA is analyzed. Mixed mode device-circuit analysis is carried out to simultaneously solve device transport equations and circuit spice models. FFT calculations are performed on the output signal to compute harmonic distortion figures. Comparing the conventional body-contacted and transition-free SOI LNAs, third harmonic distortion (HD3 and total harmonic distortion (THD are improved by 16% and 24%, respectively. Two-tone test is used to analyze third order intermodulation distortions. OIP3 is improved in transition-free SOI LNA by 17% comparing with the conventional body-contacted SOI LNA. These results show the possibility of application of transition-free design concept to improve linearity of RF SOI MOSFET circuits.

  11. Ultrahigh-strength submicron-sized metallic glass wires

    International Nuclear Information System (INIS)

    Wang, Y.B.; Lee, C.C.; Yi, J.; An, X.H.; Pan, M.X.; Xie, K.Y.; Liao, X.Z.; Cairney, J.M.; Ringer, S.P.; Wang, W.H.

    2014-01-01

    In situ deformation experiments were performed in a transmission electron microscope to investigate the mechanical properties of submicron-sized Pd 40 Cu 30 Ni 10 P 20 metallic glass (MG) wires. Results show that the submicron-sized MG wires exhibit intrinsic ultrahigh tensile strength of ∼2.8 GPa, which is nearly twice as high as that in their bulk counterpart, and ∼5% elastic strain approaching the elastic limits. The tensile strength, engineering strain at failure and deformation mode of the submicron-sized MG wires depend on the diameter of the wires

  12. Electrosprayed Polyvinylpyrrolidone (PVP) Submicron Particles Loaded by Green Tea Extracts

    Science.gov (United States)

    Kamaruddin; Sriyanti, I.; Edikresnha, D.; Munir, M. M.; Khairurrijal, K.

    2018-05-01

    Electrospraying technique has been successfully used to synthesize composite submicron particles of polyvinylpyrrolidone (PVP) and green tea extract (GTE). The precursor solutions were PVP in ethanol (15 wt%) and GTE in ethanol (10 wt%), which were then mixed at varying ratio. The mixed solution then underwent electrospraying process at an applied voltage of 15 kV, a distance of collector to the nozzle at 15 cm, and a flow rate of 3 µL/min. The composite submicron particles of PVP-GTE showed smooth and fine spherical morphology without fibers or beaded fibers. To a certain degree, the increase of GTE content in the PVP-GTE mixed solution decreased the average diameter of PVP-GTE composite particles. Moreover, the analysis of the FTIR spectra confirmed the existing molecular interaction between PVP and GTE in the composite submicron particles as shown by the shift of PVP wavenumber towards GTE, which has typically smaller wavenumber.

  13. Sub-50 nm gate length SOI transistor development for high performance microprocessors

    International Nuclear Information System (INIS)

    Horstmann, M.; Greenlaw, D.; Feudel, Th.; Wei, A.; Frohberg, K.; Burbach, G.; Gerhardt, M.; Lenski, M.; Stephan, R.; Wieczorek, K.; Schaller, M.; Hohage, J.; Ruelke, H.; Klais, J.; Huebler, P.; Luning, S.; Bentum, R. van; Grasshoff, G.; Schwan, C.; Cheek, J.; Buller, J.; Krishnan, S.; Raab, M.; Kepler, N.

    2004-01-01

    Partial depleted (PD) SOI technologies have reached maturity for production of high speed, low power microprocessors. The paper will highlight several challenges found during the course of development for bringing 40 nm gate length (L GATE ) PD SOI transistors into volume manufacturing for high-speed microprocessors. The key innovations developed for this transistor in order to overcome classical gate oxide and L GATE scaling is an unique differential triple spacer structure, stressed overlayer films inducing strain in the Silicon channel and optimized junctions. This transistor structure yields an outstanding ring oscillator speed with an unloaded inverter delay of 5.5 ps. The found improvements are highly manufacturable and scaleable for future device technologies like FD SOI

  14. A high voltage SOI pLDMOS with a partial interface equipotential floating buried layer

    International Nuclear Information System (INIS)

    Wu Lijuan; Zhang Wentong; Zhang Bo; Li Zhaoji

    2013-01-01

    A novel silicon-on-insulator (SOI) high-voltage pLDMOS is presented with a partial interface equipotential floating buried layer (FBL) and its analytical model is analyzed in this paper. The surface heavily doped p-top layers, interface floating buried N + /P + layers, and three-step field plates are designed carefully in the FBL SOI pLDMOS to optimize the electric field distribution of the drift region and reduce the specific resistance. On the condition of ESIMOX (epoxy separated by implanted oxygen), it has been shown that the breakdown voltage of the FBL SOI pLDMOS is increased from −232 V of the conventional SOI to −425 V and the specific resistance R on,sp is reduced from 0.88 to 0.2424 Ω·cm 2 . (semiconductor devices)

  15. 300 nm bandwidth adiabatic SOI polarization splitter-rotators exploiting continuous symmetry breaking.

    Science.gov (United States)

    Socci, Luciano; Sorianello, Vito; Romagnoli, Marco

    2015-07-27

    Adiabatic polarization splitter-rotators are investigated exploiting continuous symmetry breaking thereby achieving significant device size and losses reduction in a single mask fabrication process for both SOI channel and ridge waveguides. A crosstalk lower than -25 dB is expected over 300nm bandwidth, making the device suitable for full grid CWDM and diplexer/triplexer FTTH applications at 1310, 1490 and 1550nm.

  16. Submicron Emulsions and Their Applications in Oral Delivery.

    Science.gov (United States)

    Mundada, Veenu; Patel, Mitali; Sawant, Krutika

    2016-01-01

    A "submicron emulsion" is an isotropic mixture of drug, lipids, and surfactants, usually with hydrophilic cosolvents and with droplet diameters ranging from 10 to 500 nm. Submicron emulsions are of increasing interest in medicine due to their kinetic stability, high solubilizing capacity, and tiny globule size. Because of these properties, they have been applied in various fields, such as personal care, cosmetics, health care, pharmaceuticals, and agrochemicals. Submicron emulsions are by far the most advanced nanoparticulate systems for the systemic delivery of biologically active agents for controlled drug delivery and targeting. They are designed mainly for pharmaceutical formulations suitable for various routes of administration like parenteral, ocular, transdermal, and oral. This review article describes the marked potential of submicron emulsions for oral drug delivery owing to their numerous advantages like reduced first pass metabolism, inhibition of P-glycoprotein efflux system, and enhanced absorption via intestinal lymphatic pathway. To overcome the limitations of liquid dosage forms, submicron emulsions can be formulated into solid dosage forms such as solid self-emulsifying systems. This article covers various types of submicron emulsions like microemulsion, nanoemulsion, and self-emulsifying drug delivery system (SEDDS), and their potential pharmaceutical applications in oral delivery with emphasis on their advantages, limitations, and advancements.

  17. SOI MESFETs for Extreme Environment Electronics, Phase I

    Data.gov (United States)

    National Aeronautics and Space Administration — We are proposing a new extreme environment electronics (EEE) technology based on silicon-on-insulator (SOI) metal-semiconductor field-effect transistors (MESFETs)....

  18. SUBMICRON PARTICLES EMISSION CONTROL BY ELECTROSTATIC AGGLOMERATION

    Directory of Open Access Journals (Sweden)

    Andrzej Krupa

    2017-04-01

    Full Text Available The aim of the study was to develop a device for more effective treatment of flue gases from submicron particles emitted by power plants burning bituminous coal and by this way the reduction of environment pollution. Electrostatic processes were employed to this goal, as the most effective solution. The solutions hitherto applied in electrostatic precipitation techniques were designed for large particles, typically with sizes> 5 µm, which are easily removed by the action of electrostatic force on the electrically charged particles. In submicron size range (0.1-1 µm the collection efficiency of an ESP is minimal, because of the low value of electric charge on such particles. In order to avoid problems with the removal of submicron particles of fly ash from the flue gases electrostatic agglomeration has been used. In this process, by applying an alternating electric field, larger charged particles (> 1 µm oscillate, and the particles "collect" smaller uncharged particles. In the developed agglomerator with alternating electric field, the charging of particles and the coagulation takes place in one stage that greatly simplified the construction of the device, compared to other solutions. The scope of this study included measurements of fractional collection efficiency of particles in the system comprising of agglomerator and ESP for PM1 and PM2.5 ranges, in device made in pilot scale. The collection efficiency for PM2.5 was greater than 90% and PM1 slightly dropped below 90%. The mass collection efficiency for PM2.5 was greater than 95%. The agglomerator stage increases the collection efficiency for PM1 at a level of 5-10%.

  19. New constraints on deformation processes in serpentinite from sub-micron Raman Spectroscopy and TEM

    Science.gov (United States)

    Smith, S. A. F.; Tarling, M.; Rooney, J. S.; Gordon, K. C.; Viti, C.

    2017-12-01

    Extensive work has been performed to characterize the mineralogical and mechanical properties of the various serpentine minerals (i.e. antigorite, lizardite, chrysotile, polyhedral and polygonal serpentine). However, correct identification of serpentine minerals is often difficult or impossible using conventional analytical techniques such as optical- and SEM-based microscopy, X-ray diffraction and infrared spectroscopy. Transmission Electron Microscopy (TEM) is the best analytical technique to identify the serpentine minerals, but TEM requires complex sample preparation and typically results in very small analysis areas. Sub-micron confocal Raman spectroscopy mapping of polished thin sections provides a quick and relatively inexpensive way of unambiguously distinguishing the main serpentine minerals within their in-situ microstructural context. The combination of high spatial resolution (with a diffraction-limited system, 366 nm), large-area coverage (up to hundreds of microns in each dimension) and ability to map directly on thin sections allows intricate fault rock textures to be imaged at a sample-scale, which can then form the target of more focused TEM work. The potential of sub-micron Raman Spectroscopy + TEM is illustrated by examining sub-micron-scale mineral intergrowths and deformation textures in scaly serpentinites (e.g. dissolution seams, mineral growth in pressure shadows), serpentinite crack-seal veins and polished fault slip surfaces from a serpentinite-bearing mélange in New Zealand. The microstructural information provided by these techniques has yielded new insights into coseismic dehydration and amorphization processes and the interplay between creep and localised rupture in serpentinite shear zones.

  20. Electrical activation of solid-phase epitaxially regrown ultra-low energy boron implants in Ge preamorphised silicon and SOI

    International Nuclear Information System (INIS)

    Hamilton, J.J.; Collart, E.J.H.; Colombeau, B.; Jeynes, C.; Bersani, M.; Giubertoni, D.; Sharp, J.A.; Cowern, N.E.B.; Kirkby, K.J.

    2005-01-01

    The formation of highly activated ultra-shallow junctions (USJ) is one of the key requirements for the next generation of CMOS devices. One promising method for achieving this is the use of Ge preamorphising implants (PAI) prior to ultra-low energy B implantation. In future technology nodes, bulk silicon wafers may be supplanted by Silicon-on-Insulator (SOI), and an understanding of the Solid Phase Epitaxial (SPE) regrowth process and its correlation to dopant electrical activation in both bulk silicon and SOI is essential in order to understand the impact of this potential technology change. This kind of understanding will also enable tests of fundamental models for defect evolution and point-defect reactions at silicon/oxide interfaces. In the present work, B is implanted into Ge PAI silicon and SOI wafers with different PAI conditions and B doses, and resulting samples are annealed at various temperatures and times. Glancing-exit Rutherford Backscattering Spectrometry (RBS) is used to monitor the regrowth of the amorphous silicon, and the resulting redistribution and electrical activity of B are monitored by SIMS and Hall measurements. The results confirm the expected enhancement of regrowth velocity by B doping, and show that this velocity is otherwise independent of the substrate type and the Ge implant distribution within the amorphised layer. Hall measurements on isochronally annealed samples show that B deactivates less in SOI material than in bulk silicon, in cases where the Ge PAI end-of-range defects are close to the SOI back interface

  1. Electrical characterization of thin SOI wafers using lateral MOS transient capacitance measurements

    International Nuclear Information System (INIS)

    Wang, D.; Ueda, A.; Takada, H.; Nakashima, H.

    2006-01-01

    A novel electrical evaluation method was proposed for crystal quality characterization of thin Si on insulator (SOI) wafers, which was done by measurement of minority carrier generation lifetime (τ g ) using transient capacitance method for lateral metal-oxide-semiconductor (MOS) capacitor. The lateral MOS capacitors were fabricated on three kinds of thin SOI wafers. The crystal quality difference among these three wafers was clearly shown by the τ g measurement results and discussed from a viewpoint of SOI fabrication. The series resistance influence on the capacitance measurement for this lateral MOS capacitor was discussed in detail. The validity of this method was confirmed by comparing the intensities of photoluminescence signals due to electron-hole droplet in the band-edge emission

  2. The effect of arsenic thermal diffusion on the morphology and photoluminescence properties of sub-micron ZnO rods

    Energy Technology Data Exchange (ETDEWEB)

    Ding Meng [Department of Physics, Jilin University, Changchun 130023 (China); Laboratory of Excited State Processes, Changchun Institute of Optics, Fine Mechanics and Physics, Chinese Academy of Sciences, Changchun 130021 (China); Yao Bin, E-mail: binyao@jlu.edu.c [Department of Physics, Jilin University, Changchun 130023 (China); Zhao Dongxu, E-mail: dxzhao2000@yahoo.com.c [Laboratory of Excited State Processes, Changchun Institute of Optics, Fine Mechanics and Physics, Chinese Academy of Sciences, Changchun 130021 (China); Fang Fang; Shen Dezhen; Zhang Zhenzhong [Laboratory of Excited State Processes, Changchun Institute of Optics, Fine Mechanics and Physics, Chinese Academy of Sciences, Changchun 130021 (China)

    2010-05-31

    As-doped sub-micron ZnO rods were realized by a simple thermal diffusion process using a GaAs wafer as an arsenic resource. The surface of the sub-micron ZnO rods became rough and the morphology of As-doped sub-micron ZnO rods changed markedly with increasing diffusion temperature. From the results of energy-dispersive X-ray spectroscopy, X-ray diffraction and photoluminescence, arsenic elements were confirmed to be introduced into the sub-micron ZnO rods. The acceptor ionization energy was deduced to be about 110 meV based on the temperature-dependent PL spectra.

  3. The effect of arsenic thermal diffusion on the morphology and photoluminescence properties of sub-micron ZnO rods

    International Nuclear Information System (INIS)

    Ding Meng; Yao Bin; Zhao Dongxu; Fang Fang; Shen Dezhen; Zhang Zhenzhong

    2010-01-01

    As-doped sub-micron ZnO rods were realized by a simple thermal diffusion process using a GaAs wafer as an arsenic resource. The surface of the sub-micron ZnO rods became rough and the morphology of As-doped sub-micron ZnO rods changed markedly with increasing diffusion temperature. From the results of energy-dispersive X-ray spectroscopy, X-ray diffraction and photoluminescence, arsenic elements were confirmed to be introduced into the sub-micron ZnO rods. The acceptor ionization energy was deduced to be about 110 meV based on the temperature-dependent PL spectra.

  4. Comparison of short-circuit characteristics of trench gate and planar gate U-shaped channel SOI-LIGBTs

    Science.gov (United States)

    Zhang, Long; Zhu, Jing; Sun, Weifeng; Zhao, Minna; Huang, Xuequan; Chen, Jiajun; Shi, Longxing; Chen, Jian; Ding, Desheng

    2017-09-01

    Comparison of short-circuit (SC) characteristics of 500 V rated trench gate U-shaped channel (TGU) SOI-LIGBT and planar gate U-shaped channel (PGU) SOI-LIGBT is made for the first time in this paper. The on-state carrier profile of the TGU structure is reshaped by the dual trenches (a gate trench G1 and a hole barrier trench G2), which leads to a different conduction behavior from that of the PGU structure. The TGU structure exhibits a higher latchup immunity but a severer self-heating effect. At current density (JC) 640 A/cm2. Comparison of layouts and fabrication processes are also made between the two types of devices.

  5. High performance flexible CMOS SOI FinFETs

    KAUST Repository

    Fahad, Hossain M.; Sevilla, Galo T.; Ghoneim, Mohamed T.; Hussain, Muhammad Mustafa

    2014-01-01

    We demonstrate the first ever CMOS compatible soft etch back based high performance flexible CMOS SOI FinFETs. The move from planar to non-planar FinFETs has enabled continued scaling down to the 14 nm technology node. This has been possible due

  6. A novel δ-doped partially insulated dopant-segregated Schottky barrier SOI MOSFET for analog/RF applications

    International Nuclear Information System (INIS)

    Patil, Ganesh C; Qureshi, S

    2011-01-01

    In this paper, a comparative analysis of single-gate dopant-segregated Schottky barrier (DSSB) SOI MOSFET and raised source/drain ultrathin-body SOI MOSFET (RSD UTB) has been carried out to explore the thermal efficiency, scalability and analog/RF performance of these devices. A novel p-type δ-doped partially insulated DSSB SOI MOSFET (DSSB Pi-OX-δ) has been proposed to reduce the self-heating effect and to improve the high-frequency performance of DSSB SOI MOSFET over RSD UTB. The improved analog/RF figures of merit such as transconductance, transconductance generation factor, unity-gain frequency, maximum oscillation frequency, short-circuit current gain and unilateral power gain in DSSB Pi-OX-δ MOSFET show the suitability of this device for analog/RF applications. The reduced drain-induced barrier lowering, subthreshold swing and parasitic capacitances also make this device highly scalable. By using mixed-mode simulation capability of MEDICI simulator a cascode amplifier has been implemented using all the structures (RSD UTB, DSSB SOI and DSSB Pi-OX-δ MOSFETs). The results of this implementation show that the gain-bandwidth product in the case of DSSB Pi-OX-δ MOSFET has improved by 50% as compared to RSD UTB and by 20% as compared to DSSB SOI MOSFET. The detailed fabrication flow of DSSB Pi-OX-δ MOSFET has been proposed which shows that with the bare minimum of steps the performance of DSSB SOI MOSFET can be improved significantly in comparison to RSD UTB

  7. Evaluation of a High Temperature SOI Half-Bridge MOSFET Driver, Type CHT-HYPERION

    Science.gov (United States)

    Patterson, Richard; Hammoud, Ahmad

    2010-01-01

    Silicon-On-Insulator (SOI) technology utilizes the addition of an insulation layer in its structure to reduce leakage currents and to minimize parasitic junctions. As a result, SOIbased devices exhibit reduced internal heating as compared to the conventional silicon devices, consume less power, and can withstand higher operating temperatures. In addition, SOI electronic integrated circuits display good tolerance to radiation by virtue of introducing barriers or lengthening the path for penetrating particles and/or providing a region for trapping incident ionization. The benefits of these parts make them suitable for use in deep space and planetary exploration missions where extreme temperatures and radiation are encountered. Although designed for high temperatures, very little data exist on the operation of SOI devices and circuits at cryogenic temperatures. In this work, the performance of a commercial-off-the-shelf (COTS) SOI half-bridge driver integrated circuit was evaluated under extreme temperatures and thermal cycling. The investigations were carried out to establish a baseline on the functionality and to determine suitability of this device for use in space exploration missions under extreme temperature conditions.

  8. A Demonstration of TIA Using FD-SOI CMOS OPAMP for Far-Infrared Astronomy

    Science.gov (United States)

    Nagase, Koichi; Wada, Takehiko; Ikeda, Hirokazu; Arai, Yasuo; Ohno, Morifumi; Hanaoka, Misaki; Kanada, Hidehiro; Oyabu, Shinki; Hattori, Yasuki; Ukai, Sota; Suzuki, Toyoaki; Watanabe, Kentaroh; Baba, Shunsuke; Kochi, Chihiro; Yamamoto, Keita

    2016-07-01

    We are developing a fully depleted silicon-on-insulator (FD-SOI) CMOS readout integrated circuit (ROIC) operated at temperatures below ˜ 4 K. Its application is planned for the readout circuit of high-impedance far-infrared detectors for astronomical observations. We designed a trans-impedance amplifier (TIA) using a CMOS operational amplifier (OPAMP) with FD-SOI technique. The TIA is optimized to readout signals from a germanium blocked impurity band (Ge BIB) detector which is highly sensitive to wavelengths of up to ˜ 200 \\upmu m. For the first time, we demonstrated the FD-SOI CMOS OPAMP combined with the Ge BIB detector at 4.5 K. The result promises to solve issues faced by conventional cryogenic ROICs.

  9. Formation of SIMOX–SOI structure by high-temperature oxygen implantation

    International Nuclear Information System (INIS)

    Hoshino, Yasushi; Kamikawa, Tomohiro; Nakata, Jyoji

    2015-01-01

    We have performed oxygen ion implantation in silicon at very high substrate-temperatures (⩽1000 °C) for the purpose of forming silicon-on-insulator (SOI) structure. We have expected that the high-temperature implantation can effectively avoids ion-beam-induced damages in the SOI layer and simultaneously stabilizes the buried oxide (BOX) and SOI-Si layer. Such a high-temperature implantation makes it possible to reduce the post-implantation annealing temperature. In the present study, oxygen ions with 180 keV are incident on Si(0 0 1) substrates at various temperatures from room temperature (RT) up to 1000 °C. The ion-fluencies are in order of 10"1"7–10"1"8 ions/cm"2. Samples have been analyzed by atomic force microscope, Rutherford backscattering, and micro-Raman spectroscopy. It is found in the AFM analysis that the surface roughness of the samples implanted at 500 °C or below are significantly small with mean roughness of less than 1 nm, and gradually increased for the 800 °C-implanted sample. On the other hand, a lot of dents are observed for the 1000 °C-implanted sample. RBS analysis has revealed that stoichiometric SOI-Si and BOX-SiO_2 layers are formed by oxygen implantation at the substrate temperatures of RT, 500, and 800 °C. However, SiO_2-BOX layer has been desorbed during the implantation. Raman spectra shows that the ion-beam-induced damages are fairly suppressed by such a high-temperatures implantation.

  10. Charge accumulation in the buried oxide of SOI structures with the bonded Si/SiO2 interface under γ-irradiation: effect of preliminary ion implantation

    International Nuclear Information System (INIS)

    Naumova, O V; Fomin, B I; Ilnitsky, M A; Popov, V P

    2012-01-01

    In this study, we examined the effect of preliminary boron or phosphorous implantation on charge accumulation in the buried oxide of SOI-MOSFETs irradiated with γ-rays in the total dose range (D) of 10 5 –5 × 10 7 rad. The buried oxide was obtained by high-temperature thermal oxidation of Si, and it was not subjected to any implantation during the fabrication process of SOI structures. It was found that implantation with boron or phosphorous ions, used in fabrication technologies of SOI-MOSFETs, increases the concentration of precursor traps in the buried oxide of SOI structures. Unlike in the case of boron implantation, phosphorous implantation leads to an increased density of states at the Si/buried SiO 2 interface during subsequent γ-irradiation. In the γ-irradiated SOI-MOSFETs, the accumulated charge density and the density of surface states in the Si/buried oxide layer systems both vary in proportion to k i ln D. The coefficients k i for as-fabricated and ion-implanted Si/buried SiO 2 systems were evaluated. From the data obtained, it was concluded that a low density of precursor hole traps was a factor limiting the positive charge accumulation in the buried oxide of as-fabricated (non-implanted) SOI structures with the bonded Si/buried SiO 2 interface. (paper)

  11. arXiv Charge collection properties in an irradiated pixel sensor built in a thick-film HV-SOI process

    CERN Document Server

    INSPIRE-00541780; Cindro, V.; Gorišek, A.; Hemperek, T.; Kishishita, T.; Kramberger, G.; Krüger, H.; Mandić, I.; Mikuž, M.; Wermes, N.; Zavrtanik, M.

    2017-10-25

    Investigation of HV-CMOS sensors for use as a tracking detector in the ATLAS experiment at the upgraded LHC (HL-LHC) has recently been an active field of research. A potential candidate for a pixel detector built in Silicon-On-Insulator (SOI) technology has already been characterized in terms of radiation hardness to TID (Total Ionizing Dose) and charge collection after a moderate neutron irradiation. In this article we present results of an extensive irradiation hardness study with neutrons up to a fluence of 1x10e16 neq/cm2. Charge collection in a passive pixelated structure was measured by Edge Transient Current Technique (E-TCT). The evolution of the effective space charge concentration was found to be compliant with the acceptor removal model, with the minimum of the space charge concentration being reached after 5x10e14 neq/cm2. An investigation of the in-pixel uniformity of the detector response revealed parasitic charge collection by the epitaxial silicon layer characteristic for the SOI design. The r...

  12. Test-beam results of a SOI pixel detector prototype

    CERN Document Server

    Bugiel, Roma; Dannheim, Dominik; Fiergolski, Adrian; Hynds, Daniel; Idzik, Marek; Kapusta, P; Kucewicz, Wojciech; Munker, Ruth Magdalena; Nurnberg, Andreas Matthias

    2018-01-01

    This paper presents the test-beam results of a monolithic pixel-detector prototype fabricated in 200 nm Silicon-On-Insulator (SOI) CMOS technology. The SOI detector was tested at the CERN SPS H6 beam line. The detector is fabricated on a 500 μm thick high-resistivity float- zone n-type (FZ-n) wafer. The pixel size is 30 μm × 30 μm and its readout uses a source- follower configuration. The test-beam data are analysed in order to compute the spatial resolution and detector efficiency. The analysis chain includes pedestal and noise calculation, cluster reconstruction, as well as alignment and η-correction for non-linear charge sharing. The results show a spatial resolution of about 4.3 μm.

  13. An analysis of radiation effects on electronics and soi-mos devices as an alternative

    International Nuclear Information System (INIS)

    Ikraiam, F. A.

    2013-01-01

    The effects of radiation on semiconductors and electronic components are analyzed. The performance of such circuitry depends upon the reliability of electronic devices where electronic components will be unavoidably exposed to radiation. This exposure can be detrimental or even fatal to the expected function of the devices. Single event effects (SEE), in particular, which lead to sudden device or system failure and total dose effects can reduce the lifetime of electronic devices in such systems are discussed. Silicon-on-insulator (SOI) technology is introduced as an alternative for radiation-hardened devices. I-V Characteristics Curves for SOI-MOS devices subjected to a different total radiation doses are illustrated. In addition, properties of some semiconductor materials such as diamond, diamond-like carbon films, SiC, GaP, and AlGaN/GaN are compared with those of SOI devices. The recognition of the potential usefulness of SOI-MOS semiconductor materials for harsh environments is discussed. A summary of radiation effects, impacts and mitigation techniques is also presented. (authors)

  14. Kinetics of Sub-Micron Grain Size Refinement in 9310 Steel

    Science.gov (United States)

    Kozmel, Thomas; Chen, Edward Y.; Chen, Charlie C.; Tin, Sammy

    2014-05-01

    Recent efforts have focused on the development of novel manufacturing processes capable of producing microstructures dominated by sub-micron grains. For structural applications, grain refinement has been shown to enhance mechanical properties such as strength, fatigue resistance, and fracture toughness. Through control of the thermo-mechanical processing parameters, dynamic recrystallization mechanisms were used to produce microstructures consisting of sub-micron grains in 9310 steel. Starting with initial bainitic grain sizes of 40 to 50 μm, various levels of grain refinement were observed following hot deformation of 9310 steel samples at temperatures and strain rates ranging from 755 K to 922 K (482 °C and 649 °C) and 1 to 0.001/s, respectively. The resulting deformation microstructures were characterized using scanning electron microscopy and electron backscatter diffraction techniques to quantify the extent of carbide coarsening and grain refinement occurring during deformation. Microstructural models based on the Zener-Holloman parameter were developed and modified to include the effect of the ferrite/carbide interactions within the system. These models were shown to effectively correlate microstructural attributes to the thermal mechanical processing parameters.

  15. The role of advocacy coalitions in a project implementation process: the example of the planning phase of the At Home/Chez Soi project dealing with homelessness in Montreal.

    Science.gov (United States)

    Fleury, Marie-Josée; Grenier, Guy; Vallée, Catherine; Hurtubise, Roch; Lévesque, Paul-André

    2014-08-01

    This study analyzed the planning process (summer 2008 to fall 2009) of a Montreal project that offers housing and community follow-up to homeless people with mental disorders, with or without substance abuse disorders. With the help of the Advocacy Coalition Framework (ACF), advocacy groups that were able to navigate a complex intervention implementation process were identified. In all, 25 people involved in the Montreal At Home/Chez Soi project were surveyed through interviews (n=18) and a discussion group (n=7). Participant observations and documentation (minutes and correspondence) were also used for the analysis. The start-up phase of the At Home/Chez may be broken down into three separate periods qualified respectively as "honeymoon;" "clash of cultures;" and "acceptance & commitment". In each of the planning phases of the At Home/Chez Soi project in Montreal, at least two advocacy coalitions were in confrontation about their specific belief systems concerning solutions to address the recurring homelessness social problem, while a third, more moderate one contributed in rallying most key actors under specified secondary aspects. The study confirms the importance of policy brokers in achieving compromises acceptable to all advocacy coalitions. Copyright © 2014 Elsevier Ltd. All rights reserved.

  16. Generation and confinement of mobile charges in buried oxide of SOI substrates; Generation et confinement de charges mobiles dans les oxydes enterres de substrats SOI

    Energy Technology Data Exchange (ETDEWEB)

    Gruber, O.; Krawiec, S.; Musseau, O.; Paillet, Ph.; Courtot-Descharles, A. [CEA Bruyeres-le-Chatel, DIF, 91 (France)

    1999-07-01

    We analyze the mechanisms of generation and confinement of mobile protons resulting from hydrogen annealing of SOI buried oxides. This study of the mechanisms of generation and confinement of mobile protons in the buried oxide of SOI wafers emphasizes the importance of H+ diffusion in the oxide in the formation of a mobile charge. Under specific electric field conditions the irradiation of these devices results in a pinning of this mobile charge at the bottom Si-SiO{sub 2} interface. Ab initio calculations are in progress to investigate the possible precursor defects in the oxide and detail the mechanism for mobile proton generation and confinement. (authors)

  17. A new route for the synthesis of submicron-sized LaB6

    International Nuclear Information System (INIS)

    Lihong, Bao; Wurentuya,; Wei, Wei; Tegus, O.

    2014-01-01

    Submicron crystalline LaB 6 has been successfully synthesized by a solid-state reaction of La 2 O 3 with NaBH 4 at 1200 °C. The effects of reaction temperature on the crystal structure, grain size and morphology were investigated by X-ray diffraction, scanning electron microscope and transmission electron microscope. It is found that when the reaction temperature is in the range of 1000–1100 °C, there are ultrafine nanoparticles and nanocrystals that coexist. When the reaction temperature elevated to 1200 °C, the grain morphology transformed from ultrafine nanoparticle to submicron crystals completely. High resolution transmission electron microscope images fully confirm the formation of LaB 6 cubic structure. - Highlights: • Single-phased LaB 6 have been synthesized by a solid-state reaction in a continuous evacuating process. • The reaction temperature has a important effect on the phase composition. • The grain size increase from nano-size to submicron with increasing reaction temperature

  18. Ultra-low specific on-resistance SOI double-gate trench-type MOSFET

    International Nuclear Information System (INIS)

    Lei Tianfei; Luo Xiaorong; Ge Rui; Chen Xi; Wang Yuangang; Yao Guoliang; Jiang Yongheng; Zhang Bo; Li Zhaoji

    2011-01-01

    An ultra-low specific on-resistance (R on,sp ) silicon-on-insulator (SOI) double-gate trench-type MOSFET (DG trench MOSFET) is proposed. The MOSFET features double gates and an oxide trench: the oxide trench is in the drift region, one trench gate is inset in the oxide trench and one trench gate is extended into the buried oxide. Firstly, the double gates reduce R on,sp by forming dual conduction channels. Secondly, the oxide trench not only folds the drift region, but also modulates the electric field, thereby reducing device pitch and increasing the breakdown voltage (BV). ABV of 93 V and a R on,sp of 51.8 mΩ·mm 2 is obtained for a DG trench MOSFET with a 3 μm half-cell pitch. Compared with a single-gate SOI MOSFET (SG MOSFET) and a single-gate SOI MOSFET with an oxide trench (SG trench MOSFET), the R on,sp of the DG trench MOSFET decreases by 63.3% and 33.8% at the same BV, respectively. (semiconductor devices)

  19. Electron mobility in the inversion layers of fully depleted SOI films

    Energy Technology Data Exchange (ETDEWEB)

    Zaitseva, E. G., E-mail: ZaytsevaElza@yandex.ru; Naumova, O. V.; Fomin, B. I. [Russian Academy of Sciences, Rzhanov Institute of Semiconductor Physics, Siberian Branch (Russian Federation)

    2017-04-15

    The dependences of the electron mobility μ{sub eff} in the inversion layers of fully depleted double–gate silicon-on-insulator (SOI) metal–oxide–semiconductor (MOS) transistors on the density N{sub e} of induced charge carriers and temperature T are investigated at different states of the SOI film (inversion–accumulation) from the side of one of the gates. It is shown that at a high density of induced charge carriers of N{sub e} > 6 × 10{sup 12} cm{sup –2} the μeff(T) dependences allow the components of mobility μ{sub eff} that are related to scattering at surface phonons and from the film/insulator surface roughness to be distinguished. The μ{sub eff}(N{sub e}) dependences can be approximated by the power functions μ{sub eff}(N{sub e}) ∝ N{sub e}{sup −n}. The exponents n in the dependences and the dominant mechanisms of scattering of electrons induced near the interface between the SOI film and buried oxide are determined for different N{sub e} ranges and film states from the surface side.

  20. Charge collection mechanisms in MOS/SOI transistors irradiated by energetic heavy ions

    International Nuclear Information System (INIS)

    Musseau, O.; Leray, J.L.; Ferlet, V.; Umbert, A.; Coic, Y.M.; Hesto, P.

    1991-01-01

    We have investigated with both experimental and numerical methods (Monte Carlo and drift-diffusion models) various charge collection mechanisms in NMOS/SOI transistors irradiated by single energetic heavy ions. Our physical interpretations of data emphasize the influence of various parasitic structures of the device. Two charge collection mechanisms are detailed: substrate funneling in buried MOS capacitor and latching of the parasitic bipolar transistor. Based on carrier transport and charge collection, the sensitivity of future scaled down CMOS/SOI technologies is finally discussed

  1. Sintering mantle mineral aggregates with submicron grains: examples of olivine and clinopyroxene

    Science.gov (United States)

    Tsubokawa, Y.; Ishikawa, M.

    2017-12-01

    Physical property of the major mantle minerals play an important role in the dynamic behavior of the Earth's mantle. Recently, it has been found that nano- to sub-micron scale frictional processes might control faulting processes and earthquake instability, and ultrafine-grained mineral aggregates thus have attracted the growing interest. Here we investigated a method for preparing polycrystalline clinoyproxene and polycrystalline olivine with grain size of sub-micron scale from natural crystals, two main constituents of the upper mantle. Nano-sized powders of both minerals are sintered under argon flow at temperatures ranging from 1130-1350 °C for 0.5-20 h. After sintering at 1180 °C and 1300 °C, we successfully fabricated polycrystalline clinopyroxene and polycrystalline olivine with grain size of physical properties of Earth's mantle.

  2. Extra source implantation for suppression floating-body effect in partially depleted SOI MOSFETs

    International Nuclear Information System (INIS)

    Chen Jing; Luo Jiexin; Wu Qingqing; Chai Zhan; Huang Xiaolu; Wei Xing; Wang Xi

    2012-01-01

    Silicon-on-insulate (SOI) MOSFETs offer benefits over bulk competitors for fully isolation and smaller junction capacitance. The performance of partially depleted (PD) SOI MOSFETs, though, is not good enough. Since the body is floating, the extra holes (for nMOSFETs) in this region accumulate, causing body potential arise, which of course degrades the performance of the device. How to suppress the floating-body effect becomes critical. There are mainly two ways for the goal. One is to employ body-contact structures, and the other SiGe source/drain structures. However, the former consumes extra area, not welcomed in the state-of-the-art chips design. The latter is not compatible with the traditional CMOS technology. Finding a structure both saving area and compatible technology is the most urgent for PD SOI MOSFETs. Recently, we have developed a new structure with extra heavy boron implantation in the source region for PD SOI nMOSFETs. It consumes no extra area and is also compatible with CMOS technology. The device is found to be free of kink effect in simulation, which implies the floating-body effect is greatly suppressed. In addition, the mechanisms of the kink-free, as well as the impact of different implanting conditions are interpreted.

  3. Impact of back-gate bias on the hysteresis effect in partially depleted SOI MOSFETs

    International Nuclear Information System (INIS)

    Luo Jie-Xin; Chen Jing; Zhou Jian-Hua; Wu Qing-Qing; Chai Zhan; Yu Tao; Wang Xi

    2012-01-01

    The hysteresis effect in the output characteristics, originating from the floating body effect, has been measured in partially depleted (PD) silicon-on-insulator (SOI) MOSFETs at different back-gate biases. I D hysteresis has been developed to clarify the hysteresis characteristics. The fabricated devices show the positive and negative peaks in the I D hysteresis. The experimental results show that the I D hysteresis is sensitive to the back gate bias in 0.13-μm PD SOI MOSFETs and does not vary monotonously with the back-gate bias. Based on the steady-state Shockley-Read-Hall (SRH) recombination theory, we have successfully interpreted the impact of the back-gate bias on the hysteresis effect in PD SOI MOSFETs. (condensed matter: structural, mechanical, and thermal properties)

  4. Performance of the INTPIX6 SOI pixel detector

    International Nuclear Information System (INIS)

    Arai, Y.; Miyoshi, T.; Bugiel, Sz.; Dasgupta, R.; Idzik, M.; Kapusta, P.; Turala, M.; Kucewicz, W.

    2017-01-01

    Characterization of the monolithic pixel detector INPTIX6, designed at KEK and fabricated in Lapis 0.2 μ  m Fully-Depleted, Low-Leakage Silicon-On-Insulator (SOI) CMOS technology, was performed. The INTPIX6 comprises a large area of 1408 × 896 integrating type squared pixels of 12 micron pitch. In this work the performance and measurement results of the prototypes produced on lower resistivity Czochralski type (CZ-n) and high resistivity floating zone (FZ-n) sensor wafers are presented. Using 241 Am radioactive source the noise of INTPIX6 was measured, showing the ENC (Equivalent Noise Charge) of about 70 e − . The resolution calculated from the FWHM of the Iron-55 X-ray peak was about 100 e − . The radiation hardness of the SOI pixel detector was also investigated. The CZ-n type INTPIX6 received a dose of 60 krad and its performance has been continuously monitored during the irradiation.

  5. Performance of the INTPIX6 SOI pixel detector

    Science.gov (United States)

    Arai, Y.; Bugiel, Sz.; Dasgupta, R.; Idzik, M.; Kapusta, P.; Kucewicz, W.; Miyoshi, T.; Turala, M.

    2017-01-01

    Characterization of the monolithic pixel detector INPTIX6, designed at KEK and fabricated in Lapis 0.2 μ m Fully-Depleted, Low-Leakage Silicon-On-Insulator (SOI) CMOS technology, was performed. The INTPIX6 comprises a large area of 1408 × 896 integrating type squared pixels of 12 micron pitch. In this work the performance and measurement results of the prototypes produced on lower resistivity Czochralski type (CZ-n) and high resistivity floating zone (FZ-n) sensor wafers are presented. Using 241Am radioactive source the noise of INTPIX6 was measured, showing the ENC (Equivalent Noise Charge) of about 70 e-. The resolution calculated from the FWHM of the Iron-55 X-ray peak was about 100 e-. The radiation hardness of the SOI pixel detector was also investigated. The CZ-n type INTPIX6 received a dose of 60 krad and its performance has been continuously monitored during the irradiation.

  6. Design and fabrication process of silicon micro-calorimeters on simple SOI technology for X-ray spectral imaging

    International Nuclear Information System (INIS)

    Aliane, A.; Agnese, P.; Pigot, C.; Sauvageot, J.-L.; Moro, F. de; Ribot, H.; Gasse, A.; Szeflinski, V.; Gobil, Y.

    2008-01-01

    Several successful development programs have been conducted on infra-red bolometer arrays at the 'Commissariat a l'Energie Atomique' (CEA-LETI Grenoble) in collaboration with the CEA-SAp (Saclay); taking advantage of this background, we are now developing an X-ray spectro-imaging camera for next generation space astronomy missions, using silicon only technology. We have developed monolithic silicon micro-calorimeters based on implanted thermistors in an improved array that could be used for future space missions. The 8x8 array consists of a grid of 64 suspended pixels fabricated on a silicon on insulator (SOI) wafer. Each pixel of this detector array is made of a tantalum (Ta) absorber, which is bound by means of indium bump hybridization, to a silicon thermistor. The absorber array is bound to the thermistor array in a collective process. The fabrication process of our detector involves a combination of standard technologies and silicon bulk micro-machining techniques, based on deposition, photolithography and plasma etching steps. Finally, we present the results of measurements performed on these four primary building blocks that are required to create a detector array up to 32x32 pixels in size

  7. A CMOS/SOI Single-input PWM Discriminator for Low-voltage Body-implanted Applications

    Directory of Open Access Journals (Sweden)

    Jader A. De Lima

    2002-01-01

    Full Text Available A CMOS/SOI circuit to decode Pulse-Width Modulation (PWM signals is presented as part of a body-implanted neurostimulator for visual prosthesis. Since encoded data is the sole input to the circuit, the decoding technique is based on a novel double-integration concept and does not require low-pass filtering. Non-overlapping control phases are internally derived from the incoming pulses and a fast-settling comparator ensures good discrimination accuracy in the megahertz range. The circuit was integrated on a 2 μm single-metal thin-film CMOS/SOI fabrication process and has an effective area of 2 mm2. Measured resolution of encoding parameter α is better than 10% at 6 MHz and VDD = 3.3 V. Idle-mode consumption is 340 μW. Pulses of frequencies up to15 MHz and α =10% can be discriminated for 2.3 V ≤ VDD ≤ 3.3 V. Such an excellent immunity to VDD deviations meets a design specification with respect to inherent coupling losses on transmitting data and power by means of a transcutaneous link.

  8. Influence of locational states of submicron fibers added into matrix on mechanical properties of plain-woven Carbon Fiber Composite

    Directory of Open Access Journals (Sweden)

    Kumamoto Soichiro

    2016-01-01

    Full Text Available The aim of this study was to show the influence of locational states of submicron fibers added into epoxy matrix on mechanical properties of modified plane-woven carbon fiber reinforced plastic (CFRP. To change the locational states of submicron fibers, two kinds of fabrication processes were applied in preparing specimen by hand lay-up method. Submicron fibers were simply added into epoxy resin with ethanol after they were stirred by a dispersion process using homogenizer to be located far from the interface between reinforcement and matrix. In contrast, submicron fibers were attached onto the carbon fibers by injecting from a spray nozzle accompanying with ethanol to be located near the interface, after they were tentatively contained in ethanol. The plain-woven CFRP plates were fabricated by hand lay-up method and cured at 80 degree-C for 1 hour and then at 150 degree-C for 3 hours. After curing, the plain-woven CFRP plates were cut into the dimension of specimen. Tensile shear strength and Mode-II fracture toughness of CFRP were determined by tensile lap-shear test and End-notched flexure(ENF test, respectively. When submicron fibers were located far from the interface between carbon fibers and epoxy resin, tensile shear strength and Mode-II fracture toughness of CFRP were improved 30% and 18% compared with those of unmodified case. The improvement ratio in modified case was rather low (about few percentages in the case where submicron fibers were located near the interface. The result suggested that crack propagation should be prevented when submicron fibers were existed far from the interface due to the effective stress state around the crack tip.

  9. Comparative study of SOI/Si hybrid substrates fabricated using high-dose and low-dose oxygen implantation

    International Nuclear Information System (INIS)

    Dong Yemin; Chen Meng; Chen Jing; Wang Xiang; Wang Xi

    2004-01-01

    Hybrid substrates comprising both silicon-on-insulator (SOI) and bulk Si regions have been fabricated using the technique of patterned separation by implantation of oxygen (SIMOX) with high-dose (1.5 x 10 18 cm -2 ) and low-dose ((1.5-3.5) x 10 17 cm -2 ) oxygen ions, respectively. Cross-sectional transmission electron microscopy (XTEM) was employed to examine the microstructures of the resulting materials. Experimental results indicate that the SOI/Si hybrid substrate fabricated using high-dose SIMOX is of inferior quality with very large surface height step and heavily damaged transitions between the SOI and bulk regions. However, the quality of the SOI/Si hybrid substrate is enhanced dramatically by reducing the implant dose. The defect density in transitions is reduced considerably. Moreover, the expected surface height difference does not exist and the surface is exceptionally flat. The possible mechanisms responsible for the improvements in quality are discussed

  10. SOI Digital Accelerometer Based on Pull-in Time Configuration

    NARCIS (Netherlands)

    Pakula, L.S.; Rajaraman, V.; French, P.J.

    2009-01-01

    The operation principle, design, fabrication and measurement results of a quasi digital accelerometer fabricated on a thin silicon-on-insulator (SOI) substrate is presented. The accelerometer features quasi-digital output, therefore eliminating the need for analogue signal conditioning. The

  11. Si-nanowire-based multistage delayed Mach-Zehnder interferometer optical MUX/DeMUX fabricated by an ArF-immersion lithography process on a 300 mm SOI wafer.

    Science.gov (United States)

    Jeong, Seok-Hwan; Shimura, Daisuke; Simoyama, Takasi; Horikawa, Tsuyoshi; Tanaka, Yu; Morito, Ken

    2014-07-01

    We report good phase controllability and high production yield in Si-nanowire-based multistage delayed Mach-Zehnder interferometer-type optical multiplexers/demultiplexers (MUX/DeMUX) fabricated by an ArF-immersion lithography process on a 300 mm silicon-on-insulator (SOI) wafer. Three kinds of devices fabricated in this work exhibit clear 1×4 Ch wavelength filtering operations for various optical frequency spacing. These results are promising for their applications in high-density wavelength division multiplexing-based optical interconnects.

  12. Performance study of double SOI image sensors

    Science.gov (United States)

    Miyoshi, T.; Arai, Y.; Fujita, Y.; Hamasaki, R.; Hara, K.; Ikegami, Y.; Kurachi, I.; Nishimura, R.; Ono, S.; Tauchi, K.; Tsuboyama, T.; Yamada, M.

    2018-02-01

    Double silicon-on-insulator (DSOI) sensors composed of two thin silicon layers and one thick silicon layer have been developed since 2011. The thick substrate consists of high resistivity silicon with p-n junctions while the thin layers are used as SOI-CMOS circuitry and as shielding to reduce the back-gate effect and crosstalk between the sensor and the circuitry. In 2014, a high-resolution integration-type pixel sensor, INTPIX8, was developed based on the DSOI concept. This device is fabricated using a Czochralski p-type (Cz-p) substrate in contrast to a single SOI (SSOI) device having a single thin silicon layer and a Float Zone p-type (FZ-p) substrate. In the present work, X-ray spectra of both DSOI and SSOI sensors were obtained using an Am-241 radiation source at four gain settings. The gain of the DSOI sensor was found to be approximately three times that of the SSOI device because the coupling capacitance is reduced by the DSOI structure. An X-ray imaging demonstration was also performed and high spatial resolution X-ray images were obtained.

  13. A technique for simultaneously improving the product of cutoff frequency–breakdown voltage and thermal stability of SOI SiGe HBT

    International Nuclear Information System (INIS)

    Fu Qiang; Zhang Wan-Rong; Jin Dong-Yue; Zhao Yan-Xiao; Wang Xiao

    2016-01-01

    The product of the cutoff frequency and breakdown voltage ( f T ×BV CEO ) is an important figure of merit (FOM) to characterize overall performance of heterojunction bipolar transistor (HBT). In this paper, an approach to introducing a thin N + -buried layer into N collector region in silicon-on-insulator (SOI) SiGe HBT to simultaneously improve the FOM of f T ×BV CEO and thermal stability is presented by using two-dimensional (2D) numerical simulation through SILVACO device simulator. Firstly, in order to show some disadvantages of the introduction of SOI structure, the effects of SOI insulation layer thickness ( T BOX ) on f T , BV CEO , and the FOM of f T ×BV CEO are presented. The introduction of SOI structure remarkably reduces the electron concentration in collector region near SOI substrate insulation layer, obviously reduces f T , slightly increases BV CEO to some extent, but ultimately degrades the FOM of f T ×BV CEO . Although the f T , BV CEO , and the FOM of f T ×BV CEO can be improved by increasing SOI insulator SiO 2 layer thickness T BOX in SOI structure, the device temperature and collector current are increased due to lower thermal conductivity of SiO 2 layer, as a result, the self-heating effect of the device is enhanced, and the thermal stability of the device is degraded. Secondly, in order to alleviate the foregoing problem of low electron concentration in collector region near SOI insulation layer and the thermal stability resulting from thick T BOX , a thin N + -buried layer is introduced into collector region to not only improve the FOM of f T ×BV CEO , but also weaken the self-heating effect of the device, thus improving the thermal stability of the device. Furthermore, the effect of the location of the thin N + -buried layer in collector region is investigated in detail. The result show that the FOM of f T ×BV CEO is improved and the device temperature decreases as the N + -buried layer shifts toward SOI substrate insulation layer

  14. Micromagnetic simulations of submicron cobalt dots

    International Nuclear Information System (INIS)

    Parker, G. J.; Cerjan, C.

    2000-01-01

    Numerical simulations of submicron Co extruded elliptical dots were performed to illustrate the relative importance of different physical parameters on the switching behavior in the easy direction. Shape, size, magnetic moment magnitude, and the magnitude and distribution of the crystalline anisotropicity were varied. The simulation represents magnetostatic, exchange, and crystalline anisotropicity fields on a structured mesh using finite difference techniques. The smooth boundary of the dots is accurately represented by use of the embedded curve boundary method. Agreement with experimental hysteresis measurements of submicron dot arrays is obtained when an appropriate angular distribution of the grain anisotropicity axes is invoked. (c) 2000 American Institute of Physics

  15. A graphene spin diode based on Rashba SOI

    International Nuclear Information System (INIS)

    Mohammadpour, Hakimeh

    2015-01-01

    In this paper a graphene-based two-terminal electronic device is modeled for application in spintronics. It is based on a gapped armchair graphene nanoribbon (GNR). The electron transport is considered through a scattering or channel region which is sandwiched between two lateral semi-infinite ferromagnetic leads. The two ferromagnetic leads, being half-metallic, are supposed to be in either parallel or anti-parallel magnetization. Meanwhile, the central channel region is a normal layer under the influence of the Rashba SOI, induced e.g., by the substrate. The device operation is based on modulating the (spin-) current by tuning the strength of the RSOI. The resultant current, being spin-polarized, is controlled by the RSOI in mutual interplay with the channel length. Inverting alternating bias voltage to a fully rectified spin-current is the main achievement of this paper. - Highlights: • Graphene-based electronic device is modeled with ferromagnetic leads. • The device operation is based on modulating the (spin-) current by Rashba SOI. • Inverting alternating bias voltage to rectified spin-current is the main achievement

  16. Gate Engineering in SOI LDMOS for Device Reliability

    Directory of Open Access Journals (Sweden)

    Aanand

    2016-01-01

    Full Text Available A linearly graded doping drift region with step gate structure, used for improvement of reduced surface field (RESURF SOI LDMOS transistor performance has been simulated with 0.35µm technology in this paper. The proposed device has one poly gate and double metal gate arranged in a stepped manner, from channel to drift region. The first gate uses n+ poly (near source where as other two gates of aluminium. The first gate with thin gate oxide has good control over the channel charge. The third gate with thick gate oxide at drift region reduce gate to drain capacitance. The arrangement of second and third gates in a stepped manner in drift region spreads the electric field uniformly. Using two dimensional device simulations, the proposed SOI LDMOS is compared with conventional structure and the extended metal structure. We demonstrate that the proposed device exhibits significant enhancement in linearity, breakdown voltage, on-resistance and HCI. Double metal gate reduces the impact ionization area which helps to improve the Hot Carrier Injection effect..

  17. Thermophoretic motion behavior of submicron particles in boundary-layer-separation flow around a droplet.

    Science.gov (United States)

    Wang, Ao; Song, Qiang; Ji, Bingqiang; Yao, Qiang

    2015-12-01

    As a key mechanism of submicron particle capture in wet deposition and wet scrubbing processes, thermophoresis is influenced by the flow and temperature fields. Three-dimensional direct numerical simulations were conducted to quantify the characteristics of the flow and temperature fields around a droplet at three droplet Reynolds numbers (Re) that correspond to three typical boundary-layer-separation flows (steady axisymmetric, steady plane-symmetric, and unsteady plane-symmetric flows). The thermophoretic motion of submicron particles was simulated in these cases. Numerical results show that the motion of submicron particles around the droplet and the deposition distribution exhibit different characteristics under three typical flow forms. The motion patterns of particles are dependent on their initial positions in the upstream and flow forms. The patterns of particle motion and deposition are diversified as Re increases. The particle motion pattern, initial position of captured particles, and capture efficiency change periodically, especially during periodic vortex shedding. The key effects of flow forms on particle motion are the shape and stability of the wake behind the droplet. The drag force of fluid and the thermophoretic force in the wake contribute jointly to the deposition of submicron particles after the boundary-layer separation around a droplet.

  18. Cavity cooling of an optically levitated submicron particle

    Science.gov (United States)

    Kiesel, Nikolai; Blaser, Florian; Delić, Uroš; Grass, David; Kaltenbaek, Rainer; Aspelmeyer, Markus

    2013-01-01

    The coupling of a levitated submicron particle and an optical cavity field promises access to a unique parameter regime both for macroscopic quantum experiments and for high-precision force sensing. We report a demonstration of such controlled interactions by cavity cooling the center-of-mass motion of an optically trapped submicron particle. This paves the way for a light–matter interface that can enable room-temperature quantum experiments with mesoscopic mechanical systems. PMID:23940352

  19. Influence of PEG Stoichiometry on Structure-Tuned Formation of Self-Assembled Submicron Nickel Particles

    Directory of Open Access Journals (Sweden)

    Bingxue Pu

    2018-01-01

    Full Text Available Self-assembled submicron nickel particles were successfully synthesized via the one-step surfactant-assisted solvothermal method. The impact of surfactant and reducing agent stoichiometry is investigated in this manuscript. Different morphologies and structures of Ni particles, including flower-like nanoflakes, hydrangea-like structures, chain structures, sphere-like structures, and hollow structures were prepared through different processing conditions with two parameters such as temperature and time. Based on scanning electron microscopy (SEM, X-ray diffraction (XRD, thermal gravimetric analysis (TGA and vibrating sample magnetometry (VSM, the submicron nickel particles show good saturation magnetization and excellent thermal stabilities with a possible growth mechanism for the variety of the structure-tuned formation. Importantly, the microwave absorption properties of the submicron nickel particles were studied. The lowest reflection loss of Ni-P9/T200/H15 with a thin layer thickness of 1.7 mm can reach −42.6 dB at 17.3 GHz.

  20. Degradation of CMOS image sensors in deep-submicron technology due to γ-irradiation

    Science.gov (United States)

    Rao, Padmakumar R.; Wang, Xinyang; Theuwissen, Albert J. P.

    2008-09-01

    In this work, radiation induced damage mechanisms in deep submicron technology is resolved using finger gated-diodes (FGDs) as a radiation sensitive tool. It is found that these structures are simple yet efficient structures to resolve radiation induced damage in advanced CMOS processes. The degradation of the CMOS image sensors in deep-submicron technology due to γ-ray irradiation is studied by developing a model for the spectral response of the sensor and also by the dark-signal degradation as a function of STI (shallow-trench isolation) parameters. It is found that threshold shifts in the gate-oxide/silicon interface as well as minority carrier life-time variations in the silicon bulk are minimal. The top-layer material properties and the photodiode Si-SiO2 interface quality are degraded due to γ-ray irradiation. Results further suggest that p-well passivated structures are inevitable for radiation-hard designs. It was found that high electrical fields in submicron technologies pose a threat to high quality imaging in harsh environments.

  1. Generation and confinement of mobile charges in buried oxide of SOI substrates

    International Nuclear Information System (INIS)

    Gruber, O.; Krawiec, S.; Musseau, O.; Paillet, Ph.; Courtot-Descharles, A.

    1999-01-01

    We analyze the mechanisms of generation and confinement of mobile protons resulting from hydrogen annealing of SOI buried oxides. This study of the mechanisms of generation and confinement of mobile protons in the buried oxide of SOI wafers emphasizes the importance of H+ diffusion in the oxide in the formation of a mobile charge. Under specific electric field conditions the irradiation of these devices results in a pinning of this mobile charge at the bottom Si-SiO 2 interface. Ab initio calculations are in progress to investigate the possible precursor defects in the oxide and detail the mechanism for mobile proton generation and confinement. (authors)

  2. A technique for simultaneously improving the product of cutoff frequency-breakdown voltage and thermal stability of SOI SiGe HBT

    Science.gov (United States)

    Fu, Qiang; Zhang, Wan-Rong; Jin, Dong-Yue; Zhao, Yan-Xiao; Wang, Xiao

    2016-12-01

    The product of the cutoff frequency and breakdown voltage (fT×BVCEO) is an important figure of merit (FOM) to characterize overall performance of heterojunction bipolar transistor (HBT). In this paper, an approach to introducing a thin N+-buried layer into N collector region in silicon-on-insulator (SOI) SiGe HBT to simultaneously improve the FOM of fT×BVCEO and thermal stability is presented by using two-dimensional (2D) numerical simulation through SILVACO device simulator. Firstly, in order to show some disadvantages of the introduction of SOI structure, the effects of SOI insulation layer thickness (TBOX) on fT, BVCEO, and the FOM of fT×BVCEO are presented. The introduction of SOI structure remarkably reduces the electron concentration in collector region near SOI substrate insulation layer, obviously reduces fT, slightly increases BVCEO to some extent, but ultimately degrades the FOM of fT×BVCEO. Although the fT, BVCEO, and the FOM of fT×BVCEO can be improved by increasing SOI insulator SiO2 layer thickness TBOX in SOI structure, the device temperature and collector current are increased due to lower thermal conductivity of SiO2 layer, as a result, the self-heating effect of the device is enhanced, and the thermal stability of the device is degraded. Secondly, in order to alleviate the foregoing problem of low electron concentration in collector region near SOI insulation layer and the thermal stability resulting from thick TBOX, a thin N+-buried layer is introduced into collector region to not only improve the FOM of fT×BVCEO, but also weaken the self-heating effect of the device, thus improving the thermal stability of the device. Furthermore, the effect of the location of the thin N+-buried layer in collector region is investigated in detail. The result show that the FOM of fT×BVCEO is improved and the device temperature decreases as the N+-buried layer shifts toward SOI substrate insulation layer. The approach to introducing a thin N+-buried layer

  3. Magnetoresistance and magnetization in submicron ferromagnetic gratings

    Science.gov (United States)

    Shearwood, C.; Blundell, S. J.; Baird, M. J.; Bland, J. A. C.; Gester, M.; Ahmed, H.; Hughes, H. P.

    1994-05-01

    A technique for engineering micron and submicron scale structures from magnetic films of transition metals has been developed using a combination of electron- and ion-beam lithography enabling high-quality arrays of submicron magnetic Fe wires to be fabricated. This process can be used to fabricate novel devices from a variety of metal combinations which would not be possible by the usual liftoff metallization method. The structure and magnetic properties are reported of an epitaxial 25 nm Fe(001)/GaAs(001) film and the wire gratings which are fabricated from it. The width of the wires in the grating is 0.5 μm for all structures studied, but the separation of each wire is varied in the range 0.5 to 16 μm. An artificially induced shape anisotropy field of around 1 kG, consistent with a magnetostatic calculation, was observed for all separations studied. The field dependence of the magneto-optic Kerr effect and magnetoresistance (MR) data is consistent with a twisted magnetization configuration across the width of the sample beneath saturation for transverse applied fields. In this case, the detailed form of the field dependence of the MR is strikingly modified from that observed in the continuous film and is consistent with coherent rotation of the magnetization.

  4. Anomalous radiation effects in fully depleted SOI MOSFETs fabricated on SIMOX

    Science.gov (United States)

    Li, Ying; Niu, Guofu; Cressler, J. D.; Patel, J.; Marshall, C. J.; Marshall, P. W.; Kim, H. S.; Reed, R. A.; Palmer, M. J.

    2001-12-01

    We investigate the proton tolerance of fully depleted silicon-on-insulator (SOI) MOSFETs with H-gate and regular-gate structural configurations. For the front-gate characteristics, the H-gate does not show the edge leakage observed in the regular-gate transistor. An anomalous kink in the back-gate linear I/sub D/-V/sub GS/ characteristics of the fully depleted SOI nFETs has been observed at high radiation doses. This kink is attributed to charged traps generated in the bandgap at the buried oxide/silicon film interface during irradiation. Extensive two-dimensional simulations with MEDICI were used to understand the physical origin of this kink. We also report unusual self-annealing effects in the devices when they are cooled to liquid nitrogen temperature.

  5. Jean-Pierre Famose et Jean Bertsch, L’estime de soi : une controverse éducative, Paris, PUF, 2009, 192 p

    OpenAIRE

    Benamar, Aïcha

    2015-01-01

    L’ouvrage porte sur l’estime de soi, dans la sphère sociale en général et le monde éducatif en particulier. L’estime de soi est au cœur du comportement individuel, apportant confiance et assurance, permettant de progresser et in fine de réussir. Une faible estime de soi est fréquemment à l’origine de difficultés pour un individu : doutes, hésitations, ou à l’inverse vanité et arrogance. Un bon niveau d’estime de soi confère à la personnalité : capacité à s’affirmer et respect des autres. Cent...

  6. SOI Transistor measurement techniques using body contacted transistors

    International Nuclear Information System (INIS)

    Worley, E.R.; Williams, R.

    1989-01-01

    Measurements of body contacted SOI transistors are used to isolate parameters of the back channel and island edge transistor. Properties of the edge and back channel transistor have been measured before and after X-ray irradiation (ARACOR). The unique properties of the edge transistor are shown to be a result of edge geometry as confirmed by a two dimensional transistor simulator

  7. Performance of an SOI Boot-Strapped Full-Bridge MOSFET Driver, Type CHT-FBDR, under Extreme Temperatures

    Science.gov (United States)

    Patterson, Richard; Hammoud, Ahmad

    2009-01-01

    Electronic systems designed for use in deep space and planetary exploration missions are expected to encounter extreme temperatures and wide thermal swings. Silicon-based devices are limited in their wide-temperature capability and usually require extra measures, such as cooling or heating mechanisms, to provide adequate ambient temperature for proper operation. Silicon-On-Insulator (SOI) technology, on the other hand, lately has been gaining wide spread use in applications where high temperatures are encountered. Due to their inherent design, SOI-based integrated circuit chips are able to operate at temperatures higher than those of the silicon devices by virtue of reducing leakage currents, eliminating parasitic junctions, and limiting internal heating. In addition, SOI devices provide faster switching, consume less power, and offer improved radiation-tolerance. Very little data, however, exist on the performance of such devices and circuits under cryogenic temperatures. In this work, the performance of an SOI bootstrapped, full-bridge driver integrated circuit was evaluated under extreme temperatures and thermal cycling. The investigations were carried out to establish a baseline on the functionality and to determine suitability of this device for use in space exploration missions under extreme temperature conditions.

  8. A high efficiency lateral light emitting device on SOI

    NARCIS (Netherlands)

    Hoang, T.; Le Minh, P.; Holleman, J.; Zieren, V.; Goossens, M.J.; Schmitz, Jurriaan

    2005-01-01

    The infrared light emission of lateral p/sup +/-p-n/sup +/ diodes realized on SIMOX-SOI (separation by implantation of oxygen - silicon on insulator) substrates has been studied. The confinement of the free carriers in one dimension due to the buried oxide was suggested to be a key point to increase

  9. Split-Capacitance and Conductance-Frequency Characteristics of SOI Wafers in Pseudo-MOSFET Configuration

    KAUST Repository

    Pirro, Luca

    2015-09-01

    Recent experimental results have demonstrated the possibility of characterizing silicon-on-insulator (SOI) wafers through split C-V measurements in the pseudo-MOSFET configuration. This paper analyzes the capacitance and conductance versus frequency characteristics. We discuss the conditions under which it is possible to extract interface trap density in bare SOI wafers. The results indicate, through both measurements and simulations, that the signature due to interface trap density is present in small-area samples, but is masked by the RC response of the channel in regular, large-area ones, making the extraction in standard samples problematic. © 1963-2012 IEEE.

  10. Split-Capacitance and Conductance-Frequency Characteristics of SOI Wafers in Pseudo-MOSFET Configuration

    KAUST Repository

    Pirro, Luca; Diab, Amer El Hajj; Ionica, Irina; Ghibaudo, Gerard; Faraone, Lorenzo; Cristoloveanu, Sorin

    2015-01-01

    Recent experimental results have demonstrated the possibility of characterizing silicon-on-insulator (SOI) wafers through split C-V measurements in the pseudo-MOSFET configuration. This paper analyzes the capacitance and conductance versus frequency characteristics. We discuss the conditions under which it is possible to extract interface trap density in bare SOI wafers. The results indicate, through both measurements and simulations, that the signature due to interface trap density is present in small-area samples, but is masked by the RC response of the channel in regular, large-area ones, making the extraction in standard samples problematic. © 1963-2012 IEEE.

  11. Improving breakdown voltage performance of SOI power device with folded drift region

    Science.gov (United States)

    Qi, Li; Hai-Ou, Li; Ping-Jiang, Huang; Gong-Li, Xiao; Nian-Jiong, Yang

    2016-07-01

    A novel silicon-on-insulator (SOI) high breakdown voltage (BV) power device with interlaced dielectric trenches (IDT) and N/P pillars is proposed. In the studied structure, the drift region is folded by IDT embedded in the active layer, which results in an increase of length of ionization integral remarkably. The crowding phenomenon of electric field in the corner of IDT is relieved by the N/P pillars. Both traits improve two key factors of BV, the ionization integral length and electric field magnitude, and thus BV is significantly enhanced. The electric field in the dielectric layer is enhanced and a major portion of bias is borne by the oxide layer due to the accumulation of inverse charges (holes) at the corner of IDT. The average value of the lateral electric field of the proposed device reaches 60 V/μm with a 10 μm drift length, which increases by 200% in comparison to the conventional SOI LDMOS, resulting in a breakdown voltage of 607 V. Project supported by the Guangxi Natural Science Foundation of China (Grant Nos. 2013GXNSFAA019335 and 2015GXNSFAA139300), Guangxi Experiment Center of Information Science of China (Grant No. YB1406), Guangxi Key Laboratory of Wireless Wideband Communication and Signal Processing of China, Key Laboratory of Cognitive Radio and Information Processing (Grant No. GXKL061505), Guangxi Key Laboratory of Automobile Components and Vehicle Technology of China (Grant No. 2014KFMS04), and the National Natural Science Foundation of China (Grant Nos. 61361011, 61274077, and 61464003).

  12. Low-Power RF SOI-CMOS Technology for Distributed Sensor Networks

    Science.gov (United States)

    Dogan, Numan S.

    2003-01-01

    The objective of this work is to design and develop Low-Power RF SOI-CMOS Technology for Distributed Sensor Networks. We briefly report on the accomplishments in this work. We also list the impact of this work on graduate student research training/involvement.

  13. Fabrication of submicron structures in nanoparticle/polymer composite by holographic lithography and reactive ion etching

    Science.gov (United States)

    Zhang, A. Ping; He, Sailing; Kim, Kyoung Tae; Yoon, Yong-Kyu; Burzynski, Ryszard; Samoc, Marek; Prasad, Paras N.

    2008-11-01

    We report on the fabrication of nanoparticle/polymer submicron structures by combining holographic lithography and reactive ion etching. Silica nanoparticles are uniformly dispersed in a (SU8) polymer matrix at a high concentration, and in situ polymerization (cross-linking) is used to form a nanoparticle/polymer composite. Another photosensitive SU8 layer cast upon the nanoparticle/SU8 composite layer is structured through holographic lithography, whose pattern is finally transferred to the nanoparticle/SU8 layer by the reactive ion etching process. Honeycomb structures in a submicron scale are experimentally realized in the nanoparticle/SU8 composite.

  14. A Novel Submicron Emulsion System Loaded with Doxorubicin Overcome Multi-Drug Resistance in MCF-7/ADR Cells.

    Science.gov (United States)

    Zhou, W P; Hua, H Y; Sun, P C; Zhao, Y X

    2015-01-01

    The purpose of the present study was to develop the Solutol HS15-based doxorubicin submicron emulsion with good stability and overcoming multi-drug resistance. In this study, we prepared doxorubicin submicron emulsion, and examined the stability after autoclaving, the in vitro cytotoxic activity, the intracellular accumulation and apoptpsis of doxorubicin submicron emulsion in MCF-7/ADR cells. The physicochemical properties of doxorubicin submicron emulsion were not significantly affected after autoclaving. The doxorubicin submicron emulsion significantly increased the intracellular accumulation of doxorubicin submicron emulsion and enhanced cytotoxic activity and apoptotic effects of doxorubicin. These results may be correlated to doxorubicin submicron emulsion inhibitory effects on efflux pumps through the progressive release of intracellular free Solutol HS15 from doxorubicin submicron emulsion. Furthermore, these in vitro results suggest that the Solutol HS15-based submicron emulsion may be a potentially useful drug delivery system to circumvent multi-drug resistance of tumor cells.

  15. [Nasal submicron emulsion of Scutellariae Radix extract preparation technology research based on phase transfer of solute technology].

    Science.gov (United States)

    Shi, Ya-jun; Shi, Jun-hui; Chen, Shi-bin; Yang, Ming

    2015-07-01

    Based on the demand of nasal drug delivery high drug loadings, using the unique phase transfer of solute, integrating the phospholipid complex preparation and submicron emulsion molding process of Scutellariae Radix extract, the study obtained the preparation of the high drug loadings submicron emulsion of Scutellariae Radix extract. In the study of drug solution dispersion method, the uniformity of drug dispersed as the evaluation index, the traditional mixing method, grinding, homogenate and solute phase transfer technology were investigated, and the solute phase transfer technology was adopted in the last. With the adoption of new technology, the drug loading capacity reached 1.33% (phospholipid complex was 4%). The drug loading capacity was improved significantly. The transfer of solute method and timing were studied as follows,join the oil phase when the volume of phospholipid complex anhydrous ethanol solution remaining 30%, the solute phase transfer was completed with the continued recycling of anhydrous ethanol. After drug dissolved away to oil phase, the preparation technology of colostrum was determined with the evaluation index of emulsion droplet form. The particle size of submicron emulsion, PDI and stability parameters were used as evaluation index, orthogonal methodology were adopted to optimize the submicron emulsion ingredient and main influential factors of high pressure homogenization technology. The optimized preparation technology of Scutellariae Radix extract nasal submicron emulsion is practical and stable.

  16. A 45 nm Stacked CMOS Image Sensor Process Technology for Submicron Pixel.

    Science.gov (United States)

    Takahashi, Seiji; Huang, Yi-Min; Sze, Jhy-Jyi; Wu, Tung-Ting; Guo, Fu-Sheng; Hsu, Wei-Cheng; Tseng, Tung-Hsiung; Liao, King; Kuo, Chin-Chia; Chen, Tzu-Hsiang; Chiang, Wei-Chieh; Chuang, Chun-Hao; Chou, Keng-Yu; Chung, Chi-Hsien; Chou, Kuo-Yu; Tseng, Chien-Hsien; Wang, Chuan-Joung; Yaung, Dun-Nien

    2017-12-05

    A submicron pixel's light and dark performance were studied by experiment and simulation. An advanced node technology incorporated with a stacked CMOS image sensor (CIS) is promising in that it may enhance performance. In this work, we demonstrated a low dark current of 3.2 e - /s at 60 °C, an ultra-low read noise of 0.90 e - ·rms, a high full well capacity (FWC) of 4100 e - , and blooming of 0.5% in 0.9 μm pixels with a pixel supply voltage of 2.8 V. In addition, the simulation study result of 0.8 μm pixels is discussed.

  17. Monolithic integration of InGaAs/InP multiple quantum wells on SOI substrates for photonic devices

    Science.gov (United States)

    Li, Zhibo; Wang, Mengqi; Fang, Xin; Li, Yajie; Zhou, Xuliang; Yu, Hongyan; Wang, Pengfei; Wang, Wei; Pan, Jiaoqing

    2018-02-01

    A direct epitaxy of III-V nanowires with InGaAs/InP multiple quantum wells on v-shaped trenches patterned silicon on insulator (SOI) substrates was realized by combining the standard semiconductor fabrication process with the aspect ratio trapping growth technique. Silicon thickness as well as the width and gap of each nanowire were carefully designed to accommodate essential optical properties and appropriate growth conditions. The III-V element ingredient, crystalline quality, and surface topography of the grown nanowires were characterized by X-ray diffraction spectroscopy, photoluminescence, and scanning electron microscope. Geometrical details and chemical information of multiple quantum wells were revealed by transmission electron microscopy and energy dispersive spectroscopy. Numerical simulations confirmed that the optical guided mode supported by one single nanowire was able to propagate 50 μm with ˜30% optical loss. This proposed integration scheme opens up an alternative pathway for future photonic integrations of III-V devices on the SOI platform at nanoscale.

  18. Prediction of total dose effects on sub-micron process metal oxide semiconductor devices

    International Nuclear Information System (INIS)

    Kamimura, Hiroshi; Kato, Masataka.

    1991-01-01

    A method for correcting leakage currents is described to predict the radiation-induced threshold voltage shift of sub-micron MOSFETs. A practical model for predicting the leakage current generated by irradiation is also given on the basis of experimental results on 0.8-μm process MOSFETs. The constants in the threshold voltage shift model are determined from the 'true' I-V characteristic of the MOSFET, which is obtained by correction of leakage currents due to characteristic change of a parasitic transistor. In this way, the threshold voltage shift of the n-channel MOSFET irradiated at a low dose rate of 2 Gy(Si)/h was also calculated by using data from a high dose rate irradiation experiment (100 Gy(Si)/h, 5 h). The calculated result well represented the tendency of measured data on threshold voltage shift. The radiation-induced leakage current was considered to decay approximately in two exponential modes. The constants in this leakage current model were determined from the above high dose rate experiment. The response of leakage current predicted at a low dose rate of 2 Gy(Si)/h approximately agreed with that measured during and after irradiation. (author)

  19. A low on-resistance SOI LDMOS using a trench gate and a recessed drain

    International Nuclear Information System (INIS)

    Ge Rui; Luo Xiaorong; Jiang Yongheng; Zhou Kun; Wang Pei; Wang Qi; Wang Yuangang; Zhang Bo; Li Zhaoji

    2012-01-01

    An integrable silicon-on-insulator (SOI) power lateral MOSFET with a trench gate and a recessed drain (TGRD MOSFET) is proposed to reduce the on-resistance. Both of the trench gate extended to the buried oxide (BOX) and the recessed drain reduce the specific on-resistance (R on,sp ) by widening the vertical conduction area and shortening the extra current path. The trench gate is extended as a field plate improves the electric field distribution. Breakdown voltage (BV) of 97 V and R on,sp of 0.985 mΩ·cm 2 (V GS = 5 V) are obtained for a TGRD MOSFET with 6.5 μm half-cell pitch. Compared with the trench gate SOI MOSFET (TG MOSFET) and the conventional MOSFET, R on,sp of the TGRD MOSFET decreases by 46% and 83% at the same BV, respectively. Compared with the SOI MOSFET with a trench gate and a trench drain (TGTD MOSFET), BV of the TGRD MOSFET increases by 37% at the same R on,sp . (semiconductor devices)

  20. Fully etched apodized grating coupler on the SOI platform with −058 dB coupling efficiency

    DEFF Research Database (Denmark)

    Ding, Yunhong; Peucheret, Christophe; Ou, Haiyan

    2014-01-01

    We design and fabricate an ultrahigh coupling efficiency (CE) fully etched apodized grating coupler on the silicon- on-insulator (SOI) platform using subwavelength photonic crystals and bonded aluminum mirror. Fabrication error sensitivity andcoupling angle dependence are experimentally investiga......We design and fabricate an ultrahigh coupling efficiency (CE) fully etched apodized grating coupler on the silicon- on-insulator (SOI) platform using subwavelength photonic crystals and bonded aluminum mirror. Fabrication error sensitivity andcoupling angle dependence are experimentally...

  1. NATO Advanced Study Institute on Physics of Submicron Semiconductor Devices

    CERN Document Server

    Ferry, David; Jacoboni, C

    1988-01-01

    The papers contained in the volume represent lectures delivered as a 1983 NATO ASI, held at Urbino, Italy. The lecture series was designed to identify the key submicron and ultrasubmicron device physics, transport, materials and contact issues. Nonequilibrium transport, quantum transport, interfacial and size constraints issues were also highlighted. The ASI was supported by NATO and the European Research Office. H. L. Grubin D. K. Ferry C. Jacoboni v CONTENTS MODELLING OF SUB-MICRON DEVICES.................. .......... 1 E. Constant BOLTZMANN TRANSPORT EQUATION... ... ...... .................... 33 K. Hess TRANSPORT AND MATERIAL CONSIDERATIONS FOR SUBMICRON DEVICES. . .. . . . . .. . . . .. . .. . .... ... .. . . . .. . . . .. . . . . . . . . . . 45 H. L. Grubin EPITAXIAL GROWTH FOR SUB MICRON STRUCTURES.................. 179 C. E. C. Wood INSULATOR/SEMICONDUCTOR INTERFACES.......................... 195 C. W. Wilms en THEORY OF THE ELECTRONIC STRUCTURE OF SEMICONDUCTOR SURFACES AND INTERFACES...................

  2. Bloch-Wave Engineered Submicron Diameter Micropillars with Quality Factors Exceeding 10,000

    DEFF Research Database (Denmark)

    Hofling, S.; Lermer, M.; Gregersen, Niels

    2011-01-01

    Adiabatic design submicron diameter quantum-dot micropillars have been designed and implemented for cavity quantum electrodynamics experiments. Ultra-high experimental quality factors (>10,000) are obtained for submicron diameters and strong light-matter interaction is observed....

  3. A new SOI high-voltage device with a step-thickness drift region and its analytical model for the electric field and breakdown voltage

    International Nuclear Information System (INIS)

    Luo Xiaorong; Zhang Wei; Zhang Bo; Li Zhaoji; Yang Shouguo; Zhan Zhan; Fu Daping

    2008-01-01

    A new SOI high-voltage device with a step-thickness drift region (ST SOI) and its analytical model for the two-dimension electric field distribution and the breakdown voltage are proposed. The electric field in the drift region is modulated and that of the buried layer is enhanced by the variable thickness SOI layer, thereby resulting in the enhancement of the breakdown voltage. Based on the Poisson equation, the expression for the two-dimension electric field distribution is presented taking the modulation effect into account, from which the RESURF (REduced SURface Field) condition and the approximate but explicit expression for the maximal breakdown voltage are derived. The analytical model can explain the effects of the device parameters, such as the step height and the step length of the SOI layer, the doping concentration and the buried oxide thickness, on the electric field distribution and the breakdown voltage. The validity of this model is demonstrated by a comparison with numerical simulations. Improvement on both the breakdown voltage and the on-resistance (R on ) for the ST SOI is obtained due to the variable thickness SOI layer

  4. Airborne studies of submicron aerosol in the troposphere over West Siberia

    Energy Technology Data Exchange (ETDEWEB)

    Panchenko, M.V.; Zuev, V.E.; Belan, B.D.; Terpugova, S.A. [Institute of Atmospheric Optics, Tomsk (Russian Federation)

    1996-04-01

    Submicron fraction particles that have the longest lifespan and are included in almost all atmospheric processes are of special importance among the great variety of sizes of particles present in the atmosphere. Submicron particles mainly determine the opticle state of the atmosphere in the visible spectral range, essentially cause the absorption of infrared radiation and, since they are the products and participants in all aerosol-to-gas transformations, accumulate of a lot of various chemical compounds and transfer them to large distances. Investigation of the processes of the spatial-temporal variability of aerosol particles for different climatic zones of the earth is the experimental base for studying their effect on climatically and ecologically significant factors and estimating their unfavorable tendencies. The increasing anthropogenic loading of the earth`s atmosphere is creating an urgency for aerosol research. Regardless of how perfect the analytical and numerical methods of solving radiation problems may be, success in forecasting climatic change is mainly determined by the reliability of the experimental data on optical parameters of the atmosphere and of the description of their variability under the effect of external factors.

  5. High performance flexible CMOS SOI FinFETs

    KAUST Repository

    Fahad, Hossain M.

    2014-06-01

    We demonstrate the first ever CMOS compatible soft etch back based high performance flexible CMOS SOI FinFETs. The move from planar to non-planar FinFETs has enabled continued scaling down to the 14 nm technology node. This has been possible due to the reduction in off-state leakage and reduced short channel effects on account of the superior electrostatic charge control of multiple gates. At the same time, flexible electronics is an exciting expansion opportunity for next generation electronics. However, a fully integrated low-cost system will need to maintain ultra-large-scale-integration density, high performance and reliability - same as today\\'s traditional electronics. Up until recently, this field has been mainly dominated by very weak performance organic electronics enabled by low temperature processes, conducive to low melting point plastics. Now however, we show the world\\'s highest performing flexible version of 3D FinFET CMOS using a state-of-the-art CMOS compatible fabrication technique for high performance ultra-mobile consumer applications with stylish design. © 2014 IEEE.

  6. Dimensional effects and scalability of Meta-Stable Dip (MSD) memory effect for 1T-DRAM SOI MOSFETs

    Science.gov (United States)

    Hubert, A.; Bawedin, M.; Cristoloveanu, S.; Ernst, T.

    2009-12-01

    The difficult scaling of bulk Dynamic Random Access Memories (DRAMs) has led to various concepts of capacitor-less single-transistor (1T) architectures based on SOI transistor floating-body effects. Amongst them, the Meta-Stable Dip RAM (MSDRAM), which is a double-gate Fully Depleted SOI transistor, exhibits attractive performances. The Meta-Stable Dip effect results from the reduced junction leakage current and the long carrier generation lifetime in thin silicon film transistors. In this study, various devices with different gate lengths, widths and silicon film thicknesses have been systematically explored, revealing the impact of transistor dimensions on the MSD effect. These experimental results are discussed and validated by two-dimensional numerical simulations. It is found that MSD is maintained for small dimensions even in standard SOI MOSFETs, although specific optimizations are expected to enhance MSDRAM performances.

  7. Density dependence of electron mobility in the accumulation mode for fully depleted SOI films

    Energy Technology Data Exchange (ETDEWEB)

    Naumova, O. V., E-mail: naumova@isp.nsc.ru; Zaitseva, E. G.; Fomin, B. I.; Ilnitsky, M. A.; Popov, V. P. [Russian Academy of Sciences, Rzhanov Institute of Semiconductor Physics, Siberian Branch (Russian Federation)

    2015-10-15

    The electron mobility µ{sub eff} in the accumulation mode is investigated for undepleted and fully depleted double-gate n{sup +}–n–n{sup +} silicon-on-insulator (SOI) metal–oxide–semiconductor field-effect transistors (MOSFET). To determine the range of possible values of the mobility and the dominant scattering mechanisms in thin-film structures, it is proposed that the field dependence of the mobility µ{sub eff} be replaced with the dependence on the density N{sub e} of induced charge carriers. It is shown that the dependences µ{sub eff}(N{sub e}) can be approximated by the power functions µ{sub eff}(N{sub e}) ∝ N{sub e}{sup -n}, where the exponent n is determined by the chargecarrier scattering mechanism as in the mobility field dependence. The values of the exponent n in the dependences µ{sub eff}(N{sub e}) are determined when the SOI-film mode near one of its surfaces varies from inversion to accumulation. The obtained results are explained from the viewpoint of the electron-density redistribution over the SOI-film thickness and changes in the scattering mechanisms.

  8. An introduction to deep submicron CMOS for vertex applications

    CERN Document Server

    Campbell, M; Cantatore, E; Faccio, F; Heijne, Erik H M; Jarron, P; Santiard, Jean-Claude; Snoeys, W; Wyllie, K

    2001-01-01

    Microelectronics has become a key enabling technology in the development of tracking detectors for High Energy Physics. Deep submicron CMOS is likely to be extensively used in all future tracking systems. Radiation tolerance in the Mrad region has been achieved and complete readout chips comprising many millions of transistors now exist. The choice of technology is dictated by market forces but the adoption of deep submicron CMOS for tracking applications still poses some challenges. The techniques used are reviewed and some of the future challenges are discussed.

  9. SOI N-Channel Field Effect Transistors, CHT-NMOS80, for Extreme Temperatures

    Science.gov (United States)

    Patterson, Richard L.; Hammoud, Almad

    2009-01-01

    high temperature N-channel MOSFET (metal-oxide semiconductor field-effect transistor) device that was manufactured by CISSOID. This high voltage, medium-power transistor is fabricated using SOI processes and is designed for extreme wide temperature applications such as geothermal well logging, aerospace and avionics, and automotive industry. It has a high DC current capability and is specified for operation in the temperature range of -55 C to +225 C

  10. Cost-effectiveness of Low-dose Submicron Diclofenac Compared With Generic Diclofenac.

    Science.gov (United States)

    Mladsi, Deirdre; Ronquest, Naoko; Odom, Dawn; Miles, LaStella; Saag, Kenneth

    2016-11-01

    NSAIDs are commonly prescribed for the treatment of pain and inflammation. Despite the effectiveness of NSAIDs, concerns exist regarding their tolerability. Worldwide health authorities, including the European Medicines Agency, Health Canada, and the US Food and Drug Administration, have advised that NSAIDs be prescribed at the lowest effective dosage and for the shortest duration. Effective lowering of NSAID dosage without compromising pain relief has been demonstrated in randomized, controlled trials of the recently approved NSAID lower-dose submicron diclofenac. Building on previously published work from an independently published systematic review and meta-analysis, a linear dose-toxicity relationship between diclofenac dose and serious gastrointestinal (GI) events was recently demonstrated, indicating that reductions in adverse events (AEs) may be seen even with modest dose reductions in many patients. The objective of the present study was to estimate the potential reduction in risk for NSAID dose-related AEs, corresponding savings in health care costs, and the incremental cost-effectiveness of submicron diclofenac compared with generic diclofenac in the United States. Our decision-analytic cost-effectiveness model considered a subset of potential AEs that may be avoided by lowering NSAID dosage. To estimate the expected reductions in upper GI bleeding/perforation and major cardiovascular events with submicron diclofenac, our model used prediction equations estimated by meta-regressions using data from systematic literature reviews. Utilities, lifetime costs, and health outcomes associated with AEs were estimated using data from the literature. The face validity of the model structure and inputs was confirmed by clinical experts in the United States. Results were evaluated in 1-way and probabilistic sensitivity analyses. The model predicted that submicron diclofenac versus generic diclofenac could reduce the occurrence of modeled GI events (by 18

  11. Submicron Resolution Spectral-Domain Optical Coherence Tomography

    KAUST Repository

    Alarousu, Erkki; Jabbour, Ghassan

    2013-01-01

    Apparatuses and systems for submicron resolution spectral-domain optical coherence tomography (OCT) are disclosed. The system may use white light sources having wavelengths within 400-1000 nanometers, and achieve resolution below 1 .mu

  12. Superconducting nanowire single-photon detectors (SNSPDs) on SOI for near-infrared range

    Energy Technology Data Exchange (ETDEWEB)

    Trojan, Philipp; Il' in, Konstantin; Henrich, Dagmar; Hofherr, Matthias; Doerner, Steffen; Siegel, Michael [Institut fuer Mikro- und Nanoelektronische Systeme (IMS), Karlsruher Institut fuer Technologie (KIT) (Germany); Semenov, Alexey [Institut fuer Planetenforschung, DLR, Berlin-Adlershof (Germany); Huebers, Heinz-Wilhelm [Institut fuer Planetenforschung, DLR, Berlin-Adlershof (Germany); Institut fuer Optik und Atomare Physik, Technische Universitaet Berlin (Germany)

    2013-07-01

    Superconducting nanowire single-photon detectors are promising devices for photon detectors with high count rates, low dark count rates and low dead times. At wavelengths beyond the visible range, the detection efficiency of today's SNSPDs drops significantly. Moreover, the low absorption in ultra-thin detector films is a limiting factor over the entire spectral range. Solving this problem requires approaches for an enhancement of the absorption range in feeding the light to the detector element. A possibility to obtain a better absorption is the use of multilayer substrate materials for photonic waveguide structures. We present results on development of superconducting nanowire single-photon detectors made from niobium nitride on silicon-on-insulator (SOI) multilayer substrates. Optical and superconducting properties of SNSPDs on SOI will be discussed and compared with the characteristics of detectors on common substrates.

  13. The effect of gate length on SOI-MOSFETS operation | Baedi ...

    African Journals Online (AJOL)

    The effect of gate length on the operation of silicon-on-insulator (SOI) MOSFET structure with a layer of buried silicon oxide added to isolate the device body has been simulated. Three transistors with gate lengths of 100, 200 and 500 nm were simulated. Simulations showed that with a fixed channel length, when the gate ...

  14. Design and optimization of different P-channel LUDMOS architectures on a 0.18 µm SOI-CMOS technology

    International Nuclear Information System (INIS)

    Cortés, I; Toulon, G; Morancho, F; Hugonnard-Bruyere, E; Villard, B; Toren, W J

    2011-01-01

    This paper focuses on the design and optimization of different power P-channel LDMOS transistors (V BR > 120 V) to be integrated in a new generation of smart-power technology based upon a 0.18 µm SOI-CMOS technology. Different drift architectures have been envisaged in this work with the purpose of optimizing the transistor static (R on-sp /V BR trade-off) and dynamic (R on × Q g ) characteristics to improve their switching performance. Conventional single-RESURF P-channel LUDMOS architectures on thin-SOI substrates show very poor R on-sp /V BR trade-off due to their low RESURF effectiveness. Alternative drift configurations such as the addition of an N-type buried layer deep inside the SOI layer or the application of the superjunction concept by alternatively placing stacked P- and N-type pillars could highly improve the RESURF effectiveness and the P-channel device switching performance

  15. Submicron and ultrafine grained hardmetals for microdrills and metal cutting inserts

    International Nuclear Information System (INIS)

    Gille, G.; Szesny, B.; Dreyer, K.; Berg, H. van den; Schidt, J.; Gestrich, T.; Leitner, G.

    2001-01-01

    Although round tools as carbide drills and mills are dominating by far the application of submicron and ultrafine hardmetals the consumption for PCB microdrills had the strongest growth rate over the last decade. This paper deals with the latest developments of ultrafine hardmetals and their application for PCB microdrills and metal cutting inserts. Based on optimized processing and properties such as hardness, hot hardness, toughness, strength and wear resistance a new generation of microdrills is presented. In particular the failure probability of the microdrills could be considerably reduced and the number of drilling strokes was nearly doubled. Combining improved pressing behavior with proper doping and optimized processing new applications of submicron and ultrafine hardmetals could be obtained by using complex shaped metal cutting inserts. Apart from these application examples the paper gives some insight into fundamental investigations an sintering and properties of ultrafine hardmetals and shows in particular the influence of milling, doping and sintering an the properties of ultrafine hardmetals. The paper also presents a new ultrafine WC grade showing a 0.1 μm WC intercept of a sintered WC - 10 wt % Co structure and a hardness of HV 30 = 2050 for a 1 wt % mixed VC/Cr 3 C 2 doping. (author)

  16. Growth and characterization of InP/GaAs on SOI by MOCVD

    International Nuclear Information System (INIS)

    Karam, N.H.; Haven, V.; Vernon, S.M.; Namavar, F.; El-Masry, N.; Haegel, N.; Al-Jassin, M.M.

    1990-01-01

    This paper reports that epitaxial InP films have been successfully deposited on GaAs coated silicon wafers with a buried oxide for the first time by MOCVD. The SOI wafers were prepared using the Separation by Implantation of Oxygen (SIMOX) process. The quality of InP on SIMOX is comparable to the best of InP on Si deposited in the same reactor. Preliminary results on defect reduction techniques such as Thermal Cycle Growth (TCG) show an order of magnitude increase in the photoluminescence intensity and a factor of five reduction in the defect density. TCG has been found more effective than Thermal Cycle Annealing (TCA) in improving the crystalline perfection and optical properties of the deposited films

  17. A new partial SOI-LDMOSFET with a modified buried oxide layer for improving self-heating and breakdown voltage

    International Nuclear Information System (INIS)

    Jamali Mahabadi, S E; Orouji, Ali A; Keshavarzi, P; Moghadam, Hamid Amini

    2011-01-01

    In this paper, for the first time, we propose a partial silicon-on-insulator (P-SOI) lateral double-diffused metal-oxide-semiconductor-field-effect-transistor (LDMOSFET) with a modified buried layer in order to improve breakdown voltage (BV) and self-heating effects (SHEs). The main idea of this work is to control the electric field by shaping the buried layer. With two steps introduced in the buried layer, the electric field distribution is modified. Also a P-type window introduced makes the substrate share the vertical voltage drop, leading to a high vertical BV. Moreover, four interface electric field peaks are introduced by the buried P-layer, the Si window and two steps, which modulate the electric field in the SOI layer and the substrate. Hence, a more uniform electric field is obtained; consequently, a high BV is achieved. Furthermore, the Si window creates a conduction path between the active layer and substrate and alleviates the SHE. Two-dimensional simulations show that the BV of double step partial silicon on insulator is nearly 69% higher and alleviates SHEs 17% in comparison with its single step partial SOI counterpart and nearly 265% higher and alleviate SHEs 18% in comparison with its conventional SOI counterpart

  18. Use of metallic glasses for fabrication of structures with submicron dimensions

    Science.gov (United States)

    Wiley, John D.; Perepezko, John H.

    1986-01-01

    Patterned structures of submicron dimension formed of supported or unsupported amorphous metals having submicron feature sizes characterized by etching behavior sufficient to allow delineation of sharp edges and smooth flat flanks, resistance to time-dependent dimensional changes caused by creep, flow, in-diffusion of unwanted impurities, out-diffusion of constituent atoms, void formation, grain growth or phase separation and resistance to phase transformations or compound formation.

  19. Novel technique of source and drain engineering for dual-material double-gate (DMDG) SOI MOSFETS

    Science.gov (United States)

    Yadav, Himanshu; Malviya, Abhishek Kumar; Chauhan, R. K.

    2018-04-01

    The dual-metal dual-gate (DMDG) SOI has been used with Dual Sided Source and Drain Engineered 50nm SOI MOSFET with various high-k gate oxide. It has been scrutinized in this work to enhance its electrical performance. The proposed structure is designed by creating Dual Sided Source and Drain Modification and its characteristics are evaluated on ATLAS device simulator. The consequence of this dual sided assorted doping on source and drain side of the DMDG transistor has better leakage current immunity and heightened ION current with higher ION to IOFF Ratio. Which thereby vesting the proposed device appropriate for low power digital applications.

  20. Development of a pixel sensor with fine space-time resolution based on SOI technology for the ILC vertex detector

    Energy Technology Data Exchange (ETDEWEB)

    Ono, Shun, E-mail: s-ono@champ.hep.sci.osaka-u.ac.jp [Osaka University, 1-1 Machikaneyama, Toyonaka (Japan); Togawa, Manabu; Tsuji, Ryoji; Mori, Teppei [Osaka University, 1-1 Machikaneyama, Toyonaka (Japan); Yamada, Miho; Arai, Yasuo; Tsuboyama, Toru; Hanagaki, Kazunori [Institute of Particle and Nuclear Studies, High Energy Accelerator Research Org. (KEK), 1-1 Oho, Tsukuba (Japan)

    2017-02-11

    We have been developing a new monolithic pixel sensor with silicon-on-insulator (SOI) technology for the International Linear Collider (ILC) vertex detector system. The SOI monolithic pixel detector is realized using standard CMOS circuits fabricated on a fully depleted sensor layer. The new SOI sensor SOFIST can store both the position and timing information of charged particles in each 20×20 μm{sup 2} pixel. The position resolution is further improved by the position weighted with the charges spread to multiple pixels. The pixel also records the hit timing with an embedded time-stamp circuit. The sensor chip has column-parallel analog-to-digital conversion (ADC) circuits and zero-suppression logic for high-speed data readout. We are designing and evaluating some prototype sensor chips for optimizing and minimizing the pixel circuit.

  1. Fabrication, microstructure, and mechanical properties of high strength cobalt sub-micron structures

    International Nuclear Information System (INIS)

    Jin Sumin; Burek, Michael J.; Evans, Robert D.; Jahed, Zeinab; Leung, Michael C.; Evans, Neal D.; Tsui, Ting Y.

    2012-01-01

    The mechanical properties exhibited by sub-micron scale columnar structures of cobalt, fabricated by electron beam lithography and electroplating techniques, were investigated through uniaxial compression. Transmission electron microscopy analyses show these specimens possess a microstructure with sub-micron grains which are elongated and aligned near to the pillar loading axis. In addition, small nanocrystalline cobalt crystals are also present within the columnar structure. These specimens display exceptional mechanical strength comparable with both bulk polycrystalline and nanocrystalline cobalt deposited by electroplating. Size-dependent softening with shrinking sample dimensions is also observed in this work. Additionally, the strength of these sub-micron structures appears to be strain rate sensitive and comparable with bulk nanocrystalline cobalt specimens.

  2. A low specific on-resistance SOI MOSFET with dual gates and a recessed drain

    International Nuclear Information System (INIS)

    Luo Xiao-Rong; Hu Gang-Yi; Zhang Zheng-Yuan; Luo Yin-Chun; Fan Ye; Wang Xiao-Wei; Fan Yuan-Hang; Cai Jin-Yong; Wang Pei; Zhou Kun

    2013-01-01

    A low specific on-resistance (R on,sp ) integrable silicon-on-insulator (SOI) metal-oxide semiconductor field-effect transistor (MOSFET) is proposed and investigated by simulation. The MOSFET features a recessed drain as well as dual gates, which consist of a planar gate and a trench gate extended to the buried oxide layer (BOX) (DGRD MOSFET). First, the dual gates form dual conduction channels, and the extended trench gate also acts as a field plate to improve the electric field distribution. Second, the combination of the trench gate and the recessed drain widens the vertical conduction area and shortens the current path. Third, the P-type top layer not only enhances the drift doping concentration but also modulates the surface electric field distributions. All of these sharply reduce R on,sp and maintain a high breakdown voltage (BV). The BV of 233 V and R on,sp of 4.151 mΩ·cm 2 (V GS = 15 V) are obtained for the DGRD MOSFET with 15-μm half-cell pitch. Compared with the trench gate SOI MOSFET and the conventional MOSFET, R on,sp of the DGRD MOSFET decreases by 36% and 33% with the same BV, respectively. The trench gate extended to the BOX synchronously acts as a dielectric isolation trench, simplifying the fabrication processes. (condensed matter: electronic structure, electrical, magnetic, and optical properties)

  3. A PD-SOI based DTI-LOCOS combined cross isolation technique for minimizing TID radiation induced leakage in high density memory

    International Nuclear Information System (INIS)

    Qiao Fengying; Pan Liyang; Wu Dong; Liu Lifang; Xu Jun

    2014-01-01

    In order to minimize leakage current increase under total ionizing dose (TID) radiation in high density memory circuits, a new isolation technique, combining deep trench isolation (DTI) between the wells, local oxidation of silicon (LOCOS) isolation between the devices within the well, and a P-diffused area in order to limit leakage at the isolation edge is implemented in partly-depleted silicon-on-insulator (PD-SOI) technology. This radiation hardening technique can minimize the layout area by more than 60%, and allows flexible placement of the body contact. Radiation hardened transistors and 256 Kb flash memory chips are designed and fabricated in a 0.6 μm PD-SOI process. Experiments show that no obvious increase in leakage current is observed for single transistors under 1 Mrad(Si) radiation, and that the 256 Kb memory chip still functions well after a TID of 100 krad(Si), with only 50% increase of the active power consumption in read mode. (semiconductor devices)

  4. Méditation et pratique de soi chez Malebranche.

    Directory of Open Access Journals (Sweden)

    Éric Dubreucq

    2004-04-01

    Full Text Available Une étude des Méditations pour se disposer à l’Humilité et à la pénitence qui les replace dans le cadre des pratiques de son époque, par exemple, chez François de Sales, celles de l’oraison, de la méditation et de la contemplation, permet d’apercevoir que l’une des thèses majeures du malebranchisme, la vision en Dieu, est un effet instauré dans le destinataire par un dispositif textuel. Celui-ci tire sa puissance prescriptive de l’a priori pratique où il s’inscrit. C’est à une opération de production de soi que l’exercice spirituel donne lieu : l’analyse des quatre premières Méditations chrétiennes et métaphysiques, en particulier, montre que c’est une organisation de la substance personnelle que provoque le travail spirituel sur soi. Celui-ci consiste à déterminer le rapport à soi comme relation d’une vision attentive à une activité illuminante, par un décentrement textuel du « je » vers le « tu ».One of the major Malebranche’s assertion, that we see truth in God, is not a mere theoretical thesis. I study first the Méditations pour se disposer à l’Humilité et à la pénitence and compare them with François de Sales’ spiritual exercitations, and show that prayer, meditation and contemplation constitute the practical frameworks of this period. The text of the Méditations is an apparatus which is fit to cause an effect in its target – the self of the reader : the vision in God. The practical a priori of the meditation provides the text with prescriptive power to transform the self. Then I study the Méditations chrétiennes et métaphysiques i-iv : we see that Malebranche set his textual apparatus so that it prescribes its receiver a form of « work-on-one’s-self ». The self is here produced by the organisation of relationship between attentive vision and lighting action, and this structure is built in the self by a movement, induced by the text, which leads the self from

  5. High density submicron magnetoresistive random access memory (invited)

    Science.gov (United States)

    Tehrani, S.; Chen, E.; Durlam, M.; DeHerrera, M.; Slaughter, J. M.; Shi, J.; Kerszykowski, G.

    1999-04-01

    Various giant magnetoresistance material structures were patterned and studied for their potential as memory elements. The preferred memory element, based on pseudo-spin valve structures, was designed with two magnetic stacks (NiFeCo/CoFe) of different thickness with Cu as an interlayer. The difference in thickness results in dissimilar switching fields due to the shape anisotropy at deep submicron dimensions. It was found that a lower switching current can be achieved when the bits have a word line that wraps around the bit 1.5 times. Submicron memory elements integrated with complementary metal-oxide-semiconductor (CMOS) transistors maintained their characteristics and no degradation to the CMOS devices was observed. Selectivity between memory elements in high-density arrays was demonstrated.

  6. Hybrid III-V/SOI resonant cavity enhanced photodetector

    DEFF Research Database (Denmark)

    Learkthanakhachon, Supannee; Taghizadeh, Alireza; Park, Gyeong Cheol

    2016-01-01

    A hybrid III–V/SOI resonant-cavity-enhanced photodetector (RCE-PD) structure comprising a high-contrast grating (HCG) reflector, a hybrid grating (HG) reflector, and an air cavity between them, has been proposed and investigated. In the proposed structure, a light absorbing material is integrated...... as part of the HG reflector, enabling a very compact vertical cavity. Numerical investigations show that a quantum efficiency close to 100 % and a detection linewidth of about 1 nm can be achieved, which are desirable for wavelength division multiplexing applications. Based on these results, a hybrid RCE...

  7. A 2D simulation study and characterization of a novel vertical SOI MOSFET with a smart source/body tie

    International Nuclear Information System (INIS)

    Lin, Jyi-Tsong; Lee, Tai-Yi; Lin, Kao-Cheng

    2008-01-01

    A novel vertical silicon-on-insulator (SOI) metal-oxide-semiconductor field-effect transistor (MOSFET) with a smart source/body contact, SSBVMOS, is presented here for the first time. 2D simulations reveal that the SSBVMOS reduces self-heating effects, with the lattice temperature reduced by 14% and the hole temperature reduced by 25%. The SSBVMOS also eliminates the floating body effect, something that other SOI vertical MOSFETs are unable to accomplish, regardless of the thickness of the thin film. The SSBVMOS is further found to have a better drain-induced barrier lowering and subthreshold swing than either a conventional vertical MOSFET or an SOI vertical MOSFET. Moreover, these results are achieved using typical pillar heights and buried oxide thicknesses. Should future technological advances allow for lower pillars or thinner buried oxides, the SSBVMOS performance would further increase

  8. A Temperature Sensor using a Silicon-on-Insulator (SOI) Timer for Very Wide Temperature Measurement

    Science.gov (United States)

    Patterson, Richard L.; Hammoud, Ahmad; Elbuluk, Malik; Culley, Dennis E.

    2008-01-01

    A temperature sensor based on a commercial-off-the-shelf (COTS) Silicon-on-Insulator (SOI) Timer was designed for extreme temperature applications. The sensor can operate under a wide temperature range from hot jet engine compartments to cryogenic space exploration missions. For example, in Jet Engine Distributed Control Architecture, the sensor must be able to operate at temperatures exceeding 150 C. For space missions, extremely low cryogenic temperatures need to be measured. The output of the sensor, which consisted of a stream of digitized pulses whose period was proportional to the sensed temperature, can be interfaced with a controller or a computer. The data acquisition system would then give a direct readout of the temperature through the use of a look-up table, a built-in algorithm, or a mathematical model. Because of the wide range of temperature measurement and because the sensor is made of carefully selected COTS parts, this work is directly applicable to the NASA Fundamental Aeronautics/Subsonic Fixed Wing Program--Jet Engine Distributed Engine Control Task and to the NASA Electronic Parts and Packaging (NEPP) Program. In the past, a temperature sensor was designed and built using an SOI operational amplifier, and a report was issued. This work used an SOI 555 timer as its core and is completely new work.

  9. Improvement of dissolution behavior of poorly water soluble drugs by biodegradable polymeric submicron carriers containing sparingly methylated β-cyclodextrin.

    Science.gov (United States)

    Singhavi, Dilesh J; Khan, Shagufta; Yeole, Pramod G

    2013-04-01

    The objective of this study was to develop submicron carriers of two drugs that are practically insoluble in water, i.e. meloxicam and aceclofenac, to improve their dissolution behavior. The phase solubility of the drugs was studied using different concentrations of sparingly methylated β-cyclodextrin, Kleptose(®) Crysmeβ (Crysmeb), in the presence and absence of 0.2 % w/v water-soluble chitosan. Drug-loaded submicron particles (SMPs) were prepared using chitosan chlorhydrate and Crysmeb by the ionotropic gelation method. The SMPs were characterized in terms of powder X-ray diffraction, Fourier transforms infrared spectroscopy, size determination, process yield, drug loading, encapsulation efficiency, surface morphology and in vitro release. The drug loading in the SMPs was enhanced in the presence of Crysmeb. The in vitro drug release was found to be enhanced with SMPs prepared using higher concentrations of Crysmeb. These results indicate that SMPs formed from chitosan chlorhydrate and Crysmeb are promising submicron carriers for enhancing the dissolution of meloxicam and aceclofenac.

  10. Characterization of in-situ annealed sub-micron thick Cu(In,Ga)Se{sub 2} thin films

    Energy Technology Data Exchange (ETDEWEB)

    Ko, Byoung-Soo; Sung, Shi-Joon; Hwang, Dae-Kue, E-mail: dkhwang@dgist.ac.kr

    2015-09-01

    Sub-micron thick Cu(In,Ga)Se{sub 2} (CIGS) thin films were deposited on Mo-coated soda-lime glass substrates under various conditions by single-stage co-evaporation. Generally, the short circuit current (J{sub sc}) decreased with the decreasing thickness of the absorber layer. However, in this study, J{sub sc} was nearly unchanged with decreasing thickness, while the open circuit voltage (V{sub oc}) and fill factor (FF) decreased by 31.9 and 31.1%, respectively. We believe that the remarkable change of V{sub oc} and FF can be attributed to the difference in the total amount of injected thermal energy. Using scanning electron microscopy, we confirmed that the surface morphology becomes smooth and the grain size increased after the annealing process. In the X-ray diffraction patterns, the CIGS thin film also showed an improved crystal quality. We observed that the electric properties were improved by the in-situ annealing of CIGS thin films. The reverse saturation current density of the annealed CIGS solar cell was 100 times smaller than that of reference solar cell. Thus, sub-micron CIGS thin films annealed under a constant Se rate showed a 64.7% improvement in efficiency. - Highlights: • The effects of in-situ annealing the sub-micron CIGS film have been investigated. • The surface morphology and the grain size were improved by in-situ annealing. • The V{sub oc} and FF of the films were increased by about 30% after in-situ annealing. • In-situ annealing of sub-micron thick CIGS films can be improved an efficiency.

  11. Structural Make-up, Biopolymer Conformation, and Biodegradation Characteristics of Newly Developed Super Genotype of Oats (CDC SO-I vs. Conventional Varieties): Novel Approach

    International Nuclear Information System (INIS)

    Damiran, D.; Yu, P.

    2010-01-01

    Recently, a new 'super' genotype of oats (CDC SO-I or SO-I) has been developed. The objectives of this study were to determine structural makeup (features) of oat grain in endosperm and pericarp regions and to reveal and identify differences in protein amide I and II and carbohydrate structural makeup (conformation) between SO-I and two conventional oats (CDC Dancer and Derby) grown in western Canada in 2006, using advanced synchrotron radiation based Fourier transform infrared microspectroscopy (SRFTIRM). The SRFTIRM experiments were conducted at National Synchrotron Light Sources, Brookhaven National Laboratory (NSLS, BNL, U.S. Department of Energy). From the results, it was observed that comparison between the new genotype oats and conventional oats showed (1) differences in basic chemical and protein subfraction profiles and energy values with the new SO-I oats containing lower lignin (21 g/kg of DM) and higher soluble crude protein (530 g/kg CP), crude fat (59 g/kg of DM), and energy values (TDN, 820 g/kg of DM; NE L3x , 7.8 MJ/kg of DM); (2) significant differences in rumen biodegradation kinetics of dry matter, starch, and protein with the new SO-I oats containing lower EDDM (638 g/kg of DM) and higher EDCP (103 g/kg of DM); (3) significant differences in nutrient supply with highest truly absorbed rumen undegraded protein (ARUP, 23 g/kg of DM) and total metabolizable protein supply (MP, 81 g/kg of DM) from the new SO-I oats; and (4) significant differences in structural makeup in terms of protein amide I in the endosperm region (with amide I peak height from 0.13 to 0.22 IR absorbance unit) and cellulosic compounds to carbohydrate ratio in the pericarp region (ratio from 0.02 to 0.06). The results suggest that with the SRFTIRM technique, the structural makeup differences between the new genotype oats (SO-I) and two conventional oats (Dancer and Derby) could be revealed.

  12. Chemical compositions, sources and evolution processes of the submicron aerosols in Nanjing, China during wintertime

    Science.gov (United States)

    Wu, Y.; He, Y.; Ge, X.; Wang, J.; Yu, H.; Chen, M.

    2016-12-01

    Elevated atmospheric particulate matter pollution is one of the most significant environmental issues in the Yangtze River Delta (YRD), China. Thus it is important to unravel the characteristics, sources and evolution processes of the ambient aerosols in order to improve the air quality. In this study, we report the real-time monitoring results on submicron aerosol particles (PM1) in suburban Nanjing during wintertime of 2015, using an Aerodyne soot particle aerosol mass spectrometer (SP-AMS). This instrument allows the fast measurement of refractory black carbon simultaneously with other aerosol components. Results show that organics was on average the most abundant species of PM1 (25.9%), but other inorganic species, such as nitrate (23.7%) and sulfate (23.3%) also comprised large mass fractions. As the sampling site is heavily influenced by various sources including industrial, traffic and other anthropogenic emissions, etc., six organic aerosol (OA) factors were identified from Positive matrix factorization (PMF) analysis of the SP-AMS OA mass spectra. These factors include three primary OA factors - a hydrocarbon-like OA, an industry-related OA (IOA) and a cooking OA (COA), and three secondary OA factors, i.e., a local OOA (LSOA), a semi-volatile OOA (SV-OOA) and a low-volatility OOA (LV-OOA). Overall, the primary organic aerosol (POA) (HOA, IOA and COA) dominated the total OA mass. Behaviors and evolution processes of these OA factors will be discussed in combining with the other supporting data.

  13. The founder of the Friends Foundation--Tessie Soi.

    Science.gov (United States)

    Topurua, Ore

    2013-01-01

    Tessie Soi is well known in Papua New Guinea and beyond for her work with HIV/AIDS (human immunodeficiency virus/acquired immune deficiency syndrome) patients, including through the Friends Foundation, an organization that focuses on helping families affected by HIV and AIDS. This article explores Tessie's early life and childhood, providing insight into some of the values she learned from her parents. Providing details about the Friends Foundation and the Orphan Buddy Systems program, a program Tessie established to support AIDS orphans, the article offers insight into Tessie's beliefs and compassion, simultaneously highlighting the value she places on her family.

  14. Special Issue: Planar Fully-Depleted SOI technology

    Science.gov (United States)

    Allibert, F.; Hiramoto, T.; Nguyen, B. Y.

    2016-03-01

    We are in the era of mobile computing with smart handheld devices and remote data storage "in the cloud," with devices that are almost always on and driven by needs of high data transmission rate, instant access/connection and long battery life. With all the ambitious requirements for better performance with lower power consumption, the SoC solution must also be cost-effective in order to capture the large, highly-competitive consumer mobile and wearable markets. The Fully-Depleted SOI device/circuit is a unique option that can satisfy all these requirements and has made tremendous progress in development for various applications and adoption by foundries, integrated device manufacturers (IDM), and fabless companies in the last 3 years.

  15. Electron beam fabrication of a microfluidic device for studying submicron-scale bacteria

    Science.gov (United States)

    2013-01-01

    Background Controlled restriction of cellular movement using microfluidics allows one to study individual cells to gain insight into aspects of their physiology and behaviour. For example, the use of micron-sized growth channels that confine individual Escherichia coli has yielded novel insights into cell growth and death. To extend this approach to other species of bacteria, many of whom have dimensions in the sub-micron range, or to a larger range of growth conditions, a readily-fabricated device containing sub-micron features is required. Results Here we detail the fabrication of a versatile device with growth channels whose widths range from 0.3 μm to 0.8 μm. The device is fabricated using electron beam lithography, which provides excellent control over the shape and size of different growth channels and facilitates the rapid-prototyping of new designs. Features are successfully transferred first into silicon, and subsequently into the polydimethylsiloxane that forms the basis of the working microfluidic device. We demonstrate that the growth of sub-micron scale bacteria such as Lactococcus lactis or Escherichia coli cultured in minimal medium can be followed in such a device over several generations. Conclusions We have presented a detailed protocol based on electron beam fabrication together with specific dry etching procedures for the fabrication of a microfluidic device suited to study submicron-sized bacteria. We have demonstrated that both Gram-positive and Gram-negative bacteria can be successfully loaded and imaged over a number of generations in this device. Similar devices could potentially be used to study other submicron-sized organisms under conditions in which the height and shape of the growth channels are crucial to the experimental design. PMID:23575419

  16. Using a novel spectroscopic reflectometer to optimize a radiation-hardened submicron silicon-on-sapphire CMOS process; Utilisation d'une nouvelle reflectometrie spectroscopique pour optimiser un procede de fabrication CMOS/SOS durci aux radiations

    Energy Technology Data Exchange (ETDEWEB)

    Do, N.T.; Zawaideh, E.; Vu, T.Q.; Warren, G.; Mead, D. [Raytheon Systems company, Microelectronics Div., Newport Beach, California (United States); Li, G.P.; Tsai, C.S. [California Univ., School of Engineering, Newport Beach, CA (United States)

    1999-07-01

    A radiation-hardened sub-micron silicon-on-sapphire CMOS process is monitored and optimized using a novel optical technique based on spectroscopic reflectometry. Quantitative measurements of the crystal quality, surface roughness, and device radiation hardness show excellent correlation between this technique and the Atomic Force Microscopy. (authors)

  17. Structural makeup, biopolymer conformation, and biodegradation characteristics of a newly developed super genotype of oats (CDC SO-I versus conventional varieties): a novel approach.

    Science.gov (United States)

    Damiran, Daalkhaijav; Yu, Peiqiang

    2010-02-24

    Recently, a new "super" genotype of oats (CDC SO-I or SO-I) has been developed. The objectives of this study were to determine structural makeup (features) of oat grain in endosperm and pericarp regions and to reveal and identify differences in protein amide I and II and carbohydrate structural makeup (conformation) between SO-I and two conventional oats (CDC Dancer and Derby) grown in western Canada in 2006, using advanced synchrotron radiation based Fourier transform infrared microspectroscopy (SRFTIRM). The SRFTIRM experiments were conducted at National Synchrotron Light Sources, Brookhaven National Laboratory (NSLS, BNL, U.S. Department of Energy). From the results, it was observed that comparison between the new genotype oats and conventional oats showed (1) differences in basic chemical and protein subfraction profiles and energy values with the new SO-I oats containing lower lignin (21 g/kg of DM) and higher soluble crude protein (530 g/kg CP), crude fat (59 g/kg of DM), and energy values (TDN, 820 g/kg of DM; NE(L3x), 7.8 MJ/kg of DM); (2) significant differences in rumen biodegradation kinetics of dry matter, starch, and protein with the new SO-I oats containing lower EDDM (638 g/kg of DM) and higher EDCP (103 g/kg of DM); (3) significant differences in nutrient supply with highest truly absorbed rumen undegraded protein (ARUP, 23 g/kg of DM) and total metabolizable protein supply (MP, 81 g/kg of DM) from the new SO-I oats; and (4) significant differences in structural makeup in terms of protein amide I in the endosperm region (with amide I peak height from 0.13 to 0.22 IR absorbance unit) and cellulosic compounds to carbohydrate ratio in the pericarp region (ratio from 0.02 to 0.06). The results suggest that with the SRFTIRM technique, the structural makeup differences between the new genotype oats (SO-I) and two conventional oats (Dancer and Derby) could be revealed.

  18. A Novel Leakage-tolerant Domino Logic Circuit With Feedback From Footer Transistor In Ultra Deep Submicron CMOS

    DEFF Research Database (Denmark)

    Moradi, Farshad; Peiravi, Ali; Mahmoodi, Hamid

    As the CMOS manufacturing process scales down into the ultra deep sub-micron regime, the leakage current becomes an increasingly more important consideration in VLSI circuit design. In this paper, a high speed and noise immune domino logic circuit is presented which uses the property of the footer...

  19. Inverse Design of a SOI T-junction Polarization Beamsplitter

    Science.gov (United States)

    Ye, Zi; Qiu, Jifang; Meng, Chong; Zheng, Li; Dong, Zhenli; Wu, Jian

    2017-06-01

    A SOI T-junction polarization beamsplitter with an ultra-compact footprint of 2.8×2.8μm2 is designed based on the method of inverse design. Simulated results show that the conversion efficiencies for TE and TM lights are 73.34% (simulated insertion loss of 2dB) and 80.4% (simulated insertion loss of 1.7dB) at 1550nm, respectively; the simulated extinction ratios for TE and TM lights are 19.3dB and 13.99dB at 1558nm, respectively.

  20. Properties of submicron particles in Atmospheric Brown Clouds

    Science.gov (United States)

    Adushkin, V. V.; Chen, B. B.; Dubovskoi, A. N.; Friedrich, F.; Pernik, L. M.; Popel, S. I.; Weidler, P. G.

    2010-05-01

    The Atmospheric Brown Clouds (ABC) is an important problem of this century. Investigations of last years and satellite data show that the ABC (or brown gas, smog, fog) cover extensive territories including the whole continents and oceans. The brown gas consists of a mixture of particles of anthropogenic sulfates, nitrates, organic origin, black carbon, dust, ashes, and also natural aerosols such as sea salt and mineral dust. The brown color is a result of absorption and scattering of solar radiation by the anthropogenic black carbon, ashes, the particles of salt dust, and nitrogen dioxide. The investigation of the ABC is a fundamental problem for prevention of degradation of the environment. At present in the CIS in-situ investigations of the ABC are carried out on Lidar Station Teplokluchenka (Kyrgyz Republic). Here, we present the results of experimental investigation of submicron (nanoscale) particles originating from the ABC and the properties of the particles. Samples of dust precipitating from the ABC were obtained at the area of Lidar Station Teplokluchenka as well as scientific station of the Russian Academy of Sciences near Bishkek. The data for determination of the grain composition were obtained with the aid of the scanning electron microscopes JEOL 6460 LV and Philips XL 30 FEG. Analysis of the properties of the particles was performed by means of the X-ray diffraction using diffractometer Siemens D5000. The images of the grains were mapped. The investigation allows us to get (after the image processing) the grain composition within the dust particle size range of 60 nm to 700 μm. Distributions of nano- and microscale particles in sizes were constructed using Rozin-Rammler coordinates. Analysis of the distributions shows that the ABC contain submicron (nanoscale) particles; 2) at higher altitudes the concentration of the submicron (nanoscale) particles in the ABC is higher than at lower altitudes. The chemical compositions of the particles are shown to

  1. Juan Goytisolo: Le soi, le monde et la création littéraire

    Directory of Open Access Journals (Sweden)

    Pablo Romero Alegría

    2010-01-01

    Full Text Available Reseña de la obra: Yannick Llored. Le soi, le monde et la création littéraire. Presses Universitaires du Septentrion. Villeneuve d’Ascq (Francia. 2009. 421 págs. ISBN: 978-2-75740-0089-0

  2. Total dose induced latch in short channel NMOS/SOI transistors

    International Nuclear Information System (INIS)

    Ferlet-Cavrois, V.; Quoizola, S.; Musseau, O.; Flament, O.; Leray, J.L.; Pelloie, J.L.; Raynaud, C.; Faynot, O.

    1998-01-01

    A latch effect induced by total dose irradiation is observed in short channel SOI transistors. This effect appears on NMOS transistors with either a fully or a partially depleted structure. It is characterized by a hysteresis behavior of the Id-Vg characteristics at high drain bias for a given critical dose. Above this dose, the authors still observe a limited leakage current at low drain bias (0.1 V), but a high conduction current at high drain bias (2 V) as the transistor should be in the off-state. The critical dose above which the latch appears strongly depends on gate length, transistor structure (fully or partially depleted), buried oxide thickness and supply voltage. Two-dimensional (2D) numerical simulations indicate that the parasitic condition is due to the latch of the back gate transistor triggered by charge trapping in the buried oxide. To avoid the latch induced by the floating body effect, different techniques can be used: doping engineering, body contacts, etc. The study of the main parameters influencing the latch (gate length, supply voltage) shows that the scaling of technologies does not necessarily imply an increased latch sensitivity. Some technological parameters like the buried oxide hardness and thickness can be used to avoid latch, even at high cumulated dose, on highly integrated SOI technologies

  3. Novel Translucent and Strong Submicron Alumina Ceramics for Dental Restorations.

    Science.gov (United States)

    Zhao, M; Sun, Y; Zhang, J; Zhang, Y

    2018-03-01

    An ideal ceramic restorative material should possess excellent aesthetic and mechanical properties. We hypothesize that the high translucency and strength of polycrystalline ceramics can be achieved through microstructural tailoring. The aim of this study is to demonstrate the superior optical and mechanical properties of a new class of submicron grain-sized alumina ceramics relative to the current state-of-the-art dental ceramic materials. The translucency, the in-line transmission ( T IT ) in particular, of these submicron alumina ceramics has been examined with the Rayleigh-Gans-Debye light-scattering model. The theoretical predictions related very well with the measured T IT values. The translucency parameter ( TP) and contrast ratio ( CR) of the newly developed aluminas were measured with a reflectance spectrophotometer on a black-and-white background. For comparison, the T IT , TP, and CR values for a variety of dental ceramics, mostly measured in-house but also cited from the literature, were included. The flexural strength of the aluminas was determined with the 4-point bending test. Our findings have shown that for polycrystalline alumina ceramics, an average grain size ceramic and zirconias, including the most translucent cubic-containing zirconias. The strength of these submicron grain-sized aluminas was significantly higher than that of the cubic-containing zirconia (e.g., Zpex Smile) and lithia-based glass-ceramics (e.g., IPS e.max CAD HT). A coarse-grained alumina could also reach a translucency level comparable to that of dental porcelain. However, the relatively low strength of this material has limited its clinical indications to structurally less demanding applications, such as orthodontic brackets. With a combined high strength and translucency, the newly developed submicron grain-sized alumina may be considered a suitable material for dental restorations.

  4. Laser plasmas as x-ray sources for lithographic imaging of submicron structures

    International Nuclear Information System (INIS)

    Bijkerk, F.; van Dorssen, G.E.; van der Wiel, M.J.

    1988-01-01

    Laser radiation can be used efficiently to generate x-rays for lithographic imaging of submicron patterns, e.g., for VLSI device fabrication. Due to their short wavelength and high average power, excimer lasers show much potential for this application. Results are presented of scaling studies for high repetition rate excimer laser application, using the frequency doubled output of a low repetition rate Nd:YAG/Glass laser. Spectral and spatial characteristics of x-ray emission of the laser plasma are shown. The power density in the laser focus was 3 x 10 12 W/cm 2 . With this source Si x-ray masks with submicron Au absorber profiles are imaged into high sensitivity x-ray photoresist. For the exposures 80 laser shots sufficed to yield high quality submicron structures. Extrapolation of the results to a high power excimer laser reduces the exposure time of the photoresists to several seconds, enabling a wafer throughput at an industrial level

  5. A two dimensional analytical modeling of surface potential in triple metal gate (TMG) fully-depleted Recessed-Source/Drain (Re-S/D) SOI MOSFET

    Science.gov (United States)

    Priya, Anjali; Mishra, Ram Awadh

    2016-04-01

    In this paper, analytical modeling of surface potential is proposed for new Triple Metal Gate (TMG) fully depleted Recessed-Source/Dain Silicon On Insulator (SOI) Metal Oxide Semiconductor Field Effect Transistor (MOSFET). The metal with the highest work function is arranged near the source region and the lowest one near the drain. Since Recessed-Source/Drain SOI MOSFET has higher drain current as compared to conventional SOI MOSFET due to large source and drain region. The surface potential model developed by 2D Poisson's equation is verified by comparison to the simulation result of 2-dimensional ATLAS simulator. The model is compared with DMG and SMG devices and analysed for different device parameters. The ratio of metal gate length is varied to optimize the result.

  6. Production of solid lipid submicron particles for protein delivery using a novel supercritical gas-assisted melting atomization process.

    Science.gov (United States)

    Salmaso, Stefano; Elvassore, Nicola; Bertucco, Alberto; Caliceti, Paolo

    2009-02-01

    A supercritical carbon dioxide micronization technique based on gas-assisted melting atomization has been designed to prepare protein-loaded solid lipid submicron particles. The supercritical process was applied to homogeneous dispersions of insulin in lipid mixtures: (1) tristearin, Tween-80, phosphatidylcholine and 5 kDa PEG (1:0.1:0.9:1 and 1:0.1:0.9:2 weight ratio); and (2) tristearin, dioctyl sulfosuccinate and phosphatidylcholine (1:1:0.5 weight ratio). Optimized process conditions yielded dry nonagglomerated powders with high product recovery (70%, w/w). Dynamic light scattering and transmission electron microscopy showed that two size fractions of particles, with 80-120 and 200-400 nm diameters, were produced. In all final products, dimethylsulfoxide used to prepare the insulin/lipid mixture was below 20 ppm. Protein encapsulation efficiency increased up to 80% as the DMSO content in the insulin/lipid mixture increased. Compared to the particles without PEG, the polymer-containing particles dispersed rapidly in water, and the dispersions were more stable under centrifugation as less than 20% of suspended particles precipitated after extensive centrifugation. In vitro, the protein was slowly released from the formulation without PEG, while a burst and faster release were obtained from the formulations containing PEG. Subcutaneous injection to diabetic mice of insulin extracted from the particles showed that the supercritical process did not impair the protein hypoglycemic activity.

  7. Manufacturing a submicron structure using a liquid precursor

    NARCIS (Netherlands)

    Ishihara, R.; Van de Zwan, M.; Trifunovic, M.

    2014-01-01

    Methods for manufacture of a submicron semiconductor structure on a substrate are described. The method may comprise: forming at least one template layer over a support substrate; forming one or more template structures, preferably one or more recesses and/or mesas, in said template layer, said one

  8. Design and characterization of submicron formulation for a poorly soluble drug: the effect of Vitamin E TPGS and other solubilizers on skin permeability enhancement.

    Science.gov (United States)

    Ghosh, Indrajit; Michniak-Kohn, Bozena

    2012-09-15

    In transdermal drug delivery systems (TDDS), it is a challenge to achieve stable and prolonged high permeation rates across the skin since the concentrations of the drug dissolved in the matrix have to be high in order to maintain zero order release kinetics. Several attempts have been reported to improve the permeability of poorly soluble drug compounds using supersaturated systems, however, due to thermodynamic challenges, there was a high tendency for the drug to nucleate immediately after formulating or even during storage. The present study focuses on the efficiency of drug crystals at the submicron/nano range in presence of different solubilizers to improve the permeation rate. Effect of several solubilizers, e.g. Pluronic F-127, Vitamin E TPGS, propylene glycol were studied on the submicron suspension systems of ibuprofen as a model drug. Various stabilizers such as hydroxylpropyl methylcellulose (HPMC) and polyvinylpyrrolidone (PVP) were examined to evaluate their crystal inhibitory effects on particle growth of the drug compound at submicron range. The overall permeation enhancement process through the skin seems to be influenced by the presence of solubilizers and also the presence of submicron drug crystal. The most promising stable formulation was developed with Vitamin E TPGS+HPMC submicron suspension, which produced higher permeation rate compared to other vehicles. Copyright © 2012 Elsevier B.V. All rights reserved.

  9. Ultrarapid Multimode Microwave Synthesis of Nano/Submicron β-SiC

    Directory of Open Access Journals (Sweden)

    Min Zhao

    2018-02-01

    Full Text Available This paper presents the design, development and realization of a fast and novel process for the synthesis of 3C silicon carbide (β-SiC nanorods and submicron powder. Using SiO2 (or Si and activated carbon (AC, this process allows β-SiC to be synthesized with almost 100% purity in timeframes of seconds or minutes using multimode microwave rotary tube reactors under open-air conditions. The synthesis temperature used was 1460 ± 50 °C for Si + AC and 1660 ± 50 °C for SiO2 + AC. The shortest β-SiC synthesis time achieved was about 20 s for Si + AC and 100 s for SiO2 + AC. This novel synthesis method allows for scaled-up flow processes in the rapid industrial-scale production of β-SiC, having advantages of time/energy saving and carbon dioxide emission reduction over comparable modern processes.

  10. A silicon doped hafnium oxide ferroelectric p–n–p–n SOI tunneling field–effect transistor with steep subthreshold slope and high switching state current ratio

    Directory of Open Access Journals (Sweden)

    Saeid Marjani

    2016-09-01

    Full Text Available In this paper, a silicon–on–insulator (SOI p–n–p–n tunneling field–effect transistor (TFET with a silicon doped hafnium oxide (Si:HfO2 ferroelectric gate stack is proposed and investigated via 2D device simulation with a calibrated nonlocal band–to–band tunneling model. Utilization of Si:HfO2 instead of conventional perovskite ferroelectrics such as lead zirconium titanate (PbZrTiO3 and strontium bismuth tantalate (SrBi2Ta2O9 provides compatibility to the CMOS process as well as improved device scalability. By using Si:HfO2 ferroelectric gate stack, the applied gate voltage is effectively amplified that causes increased electric field at the tunneling junction and reduced tunneling barrier width. Compared with the conventional p–n–p–n SOI TFET, the on–state current and switching state current ratio are appreciably increased; and the average subthreshold slope (SS is effectively reduced. The simulation results of Si:HfO2 ferroelectric p–n–p–n SOI TFET show significant improvement in transconductance (∼9.8X enhancement at high overdrive voltage and average subthreshold slope (∼35% enhancement over nine decades of drain current at room temperature, indicating that this device is a promising candidate to strengthen the performance of p–n–p–n and conventional TFET for a switching performance.

  11. Room to high temperature measurements of flexible SOI FinFETs with sub-20-nm fins

    KAUST Repository

    Diab, Amer El Hajj

    2014-12-01

    We report the temperature dependence of the core electrical parameters and transport characteristics of a flexible version of fin field-effect transistor (FinFET) on silicon-on-insulator (SOI) with sub-20-nm wide fins and high-k/metal gate-stacks. For the first time, we characterize them from room to high temperature (150 °C) to show the impact of temperature variation on drain current, gate leakage current, and transconductance. Variation of extracted parameters, such as low-field mobility, subthreshold swing, threshold voltage, and ON-OFF current characteristics, is reported too. Direct comparison is made to a rigid version of the SOI FinFETs. The mobility degradation with temperature is mainly caused by phonon scattering mechanism. The overall excellent devices performance at high temperature after release is outlined proving the suitability of truly high-performance flexible inorganic electronics with such advanced architecture.

  12. L’empathie comme outil herméneutique du soi: Note sur Paul Ricœur et Heinz Kohut

    Directory of Open Access Journals (Sweden)

    Michel Dupuis

    2011-01-01

    Full Text Available Le bref texte que Paul Ricœur consacre en 1986 à la psychanalyse développée par Heinz Kohut révèle une réinterprétation phénoménologique à la fois du contenu et des fonctions de l'empathie, au total considérée comme un véritable outil à l'œuvre dans l'herméneutique du soi. La vision kohutienne de la constitution du soi et du processus thérapeutique analytique produit une espèce de “dé-sentimentalisation” de l'empathie, en soulignant le rôle crucial du transfert intersubjectif, fort à distance de la théorie (freudienne solipsiste de l'ego.The short text published in 1986 by Paul Ricoeur about Heinz Kohut's psychoanalysis of the self reveals a phenomenological reinterpretation of the content and the functions of empathy, finally considered as an effective tool of the hermeneutics of the self. Kohut's model of constitution of the self and of the therapeutic analytical process produces a kind of “de-sentimentalization” of empathy, pointing to the crucial role of intersubjective transfer, far from a (Freudian solipsistic theory of the ego.

  13. Electrical characteristics of SiGe-base bipolar transistors on thin-film SOI substrates

    International Nuclear Information System (INIS)

    Liao, Shu-Hui; Chang, Shu-Tong

    2010-01-01

    This paper, based on two-dimensional simulations, provides a comprehensive analysis of the electrical characteristics of the Silicon germanium (SiGe)-base bipolar transistors on thin-film siliconon-insulator (SOI) substrates. The impact of the buried oxide thickness (T OX ), the emitter width (W E ), and the lateral distance between the edge of the intrinsic base and the reach-through region (L col ) on both the AC and DC device characteristics was analyzed in detail. Regarding the DC characteristics, the simulation results suggest that a thicker T OX gives a larger base-collector breakdown voltage (BV CEO ), whereas reducing the T OX leads to an enhanced maximum electric field at the B-C junction. As for the AC characteristics, cut-off frequency (f T ) increases slightly with increasing buried oxide thickness and finally saturates to a constant value when the buried oxide thickness is about 0.15 μm. The collector-substrate capacitance (C CS ) decreases with increasing buried oxide thickness while the maximum oscillation frequency (f max ) increases with increasing buried oxide thickness. Furthermore, the impact of self-heating effects in the device was analyzed in various areas. The thermal resistance as a function of the buried oxide thickness indicates that the thermal resistance of the SiGe-base bipolar transistor on a SOI substrate is slightly higher than that of a bulk SiGe-base bipolar transistor. The thermal resistance is reduced by ∼37.89% when the emitter width is increased by a factor of 5 for a fixed buried oxide thickness of 0.1 μm. All the results can be used to design and optimize SiGe-base bipolar transistors on SOI substrates with minimum thermal resistance to enhance device performance.

  14. A Lateral Differential Resonant Pressure Microsensor Based on SOI-Glass Wafer-Level Vacuum Packaging

    Directory of Open Access Journals (Sweden)

    Bo Xie

    2015-09-01

    Full Text Available This paper presents the fabrication and characterization of a resonant pressure microsensor based on SOI-glass wafer-level vacuum packaging. The SOI-based pressure microsensor consists of a pressure-sensitive diaphragm at the handle layer and two lateral resonators (electrostatic excitation and capacitive detection on the device layer as a differential setup. The resonators were vacuum packaged with a glass cap using anodic bonding and the wire interconnection was realized using a mask-free electrochemical etching approach by selectively patterning an Au film on highly topographic surfaces. The fabricated resonant pressure microsensor with dual resonators was characterized in a systematic manner, producing a quality factor higher than 10,000 (~6 months, a sensitivity of about 166 Hz/kPa and a reduced nonlinear error of 0.033% F.S. Based on the differential output, the sensitivity was increased to two times and the temperature-caused frequency drift was decreased to 25%.

  15. Study of CMOS-SOI Integrated Temperature Sensing Circuits for On-Chip Temperature Monitoring.

    Science.gov (United States)

    Malits, Maria; Brouk, Igor; Nemirovsky, Yael

    2018-05-19

    This paper investigates the concepts, performance and limitations of temperature sensing circuits realized in complementary metal-oxide-semiconductor (CMOS) silicon on insulator (SOI) technology. It is shown that the MOSFET threshold voltage ( V t ) can be used to accurately measure the chip local temperature by using a V t extractor circuit. Furthermore, the circuit's performance is compared to standard circuits used to generate an accurate output current or voltage proportional to the absolute temperature, i.e., proportional-to-absolute temperature (PTAT), in terms of linearity, sensitivity, power consumption, speed, accuracy and calibration needs. It is shown that the V t extractor circuit is a better solution to determine the temperature of low power, analog and mixed-signal designs due to its accuracy, low power consumption and no need for calibration. The circuit has been designed using 1 µm partially depleted (PD) CMOS-SOI technology, and demonstrates a measurement inaccuracy of ±1.5 K across 300 K⁻500 K temperature range while consuming only 30 µW during operation.

  16. Thin NbN film structures on SOI for SNSPD

    Energy Technology Data Exchange (ETDEWEB)

    Il' in, Konstantin; Kurz, Stephan; Henrich, Dagmar; Hofherr, Matthias; Siegel, Michael [IMS, KIT, Karlsruhe (Germany); Semenov, Alexei; Huebers, Heinz-Wilhelm [DLR, Berlin (Germany)

    2012-07-01

    Superconducting Nanowire Single-Photon Detectors (SNSPD) made from ultra-thin NbN films on sapphire demonstrate almost 100% intrinsic detection efficiency (DE). However the system DE values is less than 10% mostly limited by a very low absorptance of NbN films thinner than 5 nm. Integration of SNSPD in Si photonic circuit is a promising way to overcome this problem. We present results on optimization of technology of thin NbN film nanostructures on SOI (Silicon on Insulator) substrate used in Si photonics technology. Superconducting and normal state properties of these structures important for SNSPD development are presented and discussed.

  17. Submicron Resolution Spectral-Domain Optical Coherence Tomography

    KAUST Repository

    Alarousu, Erkki

    2013-11-14

    Apparatuses and systems for submicron resolution spectral-domain optical coherence tomography (OCT) are disclosed. The system may use white light sources having wavelengths within 400-1000 nanometers, and achieve resolution below 1 .mu.m. The apparatus is aggregated into a unitary piece, and a user can connect the apparatus to a user provided controller and/or light source. The light source may be a supercontinuum source.

  18. Proposal for fabrication-tolerant SOI polarization splitter-rotator based on cascaded MMI couplers and an assisted bi-level taper.

    Science.gov (United States)

    Wang, Jing; Qi, Minghao; Xuan, Yi; Huang, Haiyang; Li, You; Li, Ming; Chen, Xin; Jia, Qi; Sheng, Zhen; Wu, Aimin; Li, Wei; Wang, Xi; Zou, Shichang; Gan, Fuwan

    2014-11-17

    A novel silicon-on-insulator (SOI) polarization splitter-rotator (PSR) with a large fabrication tolerance is proposed based on cascaded multimode interference (MMI) couplers and an assisted mode-evolution taper. The tapers are designed to adiabatically convert the input TM(0) mode into the TE(1) mode, which will output as the TE(0) mode after processed by the subsequent MMI mode converter, 90-degree phase shifter (PS) and MMI 3 dB coupler. The numerical simulation results show that the proposed device has a silicon photonics technology.

  19. Fabrication and simulation of single crystal p-type Si nanowire using SOI technology

    International Nuclear Information System (INIS)

    Dehzangi, Arash; Larki, Farhad; Naseri, Mahmud G.; Navasery, Manizheh; Majlis, Burhanuddin Y.; Razip Wee, Mohd F.; Halimah, M.K.; Islam, Md. Shabiul; Md Ali, Sawal H.; Saion, Elias

    2015-01-01

    Highlights: • Single crystal silicon nanowire is fabricated on Si on insulator substrate, using atomic force microscope (AFM) nanolithography and KOH + IPA chemical wet etching. • Some of major parameters in fabrication process, such as writing speed and applied voltage along with KOH etching depth are investigated, and then the I–V characteristic of Si nanowires is measured. • For better understanding of the charge transmission through the nanowire, 3D-TCAD simulation is performed to simulate the Si nanowires with the same size of the fabricated ones, and variation of majority and minority carriers, hole quasi-Fermi level and generation/recombination rate are investigated. - Abstract: Si nanowires (SiNWs) as building blocks for nanostructured materials and nanoelectronics have attracted much attention due to their major role in device fabrication. In the present work a top-down fabrication approach as atomic force microscope (AFM) nanolithography was performed on Si on insulator (SOI) substrate to fabricate a single crystal p-type SiNW. To draw oxide patterns on top of the SOI substrate local anodic oxidation was carried out by AFM in contact mode. After the oxidation procedure, an optimized solution of 30 wt.% KOH with 10 vol.% IPA for wet etching at 63 °C was applied to extract the nanostructure. The fabricated SiNW had 70–85 nm full width at half maximum width, 90 nm thickness and 4 μm length. The SiNW was simulated using Sentaurus 3D software with the exact same size of the fabricated device. I–V characterization of the SiNW was measured and compared with simulation results. Using simulation results variation of carrier's concentrations, valence band edge energy and recombination generation rate for different applied voltage were investigated

  20. Fabrication and simulation of single crystal p-type Si nanowire using SOI technology

    Energy Technology Data Exchange (ETDEWEB)

    Dehzangi, Arash, E-mail: arashd53@hotmail.com [Institute of Microengineering and Nanoelectronics (IMEN), Universiti Kebangsaan Malaysia, 43600 Bangi, Selangor (Malaysia); Larki, Farhad [Institute of Microengineering and Nanoelectronics (IMEN), Universiti Kebangsaan Malaysia, 43600 Bangi, Selangor (Malaysia); Naseri, Mahmud G. [Department of Physics, Faculty of Science, Malayer University, Malayer, Hamedan (Iran, Islamic Republic of); Navasery, Manizheh [Department of Physics, Faculty of Science, Universiti Putra Malaysia, 43400 Serdang, Selangor (Malaysia); Majlis, Burhanuddin Y.; Razip Wee, Mohd F. [Institute of Microengineering and Nanoelectronics (IMEN), Universiti Kebangsaan Malaysia, 43600 Bangi, Selangor (Malaysia); Halimah, M.K. [Department of Physics, Faculty of Science, Universiti Putra Malaysia, 43400 Serdang, Selangor (Malaysia); Islam, Md. Shabiul; Md Ali, Sawal H. [Institute of Microengineering and Nanoelectronics (IMEN), Universiti Kebangsaan Malaysia, 43600 Bangi, Selangor (Malaysia); Saion, Elias [Department of Physics, Faculty of Science, Universiti Putra Malaysia, 43400 Serdang, Selangor (Malaysia)

    2015-04-15

    Highlights: • Single crystal silicon nanowire is fabricated on Si on insulator substrate, using atomic force microscope (AFM) nanolithography and KOH + IPA chemical wet etching. • Some of major parameters in fabrication process, such as writing speed and applied voltage along with KOH etching depth are investigated, and then the I–V characteristic of Si nanowires is measured. • For better understanding of the charge transmission through the nanowire, 3D-TCAD simulation is performed to simulate the Si nanowires with the same size of the fabricated ones, and variation of majority and minority carriers, hole quasi-Fermi level and generation/recombination rate are investigated. - Abstract: Si nanowires (SiNWs) as building blocks for nanostructured materials and nanoelectronics have attracted much attention due to their major role in device fabrication. In the present work a top-down fabrication approach as atomic force microscope (AFM) nanolithography was performed on Si on insulator (SOI) substrate to fabricate a single crystal p-type SiNW. To draw oxide patterns on top of the SOI substrate local anodic oxidation was carried out by AFM in contact mode. After the oxidation procedure, an optimized solution of 30 wt.% KOH with 10 vol.% IPA for wet etching at 63 °C was applied to extract the nanostructure. The fabricated SiNW had 70–85 nm full width at half maximum width, 90 nm thickness and 4 μm length. The SiNW was simulated using Sentaurus 3D software with the exact same size of the fabricated device. I–V characterization of the SiNW was measured and compared with simulation results. Using simulation results variation of carrier's concentrations, valence band edge energy and recombination generation rate for different applied voltage were investigated.

  1. Preparation of submicron-sized spherical particles of gold using laser-induced melting in liquids and low-toxic stabilizing reagent

    International Nuclear Information System (INIS)

    Tsuji, T.; Higashi, Y.; Tsuji, M.; Ishikawa, Y.; Koshizaki, N.

    2015-01-01

    Highlights: • Submicron-sized spherical particles of gold were prepared using laser irradiation for the source gold nanoparticles stabilized by NaCl. • The source gold nanoparticles agglomeration was controlled both by the NaCl concentration of and by laser irradiation. • The formation process and the laser-fluence dependence of the particle size of gold nanoparticles in NaCl solutions differs from those in citrate solutions. • We revealed that properties of ligands are significantly important to prepare submicron-sized spherical particles and to control their size. - Abstract: Laser-induced melting in liquids (LIML) was applied to prepare spherical submicron-sized particles of gold (AuSMPs) from gold nanoparticles (AuNPs) stabilized using NaCl. Because undesirable byproducts, which might be generated when organic reagents such as citrate are used as the stabilizing reagent, are not generated from NaCl by laser irradiation, AuSMPs fabricated from AuNPs stabilized by NaCl will be low toxic. The AuSMPs were obtained by laser irradiation of the source AuNPs in NaCl solutions stabilized by NaCl at the proper concentration. Similar to the preparation of AuSMPs from AuNPs stabilized by citrate, the agglomeration of the source AuNPs, which is necessary to obtain AuSMPs, was controlled both by the NaCl concentration and by laser irradiation. However, the formation process and the laser-fluence dependence of the particle size of AuSMPs differed for various NaCl solutions and citrate solutions

  2. An efficient venturi scrubber system to remove submicron particles in exhaust gas.

    Science.gov (United States)

    Tsai, Chuen-Jinn; Lin, Chia-Hung; Wang, Yu-Min; Hunag, Cheng-Hsiung; Li, Shou-Nan; Wu, Zong-Xue; Wang, Feng-Cai

    2005-03-01

    An efficient venturi scrubber system making use of heterogeneous nucleation and condensational growth of particles was designed and tested to remove fine particles from the exhaust of a local scrubber where residual SiH4 gas was abated and lots of fine SiO2 particles were generated. In front of the venturi scrubber, normal-temperature fine-water mist mixes with high-temperature exhaust gas to cool it to the saturation temperature, allowing submicron particles to grow into micron sizes. The grown particles are then scrubbed efficiently in the venturi scrubber. Test results show that the present venturi scrubber system is effective for removing submicron particles. For SiO2 particles greater than 0.1microm, the removal efficiency is greater than 80-90%, depending on particle concentration. The corresponding pressure drop is relatively low. For example, the pressure drop of the venturi scrubber is approximately 15.4 +/- 2.4 cm H2O when the liquid-to-gas ratio is 1.50 L/m3. A theoretical calculation has been conducted to simulate particle growth process and the removal efficiency of the venturi scrubber. The theoretical results agree with the experimental data reasonably well when SiO2 particle diameter is greater than 0.1 microm.

  3. Band to Band Tunneling (BBT) Induced Leakage Current Enhancement in Irradiated Fully Depleted SOI Devices

    Science.gov (United States)

    Adell, Phillipe C.; Barnaby, H. J.; Schrimpf, R. D.; Vermeire, B.

    2007-01-01

    We propose a model, validated with simulations, describing how band-to-band tunneling (BBT) affects the leakage current degradation in some irradiated fully-depleted SOI devices. The dependence of drain current on gate voltage, including the apparent transition to a high current regime is explained.

  4. Sub-micron filter

    Science.gov (United States)

    Tepper, Frederick [Sanford, FL; Kaledin, Leonid [Port Orange, FL

    2009-10-13

    Aluminum hydroxide fibers approximately 2 nanometers in diameter and with surface areas ranging from 200 to 650 m.sup.2/g have been found to be highly electropositive. When dispersed in water they are able to attach to and retain electronegative particles. When combined into a composite filter with other fibers or particles they can filter bacteria and nano size particulates such as viruses and colloidal particles at high flux through the filter. Such filters can be used for purification and sterilization of water, biological, medical and pharmaceutical fluids, and as a collector/concentrator for detection and assay of microbes and viruses. The alumina fibers are also capable of filtering sub-micron inorganic and metallic particles to produce ultra pure water. The fibers are suitable as a substrate for growth of cells. Macromolecules such as proteins may be separated from each other based on their electronegative charges.

  5. Submicron particle monitoring of paving and related road construction operations.

    Science.gov (United States)

    Freund, Alice; Zuckerman, Norman; Baum, Lisa; Milek, Debra

    2012-01-01

    This study identified activities and sources that contribute to ultrafine and other submicron particle exposure that could trigger respiratory symptoms in highway repair workers. Submicron particle monitoring was conducted for paving, milling, and pothole repair operations in a major metropolitan area where several highway repair workers were identified as symptomatic for respiratory illness following exposures at the 2001 World Trade Center disaster site. Exposure assessments were conducted for eight trades involved in road construction using a TSI P-Trak portable condensation particle counter. Direct readings near the workers' breathing zones and observations of activities and potential sources were logged on 7 days on 27 workers using four different models of pavers and two types of millers. Average worker exposure levels ranged from 2 to 3 times background during paving and from 1 to 4 times background during milling. During asphalt paving, average personal exposures to submicron particulates were 25,000-60,000, 28,000-70,000, and 23,000-37,000 particles/ cm(3) for paver operators, screed operators, and rakers, respectively. Average personal exposures during milling were 19,000-111,000, 28,000-81,000, and 19,000 particles/cm(3) for the large miller operators, miller screed operators, and raker, respectively. Personal peak exposures were measured up to 467,000 and 455,000 particles/cm(3) in paving and milling, respectively. Several sources of submicron particles were identified. These included the diesel and electric fired screed heaters; engine exhaust from diesel powered construction vehicles passing by or idling; raking, dumping, and paving of asphalt; exhaust from the hotbox heater; pavement dust or fumes from milling operations, especially when the large miller started and stopped; and secondhand cigarette smoke. To reduce the potential for health effects in workers, over 40 recommendations were made to control exposures, including improved maintenance of

  6. Low-loss, submicron chalcogenide integrated photonics with chlorine plasma etching

    Energy Technology Data Exchange (ETDEWEB)

    Chiles, Jeff; Malinowski, Marcin; Rao, Ashutosh [CREOL, The College of Optics and Photonics, University of Central Florida, Orlando, Florida 32816 (United States); Novak, Spencer; Richardson, Kathleen [CREOL, The College of Optics and Photonics, University of Central Florida, Orlando, Florida 32816 (United States); Department of Materials Science and Engineering, COMSET, Clemson University, Clemson, South Carolina 29634 (United States); Fathpour, Sasan, E-mail: fathpour@creol.ucf.edu [CREOL, The College of Optics and Photonics, University of Central Florida, Orlando, Florida 32816 (United States); Department of Electrical Engineering and Computer Science, University of Central Florida, Orlando, Florida 32816 (United States)

    2015-03-16

    A chlorine plasma etching-based method for the fabrication of high-performance chalcogenide-based integrated photonics on silicon substrates is presented. By optimizing the etching conditions, chlorine plasma is employed to produce extremely low-roughness etched sidewalls on waveguides with minimal penalty to propagation loss. Using this fabrication method, microring resonators with record-high intrinsic Q-factors as high as 450 000 and a corresponding propagation loss as low as 0.42 dB/cm are demonstrated in submicron chalcogenide waveguides. Furthermore, the developed chlorine plasma etching process is utilized to demonstrate fiber-to-waveguide grating couplers in chalcogenide photonics with high power coupling efficiency of 37% for transverse-electric polarized modes.

  7. Low-loss, submicron chalcogenide integrated photonics with chlorine plasma etching

    International Nuclear Information System (INIS)

    Chiles, Jeff; Malinowski, Marcin; Rao, Ashutosh; Novak, Spencer; Richardson, Kathleen; Fathpour, Sasan

    2015-01-01

    A chlorine plasma etching-based method for the fabrication of high-performance chalcogenide-based integrated photonics on silicon substrates is presented. By optimizing the etching conditions, chlorine plasma is employed to produce extremely low-roughness etched sidewalls on waveguides with minimal penalty to propagation loss. Using this fabrication method, microring resonators with record-high intrinsic Q-factors as high as 450 000 and a corresponding propagation loss as low as 0.42 dB/cm are demonstrated in submicron chalcogenide waveguides. Furthermore, the developed chlorine plasma etching process is utilized to demonstrate fiber-to-waveguide grating couplers in chalcogenide photonics with high power coupling efficiency of 37% for transverse-electric polarized modes

  8. Post-processing optimization of electrospun submicron poly(3-hydroxybutyrate) fibers to obtain continuous films of interest in food packaging applications.

    Science.gov (United States)

    Cherpinski, Adriane; Torres-Giner, Sergio; Cabedo, Luis; Lagaron, Jose M

    2017-10-01

    Polyhydroxyalkanoates (PHAs) are one of the most researched family of biodegradable polymers based on renewable materials due to their thermoplastic nature and moisture resistance. The present study was targeted to investigate the preparation and characterization of poly(3-hydroxybutyrate) (PHB) films obtained through the electrospinning technique. To convert them into continuous films and then to increase their application interest in packaging, the electrospun fiber mats were subsequently post-processed by different physical treatments. Thus, the effect of annealing time and cooling method on morphology, molecular order, thermal, optical, mechanical, and barrier properties of the electrospun submicron PHB fibers was studied. Annealing at 160°C, well below the homopolyester melting point, was found to be the minimum temperature at which homogeneous transparent films were produced. The film samples that were cooled slowly after annealing showed the lowest permeability to oxygen, water vapor, and limonene. The optimally post-processed electrospun PHB fibers exhibited similar rigidity to conventional compression-molded PHA films, but with enhanced elongation at break and toughness. Films made by this electrospinning technique have many potential applications, such as in the design of barrier layers, adhesive interlayers, and coatings for fiber- and plastic-based food packaging materials.

  9. DOUBLE BOSS SCULPTURED DIAPHRAGM EMPLOYED PIEZORESISTIVE MEMS PRESSURE SENSOR WITH SILICON-ON-INSULATOR (SOI

    Directory of Open Access Journals (Sweden)

    D. SINDHANAISELVI

    2017-07-01

    Full Text Available This paper presents the detailed study on the measurement of low pressure sensor using double boss sculptured diaphragm of piezoresistive type with MEMS technology in flash flood level measurement. The MEMS based very thin diaphragms to sense the low pressure is analyzed by introducing supports to achieve linearity. The simulation results obtained from Intellisuite MEMS CAD design tool show that very thin diaphragms with rigid centre or boss give acceptable linearity. Further investigations on very thin diaphragms embedded with piezoresistor for low pressure measurement show that it is essential to analyse the piezoresistor placement and size of piezoresistor to achieve good sensitivity. A modified analytical modelling developed in this study for double boss sculptured diaphragm results were compared with simulated results. Further the enhancement of sensitivity is analyzed using non uniform thickness diaphragm and Silicon-On-Insulator (SOI technique. The simulation results indicate that the double boss square sculptured diaphragm with SOI layer using 0.85μm thickness yields the higher voltage sensitivity, acceptable linearity with Small Scale Deflection.

  10. Impact of technology scaling in SOI back-channel total dose tolerance. A 2-D numerical study using a self-consistent oxide code; Effet du facteur d'echelle sur la tolerance en dose de rayonnement dans le cas du courant de fuite arriere des transistors MOS/SOI. Une etude d'un oxyde utilise un code auto coherent en deux dimensions

    Energy Technology Data Exchange (ETDEWEB)

    Leray, J.L.; Paillet, Ph.; Ferlet-Cavrois, V. [CEA Bruyeres le Chatel DRIF, 91 (France); Tavernier, C.; Belhaddad, K. [ISE Integrated System Engineering AG (Switzerland); Penzin, O. [ISE Integrated System Engineering Inc., San Jose (United States)

    1999-07-01

    A new 2-D and 3-D self-consistent code has been developed and is applied to understanding the charge trapping in SOI buried oxide causing back-channel MOS leakage in SOI transistors. Clear indications on scaling trends are obtained with respect to supply voltage and oxide thickness. (authors)

  11. Development and scintigraphic evaluation of submicron sized dry powder inhalation formulation of fluticasone propionate in healthy human volunteers

    International Nuclear Information System (INIS)

    Ali, Sultana S.; Ahmad, F.J.; Khar, R.K.; Rathore, V.P.; Ali, Rashid; Rawat, H.S.; Chopra, M.K.; Mittal, G.; Bhatnagar, A.

    2010-01-01

    Full text: Objective of the present study concerns formulation and evaluation of submicron sized dry powder inhalation formulation of Fluticasone propionate for the treatment of bronchial asthma, COPD and a new life saving treatment option in restrictive lung diseases such as Interstitial Lung Disease (ILD), toxic and non-cardiogenic pulmonary inflammations or pulmonary edema, which have no effective treatment presently. Materials and Methods: The submicron sized particles were prepared by precipitation method using acetone as solvent and water as antisolvent. Poloxamer F127 was used as stabilizer. Both submicronized and micronized particles were characterized using FTIR, XRD, DSC, SEM and TEM. The mass median aerodynamic diameter (MMAD) of the submicronized and micronized API was calculated using Andersen cascade impactor. The prepared particles and micronized Active Pharmaceutical Ingredient (API) were radiolabeled with 99m Tc. Size3 HPMC capsules were filled with the 12.5 mg radiolabeled blend (100μg Fluticasone propionate and 12.4mg inhalable lactose) and given to healthy volunteers to assess the comparative pulmonary deposition. Results: The prepared formulation has shown better lung deposition as compared to micronized API. The MMAD of submicronized particles was in the range of 1 - 5 μm while the MMAD of micronized API was in the range of 5 - 15μm. Conclusion: The developed submicron sized dry powder inhalation formulation has better lung deposition as compared to micron sized API and it will become a better treatment option for the bronchial asthma, COPD and ILDs

  12. Evaluation of COTS SiGe, SOI, and Mixed Signal Electronic Parts for Extreme Temperature Use in NASA Missions

    Science.gov (United States)

    Patterson, Richard L.; Hammoud, Ahmad

    2010-01-01

    The NASA Electronic Parts and Packaging (NEPP) Program sponsors a task at the NASA Glenn Research Center titled "Reliability of SiGe, SOI, and Advanced Mixed Signal Devices for Cryogenic Space Missions." In this task COTS parts and flight-like are evaluated by determining their performance under extreme temperatures and thermal cycling. The results from the evaluations are published on the NEPP website and at professional conferences in order to disseminate information to mission planners and system designers. This presentation discusses the task and the 2010 highlights and technical results. Topics include extreme temperature operation of SiGe and SOI devices, all-silicon oscillators, a floating gate voltage reference, a MEMS oscillator, extreme temperature resistors and capacitors, and a high temperature silicon operational amplifier.

  13. Extreme group index measured and calculated in 2D SOI-based photonic crystal waveguides

    DEFF Research Database (Denmark)

    Lavrinenko, Andrei; Jacobsen, Rune Shim; Fage-Pedersen, Jacob

    2005-01-01

    lattice of air-holes in the 216-nm thick silicon layer in an SOI material. Experimental transmission spectra show a mode cut-off around 1562.5 nm for the fundamental photonic bandgap mode. In order to measure and model the group index of modes in the PCW, a time-of-flight (ToF) method is applied....

  14. Quantification, modelling and design for signal history dependent effects in mixed-signal SOI/SOS circuits; Quantification, modelisation et conception prenant en compte les etats anterieurs des signaux dans les circuits mixtes SOI/SOS

    Energy Technology Data Exchange (ETDEWEB)

    Edwards, C.F.; Redman-White, W.; Bracey, M.; Tenbroek, B.M.; Lee, M.S. [Southampton Univ., Dept. of Electronics and Computer Sciences (United Kingdom); Uren, M.J.; Brunson, K.M. [DERA Farnborough, GU, Hants (United Kingdom)

    1999-07-01

    This paper deals with how the radiation hardness of mixed signal SOI/SOS CMOS circuits is taken into account at both architectural terms as well as the the transistor level cell designs. The primary issue is to deal with divergent transistor threshold shifts, and to understand the effects of large amplitude non stationary signals on analogue cell behaviour. (authors)

  15. Directly Modulated and ER Enhanced Hybrid III-V/SOI DFB Laser Operating up to 20 Gb/s for Extended Reach Applications in PONs

    DEFF Research Database (Denmark)

    Cristofori, Valentina; Da Ros, Francesco; Chaibi, Mohamed E.

    2017-01-01

    We demonstrate error-free performance of an MRR filtered DML on the SOI platform over 40- and 81-km of SSW. The device operates up to 17.5 Gb/s over 81 km and 20 Gb/s over 40 km.......We demonstrate error-free performance of an MRR filtered DML on the SOI platform over 40- and 81-km of SSW. The device operates up to 17.5 Gb/s over 81 km and 20 Gb/s over 40 km....

  16. Heterojunction fully depleted SOI-TFET with oxide/source overlap

    Science.gov (United States)

    Chander, Sweta; Bhowmick, B.; Baishya, S.

    2015-10-01

    In this work, a hetero-junction fully depleted (FD) Silicon-on-Insulator (SOI) Tunnel Field Effect Transistor (TFET) nanostructure with oxide overlap on the Germanium-source region is proposed. Investigations using Synopsys Technology Computer Aided Design (TCAD) simulation tools reveal that the simple oxide overlap on the Germanium-source region increases the tunneling area as well as the tunneling current without degrading the band-to-band tunneling (BTBT) and improves the device performance. More importantly, the improvement is independent of gate overlap. Simulation study shows improvement in ON current, subthreshold swing (SS), OFF current, ION/IOFF ration, threshold voltage and transconductance. The proposed device with hafnium oxide (HfO2)/Aluminium Nitride (AlN) stack dielectric material offers an average subthreshold swing of 22 mV/decade and high ION/IOFF ratio (∼1010) at VDS = 0.4 V. Compared to conventional TFET, the Miller capacitance of the device shows the enhanced performance. The impact of the drain voltage variation on different parameters such as threshold voltage, subthreshold swing, transconductance, and ION/IOFF ration are also found to be satisfactory. From fabrication point of view also it is easy to utilize the existing CMOS process flows to fabricate the proposed device.

  17. Device fabrication and transport measurements of FinFETs built with 28Si SOI wafers towards donor qubits in silicon

    Energy Technology Data Exchange (ETDEWEB)

    Lo, Cheuk Chi; Persaud, Arun; Dhuey, Scott; Olynick, Deirdre; Borondics, Ferenc; Martin, Michael C.; Bechtel, Hans A.; Bokor, Jeffrey; Schenkel, Thomas

    2009-06-10

    We report fabrication of transistors in a FinFET geometry using isotopically purified silicon-28 -on-insulator (28-SOI) substrates. Donor electron spin coherence in natural silicon is limited by spectral diffusion due to the residual 29Si nuclear spin bath, making isotopically enriched nuclear spin-free 28Si substrates a promising candidate for forming spin quantum bit devices. The FinFET architecture is fully compatible with single-ion implant detection for donor-based qubits, and the donor spin-state readout through electrical detection of spin resonance. We describe device processing steps and discuss results on electrical transport measurements at 0.3 K.

  18. Hot carrier degradation and a new lifetime prediction model in ultra-deep sub-micron pMOSFET

    International Nuclear Information System (INIS)

    Lei Xiao-Yi; Liu Hong-Xia; Zhang Kai; Zhang Yue; Zheng Xue-Feng; Ma Xiao-Hua; Hao Yue

    2013-01-01

    The hot carrier effect (HCE) of an ultra-deep sub-micron p-channel metal—oxide semiconductor field-effect transistor (pMOSFET) is investigated in this paper. Experiments indicate that the generation of positively charged interface states is the predominant mechanism in the case of the ultra-deep sub-micron pMOSFET. The relation of the pMOSFET hot carrier degradation to stress time (t), channel width (W), channel length (L), and stress voltage (V d ) is then discussed. Based on the relation, a lifetime prediction model is proposed, which can predict the lifetime of the ultra-deep sub-micron pMOSFET accurately and reflect the influence of the factors on hot carrier degradation directly. (condensed matter: electronic structure, electrical, magnetic, and optical properties)

  19. Pattern imprinting in deep sub-micron static random access memories induced by total dose irradiation

    Science.gov (United States)

    Zheng, Qi-Wen; Yu, Xue-Feng; Cui, Jiang-Wei; Guo, Qi; Ren, Di-Yuan; Cong, Zhong-Chao; Zhou, Hang

    2014-10-01

    Pattern imprinting in deep sub-micron static random access memories (SRAMs) during total dose irradiation is investigated in detail. As the dose accumulates, the data pattern of memory cells loading during irradiation is gradually imprinted on their background data pattern. We build a relationship between the memory cell's static noise margin (SNM) and the background data, and study the influence of irradiation on the probability density function of ΔSNM, which is the difference between two data sides' SNMs, to discuss the reason for pattern imprinting. Finally, we demonstrate that, for micron and deep sub-micron devices, the mechanism of pattern imprinting is the bias-dependent threshold shift of the transistor, but for a deep sub-micron device the shift results from charge trapping in the shallow trench isolation (STI) oxide rather than from the gate oxide of the micron-device.

  20. Proceedings of submicron multiphase materials

    International Nuclear Information System (INIS)

    Baney, R.; Gilliom, L.; Hirano, S.I.; Schmidt, H.

    1992-01-01

    This book contains the papers presented at Symposium R of the spring 1992 Materials Research Society meeting held in San Francisco, California. The title of the symposium, Submicron Multiphase Materials, was selected by the organizers to encompass the realm of composite materials from those smaller than conventional fiber matrix composites to those with phase separation dimensions approaching molecular dimensions. The development of composite materials is as old as the development of materials. Humans quickly learned that, by combining materials, the best properties of each can be realized and that, in fact, synergistic effects often arise. For example, chopped straw was used by the Israelites to limit cracking in bricks. The famed Japanese samurai swords were multilayers of hard oxide and tough ductile materials. One also finds in nature examples of composite materials. These range form bone to wood, consisting of a hard phase which provides strength and stiffness and a softer phase for toughness. Advanced composites are generally thought of as those which are based on a high modulus, discontinuous, chopped or woven fiber phase and a continuous polymer phase. In multiphase composites, dimensions can range from meters in materials such as steel rod-reinforced concrete structures to angstroms. In macrophase separated composite materials, properties frequently follow the rule of mixtures with the properties approximating the arithmetic mean of the properties of each individual phase, if there is good coupling between the phases. As the phases become smaller, the surface to volume ratio grows in importance with respect to properties. Interfacial and interphase phenomena being to dominate. Surface free energies play an ever increasing role in controlling properties. In recent years, much research in materials science has been directed at multiphase systems where phase separations are submicron in at least some dimension

  1. Optical interconnects based on VCSELs and low-loss silicon photonics

    Science.gov (United States)

    Aalto, Timo; Harjanne, Mikko; Karppinen, Mikko; Cherchi, Matteo; Sitomaniemi, Aila; Ollila, Jyrki; Malacarne, Antonio; Neumeyr, Christian

    2018-02-01

    Silicon photonics with micron-scale Si waveguides offers most of the benefits of submicron SOI technology while avoiding most of its limitations. In particular, thick silicon-on-insulator (SOI) waveguides offer 0.1 dB/cm propagation loss, polarization independency, broadband single-mode (SM) operation from 1.2 to >4 µm wavelength and ability to transmit high optical powers (>1 W). Here we describe the feasibility of Thick-SOI technology for advanced optical interconnects. With 12 μm SOI waveguides we demonstrate efficient coupling between standard single-mode fibers, vertical-cavity surface-emitting lasers (VCSELs) and photodetectors (PDs), as well as wavelength multiplexing in small footprint. Discrete VCSELs and PDs already support 28 Gb/s on-off keying (OOK), which shows a path towards 50-100 Gb/s bandwidth per wavelength by using more advanced modulation formats like PAM4. Directly modulated VCSELs enable very power-efficient optical interconnects for up to 40 km distance. Furthermore, with 3 μm SOI waveguides we demonstrate extremely dense and low-loss integration of numerous optical functions, such as multiplexers, filters, switches and delay lines. Also polarization independent and athermal operation is demonstrated. The latter is achieved by using short polymer waveguides to compensate for the thermo-optic effect in silicon. New concepts for isolator integration and polarization rotation are also explained.

  2. Reduced impact of induced gate noise on inductively degenerated LNAs in deep submicron CMOS technologies

    DEFF Research Database (Denmark)

    Rossi, P.; Svelto, F.; Mazzanti, A.

    2005-01-01

    Designers of radio-frequency inductively-degenerated CMOS low-noise-amplifiers have usually not followed the guidelines for achieving minimum noise figure. Nonetheless, state-of-the- art implementations display noise figure values very close to the theoretical minimum. In this paper, we point out...... that this is due to the effect of the parasitic overlap capacitances in the MOS device. In particular, we show that overlap capacitances lead to a significant induced-gate-noise reduction, especially when deep sub-micron CMOS processes are used....

  3. Pattern imprinting in deep sub-micron static random access memories induced by total dose irradiation

    International Nuclear Information System (INIS)

    Zheng Qi-Wen; Yu Xue-Feng; Cui Jiang-Wei; Guo Qi; Ren Di-Yuan; Cong Zhong-Chao; Zhou Hang

    2014-01-01

    Pattern imprinting in deep sub-micron static random access memories (SRAMs) during total dose irradiation is investigated in detail. As the dose accumulates, the data pattern of memory cells loading during irradiation is gradually imprinted on their background data pattern. We build a relationship between the memory cell's static noise margin (SNM) and the background data, and study the influence of irradiation on the probability density function of ΔSNM, which is the difference between two data sides' SNMs, to discuss the reason for pattern imprinting. Finally, we demonstrate that, for micron and deep sub-micron devices, the mechanism of pattern imprinting is the bias-dependent threshold shift of the transistor, but for a deep sub-micron device the shift results from charge trapping in the shallow trench isolation (STI) oxide rather than from the gate oxide of the micron-device. (condensed matter: structural, mechanical, and thermal properties)

  4. Quantification, modelling and design for signal history dependent effects in mixed-signal SOI/SOS circuits

    International Nuclear Information System (INIS)

    Edwards, C.F.; Redman-White, W.; Bracey, M.; Tenbroek, B.M.; Lee, M.S.; Uren, M.J.; Brunson, K.M.

    1999-01-01

    This paper deals with how the radiation hardness of mixed signal SOI/SOS CMOS circuits is taken into account at both architectural terms as well as the the transistor level cell designs. The primary issue is to deal with divergent transistor threshold shifts, and to understand the effects of large amplitude non stationary signals on analogue cell behaviour. (authors)

  5. Hot-carrier effects on irradiated deep submicron NMOSFET

    International Nuclear Information System (INIS)

    Cui Jiangwei; Zheng Qiwen; Yu Xuefeng; Cong Zhongchao; Zhou Hang; Guo Qi; Wen Lin; Wei Ying; Ren Diyuan

    2014-01-01

    We investigate how γ exposure impacts the hot-carrier degradation in deep submicron NMOSFET with different technologies and device geometries for the first time. The results show that hot-carrier degradations on irradiated devices are greater than those without irradiation, especially for narrow channel device. The reason is attributed to charge traps in STI, which then induce different electric field and impact ionization rates during hot-carrier stress. (semiconductor devices)

  6. Modulation of the SSTA decadal variation on ENSO events and relationships of SSTA With LOD,SOI, etc

    Science.gov (United States)

    Liao, D. C.; Zhou, Y. H.; Liao, X. H.

    2007-01-01

    Interannual and decadal components of the length of day (LOD), Southern Oscillation Index (SOI) and Sea Surface Temperature anomaly (SSTA) in Nino regions are extracted by band-pass filtering, and used for research of the modulation of the SSTA on the ENSO events. Results show that besides the interannual components, the decadal components in SSTA have strong impacts on monitoring and representing of the ENSO events. When the ENSO events are strong, the modulation of the decadal components of the SSTA tends to prolong the life-time of the events and enlarge the extreme anomalies of the SST, while the ENSO events, which are so weak that they can not be detected by the interannual components of the SSTA, can also be detected with the help of the modulation of the SSTA decadal components. The study further draws attention to the relationships of the SSTA interannual and decadal components with those of LOD, SOI, both of the sea level pressure anomalies (SLPA) and the trade wind anomalies (TWA) in tropic Pacific, and also with those of the axial components of the atmospheric angular momentum (AAM) and oceanic angular momentum (OAM). Results of the squared coherence and coherent phases among them reveal close connections with the SSTA and almost all of the parameters mentioned above on the interannual time scales, while on the decadal time scale significant connections are among the SSTA and SOI, SLPA, TWA, ?3w and ?3w+v as well, and slight weaker connections between the SSTA and LOD, ?3pib and ?3bp

  7. Assessment of Sub-Micron Particles by Exploiting Charge Differences with Dielectrophoresis

    Directory of Open Access Journals (Sweden)

    Maria F. Romero-Creel

    2017-08-01

    Full Text Available The analysis, separation, and enrichment of submicron particles are critical steps in many applications, ranging from bio-sensing to disease diagnostics. Microfluidic electrokinetic techniques, such as dielectrophoresis (DEP have proved to be excellent platforms for assessment of submicron particles. DEP is the motion of polarizable particles under the presence of a non-uniform electric field. In this work, the polarization and dielectrophoretic behavior of polystyrene particles with diameters ranging for 100 nm to 1 μm were studied employing microchannels for insulator based DEP (iDEP and low frequency (<1000 Hz AC and DC electric potentials. In particular, the effects of particle surface charge, in terms of magnitude and type of functionalization, were examined. It was found that the magnitude of particle surface charge has a significant impact on the polarization and dielectrophoretic response of the particles, allowing for successful particle assessment. Traditionally, charge differences are exploited employing electrophoretic techniques and particle separation is achieved by differential migration. The present study demonstrates that differences in the particle’s surface charge can also be exploited by means of iDEP; and that distinct types of nanoparticles can be identified by their polarization and dielectrophoretic behavior. These findings open the possibility for iDEP to be employed as a technique for the analysis of submicron biological particles, where subtle differences in surface charge could allow for rapid particle identification and separation.

  8. Boron impurity at the Si/SiO2 interface in SOI wafers and consequences for piezoresistive MEMS devices

    International Nuclear Information System (INIS)

    Nafari, A; Karlen, D; Enoksson, P; Rusu, C; Svensson, K

    2009-01-01

    In this work, the electrical performance of piezoresistive devices fabricated on thinned SOI wafers has been investigated. Specifically, SOI wafers manufactured with the standard bond-and-etch back method (BESOI), commonly used for MEMS fabrication, have been studied. Results from electrical measurements and SIMS characterization show the presence of a boron impurity close to the buried oxide, even on unprocessed wafers. If the boron impurity overlaps with the piezoresistors on the device, it can create non-defined pn-junctions and thus allow conduction through the substrate, leading to stray connections and excessive noise. The thickness of the boron impurity can extend up to several µm, thus setting a thickness limit for the thinnest parts of a MEMS device. This work shows how this impurity can fundamentally affect the functionality of piezoresistive devices. Design rules of how to avoid this are presented

  9. A Facile Method for Separating and Enriching Nano and Submicron Particles from Titanium Dioxide Found in Food and Pharmaceutical Products

    Science.gov (United States)

    Yang, Yu; Capco, David G.; Westerhoff, Paul

    2016-01-01

    Recent studies indicate the presence of nano-scale titanium dioxide (TiO2) as an additive in human foodstuffs, but a practical protocol to isolate and separate nano-fractions from soluble foodstuffs as a source of material remains elusive. As such, we developed a method for separating the nano and submicron fractions found in commercial-grade TiO2 (E171) and E171 extracted from soluble foodstuffs and pharmaceutical products (e.g., chewing gum, pain reliever, and allergy medicine). Primary particle analysis of commercial-grade E171 indicated that 54% of particles were nano-sized (i.e., < 100 nm). Isolation and primary particle analysis of five consumer goods intended to be ingested revealed differences in the percent of nano-sized particles from 32%‒58%. Separation and enrichment of nano- and submicron-sized particles from commercial-grade E171 and E171 isolated from foodstuffs and pharmaceuticals was accomplished using rate-zonal centrifugation. Commercial-grade E171 was separated into nano- and submicron-enriched fractions consisting of a nano:submicron fraction of approximately 0.45:1 and 3.2:1, respectively. E171 extracted from gum had nano:submicron fractions of 1.4:1 and 0.19:1 for nano- and submicron-enriched, respectively. We show a difference in particle adhesion to the cell surface, which was found to be dependent on particle size and epithelial orientation. Finally, we provide evidence that E171 particles are not immediately cytotoxic to the Caco-2 human intestinal epithelium model. These data suggest that this separation method is appropriate for studies interested in isolating the nano-sized particle fraction taken directly from consumer products, in order to study separately the effects of nano and submicron particles. PMID:27798677

  10. A Facile Method for Separating and Enriching Nano and Submicron Particles from Titanium Dioxide Found in Food and Pharmaceutical Products.

    Science.gov (United States)

    Faust, James J; Doudrick, Kyle; Yang, Yu; Capco, David G; Westerhoff, Paul

    2016-01-01

    Recent studies indicate the presence of nano-scale titanium dioxide (TiO2) as an additive in human foodstuffs, but a practical protocol to isolate and separate nano-fractions from soluble foodstuffs as a source of material remains elusive. As such, we developed a method for separating the nano and submicron fractions found in commercial-grade TiO2 (E171) and E171 extracted from soluble foodstuffs and pharmaceutical products (e.g., chewing gum, pain reliever, and allergy medicine). Primary particle analysis of commercial-grade E171 indicated that 54% of particles were nano-sized (i.e., E171 and E171 isolated from foodstuffs and pharmaceuticals was accomplished using rate-zonal centrifugation. Commercial-grade E171 was separated into nano- and submicron-enriched fractions consisting of a nano:submicron fraction of approximately 0.45:1 and 3.2:1, respectively. E171 extracted from gum had nano:submicron fractions of 1.4:1 and 0.19:1 for nano- and submicron-enriched, respectively. We show a difference in particle adhesion to the cell surface, which was found to be dependent on particle size and epithelial orientation. Finally, we provide evidence that E171 particles are not immediately cytotoxic to the Caco-2 human intestinal epithelium model. These data suggest that this separation method is appropriate for studies interested in isolating the nano-sized particle fraction taken directly from consumer products, in order to study separately the effects of nano and submicron particles.

  11. Characterization of dielectric materials in thin layers for the development of S.O.I. (Silicon on Insulator) substrates

    International Nuclear Information System (INIS)

    Gruber, Olivier

    1999-01-01

    This thesis deals with the characterization of oxide layer placed inside S.O.I. substrates and submitted to irradiation. This type of material is used for the development of hardened electronic components, that is to say components able to be used in a radiative environment. The irradiation induces charges (electrons or holes) in the recovered oxide. A part of these charges is trapped which leads to changes of the characteristics of the electronic components made on these substrates. The main topic of this study is the characterization of trapping properties of recovered oxides and more particularly of 'Unibond' material carried out with a new fabrication process: the 'smart-cut' process. This work is divided into three parts: - study with one carrier: this case is limited to low radiation doses where is only observed holes trapping. The evolution of the physical and chemical properties of the 'Unibond' material recovered oxide has been revealed, this evolution being due to the fabrication process. - Study with two carriers: in this case, there is trapping of holes and electrons. This type of trapping is observed in the case of strong radiation doses. A new type of electrons traps has been identified with the 'Unibond' material oxide. The transport and the trapping of holes and electrons have been studied in the case of transient phenomena created by short radiative pulses. This study has been carried out using a new measurement method. - Study with three carriers: here are added to holes and electrons the protons introduced in the recovered oxide by the annealing under hydrogen. These protons are movable when they are submitted to the effect of an electric field and they induce a memory effect according to their position in the oxide. These different works show that the 'Unibond' material is a very good solution for the future development of S.O.I. (author) [fr

  12. Submicron-bubble-enhanced focused ultrasound for blood-brain barrier disruption and improved CNS drug delivery.

    Directory of Open Access Journals (Sweden)

    Ching-Hsiang Fan

    Full Text Available The use of focused ultrasound (FUS with microbubbles has been proven to induce transient blood-brain barrier opening (BBB-opening. However, FUS-induced inertial cavitation of microbubbles can also result in erythrocyte extravasations. Here we investigated whether induction of submicron bubbles to oscillate at their resonant frequency would reduce inertial cavitation during BBB-opening and thereby eliminate erythrocyte extravasations in a rat brain model. FUS was delivered with acoustic pressures of 0.1-4.5 MPa using either in-house manufactured submicron bubbles or standard SonoVue microbubbles. Wideband and subharmonic emissions from bubbles were used to quantify inertial and stable cavitation, respectively. Erythrocyte extravasations were evaluated by in vivo post-treatment magnetic resonance susceptibility-weighted imaging, and finally by histological confirmation. We found that excitation of submicron bubbles with resonant frequency-matched FUS (10 MHz can greatly limit inertial cavitation while enhancing stable cavitation. The BBB-opening was mainly caused by stable cavitation, whereas the erythrocyte extravasation was closely correlated with inertial cavitation. Our technique allows extensive reduction of inertial cavitation to induce safe BBB-opening. Furthermore, the safety issue of BBB-opening was not compromised by prolonging FUS exposure time, and the local drug concentrations in the brain tissues were significantly improved to 60 times (BCNU; 18.6 µg versus 0.3 µg by using chemotherapeutic agent-loaded submicron bubbles with FUS. This study provides important information towards the goal of successfully translating FUS brain drug delivery into clinical use.

  13. Insulator photocurrents: Application to dose rate hardening of CMOS/SOI integrated circuits

    International Nuclear Information System (INIS)

    Dupont-Nivet, E.; Coiec, Y.M.; Flament, O.; Tinel, F.

    1998-01-01

    Irradiation of insulators with a pulse of high energy x-rays can induce photocurrents in the interconnections of integrated circuits. The authors present, here, a new method to measure and analyze this effect together with a simple model. They also demonstrate that these insulator photocurrents have to be taken into account to obtain high levels of dose-rate hardness with CMOS on SOI integrated circuits, especially flip-flops or memory blocks of ASICs. They show that it explains some of the upsets observed in a SRAM embedded in an ASIC

  14. Fabrication of magnetic and fluorescent chitin and dibutyrylchitin sub-micron particles by oil-in-water emulsification.

    Science.gov (United States)

    Blanco-Fernandez, Barbara; Chakravarty, Shatadru; Nkansah, Michael K; Shapiro, Erik M

    2016-11-01

    Chitin is a carbohydrate polymer with unique pharmacological and immunological properties, however, because of its unwieldy chemistry, the synthesis of discreet sized sub-micron particles has not been well reported. This work describes a facile and flexible method to fabricate biocompatible chitin and dibutyrylchitin sub-micron particles. This technique is based on an oil-in-water emulsification/evaporation method and involves the hydrophobization of chitin by the addition of labile butyryl groups onto chitin, disrupting intermolecular hydrogen bonds and enabling solubility in the organic solvent used as the oil phase during fabrication. The subsequent removal of butyryl groups post-fabrication through alkaline saponification regenerates native chitin while keeping particles morphology intact. Examples of encapsulation of hydrophobic dyes and nanocrystals are demonstrated, specifically using iron oxide nanocrystals and coumarin 6. The prepared particles had diameters between 300-400nm for dibutyrylchitin and 500-600nm for chitin and were highly cytocompatible. Moreover, they were able to encapsulate high amounts of iron oxide nanocrystals and were able to label mammalian cells. We describe a technique to prepare sub-micron particles of highly acetylated chitin (>90%) and dibutyrylchitin and demonstrate their utility as carriers for imaging. Chitin is a polysaccharide capable of stimulating the immune system, a property that depends on the acetamide groups, but its insolubility limits its use. No method for sub-micron particle preparation with highly acetylated chitins have been published. The only approach for the preparation of sub-micron particles uses low acetylation chitins. Dibutyrylchitin, a soluble chitin derivative, was used to prepare particles by oil in water emulsification. Butyryl groups were then removed, forming chitin particles. These particles could be suitable for encapsulation of hydrophobic payloads for drug delivery and cell imaging, as well as

  15. Characteristics of scandate-impregnated cathodes with sub-micron scandia-doped matrices

    International Nuclear Information System (INIS)

    Yuan Haiqing; Gu Xin; Pan Kexin; Wang Yiman; Liu Wei; Zhang Ke; Wang Jinshu; Zhou Meiling; Li Ji

    2005-01-01

    We describe in this paper scandate-impregnated cathodes with sub-micron scandia-doped tungsten matrices having an improved uniformity of the Sc distribution. The scandia-doped tungsten powders were made by both liquid-solid doping and liquid-liquid doping methods on the basis of previous research. By improving pressing, sintering and impregnating procedures, we have obtained scandate-impregnated cathodes with a good uniformity of the Sc 2 O 3 - distribution. The porosity of the sub-micron structure matrix and content of impregnants inside the matrix are similar to those of conventionally impregnated cathodes. Space charge limited current densities of more than 30 A/cm 2 at 850 deg. C b have been obtained in a reproducible way. The current density continuously increases during the first 2000 h life test at 950 deg. C b with a dc load of 2 A/cm 2 and are stable for at least 3000 h

  16. LORINE: Neutron emission Locator by SOI detectors

    Energy Technology Data Exchange (ETDEWEB)

    Hamrita, H.; Kondrasovs, V.; Borbotte, J. M.; Normand, S. [CEA, LIST, Laboratoire Capteurs et Architectures Electronique, F-91191 Gif-sur-Yvette Cedex (France); Saurel, N. [CEA, DAM, VALDUC, F-21120 Is sur Tille (France)

    2009-07-01

    The aim of this work is to develop a fast Neutron Emission Locator based on silicon on Insulator detector (LORINE). This locator can be used in the presence of significant flux of gamma radiation. LORINE was developed to locate areas containing a significant amount of actinide during the dismantling operations of equipment. From the results obtained in laboratory, we have proposed the prototype of neutron emission locator as follows: the developed design consists of 5 SOI (Silicon-on-insulator) detectors (1*1 cm{sup 2}) with their charge preamplifiers and their respective converters. All are installed on 5 faces of a boron polyethylene cube (5*5*5 cm{sup 3}). This cube plays the role of neutron shielding between the several detectors. The design must be so compact for use in glove boxes. An electronic card based on micro-controller has been made to control sensors and to send the necessary information to the computer. Location of fast neutron sources does not yet exist in a so compact design and it can be operated in the presence of very important gamma radiation flux

  17. Submicron and nano formulations of titanium dioxide and zinc oxide stimulate unique cellular toxicological responses in the green microalga Chlamydomonas reinhardtii

    Energy Technology Data Exchange (ETDEWEB)

    Gunawan, Cindy, E-mail: c.gunawan@unsw.edu.au [ARC Centre of Excellence for Functional Nanomaterials, School of Chemical Engineering, The University of New South Wales, Sydney, NSW (Australia); Sirimanoonphan, Aunchisa [ARC Centre of Excellence for Functional Nanomaterials, School of Chemical Engineering, The University of New South Wales, Sydney, NSW (Australia); Teoh, Wey Yang [Clean Energy and Nanotechnology (CLEAN) Laboratory, School of Energy and Environment, City University of Hong Kong, Kowloon, Hong Kong Special Administrative Region (Hong Kong); Marquis, Christopher P., E-mail: c.marquis@unsw.edu.au [School of Biotechnology and Biomolecular Sciences, The University of New South Wales, Sydney, NSW (Australia); Amal, Rose [ARC Centre of Excellence for Functional Nanomaterials, School of Chemical Engineering, The University of New South Wales, Sydney, NSW (Australia)

    2013-09-15

    Highlights: • Uptake of TiO{sub 2} solids by C. reinhardtii generates ROS as an early stress response. • Submicron and nanoTiO{sub 2} exhibit benign effect on cell proliferation. • Uptake of ZnO solids and leached zinc by C. reinhardtii inhibit the alga growth. • No cellular oxidative stress is detected with submicron and nano ZnO exposure. • The toxicity of particles is not necessarily mediated by cellular oxidative stress. -- Abstract: The work investigates the eco-cytoxicity of submicron and nano TiO{sub 2} and ZnO, arising from the unique interactions of freshwater microalga Chlamydomonas reinhardtii to soluble and undissolved components of the metal oxides. In a freshwater medium, submicron and nano TiO{sub 2} exist as suspended aggregates with no-observable leaching. Submicron and nano ZnO undergo comparable concentration-dependent fractional leaching, and exist as dissolved zinc and aggregates of undissolved ZnO. Cellular internalisation of solid TiO{sub 2} stimulates cellular ROS generation as an early stress response. The cellular redox imbalance was observed for both submicron and nano TiO{sub 2} exposure, despite exhibiting benign effects on the alga proliferation (8-day EC50 > 100 mg TiO{sub 2}/L). Parallel exposure of C. reinhardtii to submicron and nano ZnO saw cellular uptake of both the leached zinc and solid ZnO and resulting in inhibition of the alga growth (8-day EC50 ≥ 0.01 mg ZnO/L). Despite the sensitivity, no zinc-induced cellular ROS generation was detected, even at 100 mg ZnO/L exposure. Taken together, the observations confront the generally accepted paradigm of cellular oxidative stress-mediated cytotoxicity of particles. The knowledge of speciation of particles and the corresponding stimulation of unique cellular responses and cytotoxicity is vital for assessment of the environmental implications of these materials.

  18. Submicron and nano formulations of titanium dioxide and zinc oxide stimulate unique cellular toxicological responses in the green microalga Chlamydomonas reinhardtii

    International Nuclear Information System (INIS)

    Gunawan, Cindy; Sirimanoonphan, Aunchisa; Teoh, Wey Yang; Marquis, Christopher P.; Amal, Rose

    2013-01-01

    Highlights: • Uptake of TiO 2 solids by C. reinhardtii generates ROS as an early stress response. • Submicron and nanoTiO 2 exhibit benign effect on cell proliferation. • Uptake of ZnO solids and leached zinc by C. reinhardtii inhibit the alga growth. • No cellular oxidative stress is detected with submicron and nano ZnO exposure. • The toxicity of particles is not necessarily mediated by cellular oxidative stress. -- Abstract: The work investigates the eco-cytoxicity of submicron and nano TiO 2 and ZnO, arising from the unique interactions of freshwater microalga Chlamydomonas reinhardtii to soluble and undissolved components of the metal oxides. In a freshwater medium, submicron and nano TiO 2 exist as suspended aggregates with no-observable leaching. Submicron and nano ZnO undergo comparable concentration-dependent fractional leaching, and exist as dissolved zinc and aggregates of undissolved ZnO. Cellular internalisation of solid TiO 2 stimulates cellular ROS generation as an early stress response. The cellular redox imbalance was observed for both submicron and nano TiO 2 exposure, despite exhibiting benign effects on the alga proliferation (8-day EC50 > 100 mg TiO 2 /L). Parallel exposure of C. reinhardtii to submicron and nano ZnO saw cellular uptake of both the leached zinc and solid ZnO and resulting in inhibition of the alga growth (8-day EC50 ≥ 0.01 mg ZnO/L). Despite the sensitivity, no zinc-induced cellular ROS generation was detected, even at 100 mg ZnO/L exposure. Taken together, the observations confront the generally accepted paradigm of cellular oxidative stress-mediated cytotoxicity of particles. The knowledge of speciation of particles and the corresponding stimulation of unique cellular responses and cytotoxicity is vital for assessment of the environmental implications of these materials

  19. On-chip grating coupler array on the SOI platform for fan-in/fan-out of multi-core fibers with low insertion loss and crosstalk

    DEFF Research Database (Denmark)

    Ding, Yunhong; Ye, Feihong; Peucheret, Christophe

    2014-01-01

    We design and fabricate a compact multi-core fiber fan-in/fan-out using a fully-etched grating coupler array on the SOI platform. Lowest coupling loss of 6.8 dB with 3 dB bandwidth of 48 nm and crosstalk lower than ×32 dB are demonstrated.......We design and fabricate a compact multi-core fiber fan-in/fan-out using a fully-etched grating coupler array on the SOI platform. Lowest coupling loss of 6.8 dB with 3 dB bandwidth of 48 nm and crosstalk lower than ×32 dB are demonstrated....

  20. Submicron, soft x-ray fluorescence imaging

    International Nuclear Information System (INIS)

    La Fontaine, B.; MacDowell, A.A.; Tan, Z.; White, D.L.; Taylor, G.N.; Wood, O.R. II; Bjorkholm, J.E.; Tennant, D.M.; Hulbert, S.L.

    1995-01-01

    Submicron fluorescence imaging of soft x-ray aerial images, using a high resolution fluorescent crystal is reported. Features as small as 0.1 μm were observed using a commercially available single-crystal phosphor, STI-F10G (Star Tech Instruments Inc. P. O. Box 2536, Danbury, CT 06813-2536), excited with 139 A light. Its quantum efficiency was estimated to be 5--10 times that of sodium salicylate and to be constant over a broad spectral range from 30 to 400 A. A comparison with a terbium-activated yttrium orthosilicate fluorescent crystal is also presented. Several applications, such as the characterization of the aerial images produced by deep ultraviolet or extreme ultraviolet lithographic exposure tools, are envisaged

  1. Optical signal processing by silicon photonics

    CERN Document Server

    Ahmed, Jameel; Adeel, Freeha; Hussain, Ashiq

    2014-01-01

    The main objective of this book is to make respective graduate students understand the nonlinear effects inside SOI waveguide and possible applications of SOI waveguides in this emerging research area of optical fibre communication. This book focuses on achieving successful optical frequency shifting by Four Wave Mixing (FWM) in silicon-on-insulator (SOI) waveguide by exploiting a nonlinear phenomenon.

  2. Lung deposition of sub-micron aerosols calculated as a function of age and breathing rate

    International Nuclear Information System (INIS)

    James, A.C.

    1978-01-01

    Experimental measurements of lung deposition and especially of regional deposition, of aerosols in the sub-micron size range have been so few that it is worthwhile establishing a method of calculation. A computer routine has therefore been developed to calculate aerosol deposition in successive bronchial and bronchiolar generations of the Weibel 'A' model of human lung for the sub-micron size range where deposition occurs solely by diffusion. This model can be scaled to represent lungs at various ages and vital capacities. Some calculated results are presented here and compared with measurements of lung deposition made under carefully controlled conditions in humans. (author)

  3. Submicron hollow spot generation by solid immersion lens and structured illumination

    NARCIS (Netherlands)

    Kim, M.S.; Assafrao, A.C.; Scharf, T.; Wachters, A.J.H.; Pereira, S.F.; Urbach, H.P.; Brun, M.; Olivier, S.; Nicoletti, S.; Herzig, H.P.

    2012-01-01

    We report on the experimental and numerical demonstration of immersed submicron-size hollow focused spots, generated by structuring the polarization state of an incident light beam impinging on a micro-size solid immersion lens (?-SIL) made of SiO2. Such structured focal spots are characterized by a

  4. Wafer-Level Membrane-Transfer Process for Fabricating MEMS

    Science.gov (United States)

    Yang, Eui-Hyeok; Wiberg, Dean

    2003-01-01

    A process for transferring an entire wafer-level micromachined silicon structure for mating with and bonding to another such structure has been devised. This process is intended especially for use in wafer-level integration of microelectromechanical systems (MEMS) that have been fabricated on dissimilar substrates. Unlike in some older membrane-transfer processes, there is no use of wax or epoxy during transfer. In this process, the substrate of a wafer-level structure to be transferred serves as a carrier, and is etched away once the transfer has been completed. Another important feature of this process is that two electrodes constitutes an electrostatic actuator array. An SOI wafer and a silicon wafer (see Figure 1) are used as the carrier and electrode wafers, respectively. After oxidation, both wafers are patterned and etched to define a corrugation profile and electrode array, respectively. The polysilicon layer is deposited on the SOI wafer. The carrier wafer is bonded to the electrode wafer by using evaporated indium bumps. The piston pressure of 4 kPa is applied at 156 C in a vacuum chamber to provide hermetic sealing. The substrate of the SOI wafer is etched in a 25 weight percent TMAH bath at 80 C. The exposed buried oxide is then removed by using 49 percent HF droplets after an oxygen plasma ashing. The SOI top silicon layer is etched away by using an SF6 plasma to define the corrugation profile, followed by the HF droplet etching of the remaining oxide. The SF6 plasma with a shadow mask selectively etches the polysilicon membrane, if the transferred membrane structure needs to be patterned. Electrostatic actuators with various electrode gaps have been fabricated by this transfer technique. The gap between the transferred membrane and electrode substrate is very uniform ( 0.1 m across a wafer diameter of 100 mm, provided by optimizing the bonding control). Figure 2 depicts the finished product.

  5. Enhancement in electrical conductivity of pastes containing submicron Ag-coated Cu filler with palmitic acid surface modification

    Science.gov (United States)

    Choi, Eun Byeol; Lee, Jong-Hyun

    2017-09-01

    The fabrication and applied use of submicron Ag-coated Cu (Cu@Ag) particles as a filler material for epoxy-based conductive pastes having the advantages of a lower material cost and antioxidation behavior were studied. Submicron Cu@Ag particles were successfully prepared and surface-modified using palmitic acid. Diffuse reflectance infrared Fourier transform spectroscopy and thermogravimetric differential scanning calorimetry results indicated the formation of an organic layer by the chemical interaction between the Cu@Ag surface and palmitic acid and the survival of the organic layer after treatment at 160 °C for 3 h in air. The printed pastes containing both commercial micron Cu@Ag flakes and the fabricated submicron Cu@Ag particles showed a greatly reduced electrical resistivity (4.68 × 10-4 Ω cm) after surface modification compared to an initial value of 1.85 × 10-3 Ω cm when cured.

  6. A New Nonlinear Model of Body Resistance in Nanometer PD SOI MOSFETs

    Directory of Open Access Journals (Sweden)

    Arash Daghighi

    2011-01-01

    Full Text Available In this paper, a nonlinear model for the body resistance of a 45nm PD SOI MOSFET is developed. This model verified on the base of the small signal three-dimensional simulation results. In this paper by using the three-dimensional simulation of ISE-TCAD software, the indicating factors of body resistance in nanometer transistors and then are shown, using the surface potential model. A mathematical relation to calculat the body resistance incorporating device width and body potential was derived. Excellent agreement was obtained by comparing the model outputs and three-dimensional simulation results.

  7. One-dimensional breakdown voltage model of SOI RESURF lateral power device based on lateral linearly graded approximation

    International Nuclear Information System (INIS)

    Zhang Jun; Guo Yu-Feng; Xu Yue; Lin Hong; Yang Hui; Hong Yang; Yao Jia-Fei

    2015-01-01

    A novel one-dimensional (1D) analytical model is proposed for quantifying the breakdown voltage of a reduced surface field (RESURF) lateral power device fabricated on silicon on an insulator (SOI) substrate. We assume that the charges in the depletion region contribute to the lateral PN junctions along the diagonal of the area shared by the lateral and vertical depletion regions. Based on the assumption, the lateral PN junction behaves as a linearly graded junction, thus resulting in a reduced surface electric field and high breakdown voltage. Using the proposed model, the breakdown voltage as a function of device parameters is investigated and compared with the numerical simulation by the TCAD tools. The analytical results are shown to be in fair agreement with the numerical results. Finally, a new RESURF criterion is derived which offers a useful scheme to optimize the structure parameters. This simple 1D model provides a clear physical insight into the RESURF effect and a new explanation on the improvement in breakdown voltage in an SOI RESURF device. (paper)

  8. Fully-etched apodized fiber-to-chip grating coupler on the SOI platform with -0.78 dB coupling efficiency using photonic crystals and bonded Al mirror

    DEFF Research Database (Denmark)

    Ding, Yunhong; Ou, Haiyan; Peucheret, Christophe

    2014-01-01

    We design and fabricate an ultra-high coupling efficiency fully-etched apodized grating coupler on the SOI platform using photonic crystals and bonded aluminum mirror. Ultra-high coupling efficiency of -0.78 dB with a 3 dB bandwidth of 74 nm are demonstrated.......We design and fabricate an ultra-high coupling efficiency fully-etched apodized grating coupler on the SOI platform using photonic crystals and bonded aluminum mirror. Ultra-high coupling efficiency of -0.78 dB with a 3 dB bandwidth of 74 nm are demonstrated....

  9. Line-edge roughness induced single event transient variation in SOI FinFETs

    International Nuclear Information System (INIS)

    Wu Weikang; An Xia; Jiang Xiaobo; Chen Yehua; Liu Jingjing; Zhang Xing; Huang Ru

    2015-01-01

    The impact of process induced variation on the response of SOI FinFET to heavy ion irradiation is studied through 3-D TCAD simulation for the first time. When FinFET biased at OFF state configuration (V gs = 0, V ds = V dd ) is struck by a heavy ion, the drain collects ionizing charges under the electric field and a current pulse (single event transient, SET) is consequently formed. The results reveal that with the presence of line-edge roughness (LER), which is one of the major variation sources in nano-scale FinFETs, the device-to-device variation in terms of SET is observed. In this study, three types of LER are considered: type A has symmetric fin edges, type B has irrelevant fin edges and type C has parallel fin edges. The results show that type A devices have the largest SET variation while type C devices have the smallest variation. Further, the impact of the two main LER parameters, correlation length and root mean square amplitude, on SET variation is discussed as well. The results indicate that variation may be a concern in radiation effects with the down scaling of feature size. (paper)

  10. Mapping the broadband polarization properties of linear 2D SOI photonic crystal waveguides

    DEFF Research Database (Denmark)

    Canning, John; Skivesen, Nina; Kristensen, Martin

    2007-01-01

    Both quasi-TE and TM polarisation spectra for a silicon- on-insulator (SOI) waveguide are recorded over (1100-1700) nm using a broadband supercontinuum source. By studying both the input and output polarisation eigenstates we observe narrowband resonant cross coupling near the lowest quasi-TE mode...... cut-off. We also observe relatively broadband mixing between the two eigenstates to generate a complete photonic bandgap. By careful analysis of the output polarisation state we report on an inherent non-reciprocity between quasi TE and TM fundamental mode cross coupling. The nature of polarisation...

  11. High-Q submicron-diameter quantum-dot microcavity pillars for cavity QED experiments

    DEFF Research Database (Denmark)

    Gregersen, Niels; Lermer, Matthias; Dunzer, Florian

    As/AlAs micropillar design where Bloch-wave engineering is employed to significally enhance the cavity mode confinement in the submicron diameter regime. We demonstrate a record-high vacuum Rabi splitting of 85 µeV of the strong coupling for pillars incorporating quantum dots with modest oscillator strength f ≈ 10....... It is well-known that light-matter interaction depends on the photonic environment, and thus proper engineering of the optical mode in microcavity systems is central to obtaining the desired functionality. In the strong coupling regime, the visibility of the Rabi splitting is described by the light...... coupling in micropillars relied on quantum dots with high oscillator strengths f > 50, our advanced design allows for the observation of strong coupling for submicron diameter quantum dot-pillars with standard f ≈ 10 oscillator strength. A quality factor of 13600 and a vacuum Rabi splitting of 85 µe...

  12. Development of Pixel Front-End Electronics using Advanced Deep Submicron CMOS Technologies

    CERN Document Server

    Havránek, Miroslav; Dingfelder, Jochen

    The content of this thesis is oriented on the R&D; of microelectronic integrated circuits for processing the signal from particle sensors and partially on the sensors themselves. This work is motivated by ongoing upgrades of the ATLAS Pixel Detector at CERN laboratory and by exploration of new technologies for the future experiments in particle physics. Evolution of technologies for the fabrication of microelectronic circuits follows Moore’s laws. Transistors become smaller and electronic chips reach higher complexity. Apart from this, silicon foundries become more open to smaller customers and often provide non-standard process options. Two new directions in pixel technologies are explored in this thesis: design of pixel electronics using ultra deep submicron (65 nm) CMOS technology and Depleted Monolithic Active Pixel Sensors (DMAPS). An independent project concerning the measurement of pixel capacitance with a dedicated measurement chip is a part of this thesis. Pixel capacitance is one of the key pa...

  13. Universal trench design method for a high-voltage SOI trench LDMOS

    Institute of Scientific and Technical Information of China (English)

    Hu Xiarong; Zhang Bo; Luo Xiaorong; Li Zhaoji

    2012-01-01

    The design method for a high-voltage SOl trench LDMOS for various trench permittivities,widths and depths is introduced.A universal method for efficient design is presented for the first time,taking the trade-off between breakdown voltage (BV) and specific on-resistance (Rs,on) into account.The high-k (relative permittivity)dielectric is suitable to fill a shallow and wide trench while the low-k dielectric is suitable to fill a deep and narrow trench.An SOI LDMOS with a vacuum trench in the drift region is also discussed.Simulation results show that the high FOM BV2/Rs,on can be achieved with a trench filled with the low-k dielectric due to its shortened cell-pitch.

  14. Submicron beam X-ray diffraction of nanoheteroepitaxily grown GaN: Experimental challenges and calibration procedures

    Energy Technology Data Exchange (ETDEWEB)

    Bonanno, P.L., E-mail: PeterBonanno@gatech.ed [Georgia Institute of Technology/GTL, UMI 2958 Georgia Tech-CNRS, 57070 Metz (France); Gautier, S. [Laboratoire Materiaux Optiques, Photonique et Micro-Nano Systemes, UMR CNRS 7132, Universite de Metz et SUPELEC, 2 rue E. Belin, 57070 Metz (France); Sirenko, A.A. [Department of Physics, New Jersey Institute of Technology, Newark, NJ 07102 (United States); Kazimirov, A. [Cornell High Energy Synchrotron Source (CHESS), Cornell University, Ithaca, New York 14853 (United States); Cai, Z.-H. [Advanced Photon Source, 9700 S. Cass Avenue, Argonne, IL 60439 (United States); Goh, W.H. [Georgia Institute of Technology/GTL, UMI 2958 Georgia Tech-CNRS, 57070 Metz (France); Martin, J. [Laboratoire Materiaux Optiques, Photonique et Micro-Nano Systemes, UMR CNRS 7132, Universite de Metz et SUPELEC, 2 rue E. Belin, 57070 Metz (France); Martinez, A. [Laboratoire de Photonique et de Nanostructures, UPR CNRS 20, Route de Nozay, 91460 Marcoussis (France); Moudakir, T. [Laboratoire Materiaux Optiques, Photonique et Micro-Nano Systemes, UMR CNRS 7132, Universite de Metz et SUPELEC, 2 rue E. Belin, 57070 Metz (France); Maloufi, N. [Laboratoire d' Etude des Textures et Application aux Materiaux, UMR CNRS 7078, Ile du Saulcy, 57045 Metz Cedex 1 (France); Assouar, M.B. [Laboratoire de Physique des Milieux Ionises et Applications, Nancy University, CNRS, BP 239, F-54506 Vandoeuvre-les-Nancy Cedex (France); Ramdane, A.; Gratiet, L. Le [Laboratoire de Photonique et de Nanostructures, UPR CNRS 20, Route de Nozay, 91460 Marcoussis (France); Ougazzaden, A. [Georgia Institute of Technology/GTL, UMI 2958 Georgia Tech-CNRS, 57070 Metz (France)

    2010-02-15

    Highly relaxed GaN nanodots and submicron ridges have been selectively grown in the NSAG regime using MOVPE on lattice mismatched 6H-SiC and AlN substrates. 2D real space and 3D reciprocal space mapping was performed with a CCD detector using 10.4 keV synchrotron X-ray radiation at the 2-ID-D micro-diffraction beamline at Advanced Photon Source (APS). Calibration procedures have been developed to overcome the unique challenges of analyzing NSAG structures grown on highly mismatched substrates. We studied crystallographic planar bending on the submicron scale and found its correlation with strain relaxation in the NSAG ridges.

  15. Surface modification of submicronic TiO{sub 2} particles prepared by ultrasonic spray pyrolysis for visible light absorption

    Energy Technology Data Exchange (ETDEWEB)

    Dugandzic, Ivan M. [Institute of Technical Sciences of SASA (Serbia); Jovanovic, Dragana J. [University of Belgrade, Vinca Institute of Nuclear Sciences (Serbia); Mancic, Lidija T. [Institute of Technical Sciences of SASA (Serbia); Zheng, Nan; Ahrenkiel, Scott P. [South Dakota School of Mines and Technology (United States); Milosevic, Olivera B. [Institute of Technical Sciences of SASA (Serbia); Saponjic, Zoran V.; Nedeljkovic, Jovan M., E-mail: jovned@vinca.rs [University of Belgrade, Vinca Institute of Nuclear Sciences (Serbia)

    2012-10-15

    Spherical, submicronic TiO{sub 2} assemblage with high specific surface area and controllable phase composition was prepared in the process of ultrasonic spray drying/pyrolysis in a wide temperature range (150-800 Degree-Sign C) by using as a precursor aqueous colloidal solution consisting of TiO{sub 2} nanoparticles (4.5 nm). Submicronic, soft and grained spherical TiO{sub 2} particles (d = 370-500 nm) comprising clustered nanocrystals (<10 nm) were obtained at low processing temperature, while particle densification, intensive growth of the clustered primary units and anatase-to-rutile transformation ({approx}30 wt%) were observed at the higher temperatures. Detailed structural and morphological characterisation were performed by X-ray powder diffraction, scanning and field emission electron microscopy, transmission electron microscopy, and laser particle size analysis. Moreover, the surface modification of TiO{sub 2} particles through the formation of charge-transfer (CT) complex was achieved with different ligands: ascorbic acid, dopamine, catechol, 2,3-dihydroxynaphthalene, and anthrarobin. Optical properties of the surface-modified TiO{sub 2} particles were studied by using diffuse reflection spectroscopy. The binding structure between the surface titanium atoms and different ligands was determined by using Fourier transform infrared spectroscopy. The formation of CT complexes induced significant red shift of optical absorption in comparison to unmodified TiO{sub 2} particles.

  16. Individual domain wall resistance in submicron ferromagnetic structures.

    Science.gov (United States)

    Danneau, R; Warin, P; Attané, J P; Petej, I; Beigné, C; Fermon, C; Klein, O; Marty, A; Ott, F; Samson, Y; Viret, M

    2002-04-15

    The resistance generated by individual domain walls is measured in a FePd nanostructure. Combining transport and magnetic imaging measurements, the intrinsic domain wall resistance is quantified. It is found positive and of a magnitude consistent with that predicted by models based on spin scattering effects within the walls. This magnetoresistance at a nanometer scale allows a direct counting of the number of walls inside the nanostructure. The effect is then used to measure changes in the magnetic configuration of submicron stripes under application of a magnetic field.

  17. Factors Influencing Self-Regulation in E-learning 2.0: Confirmatory Factor Model | Facteurs qui influencent la maîtrise de soi en cyberapprentissage 2.0 : modèle de facteur confirmative

    Directory of Open Access Journals (Sweden)

    Hong Zhao

    2016-04-01

    Full Text Available The importance of self-regulation in e-learning has been well noted in research. Relevant studies have shown a consistent positive correlation between learners’ self-regulation and their success rate in e-learning. Increasing attention has been paid to developing learners’ self-regulated abilities in e-learning. For students, what and how to learn are largely predetermined by the learning environment provided by their institutions. Environmental determinants play a key role in shaping self-regulation in the learning process. This paper reports a study on the influences of the e-learning 2.0 environment on self-regulation. The study identified the factors that influence self-regulation in such an environment and determine the relationships between the factors and self-regulation. A theoretical model to categorize the success factors for self-regulated learning was proposed for this kind of environment. Based on the model, a questionnaire was designed and administered to more than two hundred and fifty distance learning students in Beijing and Hong Kong. Through structural equation modeling (SEM technique, relationships between environmental factors and self-regulation were analyzed. Statistical results showed that several factors affect self-regulation in the e-learning 2.0 environment. They include system quality, information quality, service quality, and user satisfaction. L’importance de la maîtrise de soi en cyberapprentissage a été bien étudiée. Les études pertinentes ont démontré une corrélation positive uniforme entre la maîtrise de soi des apprenants et leurs taux de réussite en apprentissage en ligne. Une attention croissante a été portée au développement des aptitudes de maîtrise de soi des élèves en cyberapprentissage. Pour les élèves, quoi apprendre et comment sont des questions principalement prédéterminées par l’environnement d’apprentissage qu’offrent leurs établissements. Les d

  18. Fabrication of submicron proteinaceous structures by direct laser writing

    Energy Technology Data Exchange (ETDEWEB)

    Serien, Daniela [Center for International Research on Integrative Biomedical Systems, Institute of Industrial Science, The University of Tokyo, 4-6-1 Komaba, Meguro-ku, 153-8505 Tokyo (Japan); Takeuchi, Shoji, E-mail: takeuchi@iis.u-tokyo.ac.jp [Center for International Research on Integrative Biomedical Systems, Institute of Industrial Science, The University of Tokyo, 4-6-1 Komaba, Meguro-ku, 153-8505 Tokyo (Japan); ERATO Takeuchi Biohybrid Innovation Project, Japan Science and Technology Agency, 4-6-1 Komaba, Meguro-ku, 153-8505 Tokyo (Japan)

    2015-07-06

    In this paper, we provide a characterization of truly free-standing proteinaceous structures with submicron feature sizes depending on the fabrication conditions by model-based analysis. Protein cross-linking of bovine serum albumin is performed by direct laser writing and two-photon excitation of flavin adenine dinucleotide. We analyze the obtainable fabrication resolution and required threshold energy for polymerization. The applied polymerization model allows prediction of fabrication conditions and resulting fabrication size, alleviating the application of proteinaceous structure fabrication.

  19. Beschrijving van een verdampings-condensatie aerosol generator voor de produktie van submicron aerosol

    NARCIS (Netherlands)

    Feijt; A.*; Meulen; A.van der

    1985-01-01

    Dit rapport is een handleiding voor een bedrijfszeker, routinematig gebruik van een zgn. Evaporation-Condensation aerosol Conditioner. Met deze aerosol generatie apparatuur kunnen op stabiele, reproduceerbare manier zeer hoge concentraties (tot 1 miljoen deeltjes per cc) monodispers submicron

  20. Investigations into the penetration and pressure drop of HEPA filter media during loading with submicron particle aerosols at high concentrations

    International Nuclear Information System (INIS)

    Leibold, H; Wilhelm, J.G.

    1991-01-01

    High Efficiency Particulate Air (HEPA) filters are typically employed in particle removal and retention within the air cleaning systems of clean rooms in the pharmaceutical, nuclear and semiconductor industries for dust concentrations of some μg/m 3 . Their extremely high removal efficiencies for submicron particles make them attractive candidates in complying with increasingly lower emission limits for industrial processes that involve dust concentrations of up to several g/m 3 . Cost-effective operation under such conditions requires the filter units to be recleanable. The recleanability of HEPA filter media depends not only on the operating conditions during the cleaning process but also on the filtration conditions during particle loading. The structure and location of the particles captured by the glass fiber matrix greatly affect the degree to which they can be subsequently dislodged and removed from the filter medium. Changes in filtration efficiency with service time for various particle diameters in the critical submicron size range, as well as the effects of filtration velocity on the increase in pressure drop, are important criteria with regard to recleaning HEPA filter units. Of special significance for the recleanability of HEPA filter media is knowledge of how operating conditions affect dust cake formation. (author)

  1. Integrated circuits of silicon on insulator S.O.I. technologies: State of the art and perspectives

    International Nuclear Information System (INIS)

    Leray, J.L.; Dupont-Nivet, E.; Raffaelli, M.; Coic, Y.M.; Musseau, O.; Pere, J.F.; Lalande, P.; Bredy, J.; Auberton-Herve, A.J.; Bruel, M.; Giffard, B.

    1989-01-01

    Silicon On Insulator technologies have been proposed to increase the integrated circuits performances in radiation operation. Active researches are conducted, in France and abroad. This paper reviews briefly radiation effects phenomenology in that particular type of structure S.O.I. New results are presented that show very good radiation behaviour in term of speed, dose (10 to 100 megarad (Si)), dose rate and S.E.U. performances [fr

  2. CNTs in situ attached to α-Fe2O3 submicron spheres for enhancing lithium storage capacity.

    Science.gov (United States)

    Gao, Guo; Zhang, Qiang; Cheng, Xin-Bing; Qiu, Peiyu; Sun, Rongjin; Yin, Ting; Cui, Daxiang

    2015-01-14

    In this work, we developed a facile hydrothermal method for synthesis of hybrid α-Fe2O3-carbon nanotubes (CNTs) architectures (α-Fe2O3-CNTs-1 and α-Fe2O3-CNTs-2). The CNTs are in situ attached to the α-Fe2O3 submicron spheres and form three-dimensional network robust architectures. The increase in the amount of CNTs in the network α-Fe2O3-CNTs architectures will significantly enhance the cycling and rate performance, as the flexible and robust CNTs could ensure the fast electron transport pathways, enhance the electronic conductivity, and improve the structural stability of the electrode. As for pure α-Fe2O3 submicron spheres, the capacity decreased significantly and retained at 377.4 mAh g(-1) after 11 cycles, and the capacity has a slightly increasing trend at the following cycling. In contrast, the network α-Fe2O3-CNTs-2 electrode shows the most remarkable performance. At the 60th cycle, the capacity of network α-Fe2O3-CNTs-2 (764.5 mAh g(-1)) is 1.78 times than that of α-Fe2O3 submicron spheres (428.3 mAh g(-1)). The long-term cycling performance (1000 cycles) of samples at a high current density of 5 C showed that the capacity of α-Fe2O3 submicron spheres fade to ∼37.3 mAh g(-1) at the 400th cycle and gradually increased to ∼116.7 mAh g(-1) at the 1000th cycle. The capacity of network α-Fe2O3-CNTs-2 maintained at ∼220.2 mAh g(-1) before the 400th cycle, arrived at ∼326.5 mAh g(-1) in the 615th, cycle and retained this value until 1000th cycle. The network α-Fe2O3-CNTs-2 composite could significantly enhance the cycling and rate performance than pure α-Fe2O3 submicron spheres composite.

  3. Practical Considerations for Detection and Characterization of Sub-Micron Particles in Protein Solutions by Nanoparticle Tracking Analysis.

    Science.gov (United States)

    Gruia, Flaviu; Parupudi, Arun; Polozova, Alla

    2015-01-01

    Nanoparticle Tracking Analysis (NTA) is an emerging analytical technique developed for detection, sizing, and counting of sub-micron particles in liquid media. Its feasibility for use in biopharmaceutical development was evaluated with particle standards and recombinant protein solutions. Measurements of aqueous suspensions of NIST-traceable polystyrene particle standards showed accurate particle concentration detection between 2 × 10(7) and 5 × 10(9) particles/mL. Sizing was accurate for particle standards up to 200 nm. Smaller than nominal value sizes were detected by NTA for the 300-900 nm particles. Measurements of protein solutions showed that NTA performance is solution-specific. Reduced sensitivity, especially in opalescent solutions, was observed. Measurements in such solutions may require sample dilution; however, common sample manipulations, such as dilution and filtration, may result in particle formation. Dilution and filtration case studies are presented to further illustrate such behavior. To benchmark general performance, NTA was compared against asymmetric flow field flow fractionation coupled with multi-angle light scattering (aF4-MALS) and dynamic light scattering, which are other techniques for sub-micron particles. Data shows that all three methods have limitations and may not work equally well under certain conditions. Nevertheless, the ability of NTA to directly detect and count sub-micron particles is a feature not matched by aF4-MALS or dynamic light scattering. Thorough characterization of particulate matter present in protein therapeutics is limited by the lack of analytical methods for particles in the sub-micron size range. Emerging techniques are being developed to bridge this analytical gap. In this study, Nanoparticle Tracking Analysis is evaluated as a potential tool for biologics development. Our results indicate that method performance is molecule-specific and may not work as well under all solution conditions, especially when

  4. Submicron Features in Higher Manganese Silicide

    Directory of Open Access Journals (Sweden)

    Yatir Sadia

    2013-01-01

    Full Text Available The world energy crisis had increased the demand for alternative energy sources and as such is one of the topics at the forefront of research. One way for reducing energy consumption is by thermoelectricity. Thermoelectric effects enable direct conversion of thermal into electrical energy. Higher manganese silicide (HMS, MnSi1.75 is one of the promising materials for applications in the field of thermoelectricity. The abundance and low cost of the elements, combined with good thermoelectric properties and high mechanical and chemical stability at high temperatures, make it very attractive for thermoelectric applications. Recent studies have shown that Si-rich HMS has improved thermoelectric properties. The most interesting of which is the unusual reduction in thermal conductivity. In the current research, transmission (TEM and scanning (SEM electron microscopy as well as X-ray diffraction methods were applied for investigation of the govern mechanisms resulting in very low thermal conductivity values of an Si-rich HMS composition, following arc melting and hot-pressing procedures. In this paper, it is shown that there is a presence of sub-micron dislocations walls, stacking faults, and silicon and HMS precipitates inside each other apparent in the matrix, following a high temperature (0.9 Tm hot pressing for an hour. These are not just responsible for the low thermal conductivity values observed but also indicate the ability to create complicate nano-structures that will last during the production process and possibly during the application.

  5. Optimal Design of an Ultrasmall SOI-Based 1 × 8 Flat-Top AWG by Using an MMI

    Directory of Open Access Journals (Sweden)

    Hongqiang Li

    2013-01-01

    Full Text Available Four methods based on a multimode interference (MMI structure are optimally designed to flatten the spectral response of silicon-on-insulator- (SOI- based arrayed-waveguide grating (AWG applied in a demodulation integration microsystem. In the design for each method, SOI is selected as the material, the beam propagation method is used, and the performances (including the 3 dB passband width, the crosstalk, and the insertion loss of the flat-top AWG are studied. Moreover, the output spectrum responses of AWGs with or without a flattened structure are compared. The results show that low insertion loss, crosstalk, and a flat and efficient spectral response are simultaneously achieved for each kind of structure. By comparing the four designs, the design that combines a tapered MMI with tapered input/output waveguides, which has not been previously reported, was shown to yield better results than others. The optimized design reduced crosstalk to approximately −21.9 dB and had an insertion loss of −4.36 dB and a 3 dB passband width, that is, approximately 65% of the channel spacing.

  6. Evaluating model parameterizations of submicron aerosol scattering and absorption with in situ data from ARCTAS 2008

    Directory of Open Access Journals (Sweden)

    M. J. Alvarado

    2016-07-01

    Full Text Available Accurate modeling of the scattering and absorption of ultraviolet and visible radiation by aerosols is essential for accurate simulations of atmospheric chemistry and climate. Closure studies using in situ measurements of aerosol scattering and absorption can be used to evaluate and improve models of aerosol optical properties without interference from model errors in aerosol emissions, transport, chemistry, or deposition rates. Here we evaluate the ability of four externally mixed, fixed size distribution parameterizations used in global models to simulate submicron aerosol scattering and absorption at three wavelengths using in situ data gathered during the 2008 Arctic Research of the Composition of the Troposphere from Aircraft and Satellites (ARCTAS campaign. The four models are the NASA Global Modeling Initiative (GMI Combo model, GEOS-Chem v9-02, the baseline configuration of a version of GEOS-Chem with online radiative transfer calculations (called GC-RT, and the Optical Properties of Aerosol and Clouds (OPAC v3.1 package. We also use the ARCTAS data to perform the first evaluation of the ability of the Aerosol Simulation Program (ASP v2.1 to simulate submicron aerosol scattering and absorption when in situ data on the aerosol size distribution are used, and examine the impact of different mixing rules for black carbon (BC on the results. We find that the GMI model tends to overestimate submicron scattering and absorption at shorter wavelengths by 10–23 %, and that GMI has smaller absolute mean biases for submicron absorption than OPAC v3.1, GEOS-Chem v9-02, or GC-RT. However, the changes to the density and refractive index of BC in GC-RT improve the simulation of submicron aerosol absorption at all wavelengths relative to GEOS-Chem v9-02. Adding a variable size distribution, as in ASP v2.1, improves model performance for scattering but not for absorption, likely due to the assumption in ASP v2.1 that BC is present at a constant mass

  7. The effects of surface-charged submicron polystyrene particles on the structure and performance of PSF forward osmosis membrane

    Science.gov (United States)

    Zuo, Hao-Ran; Fu, Jia-Bei; Cao, Gui-Ping; Hu, Nian; Lu, Hui; Liu, Hui-Qing; Chen, Peng-Peng; Yu, Jie

    2018-04-01

    Monodisperse surface-charged submicron polystyrene particles were designed, synthesized, and blended into polysulfone (PSF) support layer to prepare forward osmosis (FO) membrane with high performance. The membrane incorporated with particles were characterized with respect to morphology, porosity, and internal osmotic pressure (IOP). Results showed that the polymer particles not only increased the hydrophilicity and porosity of support layer, but also generated considerable IOP, which helped markedly decreasing the structure parameter from 1550 to 670 μm. The measured mass transfer parameters further confirmed the beneficial effects of the surface-charged submicron polymer particles on the performance of FO membrane. For instance, the water permeability coefficient (5.37 L m-2 h-1 bar-1) and water flux (49.7 L m-2 h-1) of the FO membrane incorporated with 5 wt% particles were almost twice as much as that of FO membrane without incorporation. This study suggests that monodisperse surface-charged submicron polymer particles are potential modifiers for improving the performance of FO membranes.

  8. Ultra-low power high temperature and radiation hard complementary metal-oxide-semiconductor (CMOS) silicon-on-insulator (SOI) voltage reference.

    Science.gov (United States)

    Boufouss, El Hafed; Francis, Laurent A; Kilchytska, Valeriya; Gérard, Pierre; Simon, Pascal; Flandre, Denis

    2013-12-13

    This paper presents an ultra-low power CMOS voltage reference circuit which is robust under biomedical extreme conditions, such as high temperature and high total ionized dose (TID) radiation. To achieve such performances, the voltage reference is designed in a suitable 130 nm Silicon-on-Insulator (SOI) industrial technology and is optimized to work in the subthreshold regime of the transistors. The design simulations have been performed over the temperature range of -40-200 °C and for different process corners. Robustness to radiation was simulated using custom model parameters including TID effects, such as mobilities and threshold voltages degradation. The proposed circuit has been tested up to high total radiation dose, i.e., 1 Mrad (Si) performed at three different temperatures (room temperature, 100 °C and 200 °C). The maximum drift of the reference voltage V(REF) depends on the considered temperature and on radiation dose; however, it remains lower than 10% of the mean value of 1.5 V. The typical power dissipation at 2.5 V supply voltage is about 20 μW at room temperature and only 75 μW at a high temperature of 200 °C. To understand the effects caused by the combination of high total ionizing dose and temperature on such voltage reference, the threshold voltages of the used SOI MOSFETs were extracted under different conditions. The evolution of V(REF) and power consumption with temperature and radiation dose can then be explained in terms of the different balance between fixed oxide charge and interface states build-up. The total occupied area including pad-ring is less than 0.09 mm2.

  9. Electrical measurements on submicronic synthetic conductors : carbon nanotubes

    Energy Technology Data Exchange (ETDEWEB)

    Langer, L [Unite de Physico-Chimie et de Physique des Materiaux, Univ. Catholique de Louvain, Louvain-la-Neuve (Belgium); Stockman, L [Lab. voor Vaste Stof-Fysika en Magnetisme, Katholieke Univ. Leuven (Belgium); Heremans, J P [Physics Dept., General Motors Research, Warren, MI (United States); Bayot, V [Unite de Physico-Chimie et de Physique des Materiaux, Univ. Catholique de Louvain, Louvain-la-Neuve (Belgium); Olk, C H [Physics Dept., General Motors Research, Warren, MI (United States); Haesendonck, C van [Lab. voor Vaste Stof-Fysika en Magnetisme, Katholieke Univ. Leuven (Belgium); Bruynseraede, Y [Lab. voor Vaste Stof-Fysika en Magnetisme, Katholieke Univ. Leuven (Belgium); Issi, J P [Unite de Physico-Chimie et de Physique des Materiaux, Univ. Catholique de Louvain, Louvain-la-Neuve (Belgium)

    1995-03-15

    The synthesis of very small samples has raised the need for a drastic miniaturization of the classical four-probe technique in order to realize electrical resistance measurements. Two methods to realize electrical contacts on very small fibers are described here. Using classical photolithography the electrical resistivity of a submicronic catalytic chemical vapour deposited filament is estimated. Scanning tunneling microscopy (STM) lithography allowed to attach small gold contacts to a small bundle (diameter 50 nm) of carbon nanotubes. This bundle is found to exhibit a semimetallic behavior at higher temperature and an unexpected drop of the electrical resistivity at lower temperature. (orig.)

  10. Impact of underlap spacer region variation on electrostatic and analog performance of symmetrical high-k SOI FinFET at 20 nm channel length

    Science.gov (United States)

    Jain, Neeraj; Raj, Balwinder

    2017-12-01

    Continued scaling of CMOS technology to achieve high performance and low power consumption of semiconductor devices in the complex integrated circuits faces the degradation in terms of electrostatic integrity, short channel effects (SCEs), leakage currents, device variability and reliability etc. Nowadays, multigate structure has become the promising candidate to overcome these problems. SOI FinFET is one of the best multigate structures that has gained importance in all electronic design automation (EDA) industries due to its improved short channel effects (SCEs), because of its more effective gate-controlling capabilities. In this paper, our aim is to explore the sensitivity of underlap spacer region variation on the performance of SOI FinFET at 20 nm channel length. Electric field modulation is analyzed with spacer length variation and electrostatic performance is evaluated in terms of performance parameter like electron mobility, electric field, electric potential, sub-threshold slope (SS), ON current (I on), OFF current (I off) and I on/I off ratio. The potential benefits of SOI FinFET at drain-to-source voltage, V DS = 0.05 V and V DS = 0.7 V towards analog and RF design is also evaluated in terms of intrinsic gain (A V), output conductance (g d), trans-conductance (g m), gate capacitance (C gg), and cut-off frequency (f T = g m/2πC gg) with spacer region variations.

  11. A novel partial SOI LDMOSFET with periodic buried oxide for breakdown voltage and self heating effect enhancement

    Science.gov (United States)

    Jamali Mahabadi, S. E.; Rajabi, Saba; Loiacono, Julian

    2015-09-01

    In this paper a partial silicon on insulator (PSOI) lateral double diffused metal oxide semiconductor field effect transistor (LDMOSFET) with periodic buried oxide layer (PBO) for enhancing breakdown voltage (BV) and self-heating effects (SHEs) is proposed for the first time. This new structure is called periodic buried oxide partial silicon on insulator (PBO-PSOI). In this structure, periodic small pieces of SiO2 were used as the buried oxide (BOX) layer in PSOI to modulate the electric field in the structure. It was demonstrated that the electric field is distributed more evenly by producing additional electric field peaks, which decrease the common peaks near the drain and gate junctions in the PBO-PSOI structure. Hence, the area underneath the electric field curve increases which leads to higher breakdown voltage. Also a p-type Si window was introduced in the source side to force the substrate to share the vertical voltage drop, leading to a higher vertical BV. Furthermore, the Si window under the source and those between periodic pieces of SiO2 create parallel conduction paths between the active layer and substrate thereby alleviating the SHEs. Simulations with the two dimensional ATLAS device simulator from the Silvaco suite of simulation tools show that the BV of PBO-PSOI is 100% higher than that of the conventional partial SOI (C-PSOI) structure. Furthermore the PBO-PSOI structure alleviates SHEs to a greater extent than its C-PSOI counterpart. The achieved drain current for the PBO-PSOI structure (100 μA), at drain-source voltage of VDS = 100 V and gate-source voltage of VGS = 25 V, is shown to be significantly larger than that in C-PSOI and fully depleted SOI (FD-SOI) structures (87 μA and 51 μA respectively). Drain current can be further improved at the expense of BV by increasing the doping of the drift region.

  12. SOI detector with drift field due to majority carrier flow - an alternative to biasing in depletion

    Energy Technology Data Exchange (ETDEWEB)

    Trimpl, M.; Deptuch, G.; Yarema, R.; /Fermilab

    2010-11-01

    This paper reports on a SOI detector with drift field induced by the flow of majority carriers. It is proposed as an alternative method of detector biasing compared to standard depletion. N-drift rings in n-substrate are used at the front side of the detector to provide charge collecting field in depth as well as to improve the lateral charge collection. The concept was verified on a 2.5 x 2.5 mm{sup 2} large detector array with 20 {micro}m and 40 {micro}m pixel pitch fabricated in August 2009 using the OKI semiconductor process. First results, obtained with a radioactive source to demonstrate spatial resolution and spectroscopic performance of the detector for the two different pixel sizes will be shown and compared to results obtained with a standard depletion scheme. Two different diode designs, one using a standard p-implantation and one surrounded by an additional BPW implant will be compared as well.

  13. SOI detector with drift field due to majority carrier flow - an alternative to biasing in depletion

    International Nuclear Information System (INIS)

    Trimpl, M.; Deptuch, G.; Yarema, R.

    2010-01-01

    This paper reports on a SOI detector with drift field induced by the flow of majority carriers. It is proposed as an alternative method of detector biasing compared to standard depletion. N-drift rings in n-substrate are used at the front side of the detector to provide charge collecting field in depth as well as to improve the lateral charge collection. The concept was verified on a 2.5 x 2.5 mm 2 large detector array with 20 (micro)m and 40 (micro)m pixel pitch fabricated in August 2009 using the OKI semiconductor process. First results, obtained with a radioactive source to demonstrate spatial resolution and spectroscopic performance of the detector for the two different pixel sizes will be shown and compared to results obtained with a standard depletion scheme. Two different diode designs, one using a standard p-implantation and one surrounded by an additional BPW implant will be compared as well.

  14. On substrate dopant engineering for ET-SOI MOSFETs with UT-BOX

    International Nuclear Information System (INIS)

    Wu Hao; Xu Miao; Wan Guangxing; Zhu Huilong; Zhao Lichuan; Tong Xiaodong; Zhao Chao; Chen Dapeng; Ye Tianchun

    2014-01-01

    The importance of substrate doping engineering for extremely thin SOI MOSFETs with ultra-thin buried oxide (ES-UB-MOSFETs) is demonstrated by simulation. A new substrate/backgate doping engineering, lateral non-uniform dopant distributions (LNDD) is investigated in ES-UB-MOSFETs. The effects of LNDD on device performance, V t -roll-off, channel mobility and random dopant fluctuation (RDF) are studied and optimized. Fixing the long channel threshold voltage (V t ) at 0.3 V, ES-UB-MOSFETs with lateral uniform doping in the substrate and forward back bias can scale only to 35 nm, meanwhile LNDD enables ES-UB-MOSFETs to scale to a 20 nm gate length, which is 43% smaller. The LNDD degradation is 10% of the carrier mobility both for nMOS and pMOS, but it is canceled out by a good short channel effect controlled by the LNDD. Fixing V t at 0.3 V, in long channel devices, due to more channel doping concentration for the LNDD technique, the RDF in LNDD controlled ES-UB-MOSFETs is worse than in back-bias controlled ES-UB-MOSFETs, but in the short channel, the RDF for LNDD controlled ES-UB-MOSFET is better due to its self-adaption of substrate doping engineering by using a fixed thickness inner-spacer. A novel process flow to form LNDD is proposed and simulated. (semiconductor devices)

  15. The role of jet and film drops in controlling the mixing state of submicron sea spray aerosol particles

    Energy Technology Data Exchange (ETDEWEB)

    Wang, Xiaofei; Deane, Grant B.; Moore, Kathryn A.; Ryder, Olivia S.; Stokes, M. Dale; Beall, Charlotte M.; Collins, Douglas B.; Santander, Mitchell V.; Burrows, Susannah M.; Sultana, Camille M.; Prather, Kimberly A.

    2017-06-19

    Covering 71% of the Earth’s surface, oceans represent a significant global source of atmospheric aerosols. The size and composition of sea spray aerosols (SSA) affect their ability to serve as cloud seeds and thus understanding the factors controlling their composition is critical to predicting their impact on clouds and climate. SSA particles have been shown to be an external mixture of particles with different compositions. Film and jet drop production mechanisms ultimately determine the individual particle compositions which are comprised of an array of salt/organic mixtures ranging from pure sea salt to nearly pure organic particles. It is often assumed that the majority of submicron SSA are formed by film drops produced from bursting hydrophobic organic-rich bubble film caps at the sea surface, and in contrast, jet drops are postulated to produce larger supermicron particles from underlying seawater comprised largely of salts and water soluble organic species. However, here we show that jet drops produced by bursting sub-100 m bubbles account for up to 40 % of all submicron particles. They have distinct chemical compositions, organic volume fractions and ice nucleating activities from submicron film drops. Thus a substantial fraction of submicron particles will not necessarily be controlled by the composition of the sea surface microlayer as has been assumed in many studies. This finding has significant ramifications for the size-resolved mixing states of SSA particles which must be taken into consideration when accessing SSA impacts on clouds.

  16. Nondestructive mapping of chemical composition and structural qualities of group III-nitride nanowires using submicron beam synchrotron-based X-ray diffraction

    Energy Technology Data Exchange (ETDEWEB)

    Bonanno, P.L., E-mail: plb2@njit.edu [Georgia Institute of Technology/GTL, UMI 2958 Georgia Tech-CNRS, 57070 Metz (France); Gautier, S. [LMOPS + UMI: Laboratoire Matériaux Optiques, Photonique et micro-nano Systèmes, UMR CNRS 7132, Université de Metz et SUPELEC, 2 rue E. Belin, 57070 Metz, France, UMI 2958 Georgia Tech-CNRS, 57070 Metz (France); Gmili, Y.El.; Moudakir, T. [UMI 2958 Georgia Tech-CNRS, 57070 Metz (France); Sirenko, A.A. [Department of Physics, New Jersey Institute of Technology, Newark, NJ 07102 (United States); Kazimirov, A. [Cornell High Energy Synchrotron Source (CHESS), Cornell University, Ithaca, NY 14853 (United States); Cai, Z.-H. [Advanced Photon Source, 9700 S. Cass Avenue, Argonne, IL 60439 (United States); Martin, J. [LMOPS + UMI: Laboratoire Matériaux Optiques, Photonique et micro-nano Systèmes, UMR CNRS 7132, Université de Metz et SUPELEC, 2 rue E. Belin, 57070 Metz, France, UMI 2958 Georgia Tech-CNRS, 57070 Metz (France); Goh, W.H. [Georgia Institute of Technology/GTL, UMI 2958 Georgia Tech-CNRS, 57070 Metz (France); Martinez, A.; Ramdane, A.; Le Gratiet, L. [Laboratoire de Photonique et de Nanostructures, UPR CNRS 20, Route de Nozay, 91460 Marcoussis (France); Maloufi, N. [Laboratoire d' Etude des Textures et Application aux Matériaux UMR CNRS 7078 Ile du Saulcy 57045 METZ cedex 1 (France); Assouar, M.B. [Laboratoire de Physique des Milieux Ionisés et Applications, Nancy University, CNRS, BP 239, F-54506 Vandoeuvre-lès-Nancy Cédex (France); Ougazzaden, A. [Georgia Institute of Technology/GTL, UMI 2958 Georgia Tech-CNRS, 57070 Metz (France)

    2013-08-31

    Submicron beam synchrotron-based X-ray diffraction (XRD) techniques have been developed and used to accurately and nondestructively map chemical composition and material quality of selectively grown group III-nitride nanowires. GaN, AlGaN, and InGaN multi-quantum-well nanowires have been selectively grown on lattice matched and mismatched substrates, and the challenges associated with obtaining and interpreting submicron beam XRD results are addressed and solved. Nanoscale cathodoluminescence is used to examine exciton behavior, and energy-dispersive X-ray spectroscopy is used to verify chemical composition. Scanning transmission electron microscopy is later used to paint a more complete picture. The advantages of submicron beam XRD over other techniques are discussed in the context of this challenging material system. - Highlights: ► We used nano selective area growth to create nanowires of GaN, AlGaN and InGaN/GaN. ► We characterized them by synchrotron-based submicron beam X-ray diffraction (XRD). ► This technique accurately determined chemical and crystallographic properties. ► Challenges of XRD are addressed in the context of this challenging material system. ► Advantages of XRD over other characterization methods are discussed.

  17. Submicron x-ray diffraction and its applications to problems in materials and environmental science

    Science.gov (United States)

    Tamura, N.; Celestre, R. S.; MacDowell, A. A.; Padmore, H. A.; Spolenak, R.; Valek, B. C.; Meier Chang, N.; Manceau, A.; Patel, J. R.

    2002-03-01

    The availability of high brilliance third generation synchrotron sources together with progress in achromatic focusing optics allows us to add submicron spatial resolution to the conventional century-old x-ray diffraction technique. The new capabilities include the possibility to map in situ, grain orientations, crystalline phase distribution, and full strain/stress tensors at a very local level, by combining white and monochromatic x-ray microbeam diffraction. This is particularly relevant for high technology industry where the understanding of material properties at a microstructural level becomes increasingly important. After describing the latest advances in the submicron x-ray diffraction techniques at the Advanced Light Source, we will give some examples of its application in material science for the measurement of strain/stress in metallic thin films and interconnects. Its use in the field of environmental science will also be discussed.

  18. Submicron X-Ray Diffraction and its Applications to Problems in Materials and Environmental Science

    Energy Technology Data Exchange (ETDEWEB)

    Patel, J. R.

    2002-08-16

    The availability of high brilliance 3rd generation synchrotron sources together with progress in achromatic focusing optics allow to add submicron spatial resolution to the conventional century-old X-ray diffraction technique. The new capabilities include the possibility to map in-situ, grain orientations, crystalline phase distribution and full strain/stress tensors at a very local level, by combining white and monochromatic X-ray microbeam diffraction. This is particularly relevant for high technology industry where the understanding of material properties at a microstructural level becomes increasingly important. After describing the latest advances in the submicron X-ray diffraction techniques at the ALS, we will give some examples of its application in material science for the measurement of strain/stress in metallic thin films and interconnects. Its use in the field of environmental science will also be discussed.

  19. Submicron X-ray diffraction and its applications to problems in materials and environmental science

    Energy Technology Data Exchange (ETDEWEB)

    Tamura, N.; Celestre, R.S.; MacDowell, A.A.; Padmore, H.A.; Spolenak, R.; Valek, B.C.; Meier Chang, N.; Manceau, A.; Patel, J.R.

    2002-03-26

    The availability of high brilliance 3rd generation synchrotron sources together with progress in achromatic focusing optics allow to add submicron spatial resolution to the conventional century-old X-ray diffraction technique. The new capabilities include the possibility to map in-situ, grain orientations, crystalline phase distribution and full strain/stress tensors at a very local level, by combining white and monochromatic X-ray microbeam diffraction. This is particularly relevant for high technology industry where the understanding of material properties at a microstructural level becomes increasingly important. After describing the latest advances in the submicron X-ray diffraction techniques at the ALS, we will give some examples of its application in material science for the measurement of strain/stress in metallic thin films and interconnects. Its use in the field of environmental science will also be discussed.

  20. Silicon Nanowires for All-Optical Signal Processing in Optical Communication

    DEFF Research Database (Denmark)

    Pu, Minhao; Hu, Hao; Ji, Hua

    2012-01-01

    Silicon (Si), the second most abundant element on earth, has dominated in microelectronics for many decades. It can also be used for photonic devices due to its transparency in the range of optical telecom wavelengths which will enable a platform for a monolithic integration of optics...... and microelectronics. Silicon photonic nanowire waveguides fabricated on silicon-on-insulator (SOI) substrates are crucial elements in nano-photonic integrated circuits. The strong light confinement in nanowires induced by high index contrast SOI material enhances the nonlinear effects in the silicon nanowire core...... such as four-wave mixing (FWM) which is an imperative process for optical signal processing. Since the current mature silicon fabrication technology enables a precise dimension control on nanowires, dispersion engineering can be performed by tailoring nanowire dimensions to realize an efficient nonlinear...

  1. Neurotoxicity of low-dose repeatedly intranasal instillation of nano- and submicron-sized ferric oxide particles in mice

    Energy Technology Data Exchange (ETDEWEB)

    Wang Bing; Feng Weiyue, E-mail: fengwy@mail.ihep.ac.cn; Zhu Motao; Wang Yun; Wang Meng [Chinese Academy of Sciences, Laboratory for Bio-Environmental Effects of Nanomaterials and Nanosafety and Key Laboratory of Nuclear Analytical Techniques, Institute of High Energy Physics (China); Gu Yiqun [Maternity Hospital of Haidian District (China); Ouyang Hong; Wang Huajian; Li Ming; Zhao Yuliang, E-mail: zhaoyuliang@mail.ihep.ac.cn; Chai Zhifang [Chinese Academy of Sciences, Laboratory for Bio-Environmental Effects of Nanomaterials and Nanosafety and Key Laboratory of Nuclear Analytical Techniques, Institute of High Energy Physics (China); Wang Haifang [Peking University, College of Chemistry and Molecular Engineering (China)

    2009-01-15

    Olfactory tract has been demonstrated to be an important portal for inhaled solid nanoparticle transportation into the central nervous system (CNS). We have previously demonstrated that intranasally instilled Fe{sub 2}O{sub 3} nanoparticles could transport into the CNS via olfactory pathway. In this study, we investigated the neurotoxicity and size effect of repeatedly low-dose (130 {mu}g) intranasal exposure of nano- and submicron-sized Fe{sub 2}O{sub 3} particles (21 nm and 280 nm) to mice. The biomarkers of oxidative stress, activity of nitric oxide synthases and release of monoamine neurotransmitter in the brain were studied. Our results showed that significant oxidative stress was induced by the two sizes of Fe{sub 2}O{sub 3} particles. The activities of GSH-Px, Cu,Zn-SOD, and cNOS significantly elevated and the total GSH and GSH/GSSG ratio significantly decreased in the olfactory bulb and hippocampus after the nano- and submicron-sized Fe{sub 2}O{sub 3} particle treatment (p < 0.05). The nano-sized Fe{sub 2}O{sub 3} generally induced greater alteration and more significant dose-effect response than the submicron-sized particle did. Some slight perturbation of monoamine neurotransmitters were found in the hippocampus after exposure to the two sizes of Fe{sub 2}O{sub 3} particle. The TEM image showed that some ultrastructural alterations in nerve cells, including neurodendron degeneration, membranous structure disruption and lysosome increase in the olfactory bulb, slight dilation in the rough endoplasmic reticulum and lysosome increase in the hippocampus were induced by the nano-sized Fe{sub 2}O{sub 3} treatment. In contrast, in the submicron-sized Fe{sub 2}O{sub 3} treated mice, slightly swollen mitochondria and some vacuoles were observed in the olfactory bulb and hippocampus, respectively. These results indicate that intranasal exposure of Fe{sub 2}O{sub 3} nanoparticles could induce more severe oxidative stress and nerve cell damage in the brain than the

  2. Entrapment of dye molecules within submicron silver particles

    Energy Technology Data Exchange (ETDEWEB)

    Yosef, Itzik; Avnir, David, E-mail: david@chem.ch.huji.ac.il [Hebrew University of Jerusalem, Institute of Chemistry (Israel)

    2011-09-15

    We describe a method for the preparation of metal-organic composites submicron particles. Specifically, the preparation of silver particle-clusters 150-200 nm in size, doped with an organic dye Congo-red, is reported. The use of sodium citrate coupled with sodium hypophosphite facilitated the formation of these particle-clusters, which were fully characterized by TEM analysis, Zeta potential and size measurements, scanning electron microscopy, UV-Vis measurements, and thermogravimetric analysis. The latter reveals a catalytic action of the metal on the thermal oxidative decomposition of the entrapped dye. The use of these particles to obtain dense thin metallic films was demonstrated by the coating of ITO glass.

  3. Mountain pine beetle-killed lodgepole pine for the production of submicron lignocellulose fibrils

    Science.gov (United States)

    Ingrid Hoeger; Rolland Gleisner; Jose Negron; Orlando J. Rojas; J. Y. Zhu

    2014-01-01

    The elevated levels of tree mortality attributed to mountain pine beetle (MPB) (Dendroctonus ponderosae Hopkins) in western North American forests create forest management challenges. This investigation introduces the production of submicron or nanometer lignocellulose fibrils for value-added materials from the widely available resource represented by dead pines after...

  4. Mixed logic style adder circuit designed and fabricated using SOI substrate for irradiation-hardened experiment

    Science.gov (United States)

    Yuan, Shoucai; Liu, Yamei

    2016-08-01

    This paper proposed a rail to rail swing, mixed logic style 28-transistor 1-bit full adder circuit which is designed and fabricated using silicon-on-insulator (SOI) substrate with 90 nm gate length technology. The main goal of our design is space application where circuits may be damaged by outer space radiation; so the irradiation-hardened technique such as SOI structure should be used. The circuit's delay, power and power-delay product (PDP) of our proposed gate diffusion input (GDI)-based adder are HSPICE simulated and compared with other reported high-performance 1-bit adder. The GDI-based 1-bit adder has 21.61% improvement in delay and 18.85% improvement in PDP, over the reported 1-bit adder. However, its power dissipation is larger than that reported with 3.56% increased but is still comparable. The worst case performance of proposed 1-bit adder circuit is also seen to be less sensitive to variations in power supply voltage (VDD) and capacitance load (CL), over a wide range from 0.6 to 1.8 V and 0 to 200 fF, respectively. The proposed and reported 1-bit full adders are all layout designed and wafer fabricated with other circuits/systems together on one chip. The chip measurement and analysis has been done at VDD = 1.2 V, CL = 20 fF, and 200 MHz maximum input signal frequency with temperature of 300 K.

  5. Operation of SOI P-Channel Field Effect Transistors, CHT-PMOS30, under Extreme Temperatures

    Science.gov (United States)

    Patterson, Richard; Hammoud, Ahmad

    2009-01-01

    Electronic systems are required to operate under extreme temperatures in NASA planetary exploration and deep space missions. Electronics on-board spacecraft must also tolerate thermal cycling between extreme temperatures. Thermal management means are usually included in today s spacecraft systems to provide adequate temperature for proper operation of the electronics. These measures, which may include heating elements, heat pipes, radiators, etc., however add to the complexity in the design of the system, increases its cost and weight, and affects its performance and reliability. Electronic parts and circuits capable of withstanding and operating under extreme temperatures would reflect in improvement in system s efficiency, reducing cost, and improving overall reliability. Semiconductor chips based on silicon-on-insulator (SOI) technology are designed mainly for high temperature applications and find extensive use in terrestrial well-logging fields. Their inherent design offers advantages over silicon devices in terms of reduced leakage currents, less power consumption, faster switching speeds, and good radiation tolerance. Little is known, however, about their performance at cryogenic temperatures and under wide thermal swings. Experimental investigation on the operation of SOI, N-channel field effect transistors under wide temperature range was reported earlier [1]. This work examines the performance of P-channel devices of these SOI transistors. The electronic part investigated in this work comprised of a Cissoid s CHT-PMOS30, high temperature P-channel MOSFET (metal-oxide semiconductor field-effect transistor) device [2]. This high voltage, medium-power transistor is designed for geothermal well logging applications, aerospace and avionics, and automotive industry, and is specified for operation in the temperature range of -55 C to +225 C. Table I shows some specifications of this transistor [2]. The CHT-PMOS30 device was characterized at various temperatures

  6. Error-free Dispersion-uncompensated Transmission at 20 Gb/s over SSMF using a Hybrid III-V/SOI DML with MRR Filtering

    DEFF Research Database (Denmark)

    Cristofori, Valentina; Kamchevska, Valerija; Ding, Yunhong

    2016-01-01

    Error-free 20-Gb/s directly-modulated transmission is achieved by enhancing the dispersion tolerance of a III-V/SOI DFB laser with a silicon micro-ring resonator. Low (∼0.4 dB) penalty compared to back-to-back without ring is demonstrated after 5-km SSMF....

  7. Breaking Frontiers: Submicron Structures in Physics and Biology - 52 Zakopane School of Physics

    International Nuclear Information System (INIS)

    2008-01-01

    The 52 Zakopane School of Physics held in Zakopane from 19 to 24 May 2008. The main task of the symposium was to present the newest results of research in field of submicron structures in physics, biology and medicine. Some new technologies as well as their applications are also presented

  8. Breaking Frontiers: Submicron Structures in Physics and Biology - 52 Zakopane School of Physics

    Energy Technology Data Exchange (ETDEWEB)

    NONE

    2008-07-01

    The 52 Zakopane School of Physics held in Zakopane from 19 to 24 May 2008. The main task of the symposium was to present the newest results of research in field of submicron structures in physics, biology and medicine. Some new technologies as well as their applications are also presented.

  9. Investigation of piezoresistive effect in p-channel metal–oxide–semiconductor field-effect transistors fabricated on circular silicon-on-insulator diaphragms using cost-effective minimal-fab process

    Science.gov (United States)

    Liu, Yongxun; Tanaka, Hiroyuki; Umeyama, Norio; Koga, Kazuhiro; Khumpuang, Sommawan; Nagao, Masayoshi; Matsukawa, Takashi; Hara, Shiro

    2018-06-01

    P-channel metal–oxide–semiconductor field-effect transistors (PMOSFETs) with the 〈110〉 or 〈100〉 channel direction have been successfully fabricated on circular silicon-on-insulator (SOI) diaphragms using a cost-effective minimal-fab process, and their electrical characteristics have been systematically investigated before and after the SOI diaphragm formation. It was found that almost the same subthreshold slope (S-slope) and threshold voltage (V t) are observed in the fabricated PMOSFETs before and after the SOI diaphragm formation, and they are independent of the channel direction. On the other hand, significant variations in drain current were observed in the fabricated PMOSFETs with the 〈110〉 channel direction after the SOI diaphragm formation owing to the residual mechanical stress-induced piezoresistive effect. It was also confirmed that electrical characteristics of the fabricated PMOSFETs with the 〈100〉 channel direction are almost the same before and after the SOI diaphragm formation, i.e., not sensitive to the mechanical stress. Moreover, the drain current variations at different directions of mechanical stress and current flow were systematically investigated and discussed.

  10. Grain orientation and strain measurements in sub-micron wide passivated individual aluminum test structures

    International Nuclear Information System (INIS)

    Tamura, N.; Valek, B.C.; Spolenak, R.; MacDowell, A.A.; Celestre, R.S.; Padmore, H.A.; Brown, W.L.; Marieb, T.; Bravman, J.C.; Batterman, B.W.; Patel, J.R.

    2001-01-01

    An X-ray microdiffraction dedicated beamline, combining white and monochromatic beam capabilities, has been built at the Advanced Light Source. The purpose of this beamline is to address the myriad of problems in Materials Science and Physics that require submicron x-ray beams for structural characterization. Many such problems are found in the general area of thin films and nano-materials. For instance, the ability to characterize the orientation and strain state in individual grains of thin films allows us to measure structural changes at a very local level. These microstructural changes are influenced heavily by such parameters as deposition conditions and subsequent treatment. The accurate measurement of strain gradients at the micron and sub-micron level finds many applications ranging from the strain state under nano-indenters to gradients at crack tips. Undoubtedly many other applications will unfold in the future as we gain experience with the capabilities and limitations of this instrument. We have applied this technique to measure grain orientation and residual stress in single grains of pure Al interconnect lines and preliminary results on post-electromigration test experiments are presented. It is shown that measurements with this instrument can be used to resolve the complete stress tensor (6 components) in a submicron volume inside a single grain of Al under a passivation layer with an overall precision of about 20 MPa. The microstructure of passivated lines appears to be complex, with grains divided into identifiable subgrains and noticeable local variations of both tensile/compressive and shear stresses within single grains

  11. Enhanced Etching, Surface Damage Recovery, and Submicron Patterning of Hybrid Perovskites using a Chemically Gas-Assisted Focused-Ion Beam for Subwavelength Grating Photonic Applications

    KAUST Repository

    Alias, Mohd Sharizal

    2015-12-22

    The high optical gain and absorption of organic–inorganic hybrid perovskites have attracted attention for photonic device applications. However, owing to the sensitivity of organic moieties to solvents and temperature, device processing is challenging, particularly for patterning. Here, we report the direct patterning of perovskites using chemically gas-assisted focused-ion beam (GAFIB) etching with XeF2 and I2 precursors. We demonstrate etching enhancement in addition to controllability and marginal surface damage compared to focused-ion beam (FIB) etching without precursors. Utilizing the GAFIB etching, we fabricated a uniform and periodic submicron perovskite subwavelength grating (SWG) absorber with broadband absorption and nanoscale precision. Our results demonstrate the use of FIB as a submicron patterning tool and a means of providing surface treatment (after FIB patterning to minimize optical loss) for perovskite photonic nanostructures. The SWG absorber can be patterned on perovskite solar cells to enhance the device efficiency through increasing light trapping and absorption.

  12. Submicron InP DHBT technology for high-speed high-swing mixed-signal ICs

    DEFF Research Database (Denmark)

    Godin, Jean; Nodjiadjim, V.; Riet, Muriel

    2008-01-01

    We report on the development of a submicron InP DHBT technology, optimized for the fabrication of 50-GHz-clock mixed signal ICs. In-depth study of device geometry and structure has allowed to get the needed performances and yield. Special attention has been paid to critical thermal behavior. Vari...... applications of interest....

  13. Development of monolithic pixel detector with SOI technology for the ILC vertex detector

    Science.gov (United States)

    Yamada, M.; Ono, S.; Tsuboyama, T.; Arai, Y.; Haba, J.; Ikegami, Y.; Kurachi, I.; Togawa, M.; Mori, T.; Aoyagi, W.; Endo, S.; Hara, K.; Honda, S.; Sekigawa, D.

    2018-01-01

    We have been developing a monolithic pixel sensor for the International Linear Collider (ILC) vertex detector with the 0.2 μm FD-SOI CMOS process by LAPIS Semiconductor Co., Ltd. We aim to achieve a 3 μm single-point resolution required for the ILC with a 20×20 μm2 pixel. Beam bunch crossing at the ILC occurs every 554 ns in 1-msec-long bunch trains with an interval of 200 ms. Each pixel must record the charge and time stamp of a hit to identify a collision bunch for event reconstruction. Necessary functions include the amplifier, comparator, shift register, analog memory and time stamp implementation in each pixel, and column ADC and Zero-suppression logic on the chip. We tested the first prototype sensor, SOFIST ver.1, with a 120 GeV proton beam at the Fermilab Test Beam Facility in January 2017. SOFIST ver.1 has a charge sensitive amplifier and two analog memories in each pixel, and an 8-bit Wilkinson-type ADC is implemented for each column on the chip. We measured the residual of the hit position to the reconstructed track. The standard deviation of the residual distribution fitted by a Gaussian is better than 3 μm.

  14. Temperature characteristics research of SOI pressure sensor based on asymmetric base region transistor

    Science.gov (United States)

    Zhao, Xiaofeng; Li, Dandan; Yu, Yang; Wen, Dianzhong

    2017-07-01

    Based on the asymmetric base region transistor, a pressure sensor with temperature compensation circuit is proposed in this paper. The pressure sensitive structure of the proposed sensor is constructed by a C-type silicon cup and a Wheatstone bridge with four piezoresistors ({R}1, {R}2, {R}3 and {R}4) locating on the edge of a square silicon membrane. The chip was designed and fabricated on a silicon on insulator (SOI) wafer by micro electromechanical system (MEMS) technology and bipolar transistor process. When the supply voltage is 5.0 V, the corresponding temperature coefficient of the sensitivity (TCS) for the sensor before and after temperature compensation are -1862 and -1067 ppm/°C, respectively. Through varying the ratio of the base region resistances {r}1 and {r}2, the TCS for the sensor with the compensation circuit is -127 ppm/°C. It is possible to use this compensation circuit to improve the temperature characteristics of the pressure sensor. Project supported by the National Natural Science Foundation of China (No. 61471159), the Natural Science Foundation of Heilongjiang Province (No. F201433), the University Nursing Program for Young Scholars with Creative Talents in Heilongjiang Province (No. 2015018), and the Special Funds for Science and Technology Innovation Talents of Harbin in China (No. 2016RAXXJ016).

  15. SiO2/ZnO Composite Hollow Sub-Micron Fibers: Fabrication from Facile Single Capillary Electrospinning and Their Photoluminescence Properties

    Directory of Open Access Journals (Sweden)

    Guanying Song

    2017-02-01

    Full Text Available In this work, SiO2/ZnO composite hollow sub-micron fibers were fabricated by a facile single capillary electrospinning technique followed by calcination, using tetraethyl orthosilicate (TEOS, polyvinylpyrrolidone (PVP and ZnO nanoparticles as raw materials. The characterization results of the scanning electron microscopy (SEM, transmission electron microscopy (TEM, X-ray diffraction (XRD and Fourier transform infrared spectroscopy (FT-IR spectra indicated that the asprepared composite hollow fibers consisted of amorphous SiO2 and hexagonal wurtzite ZnO. The products revealed uniform tubular structure with outer diameters of 400–500 nm and wall thickness of 50–60 nm. The gases generated and the directional escaped mechanism was proposed to illustrate the formation of SiO2/ZnO composite hollow sub-micron fibers. Furthermore, a broad blue emission band was observed in the photoluminescence (PL of SiO2/ZnO composite hollow sub-micron fibers, exhibiting great potential applications as blue light-emitting candidate materials.

  16. Submicron particle mass concentrations and sources in the Amazonian wet season (AMAZE-08)

    Energy Technology Data Exchange (ETDEWEB)

    Chen, Q.; Farmer, D. K.; Rizzo, L. V.; Pauliqueivis, T.; Kuwata, Mikinori; Karl, Thomas G.; Guenther, Alex B.; Allan, James D.; Coe, H.; Andreae, M. O.; Poeschl, U.; Jiminez, J. L.; Artaxo, Paulo; Martin, Scot T.

    2015-01-01

    Real-time mass spectra of non-refractory component of submicron aerosol particles were recorded in a tropical rainforest in the central Amazon basin during the wet season of 2008, as a part of the Amazonian Aerosol Characterization Experiment (AMAZE-08). Organic components accounted on average for more than 80% of the non-refractory submicron particle mass concentrations during the period of measurements. Ammonium was present in sufficient quantities to halfway neutralize sulfate. In this acidic, isoprene-dominated, low-NOx environment the high-resolution mass spectra as well as mass closures with ion chromatography measurements did not provide evidence for significant contributions of organosulfate species, at least at concentrations above uncertainty levels. Positive-matrix factorization of the time series of particle mass spectra identified four statistical factors to account for the variance of the signal intensities of the organic constituents: a factor HOA having a hydrocarbon-like signature and identified as regional emissions of primary organic material, a factor OOA-1 associated with fresh production of secondary organic material by a mechanism of BVOC oxidation followed by gas-to-particle conversion, a factor OOA-2 consistent with reactive uptake of isoprene oxidation products, especially epoxydiols by acidic particles, and a factor OOA-3 associated with long range transport and atmospheric aging. The OOA-1, -2, and -3 factors had progressively more oxidized signatures. Diameter-resolved mass spectral markers also suggested enhanced reactive uptake of isoprene oxidation products to the accumulation mode for the OOA-2 factor, and such size partitioning can be indicative of in-cloud process. The campaign-average factor loadings were in a ratio of 1.1:1.0 for the OOA-1 compared to the OOA-2 pathway, suggesting the comparable importance of gas-phase compared to particle-phase (including cloud waters) production pathways of secondary organic material during

  17. L-shaped fiber-chip grating couplers with high directionality and low reflectivity fabricated with deep-UV lithography.

    Science.gov (United States)

    Benedikovic, Daniel; Alonso-Ramos, Carlos; Pérez-Galacho, Diego; Guerber, Sylvain; Vakarin, Vladyslav; Marcaud, Guillaume; Le Roux, Xavier; Cassan, Eric; Marris-Morini, Delphine; Cheben, Pavel; Boeuf, Frédéric; Baudot, Charles; Vivien, Laurent

    2017-09-01

    Grating couplers enable position-friendly interfacing of silicon chips by optical fibers. The conventional coupler designs call upon comparatively complex architectures to afford efficient light coupling to sub-micron silicon-on-insulator (SOI) waveguides. Conversely, the blazing effect in double-etched gratings provides high coupling efficiency with reduced fabrication intricacy. In this Letter, we demonstrate for the first time, to the best of our knowledge, the realization of an ultra-directional L-shaped grating coupler, seamlessly fabricated by using 193 nm deep-ultraviolet (deep-UV) lithography. We also include a subwavelength index engineered waveguide-to-grating transition that provides an eight-fold reduction of the grating reflectivity, down to 1% (-20  dB). A measured coupling efficiency of -2.7  dB (54%) is achieved, with a bandwidth of 62 nm. These results open promising prospects for the implementation of efficient, robust, and cost-effective coupling interfaces for sub-micrometric SOI waveguides, as desired for large-volume applications in silicon photonics.

  18. Optimization of process parameter variations on leakage current in in silicon-oninsulator vertical double gate mosfet device

    Directory of Open Access Journals (Sweden)

    K.E. Kaharudin

    2015-12-01

    Full Text Available This paper presents a study of optimizing input process parameters on leakage current (IOFF in silicon-on-insulator (SOI Vertical Double-Gate,Metal Oxide Field-Effect-Transistor (MOSFET by using L36 Taguchi method. The performance of SOI Vertical DG-MOSFET device is evaluated in terms of its lowest leakage current (IOFF value. An orthogonal array, main effects, signal-to-noise ratio (SNR and analysis of variance (ANOVA are utilized in order to analyze the effect of input process parameter variation on leakage current (IOFF. Based on the results, the minimum leakage current ((IOFF of SOI Vertical DG-MOSFET is observed to be 0.009 nA/µm or 9 ρA/µm while keeping the drive current (ION value at 434 µA/µm. Both the drive current (ION and leakage current (IOFF values yield a higher ION/IOFF ratio (48.22 x 106 for low power consumption application. Meanwhile, polysilicon doping tilt angle and polysilicon doping energy are recognized as the most dominant factors with each of the contributing factor effects percentage of 59% and 25%.

  19. A three-dimensional breakdown model of SOI lateral power transistors with a circular layout

    International Nuclear Information System (INIS)

    Guo Yufeng; Wang Zhigong; Sheu Gene

    2009-01-01

    This paper presents an analytical three-dimensional breakdown model of SOI lateral power devices with a circular layout. The Poisson equation is solved in cylindrical coordinates to obtain the radial surface potential and electric field distributions for both fully- and partially-depleted drift regions. The breakdown voltages for N + N and P + N junctions are derived and employed to investigate the impact of cathode region curvature. A modified RESURF criterion is proposed to provide a design guideline for optimizing the breakdown voltage and doping concentration in the drift region in three dimensional space. The analytical results agree well with MEDICI simulation results and experimental data from earlier publications. (semiconductor devices)

  20. Limitation and suppression of hot electron fluctuations in submicron semiconductor structures

    International Nuclear Information System (INIS)

    Kochelap, V.A.; Zahleniuk, N.A.; Sokolov, V.N.

    1992-09-01

    We present theoretical investigations of fluctuations of hot electrons in submicron active regions, where the dimensions 2 d of the region is comparable to the electron energy relaxation length L ε . The new physical phenomenon is reported; the fluctuations depend on the sample thickness, with 2d ε a suppression of fluctuations arises in the range of fluctuation frequencies ω much less than T -1 ε , T ε is the electron energy relaxation time. (author). 12 refs, 7 figs

  1. Analysis of silicon on insulator (SOI) optical microring add-drop filter based on waveguide intersections

    Science.gov (United States)

    Kaźmierczak, Andrzej; Bogaerts, Wim; Van Thourhout, Dries; Drouard, Emmanuel; Rojo-Romeo, Pedro; Giannone, Domenico; Gaffiot, Frederic

    2008-04-01

    We present a compact passive optical add-drop filter which incorporates two microring resonators and a waveguide intersection in silicon-on-insulator (SOI) technology. Such a filter is a key element for designing simple layouts of highly integrated complex optical networks-on-chip. The filter occupies an area smaller than 10μm×10μm and exhibits relatively high quality factors (up to 4000) and efficient signal dropping capabilities. In the present work, the influence of filter parameters such as the microring-resonators radii and the coupling section shape are analyzed theoretically and experimentally

  2. Electron acceleration via high contrast laser interacting with submicron clusters

    International Nuclear Information System (INIS)

    Zhang Lu; Chen Liming; Wang Weiming; Yan Wenchao; Yuan Dawei; Mao Jingyi; Wang Zhaohua; Liu Cheng; Shen Zhongwei; Li Yutong; Dong Quanli; Lu Xin; Ma Jinglong; Wei Zhiyi; Faenov, Anatoly; Pikuz, Tatiana; Li Dazhang; Sheng Zhengming; Zhang Jie

    2012-01-01

    We experimentally investigated electron acceleration from submicron size argon clusters-gas target irradiated by a 100 fs, 10 TW laser pulses having a high-contrast. Electron beams are observed in the longitudinal and transverse directions to the laser propagation. The measured energy of the longitudinal electron reaches 600 MeV and the charge of the electron beam in the transverse direction is more than 3 nC. A two-dimensional particle-in-cell simulation of the interaction has been performed and it shows an enhancement of electron charge by using the cluster-gas target.

  3. An analytical threshold voltage model for a short-channel dual-metal-gate (DMG) recessed-source/drain (Re-S/D) SOI MOSFET

    Science.gov (United States)

    Saramekala, G. K.; Santra, Abirmoya; Dubey, Sarvesh; Jit, Satyabrata; Tiwari, Pramod Kumar

    2013-08-01

    In this paper, an analytical short-channel threshold voltage model is presented for a dual-metal-gate (DMG) fully depleted recessed source/drain (Re-S/D) SOI MOSFET. For the first time, the advantages of recessed source/drain (Re-S/D) and of dual-metal-gate structure are incorporated simultaneously in a fully depleted SOI MOSFET. The analytical surface potential model at Si-channel/SiO2 interface and Si-channel/buried-oxide (BOX) interface have been developed by solving the 2-D Poisson’s equation in the channel region with appropriate boundary conditions assuming parabolic potential profile in the transverse direction of the channel. Thereupon, a threshold voltage model is derived from the minimum surface potential in the channel. The developed model is analyzed extensively for a variety of device parameters like the oxide and silicon channel thicknesses, thickness of source/drain extension in the BOX, control and screen gate length ratio. The validity of the present 2D analytical model is verified with ATLAS™, a 2D device simulator from SILVACO Inc.

  4. Fabrication of SGOI material by oxidation of an epitaxial SiGe layer on an SOI wafer with H ions implantation

    International Nuclear Information System (INIS)

    Cheng Xinli; Chen Zhijun; Wang Yongjin; Jin Bo; Zhang Feng; Zou Shichang

    2005-01-01

    SGOI materials were fabricated by thermal dry oxidation of epitaxial H-ion implanted SiGe layers on SOI wafers. The hydrogen implantation was found to delay the oxidation rate of SiGe layer and to decrease the loss of Ge atoms during oxidation. Further, the H implantation did not degrade the crystallinity of SiGe layer during fabrication of the SGOI

  5. Mechanisms of Low-Energy Operation of XCT-SOI CMOS Devices—Prospect of Sub-20-nm Regime

    Directory of Open Access Journals (Sweden)

    Yasuhisa Omura

    2014-01-01

    Full Text Available This paper describes the performance prospect of scaled cross-current tetrode (XCT CMOS devices and demonstrates the outstanding low-energy aspects of sub-30-nm-long gate XCT-SOI CMOS by analyzing device operations. The energy efficiency improvement of such scaled XCT CMOS circuits (two orders higher stems from the “source potential floating effect”, which offers the dynamic reduction of effective gate capacitance. It is expected that this feature will be very important in many medical implant applications that demand a long device lifetime without recharging the battery.

  6. Velocity overshoot decay mechanisms in compound semiconductor field-effect transistors with a submicron characteristic length

    International Nuclear Information System (INIS)

    Jyegal, Jang

    2015-01-01

    Velocity overshoot is a critically important nonstationary effect utilized for the enhanced performance of submicron field-effect devices fabricated with high-electron-mobility compound semiconductors. However, the physical mechanisms of velocity overshoot decay dynamics in the devices are not known in detail. Therefore, a numerical analysis is conducted typically for a submicron GaAs metal-semiconductor field-effect transistor in order to elucidate the physical mechanisms. It is found that there exist three different mechanisms, depending on device bias conditions. Specifically, at large drain biases corresponding to the saturation drain current (dc) region, the velocity overshoot suddenly begins to drop very sensitively due to the onset of a rapid decrease of the momentum relaxation time, not the mobility, arising from the effect of velocity-randomizing intervalley scattering. It then continues to drop rapidly and decays completely by severe mobility reduction due to intervalley scattering. On the other hand, at small drain biases corresponding to the linear dc region, the velocity overshoot suddenly begins to drop very sensitively due to the onset of a rapid increase of thermal energy diffusion by electrons in the channel of the gate. It then continues to drop rapidly for a certain channel distance due to the increasing thermal energy diffusion effect, and later completely decays by a sharply decreasing electric field. Moreover, at drain biases close to a dc saturation voltage, the mechanism is a mixture of the above two bias conditions. It is suggested that a large secondary-valley energy separation is essential to increase the performance of submicron devices

  7. Effect of the Ion Mass and Energy on the Response of 70-nm SOI Transistors to the Ion Deposited Charge by Direct Ionization

    International Nuclear Information System (INIS)

    Raine, M.; Gaillardin, M.; Sauvestre, J.E.; Flament, O.; Bournel, A.; Aubry-Fortuna, V.

    2010-01-01

    The response of SOI transistors under heavy ion irradiation is analyzed using Geant4 and Synopsys Sentaurus device simulations. The ion mass and energy have a significant impact on the radial ionization profile of the ion deposited charge. For example, for an identical LET, the higher the ion energy per nucleon, the wider the radial ionization track. For a 70-nm SOI technology, the track radius of high energy ions (≥ 10 MeV/a) is larger than the transistor sensitive volume; part of the ion charge recombines in the highly doped source or drain regions and does not participate to the transistor electric response. At lower energy (≤ 10 MeV/a), as often used for ground testing, the track radius is smaller than the transistor sensitive volume, and the entire charge is used for the transistor response. The collected charge is then higher, corresponding to a worst-case response of the transistor. Implications for the hardness assurance of highly-scaled generations are discussed. (authors)

  8. Short range investigation of sub-micron zirconia particles

    Energy Technology Data Exchange (ETDEWEB)

    Caracoche, M C; Martinez, J A [Departamento de Fisica, IFLP, Facultad de Ciencias Exactas, CICPBA, Universidad Nacional de La Plata (Argentina); Rivas, P C [IFLP-CONICET, Facultad de Ciencias Agrarias y Forestales, Universidad Nacional de La Plata (Argentina); Bondioli, F; Cannillo, V [Dipartimento di Ingegniria dei Materiali e dell' Ambiente, Facolta di Ingegneria, Universita di Modena e Reggio Emilia (Italy); Ferrari, A M, E-mail: cristina@fisica.unlp.edu.a [Dipartimento di Scienza a Metodi dell' Ingegneria, Universita di Modena e Reggio Emilia (Italy)

    2009-05-01

    The Perturbed Angular Correlations technique was used to determine the configurations around Zirconium ions and their thermal behavior in non-aggregated sub-micron zirconia spherical particles. Three residues containing- Zr surroundings were determined for the non-crystalline starting particles, which were identified under the assumption of a certain chemical reactions sequence during synthesis. While the one made up mainly by hydroxyl groups was common to both samples, the two involving mainly organic residues were particle size dependent. Upon crystallization, both samples stabilized in the t'- and t- tetragonal forms and the Xc-cubic form but their amounts and temperatures of appearance were different. On heating, the structure of the smaller particles became gradually monoclinic achieving total degradation upon the subsequent cooling to RT.

  9. Investigation of AWG demultiplexer based SOI for CWDM application

    Directory of Open Access Journals (Sweden)

    Juhari Nurjuliana

    2017-01-01

    Full Text Available 9-channel Arrayed Waveguide Grating (AWG demultiplexer for conventional and tapered structure were simulated using beam propagation method (BPM with channel spacing of 20 nm. The AWG demultiplexer was design using high refractive index (n~3.47 material namely silicon-on-insulator (SOI with rib waveguide structure. The characteristics of insertion loss, adjacent crosstalk and output spectrum response at central wavelength of 1.55 μm for both designs were compared and analyzed. The conventional AWG produced a minimum insertion loss of 6.64 dB whereas the tapered AWG design reduced the insertion loss by 2.66 dB. The lowest adjacent crosstalk value of -16.96 dB was obtained in the conventional AWG design and this was much smaller compared to the tapered AWG design where the lowest crosstalk value is -17.23 dB. Hence, a tapered AWG design significantly reduces the insertion loss but has a slightly higher adjacent crosstalk compared to the conventional AWG design. On the other hand, the output spectrum responses that are obtained from both designs were close to the Coarse Wavelength Division Multiplexing (CWDM wavelength grid.

  10. Facile synthesis and stable cycling ability of hollow submicron silicon oxide–carbon composite anode material for Li-ion battery

    Energy Technology Data Exchange (ETDEWEB)

    Kim, Joong-Yeon; Nguyen, Dan Thien [Department of Fine Chemical Engineering & Applied Chemistry, Chungnam National University, Daejeon 305-764 (Korea, Republic of); Kang, Joon-Sup [Department of Energy Science and Technology, Chungnam National University, Daejeon 305-764 (Korea, Republic of); Song, Seung-Wan, E-mail: swsong@cnu.ac.kr [Department of Fine Chemical Engineering & Applied Chemistry, Chungnam National University, Daejeon 305-764 (Korea, Republic of); Department of Energy Science and Technology, Chungnam National University, Daejeon 305-764 (Korea, Republic of)

    2015-06-05

    Highlights: • Hollow submicron SiO{sub 2}–carbon composite material was synthesized using Si{sup 4+}-citrate chelation. • Composite material possessed a homogeneous distribution of SiO{sub 2} and carbon. • Composite electrode delivered ⩾600 mAh/g with a stable cycling stability. • This materials design and synthesis provides a useful platform for scalable production. - Abstract: Advanced SiO{sub 2}–carbon composite anode active material for lithium-ion battery has been synthesized through a simple chelation of silicon cation with citrate in a glyme-based solvent. The resultant composite material demonstrates a homogeneous distribution of constituents over the submicron particles and a unique hollow spherical microstructure, which provides an enhanced electrical conductivity and better accommodation of volume change of silicon during electrochemical charge–discharge cycling, respectively. As a result, the composite electrode exhibits a high cycling stability delivering the capacity retention of 91% at the 100th cycle and discharge capacities of 662–602 mAh/g and coulombic efficiencies of 99.8%. This material synthesis is scalable and cost-effective in preparing various submicron or micron composite electrode materials.

  11. Total dose behavior of partially depleted SOI dynamic threshold voltage MOS (DTMOS) for very low supply voltage applications (0.6 - 1 V)

    International Nuclear Information System (INIS)

    Ferlet-Cavrois, V.; Musseau, O.; Leray, J.L.; Faynot, O.; Raynaud, C.; Pelloie, J.L.

    1999-01-01

    In this paper, we presented two DTMOS architectures processed with a partially depleted SOI technology. The first architecture, DTMOS without limiting transistor, is dedicated to ultra-low voltage applications, at 0.6 V. For 1V applications, the second architecture, DTMOS with limiting transistor, needs an additional transistor to limit the body-source diode current. The total dose irradiation of both DTMOS architectures induces no change of the drain current, but an increase of the body-source diode current. Total dose induced trapped charge in the buried oxide increases the body potential of the DTMOS transistor. It induces an increase of the current flow at the back interface of the silicon film. Irradiation of complex circuits using DTMOS transistors would lead to a degradation of the stand-by consumption. (authors)

  12. The effect of interface trapped charges in DMG-S-SOI MOSFET: a perspective study

    International Nuclear Information System (INIS)

    Mohapatra, S K; Pradhan, K P; Sahu, P K; Pati, G S; Kumar, M R

    2014-01-01

    In this paper, the existing two-dimensional (2D) threshold voltage model for a dual material gate fully depleted strained silicon on insulator (DMG-FD-S-SOI) metal-oxide-semiconductor field effect transistor (MOSFET) is modified by considering the interface trapped charge effects. The interface trapped charge is a common phenomenon, and this charge cannot be neglected in nanoscale devices. For finding out the surface potential, parabolic approximation has been utilized and the virtual cathode potential method is used to formulate the threshold voltage. The developed threshold voltage model incorporates both positive as well as negative interface charges. Finally, validity of the presented model is verified with 2D device simulator Sentaurus™. (paper)

  13. The effect of interface trapped charges in DMG-S-SOI MOSFET: a perspective study

    Science.gov (United States)

    Mohapatra, S. K.; Pradhan, K. P.; Sahu, P. K.; Pati, G. S.; Kumar, M. R.

    2014-12-01

    In this paper, the existing two-dimensional (2D) threshold voltage model for a dual material gate fully depleted strained silicon on insulator (DMG-FD-S-SOI) metal-oxide-semiconductor field effect transistor (MOSFET) is modified by considering the interface trapped charge effects. The interface trapped charge is a common phenomenon, and this charge cannot be neglected in nanoscale devices. For finding out the surface potential, parabolic approximation has been utilized and the virtual cathode potential method is used to formulate the threshold voltage. The developed threshold voltage model incorporates both positive as well as negative interface charges. Finally, validity of the presented model is verified with 2D device simulator Sentaurus™.

  14. Design and simulation of resistive SOI CMOS micro-heaters for high temperature gas sensors

    International Nuclear Information System (INIS)

    Iwaki, T; Covington, J A; Udrea, F; Ali, S Z; Guha, P K; Gardner, J W

    2005-01-01

    This paper describes the design of doped single crystal silicon (SCS) microhotplates for gas sensors. Resistive heaters are formed by an n+/p+ implantation into a Silicon-On-Insulator (SOI) wafer with a post-CMOS deep reactive ion etch to remove the silicon substrate. Hence they are fully compatible with CMOS technologies and allows for the integration of associated drive/detection circuitry. 2D electro-thermal models have been constructed and the results of numerical simulations using FEMLAB[reg] are given. Simulations show these micro-hotplates can operate at temperatures of 500 deg. C with a drive voltage of only 5 V and a power consumption of less than 100 mW

  15. Submicron polycaprolactone particles as a carrier for imaging contrast agent for in vitro applications.

    Science.gov (United States)

    Iqbal, Muhammad; Robin, Sophie; Humbert, Philippe; Viennet, Céline; Agusti, Geraldine; Fessi, Hatem; Elaissari, Abdelhamid

    2015-12-01

    Fluorescent materials have recently attracted considerable attention due to their unique properties and high performance as imaging agent in biomedical fields. Different imaging agents have been encapsulated in order to restrict its delivery to a specific area. In this study, a fluorescent contrast agent was encapsulated for in vitro application by polycaprolactone (PCL) polymer. The encapsulation was performed using modified double emulsion solvent evaporation technique with sonication. Fluorescent nanoparticles (20 nm) were incorporated in the inner aqueous phase of double emulsion. A number of samples were fabricated using different concentrations of fluorescent contrast agent. The contrast agent-containing submicron particle was characterized by a zetasizer for average particle size, SEM and TEM for morphology observations and fluorescence spectrophotometer for encapsulation efficiency. Moreover, contrast agent distribution in the PCL matrix was determined by confocal microscopy. The incorporation of contrast agent in different concentrations did not affect the physicochemical properties of PCL particles and the average size of encapsulated particles was found to be in the submicron range. Copyright © 2015 Elsevier B.V. All rights reserved.

  16. Food security among individuals experiencing homelessness and mental illness in the At Home/Chez Soi Trial.

    Science.gov (United States)

    O'Campo, Patricia; Hwang, Stephen W; Gozdzik, Agnes; Schuler, Andrée; Kaufman-Shriqui, Vered; Poremski, Daniel; Lazgare, Luis Ivan Palma; Distasio, Jino; Belbraouet, Slimane; Addorisio, Sindi

    2017-08-01

    Individuals experiencing homelessness are particularly vulnerable to food insecurity. The At Home/Chez Soi study provides a unique opportunity to first examine baseline levels of food security among homeless individuals with mental illness and second to evaluate the effect of a Housing First (HF) intervention on food security in this population. At Home/Chez Soi was a 2-year randomized controlled trial comparing the effectiveness of HF compared with usual care among homeless adults with mental illness, stratified by level of need for mental health services (high or moderate). Logistic regressions tested baseline associations between food security (US Food Security Survey Module), study site, sociodemographic variables, duration of homelessness, alcohol/substance use, physical health and service utilization. Negative binomial regression determined the impact of the HF intervention on achieving levels of high or marginal food security over an 18-month follow-up period (6 to 24 months). Community settings at five Canadian sites (Moncton, Montreal, Toronto, Winnipeg and Vancouver). Homeless adults with mental illness (n 2148). Approximately 41 % of our sample reported high or marginal food security at baseline, but this figure varied with gender, age, mental health issues and substance use problems. High need participants who received HF were more likely to achieve marginal or high food security than those receiving usual care, but only at the Toronto and Moncton sites. Our large multi-site study demonstrated low levels of food security among homeless experiencing mental illness. HF showed promise for improving food security among participants with high levels of need for mental health services, with notable site differences.

  17. Investigation of the Low-Temperature Behavior of FD-SOI MOSFETs in the Saturation Regime Using Y and Z Functions

    Directory of Open Access Journals (Sweden)

    A. Karsenty

    2014-01-01

    Full Text Available The saturation regime of two types of fully depleted (FD SOI MOSFET devices was studied. Ultrathin body (UTB and gate recessed channel (GRC devices were fabricated simultaneously on the same silicon wafer through a selective “gate recessed” process. They share the same W/L ratio but have a channel film thickness of 46 nm and 2.2 nm, respectively. Their standard characteristics (IDS-VDS and IDS-VGS of the devices were measured at room temperature before cooling down to 77 K. Surprisingly, their respective temperature dependence is found to be opposite. In this paper, we focus our comparative analysis on the devices' conduction using a Y-function applied to the saturation domain. The influence of the temperature in this domain is presented for the first time. We point out the limits of the Y-function analysis and show that a new function called Z can be used to extract the series resistance in the saturation regime.

  18. Number Size Distributions and Seasonality of Submicron Particles in Europe 2008–2009

    Czech Academy of Sciences Publication Activity Database

    Asmi, A.; Wiedensohler, A.; Laj, P.; Fjaeraa, A.-M.; Sellegri, K.; Birmili, W.; Weingartner, E.; Baltensperger, U.; Ždímal, Vladimír; Zíková, Naděžda; Putaud, J.-P.; Marioni, A.; Tunved, P.; Hansson, H.-C.; Fiebig, M.; Kivekäs, N.; Lihavainen, H.; Asmi, E.; Ulevicius, V.; Aalto, P.P.; Swietlicki, E.; Kristensson, E.; Mihalopoulos, N.; Kalivitis, N.; Kalapov, I.; Kiss, G.; de Leeuw, G.; Henzig, B.; Harrison, R. M.; Beddows, D.; O´Dowd, C.; Jennings, S.G.; Flentje, H.; Weinhold, K.; Meinhardt, F.; Ries, L.; Kulmala, M.

    2011-01-01

    Roč. 11, - (2011), s. 5505-5538 ISSN 1680-7316 EU Projects: European Commission(XE) RII3-CT-2006-026140; European Commission(XE) 36833; European Commission(IT) Ev-K2-CNR Grant - others:AFCE(FI) 1118615 Program:FP6 Institutional research plan: CEZ:AV0Z40720504 Keywords : aerosol particle number * aerosol concentrations * european submicron Subject RIV: CF - Physical ; Theoretical Chemistry Impact factor: 5.520, year: 2011

  19. Design of novel SOI 1 × 4 optical power splitter using seven horizontally slotted waveguides

    Science.gov (United States)

    Katz, Oded; Malka, Dror

    2017-07-01

    In this paper, we demonstrate a compact silicon on insulator (SOI) 1 × 4 optical power splitter using seven horizontal slotted waveguides. Aluminum nitride (AIN) surrounded by silicon (Si) was used to confine the optical field in the slot region. All of the power analysis has been done in transverse magnetic (TM) polarization mode and a compact optical power splitter as short as 14.5 μm was demonstrated. The splitter was designed by using full vectorial beam propagation method (FV-BPM) simulations. Numerical investigations show that this device can work across the whole C-band (1530-1565 nm) with excess loss better than 0.23 dB.

  20. Generation of Submicron Bubbles using Venturi Tube Method

    Science.gov (United States)

    Wiraputra, I. G. P. A. E.; Edikresnha, D.; Munir, M. M.; Khairurrijal

    2016-08-01

    In this experiment, submicron bubbles that have diameters less than 1 millimeter were generated by mixing water and gas by hydrodynamic cavitation method. The water was forced to pass through a venturi tube in which the speed of the water will increase in the narrow section, the throat, of the venturi. When the speed of water increased, the pressure would drop at the throat of the venturi causing the outside air to be absorbed via the gas inlet. The gas was then trapped inside the water producing bubbles. The effects of several physical parameters on the characteristics of the bubbles will be discussed thoroughly in this paper. It was found that larger amount of gas pressure during compression will increase the production rate of bubbles and increase the density of bubble within water.

  1. Une dialectique de la pudeur : les pratiques de mise en visibilité de soi sur Facebook

    OpenAIRE

    Mell , Laurent

    2017-01-01

    L’amplification des usages des technologies de l’information et de la communication (TIC), et plus particulièrement des réseaux socionumériques, ont induit des évolutions significatives dans le rapport des individus aux normes relatives à la pudeur. Dans cet article, nous proposons de discuter des pratiques de mise en visibilité de soi sur le réseau socionumérique Facebook. Tout d’abord, nous montrons que l’augmentation de la considération pour la vie privée amène à une sélection des informat...

  2. Development of pixel front-end electronics using advanced deep submicron CMOS technologies

    International Nuclear Information System (INIS)

    Havranek, Miroslav

    2014-09-01

    The content of this thesis is oriented on the R and D of microelectronic integrated circuits for processing the signal from particle sensors and partially on the sensors themselves. This work is motivated by ongoing upgrades of the ATLAS Pixel Detector at CERN laboratory and by exploration of new technologies for the future experiments in particle physics. Evolution of technologies for the fabrication of microelectronic circuits follows Moore's laws. Transistors become smaller and electronic chips reach higher complexity. Apart from this, silicon foundries become more open to smaller customers and often provide non-standard process options. Two new directions in pixel technologies are explored in this thesis: design of pixel electronics using ultra deep submicron (65 nm) CMOS technology and Depleted Monolithic Active Pixel Sensors (DMAPS). An independent project concerning the measurement of pixel capacitance with a dedicated measurement chip is a part of this thesis. Pixel capacitance is one of the key parameters for design of the pixel front-end electronics and thus it is closely related to the content of the thesis. The theoretical background, aspects of chip design, performance of chip prototypes and prospect for design of large pixel chips are comprehensively described in five chapters of the thesis.

  3. Development of pixel front-end electronics using advanced deep submicron CMOS technologies

    Energy Technology Data Exchange (ETDEWEB)

    Havranek, Miroslav

    2014-09-15

    The content of this thesis is oriented on the R and D of microelectronic integrated circuits for processing the signal from particle sensors and partially on the sensors themselves. This work is motivated by ongoing upgrades of the ATLAS Pixel Detector at CERN laboratory and by exploration of new technologies for the future experiments in particle physics. Evolution of technologies for the fabrication of microelectronic circuits follows Moore's laws. Transistors become smaller and electronic chips reach higher complexity. Apart from this, silicon foundries become more open to smaller customers and often provide non-standard process options. Two new directions in pixel technologies are explored in this thesis: design of pixel electronics using ultra deep submicron (65 nm) CMOS technology and Depleted Monolithic Active Pixel Sensors (DMAPS). An independent project concerning the measurement of pixel capacitance with a dedicated measurement chip is a part of this thesis. Pixel capacitance is one of the key parameters for design of the pixel front-end electronics and thus it is closely related to the content of the thesis. The theoretical background, aspects of chip design, performance of chip prototypes and prospect for design of large pixel chips are comprehensively described in five chapters of the thesis.

  4. A continuous flow micro filtration device for plasma/blood separation using submicron vertical pillar gap structures

    International Nuclear Information System (INIS)

    Kang, Tae Goo; Ji, Hongmiao; Lim, Pei Yi; Chen, Yu; Yoon, Yong-Jin

    2014-01-01

    This work demonstrates a continuous flow plasma/blood separator using a vertical submicron pillar gap structure. The working principle of the proposed separator is based on size exclusion of cells through cross-flow filtration, in which only plasma is allowed to pass through submicron vertical pillars located tangential to the main flow path of the blood sample. The maximum filtration efficiency of 99.9% was recorded with a plasma collection rate of 0.67 µl min −1 for an input blood flow rate of 12.5 µl min −1 . The hemolysis phenomenon was observed for an input blood flow rate above 30 µl min −1 . Based on the experimental results, we can conclude that the proposed device shows potential for the application of on-chip plasma/blood separation as a part of integrated point-of-care (POC) diagnostics systems. (technical note)

  5. Advanced Microelectronics Technologies for Future Small Satellite Systems

    Science.gov (United States)

    Alkalai, Leon

    1999-01-01

    Future small satellite systems for both Earth observation as well as deep-space exploration are greatly enabled by the technological advances in deep sub-micron microelectronics technologies. Whereas these technological advances are being fueled by the commercial (non-space) industries, more recently there has been an exciting new synergism evolving between the two otherwise disjointed markets. In other words, both the commercial and space industries are enabled by advances in low-power, highly integrated, miniaturized (low-volume), lightweight, and reliable real-time embedded systems. Recent announcements by commercial semiconductor manufacturers to introduce Silicon On Insulator (SOI) technology into their commercial product lines is driven by the need for high-performance low-power integrated devices. Moreover, SOI has been the technology of choice for many space semiconductor manufacturers where radiation requirements are critical. This technology has inherent radiation latch-up immunity built into the process, which makes it very attractive to space applications. In this paper, we describe the advanced microelectronics and avionics technologies under development by NASA's Deep Space Systems Technology Program (also known as X2000). These technologies are of significant benefit to both the commercial satellite as well as the deep-space and Earth orbiting science missions. Such a synergistic technology roadmap may truly enable quick turn-around, low-cost, and highly capable small satellite systems for both Earth observation as well as deep-space missions.

  6. Submicron-sized aerosol and radon progeny measurements in an uranium mine

    International Nuclear Information System (INIS)

    Boulaud, D.; Chouard, J.C.

    1992-01-01

    Submicron-sized aerosol was studied in an uranium mine using an Electrical Aerosol Analyzer and a Differential Mobility Particle Sizer. In addition radon progeny particle size distributions were measured using a prototype instrument developed by us (SDI 2000). With cascade impactor the number weighted mean electrical mobility diameters and the geometric standard deviations ranged respectively from 0.05 to 0.1 μm and 1.8 to 2. The gross alpha activity weighted mean thermodynamic diameters ranged typically from 0.1 to 0.2 μm. 6 refs., 3 figs

  7. A novel multi-level IC-compatible surface microfabrication technology for MEMS with independently controlled lateral and vertical submicron transduction gaps

    Science.gov (United States)

    Cicek, Paul-Vahe; Elsayed, Mohannad; Nabki, Frederic; El-Gamal, Mourad

    2017-11-01

    An above-IC compatible multi-level MEMS surface microfabrication technology based on a silicon carbide structural layer is presented. The fabrication process flow provides optimal electrostatic transduction by allowing the creation of independently controlled submicron vertical and lateral gaps without the need for high resolution lithography. Adopting silicon carbide as the structural material, the technology ensures material, chemical and thermal compatibility with modern semiconductor nodes, reporting the lowest peak processing temperature (i.e. 200 °C) of all comparable works. This makes this process ideally suited for integrating capacitive-based MEMS directly above standard CMOS substrates. Process flow design and optimization are presented in the context of bulk-mode disk resonators, devices that are shown to exhibit improved performance with respect to previous generation flexural beam resonators, and that represent relatively complex MEMS structures. The impact of impending improvements to the fabrication technology is discussed.

  8. A novel multi-level IC-compatible surface microfabrication technology for MEMS with independently controlled lateral and vertical submicron transduction gaps

    International Nuclear Information System (INIS)

    Cicek, Paul-Vahe; Elsayed, Mohannad; Nabki, Frederic; El-Gamal, Mourad

    2017-01-01

    An above-IC compatible multi-level MEMS surface microfabrication technology based on a silicon carbide structural layer is presented. The fabrication process flow provides optimal electrostatic transduction by allowing the creation of independently controlled submicron vertical and lateral gaps without the need for high resolution lithography. Adopting silicon carbide as the structural material, the technology ensures material, chemical and thermal compatibility with modern semiconductor nodes, reporting the lowest peak processing temperature (i.e. 200 °C) of all comparable works. This makes this process ideally suited for integrating capacitive-based MEMS directly above standard CMOS substrates. Process flow design and optimization are presented in the context of bulk-mode disk resonators, devices that are shown to exhibit improved performance with respect to previous generation flexural beam resonators, and that represent relatively complex MEMS structures. The impact of impending improvements to the fabrication technology is discussed. (paper)

  9. Synthesis and characterization of hollow α-Fe2O3 sub-micron spheres prepared by sol–gel

    International Nuclear Information System (INIS)

    León, Lizbet; Bustamante, Angel; Osorio, Ana; Olarte, G. S.; Santos Valladares, Luis De Los; Barnes, Crispin H. W.; Majima, Yutaka

    2011-01-01

    In this work we report the preparation of magnetic hematite hollow sub-micron spheres (α-Fe 2 O 3 ) by colloidal suspensions of ferric nitrate nine-hydrate (Fe(NO 3 ) 3 ·9H 2 O) particles in citric acid solution by following the sol–gel method. After the gel formation, the samples were annealed at different temperatures in an oxidizing atmosphere. Annealing at 180°C resulted in an amorphous phase, without iron oxide formation. Annealing at 250°C resulted in coexisting phases of hematite, maghemite and magnetite, whereas at 400°C, only hematite and maghemite were found. Pure hematite hollow sub-micron spheres with porous shells were formed after annealing at 600°C. The characterization was performed by X-ray diffraction (XRD), Mössbauer spectroscopy (MS) and scanning electron microscopy (SEM).

  10. Monolithic silicon photonics in a sub-100nm SOI CMOS microprocessor foundry: progress from devices to systems

    Science.gov (United States)

    Popović, Miloš A.; Wade, Mark T.; Orcutt, Jason S.; Shainline, Jeffrey M.; Sun, Chen; Georgas, Michael; Moss, Benjamin; Kumar, Rajesh; Alloatti, Luca; Pavanello, Fabio; Chen, Yu-Hsin; Nammari, Kareem; Notaros, Jelena; Atabaki, Amir; Leu, Jonathan; Stojanović, Vladimir; Ram, Rajeev J.

    2015-02-01

    We review recent progress of an effort led by the Stojanović (UC Berkeley), Ram (MIT) and Popović (CU Boulder) research groups to enable the design of photonic devices, and complete on-chip electro-optic systems and interfaces, directly in standard microelectronics CMOS processes in a microprocessor foundry, with no in-foundry process modifications. This approach allows tight and large-scale monolithic integration of silicon photonics with state-of-the-art (sub-100nm-node) microelectronics, here a 45nm SOI CMOS process. It enables natural scale-up to manufacturing, and rapid advances in device design due to process repeatability. The initial driver application was addressing the processor-to-memory communication energy bottleneck. Device results include 5Gbps modulators based on an interleaved junction that take advantage of the high resolution of the sub-100nm CMOS process. We demonstrate operation at 5fJ/bit with 1.5dB insertion loss and 8dB extinction ratio. We also demonstrate the first infrared detectors in a zero-change CMOS process, using absorption in transistor source/drain SiGe stressors. Subsystems described include the first monolithically integrated electronic-photonic transmitter on chip (modulator+driver) with 20-70fJ/bit wall plug energy/bit (2-3.5Gbps), to our knowledge the lowest transmitter energy demonstrated to date. We also demonstrate native-process infrared receivers at 220fJ/bit (5Gbps). These are encouraging signs for the prospects of monolithic electronics-photonics integration. Beyond processor-to-memory interconnects, our approach to photonics as a "More-than- Moore" technology inside advanced CMOS promises to enable VLSI electronic-photonic chip platforms tailored to a vast array of emerging applications, from optical and acoustic sensing, high-speed signal processing, RF and optical metrology and clocks, through to analog computation and quantum technology.

  11. Blog : un journal intime comme mémoire de soi

    Directory of Open Access Journals (Sweden)

    Nolwenn Hénaff

    2011-08-01

    Full Text Available Tenir un journal est devenu, pour un individu, une manière possible de vivre, ou d’accompagner un moment de sa vie (Lejeune, 2006. Les usages sont donc multiples : construction d’une identité narrative, fixation du temps, libération du moi, introspection, outil de contrôle, de soutien, méthode d’organisation de la pensée, plaisir d’écrire. Si l’écriture papier reste la forme la plus courante du récit biographique, d’autres supports médiatiques comme la télévision ou la radio sont venus offrir de nouveaux terrains d’expérimentation de ces récits de soi. Plus récemment, l’avènement d’Internet et de ses outils simplifiés de publication ont fait émerger des formes biographiques innovantes. Pourtant, qu’il s’agisse de traverser une crise, de garder la mémoire d’une expérience forte, ou, plus ordinairement, de relater ses vacances et ses voyages, le journal se positionne avant tout, et résolument, comme un espace de liberté : on écrit quand on veut, comme on veut. Le « Souci de soi » comme dirait Foucault, l’espace dominé par les sensations, et la temporalité marquée par la notion d’instants, de moments ayant une connotation expressément personnelle sont autant d’indices révélant la pratique de l’écriture intime en ligne. Le blog apparaît à des moments de vie et accompagne souvent des tournants biographiques (ruptures, questionnement mais aussi nouveaux apprentissages, nouvelles rencontres, etc.. Nous proposons dans cet article d’analyser le blog en tant que support de mémoire personnelle et d’étudier à travers des exemples concrets les stratégies développées par les blogueurs pour se créer via ce dispositif communicationnel innovant un « espace de conserverie de soi » en ligne.Keeping a journal has become a way of live, or to moment a moment in one’s life (Lejeune, 2006. It has multiple uses: construction of a narrative identity, marking time, liberating the

  12. Chemical composition and source-apportionment of sub-micron particles during wintertime over Northern India: New insights on influence of fog-processing.

    Science.gov (United States)

    Rajput, Prashant; Singh, Dharmendra Kumar; Singh, Amit Kumar; Gupta, Tarun

    2018-02-01

    A comprehensive study was carried out from central part of Indo-Gangetic Plain (IGP; at Kanpur) to understand abundance, temporal variability, processes (secondary formation and fog-processing) and source-apportionment of PM 1 -bound species (PM 1 : particulate matter of aerodynamic diameter ≤ 1.0 μm) during wintertime. A total of 50 PM 1 samples were collected of which 33 samples represent submicron aerosol characteristics under non-foggy condition whereas 17 samples represent characteristics under thick foggy condition. PM 1 mass concentration during non-foggy episodes varied from 24-393 (Avg.: 247) μg m -3 , whereas during foggy condition it ranged from 42-243 (Avg.: 107) μg m -3 . With respect to non-foggy condition, the foggy conditions were associated with higher contribution of PM 1 -bound organic matter (OM, by 23%). However, lower fractional contribution of SO 4 2- , NO 3 - and NH 4 + during foggy conditions is attributable to wet-scavenging owing to their high affinity to water. Significant influence of fog-processing on organic aerosols composition is also reflected by co-enhancement in OC/EC and WSOC/OC ratio during foggy condition. A reduction by 5% in mineral dust fraction under foggy condition is associated with a parallel decrease in PM 1 mass concentration. However, mass fraction of elemental carbon (EC) looks quite similar (≈3% of PM 1 ) but the mass absorption efficiency (MAE) of EC is higher by 30% during foggy episodes. Thus, it is evident from this study that fog-processing leads to quite significant enhancement in OM (23%) contribution (and MAE of EC) with nearly equal and parallel decrease in SO 4 2- , NO 3 - and NH 4 + and mineral dust fractions (totaling to 24%). Characteristic features of mineral dust remain similar under foggy and non-foggy conditions; inferred from similar ratios of Fe/Al (≈0.3), Ca/Al (0.35) and Mg/Al (0.22). Positive matrix factorization (PMF) resolves seven sources: biomass burning (19.4%), coal

  13. Preparation of 1-pyrenebutyric acid and pyrene submicron dots by laser-induced molecular micro-jet implantation

    International Nuclear Information System (INIS)

    Pihosh, Y.; Goto, M.; Kasahara, A.; Tosa, M.

    2009-01-01

    Pyrene and 1-pyrenebuturic acid molecules were deposited on glass and copper substrates with the formation of submicron dots by laser-induced molecular micro-jet implantation through polar and non-polar liquid layers. The size of the smallest 1-pyrenebuturic acid molecules dots prepared on a glass substrate by implantation through water and diiodomethane was estimated to be about 400 nm and 300 nm at laser fluences of 235 J/cm 2 and 326 J/cm 2 , respectively. The fluorescence and the Raman spectra showed that the implanted 1-pyrenebutyric acid molecules did not decompose during the implantation process. The smallest size of a pyrene dot was 700 nm at the laser fluence of 378 J/cm 2 . However, the pyrene dots could be formed only by implantation through a water layer.

  14. Fabrication of submicron conducting and chemically functionalized structures from poly(3-octylthiophene) by an electron beam

    International Nuclear Information System (INIS)

    Cai, S.X.; Kanskar, M.; Nabity, J.C.; Keana, J.F.W.; Wybourne, M.N.

    1992-01-01

    The authors present a novel method of using an electron beam to both functionalize and cross-link poly (3-octylthiophene) (P3OT) in a single step to produce submicron scale polymer structures carrying functionalized groups. P3OT is shown to be a negative electron-beam resist with a sensitivity of 15-30 μC cm -2 .The electrical conductivity of doped P3OT wire structures was measured at room temperature and was found to be in the range 4.0-5.9 Ω -1 cm -1 . Electron-beam exposure of P3OT films containing 7 wt % of N-hydroxysuccinimide (NHS) functionalized perfluorophenyl azide 2 resulted in the incorporation of the NHS functional groups in the polymer, as well as cross-linking. The functionalized submicron structures were found to be weakly fluorescent under fluorescein excitation (450-490 nm), but after treatment with a solution of 5-(aminoacetamido)fluorescein in ethanol the structures became strongly fluorescent. 27 refs., 3 figs

  15. Modeling of the Channel Thickness Influence on Electrical Characteristics and Series Resistance in Gate-Recessed Nanoscale SOI MOSFETs

    Directory of Open Access Journals (Sweden)

    A. Karsenty

    2013-01-01

    Full Text Available Ultrathin body (UTB and nanoscale body (NSB SOI-MOSFET devices, sharing a similar W/L but with a channel thickness of 46 nm and lower than 5 nm, respectively, were fabricated using a selective “gate-recessed” process on the same silicon wafer. Their current-voltage characteristics measured at room temperature were found to be surprisingly different by several orders of magnitude. We analyzed this result by considering the severe mobility degradation and the influence of a huge series resistance and found that the last one seems more coherent. Then the electrical characteristics of the NSB can be analytically derived by integrating a gate voltage-dependent drain source series resistance. In this paper, the influence of the channel thickness on the series resistance is reported for the first time. This influence is integrated to the analytical model in order to describe the trends of the saturation current with the channel thickness. This modeling approach may be useful to interpret anomalous electrical behavior of other nanodevices in which series resistance and/or mobility degradation is of a great concern.

  16. Sub-micron silicon nitride waveguide fabrication using conventional optical lithography.

    Science.gov (United States)

    Huang, Yuewang; Zhao, Qiancheng; Kamyab, Lobna; Rostami, Ali; Capolino, Filippo; Boyraz, Ozdal

    2015-03-09

    We demonstrate a novel technique to fabricate sub-micron silicon nitride waveguides using conventional contact lithography with MEMS-grade photomasks. Potassium hydroxide anisotropic etching of silicon facilitates line reduction and roughness smoothing and is key to the technique. The fabricated waveguides is measured to have a propagation loss of 0.8dB/cm and nonlinear coefficient of γ = 0.3/W/m. A low anomalous dispersion of <100ps/nm/km is also predicted. This type of waveguide is highly suitable for nonlinear optics. The channels naturally formed on top of the waveguide also make it promising for plasmonics and quantum efficiency enhancement in sensing applications.

  17. Microscopic methods in analysis of submicron phospholipid dispersions

    Directory of Open Access Journals (Sweden)

    Płaczek Marcin

    2016-03-01

    Full Text Available Microscopy belongs to the group of tests, used in pharmaceutical technology, that despite the lapse of time and the development of new analytical methods, still remain irreplaceable for the characterization of dispersed drug dosage forms (e.g., suspensions and emulsions. To obtain complete description of a specific drug formulation, such as parenteral colloidal products, a combination of different microscopic techniques is sometimes required. Electron microscopy methods are the most useful ones; however, even such basic methods as optical microscopy may be helpful for determination of some properties of a sample. The publication explicates the most popular microscopical techniques used nowadays for characterization of the morphology of nanoparticles suspended in pharmaceutical formulations; ad vantages and disadvantages of these methods are also discussed. Parenteral submicron formulations containing lecithin or a particular phospholipid were chosen as examples.

  18. Preparation and characterization of SiO2-coated submicron-sized L10 Fe-Pt particles

    Directory of Open Access Journals (Sweden)

    Yoshiaki Hayashi

    2018-05-01

    Full Text Available The development of magnets with higher performance is attracting increasing interest. The optimization of their microstructure is essential to enhance their properties, and a microstructure comprising magnetically isolated hard magnetic grains of a single-domain size has been proposed as an ideal structure for enhancing the coercivity of magnets. To obtain magnets with an ideal structure, we consider the fabrication of magnets by an approach based on core/shell nanoparticles with a hard magnetic core and a non-magnetic shell. In this study, to obtain particles for our proposed approach, we attempted to fabricate L10 Fe-Pt/SiO2-core/shell particles with submicron-sized cores less than the critical single-domain size. The fabrication of such core/shell particles was confirmed from morphology observations and XRD analysis of the particles. Although the formation of more desirable core/shell particles with submicron-sized single-crystal cores in the single-domain size range was not achieved, the fabricated core/shell particles showed a high coercivity of 25 kOe.

  19. Modelling of passive heating for replication of sub-micron patterns in optical disk substrates

    Energy Technology Data Exchange (ETDEWEB)

    Kim, Youngmin; Bae, Jaecheol; Kim, Hongmin; Kang, Shinill [School of Mechanical Engineering, Yonsei University, 134 Shinchon-dong, Seodaemoon-ku, Seoul (Korea, Republic of)

    2004-05-07

    The transcribability of pit or land groove structures in replicating an optical disk substrate greatly affects the performance of a high-density optical disk. However, a solidified layer generated during the polymer filling worsens transcribability because the solidified layer prevents the polymer melt from filling the sub-micron patterns. Therefore, the development of the solidified layer during the filling stage of injection moulding must be delayed. For this delay, passive heating through an insulation layer has been used. In the present study, to examine the development of the solidified layer, delayed by passive heating, the flow of the polymer melt with passive heating was analysed. Passive heating delayed markedly the development of the solidified layer, reduced the viscosity of the polymer melt, and increased the fluidity of the polymer melt in the vicinity of the stamper surface with the sub-micron patterns. As a result, we predict that passive heating can improve the transcribability of an optical disk substrate. To verify our prediction, we fabricated an optical disk substrate by using passive heating of a mould and measured the transcribability of an optical disk substrate.

  20. Modelling of passive heating for replication of sub-micron patterns in optical disk substrates

    International Nuclear Information System (INIS)

    Kim, Youngmin; Bae, Jaecheol; Kim, Hongmin; Kang, Shinill

    2004-01-01

    The transcribability of pit or land groove structures in replicating an optical disk substrate greatly affects the performance of a high-density optical disk. However, a solidified layer generated during the polymer filling worsens transcribability because the solidified layer prevents the polymer melt from filling the sub-micron patterns. Therefore, the development of the solidified layer during the filling stage of injection moulding must be delayed. For this delay, passive heating through an insulation layer has been used. In the present study, to examine the development of the solidified layer, delayed by passive heating, the flow of the polymer melt with passive heating was analysed. Passive heating delayed markedly the development of the solidified layer, reduced the viscosity of the polymer melt, and increased the fluidity of the polymer melt in the vicinity of the stamper surface with the sub-micron patterns. As a result, we predict that passive heating can improve the transcribability of an optical disk substrate. To verify our prediction, we fabricated an optical disk substrate by using passive heating of a mould and measured the transcribability of an optical disk substrate

  1. Modeling and analysis of surface potential of single gate fully depleted SOI MOSFET using 2D-Poisson's equation

    Science.gov (United States)

    Mani, Prashant; Tyagi, Chandra Shekhar; Srivastav, Nishant

    2016-03-01

    In this paper the analytical solution of the 2D Poisson's equation for single gate Fully Depleted SOI (FDSOI) MOSFET's is derived by using a Green's function solution technique. The surface potential is calculated and the threshold voltage of the device is minimized for the low power consumption. Due to minimization of threshold voltage the short channel effect of device is suppressed and after observation we obtain the device is kink free. The structure and characteristics of SingleGate FDSOI MOSFET were matched by using MathCAD and silvaco respectively.

  2. High-coercivity Nd-Fe-B magnets obtained with the electrophoretic deposition of submicron TbF3 followed by the grain-boundary diffusion process

    International Nuclear Information System (INIS)

    Soderžnik, Marko; Korent, Matic; Žagar Soderžnik, Kristina; Katter, Matthias; Üstüner, Kaan; Kobe, Spomenka

    2016-01-01

    Using a grain-boundary diffusion process (GBDP) involving the electrophoretic deposition (EPD) of submicron TbF 3 powder, we substantially increased the coercivity of sintered Nd-Fe-B permanent magnets. The experiments used magnets with low heavy-rare-earth (HRE) content (HRE = 1.2 wt%) and a coercivity of 790 kA/m (at 75 °C). After experiencing optimized conditions at 875 °C for 10 h and subsequent annealing at 500 °C for 1 h, the coercivity was increased to 1536 kA/m (at 75 °C). This value is 1.94 times higher than that for a sintered magnet, without post-sintering heat treatment. Furthermore, a vibration test revealed satisfactory adhesion of the TbF 3 powder to the surface of the magnet with no detected reduction in coercivity. Using field emission gun scanning electron microscopy (FEG-SEM) with an energy dispersive spectroscope (EDS), we confirmed the formation of various secondary intergranular phases and the core-shell-type microstructure, which increases the coercivity. The Tb content in the magnet, exposed to the EPD-based GBDP, was controlled by inductively coupled plasma optical electron spectroscopy (ICP-OES). The additional Tb detected in the magnet due to the described technology was only 0.12 wt%.

  3. A linear 180 nm SOI CMOS antenna switch module using integrated passive device filters for cellular applications

    Science.gov (United States)

    Jie, Cui; Lei, Chen; Peng, Zhao; Xu, Niu; Yi, Liu

    2014-06-01

    A broadband monolithic linear single pole, eight throw (SP8T) switch has been fabricated in 180 nm thin film silicon-on-insulator (SOI) CMOS technology with a quad-band GSM harmonic filter in integrated passive devices (IPD) technology, which is developed for cellular applications. The antenna switch module (ASM) features 1.2 dB insertion loss with filter on 2G bands and 0.4 dB insertion loss in 3G bands, less than -45 dB isolation and maximum -103 dB intermodulation distortion for mobile front ends by applying distributed architecture and adaptive supply voltage generator.

  4. Hierarchical TiO{sub 2} submicron-sized spheres for enhanced power conversion efficiency in dye-sensitized solar cells

    Energy Technology Data Exchange (ETDEWEB)

    Wang, Hao [Hubei Collaborative Innovation Centre for Advanced Organic Chemical Materials and Ministry of Education Key Laboratory for the Green Preparation and Application of Functional Materials, Hubei University, Wuhan 430062 (China); State Key Laboratory of Solid Lubrication, Lanzhou Institute of Chemical Physics, Chinese Academy of Sciences, Lanzhou 730000 (China); Guo, Zhiguang, E-mail: zguo@licp.cas.cn [Hubei Collaborative Innovation Centre for Advanced Organic Chemical Materials and Ministry of Education Key Laboratory for the Green Preparation and Application of Functional Materials, Hubei University, Wuhan 430062 (China); State Key Laboratory of Solid Lubrication, Lanzhou Institute of Chemical Physics, Chinese Academy of Sciences, Lanzhou 730000 (China)

    2015-10-15

    Hierarchical TiO{sub 2} submicron-sized sphere scattering layer, with relatively large surface area and effective light scattering, shows enhanced power conversion efficiency in dye-sensitized solar cells. - Highlights: • Hierarchical TiO{sub 2} submicron-sized spheres (TiO{sub 2} HSSs) with diameters of 400–600 nm were synthesized. • The HSSs composed of nanoparticles of ∼14 nm have a relatively large surface area of ∼35 m{sup 2}/g. • DSC exhibited the highest cell efficiency (6.23%) compared with ones with pure P25 (5.50%) or HSS (2.00%) photoanodes. - Abstract: Hierarchical TiO{sub 2} submicron-sized spheres (TiO{sub 2} HSSs) with diameters of 400–600 nm were synthesized by a facile one-step solvothermal method in ethanol solvent. The HSSs composed of nanoparticles of ∼14 nm have a relatively large surface area of ∼35 m{sup 2}/g. When applied as the scattering overlayer in dye-sensitized solar cells (DSCs), such TiO{sub 2} HSSs effectively improved light harvesting and led to the increase of photocurrent in DSCs. Furthermore, bilayer-structured photoanode also provided fast electron transportation and long electron lifetime as confirmed by electrochemical impedance spectra. As a result, DSC based on P25 nanoparticle underlayer and HSS-2 overlayer exhibited the highest cell efficiency (6.23%) compared with ones with pure P25 (5.50%) or HSS-2 (2.00%) photoanodes.

  5. A 60 GOPS/W, -1.8 V to 0.9 V body bias ULP cluster in 28 nm UTBB FD-SOI technology

    Science.gov (United States)

    Rossi, Davide; Pullini, Antonio; Loi, Igor; Gautschi, Michael; Gürkaynak, Frank K.; Bartolini, Andrea; Flatresse, Philippe; Benini, Luca

    2016-03-01

    Ultra-low power operation and extreme energy efficiency are strong requirements for a number of high-growth application areas, such as E-health, Internet of Things, and wearable Human-Computer Interfaces. A promising approach to achieve up to one order of magnitude of improvement in energy efficiency over current generation of integrated circuits is near-threshold computing. However, frequency degradation due to aggressive voltage scaling may not be acceptable across all performance-constrained applications. Thread-level parallelism over multiple cores can be used to overcome the performance degradation at low voltage. Moreover, enabling the processors to operate on-demand and over a wide supply voltage and body bias ranges allows to achieve the best possible energy efficiency while satisfying a large spectrum of computational demands. In this work we present the first ever implementation of a 4-core cluster fabricated using conventional-well 28 nm UTBB FD-SOI technology. The multi-core architecture we present in this work is able to operate on a wide range of supply voltages starting from 0.44 V to 1.2 V. In addition, the architecture allows a wide range of body bias to be applied from -1.8 V to 0.9 V. The peak energy efficiency 60 GOPS/W is achieved at 0.5 V supply voltage and 0.5 V forward body bias. Thanks to the extended body bias range of conventional-well FD-SOI technology, high energy efficiency can be guaranteed for a wide range of process and environmental conditions. We demonstrate the ability to compensate for up to 99.7% of chips for process variation with only ±0.2 V of body biasing, and compensate temperature variation in the range -40 °C to 120 °C exploiting -1.1 V to 0.8 V body biasing. When compared to leading-edge near-threshold RISC processors optimized for extremely low power applications, the multi-core architecture we propose has 144× more performance at comparable energy efficiency levels. Even when compared to other low-power processors

  6. Kinetics of CO Oxidation over Unloaded and Pd-Loaded α-Fe2O3 Spherical Submicron Powder Catalysts: Photoacoustic Investigations at Low Pressure

    Directory of Open Access Journals (Sweden)

    Joong-Seok Roh

    2018-02-01

    Full Text Available In this study, α-Fe2O3 spherical particles with an average diameter of approximately 200 nm were synthesized by a solvothermal method for use as both a catalyst and medium for a Pd catalyst. The kinetics of CO oxidation over powders of α-Fe2O3 spherical particles and 14 wt % Pd/α-Fe2O3 spherical particles were measured in a static reactor by using a CO2 laser-based photoacoustic technique. The total pressure was fixed at 40 Torr for the CO/O2/N2 mixture for temperatures in the range of 225–350 °C. The variation in the CO2 photoacoustic signal with the CO2 concentration during CO oxidation was recorded as a function of time, and the CO2 photoacoustic data at the early reaction stage was used to estimate the rates of CO2 formation. Based on plots of ln(rate vs. 1/T, apparent activation energies were calculated as 13.4 kcal/mol for the α-Fe2O3 submicron powder and 13.2 kcal/mol for the 14 wt % Pd/α-Fe2O3 submicron powder. Reaction orders with respect to CO and O2 were determined from the rates measured at various partial pressures of CO and O2 at 350 °C. The zero-order of the reaction with respect to Po2 was observed for CO oxidation over α-Fe2O3 submicron powder, while 0.48 order to Po2 was observed for CO oxidation over Pd/α-Fe2O3 submicron powder. The partial orders with respect to PCO were determined as 0.58 and 0.54 for the α-Fe2O3, and the Pd/α-Fe2O3 submicron powders, respectively. The kinetic results obtained from both catalysts were compared with those for the α-Fe2O3 fine powder catalysts and were used to understand the reaction mechanism.

  7. Spatiotemporal Variation in Composition of Submicron Particles in Santiago Metropolitan Region, Chile

    Directory of Open Access Journals (Sweden)

    Matías Tagle

    2018-05-01

    Full Text Available The chemical composition of submicron particles (aerodynamic diameter Da < 1.0 μm was investigated at three locations in the Santiago Metropolitan Region (SMR, Chile. Measurements campaigns were conducted in winter and spring 2016, at representative sites of a rural, urban, and urban receptor environment. Instrumentation consisted of an optical analyzer to determine Black Carbon (BC and the Aerosol Chemical Speciation Monitor (ACSM to measure concentrations of particulate chloride (Cl−, nitrate (NO3-, sulfate (SO42-, ammonium (NH4+, and non-refractory carbonaceous species (organics. Complementary data, such as ozone concentration and meteorological parameters were obtained from the public air quality network. Results showed that in both the winter and spring seasons the organics predominated in the mass of submicron particles. This fraction was followed in decreasing order by NO3-, NH4+, BC, SO42-, and Cl−. The highest average organics concentrations were measured in winter at the urban (32.2 μg m−3 and urban receptor sites (20.1 μg m−3. In winter, average concentrations of both NO3- and NH4+ were higher at the urban receptor site (12.3 and 4.5 μg m−3, respectively when compared to the urban site (6.4 and 3.1 μg m−3, respectively. In general, all the measured species were present in higher concentrations during winter, excepting SO42-, which was the only one that increased during spring. The transition toward spring was also associated with an acidification of the aerosol at the rural and urban receptor site, while at the urban site the aerosol was observed alkaline. The highest average ozone concentration during both the winter and spring seasons were recorded at the urban receptor site (7.2 and 24.0 ppb, respectively. The study reports data showing that the atmosphere in the SMR has a considerable load of particulate organic compounds, NO3- and NH4+, which are in higher concentrations at urban sites during the winter season

  8. Bottom-up synthesis of up-converting submicron-sized Er3+-doped LiNbO3 particles

    International Nuclear Information System (INIS)

    Jardiel, T.; Caballero, A.C.; Marín-Dobrincic, M.; Cantelar, E.; Cussó, F.

    2012-01-01

    A new and simple wet chemical synthesis method is proposed to prepare submicron-sized Erbium-doped LiNbO 3 powders. The synthesis procedure comprises the co-precipitation of lithium and erbium ions from common precursors and their subsequent reaction with niobium ethoxide. A systematic characterization by means of X-Ray Diffraction (XRD), X-ray Fluorescence (XRF), Inductively Coupled Plasma (ICP-OES), Atomic Absorption (AAS), Field Emission Scanning Electron Microscopy (FE-SEM), as well as by the examination of the luminescence properties, evidence that with this method a congruent Er 3+ -doped LiNbO 3 single phase material can be obtained without using complex and time-consuming processing steps. The synthesized powders exhibit efficient IR to VIS up-conversion emissions under 974 nm pumping. -- Highlights: ► A novel chemical route to the preparation of LiNbO 3 powders has been developed. ► This process avoids complex and time-consuming processing steps. ► A congruent Er 3+ -doped LiNbO 3 single phase material can be obtained by this way. ► The luminescence properties are the expected for this composition.

  9. Thermomagnetic writing on deep submicron-patterned TbFe films by nanosecond current pulse

    International Nuclear Information System (INIS)

    You, Long; Kato, Takeshi; Tsunashima, Shigeru; Iwata, Satoshi

    2009-01-01

    This work studies the heating process for deep submicron-patterned TbFe films to be used in a thermally assisted perpendicular magnetic random access memory's writing scheme. The dependence of the heating power density with the current pulse width required for the successful writing was measured in the investigated range of 5-100 ns. In the case of long current pulse, the heat diffuses dominantly into substrate, which resulted in large variation of the required power/energy density with the patterned size. The power/energy densities required for writing increased as the junction area is reduced. While for the short current pulse width, the power/energy densities became rather independent on the size. The required power density for writing 0.38x0.28 μm 2 patterned films using the pulse width of 5 ns is experimentally estimated to be around P=4.7 mW/μm 2 , corresponding to the energy density of E=23 pJ/μm 2 , under an external field of 100 Oe

  10. X-ray imaging with sub-micron resolution using large-area photon counting detectors Timepix

    Science.gov (United States)

    Dudak, J.; Karch, J.; Holcova, K.; Zemlicka, J.

    2017-12-01

    As X-ray micro-CT became a popular tool for scientific purposes a number of commercially available CT systems have emerged on the market. Micro-CT systems have, therefore, become widely accessible and the number of research laboratories using them constantly increases. However, even when CT scans with spatial resolution of several micrometers can be performed routinely, data acquisition with sub-micron precision remains a complicated task. Issues come mostly from prolongation of the scan time inevitably connected with the use of nano-focus X-ray sources. Long exposure time increases the noise level in the CT projections. Furthermore, considering the sub-micron resolution even effects like source-spot drift, rotation stage wobble or thermal expansion become significant and can negatively affect the data. The use of dark-current free photon counting detectors as X-ray cameras for such applications can limit the issue of increased image noise in the data, however the mechanical stability of the whole system still remains a problem and has to be considered. In this work we evaluate the performance of a micro-CT system equipped with nano-focus X-ray tube and a large area photon counting detector Timepix for scans with effective pixel size bellow one micrometer.

  11. Monolith electroplating process

    Science.gov (United States)

    Agarrwal, Rajev R.

    2001-01-01

    An electroplating process for preparing a monolith metal layer over a polycrystalline base metal and the plated monolith product. A monolith layer has a variable thickness of one crystal. The process is typically carried in molten salts electrolytes, such as the halide salts under an inert atmosphere at an elevated temperature, and over deposition time periods and film thickness sufficient to sinter and recrystallize completely the nucleating metal particles into one single crystal or crystals having very large grains. In the process, a close-packed film of submicron particle (20) is formed on a suitable substrate at an elevated temperature. The temperature has the significance of annealing particles as they are formed, and substrates on which the particles can populate are desirable. As the packed bed thickens, the submicron particles develop necks (21) and as they merge into each other shrinkage (22) occurs. Then as micropores also close (23) by surface tension, metal density is reached and the film consists of unstable metal grain (24) that at high enough temperature recrystallize (25) and recrystallized grains grow into an annealed single crystal over the electroplating time span. While cadmium was used in the experimental work, other soft metals may be used.

  12. Scalable Sub-micron Patterning of Organic Materials Toward High Density Soft Electronics.

    Science.gov (United States)

    Kim, Jaekyun; Kim, Myung-Gil; Kim, Jaehyun; Jo, Sangho; Kang, Jingu; Jo, Jeong-Wan; Lee, Woobin; Hwang, Chahwan; Moon, Juhyuk; Yang, Lin; Kim, Yun-Hi; Noh, Yong-Young; Jaung, Jae Yun; Kim, Yong-Hoon; Park, Sung Kyu

    2015-09-28

    The success of silicon based high density integrated circuits ignited explosive expansion of microelectronics. Although the inorganic semiconductors have shown superior carrier mobilities for conventional high speed switching devices, the emergence of unconventional applications, such as flexible electronics, highly sensitive photosensors, large area sensor array, and tailored optoelectronics, brought intensive research on next generation electronic materials. The rationally designed multifunctional soft electronic materials, organic and carbon-based semiconductors, are demonstrated with low-cost solution process, exceptional mechanical stability, and on-demand optoelectronic properties. Unfortunately, the industrial implementation of the soft electronic materials has been hindered due to lack of scalable fine-patterning methods. In this report, we demonstrated facile general route for high throughput sub-micron patterning of soft materials, using spatially selective deep-ultraviolet irradiation. For organic and carbon-based materials, the highly energetic photons (e.g. deep-ultraviolet rays) enable direct photo-conversion from conducting/semiconducting to insulating state through molecular dissociation and disordering with spatial resolution down to a sub-μm-scale. The successful demonstration of organic semiconductor circuitry promise our result proliferate industrial adoption of soft materials for next generation electronics.

  13. A linear 180 nm SOI CMOS antenna switch module using integrated passive device filters for cellular applications

    International Nuclear Information System (INIS)

    Cui Jie; Chen Lei; Liu Yi; Zhao Peng; Niu Xu

    2014-01-01

    A broadband monolithic linear single pole, eight throw (SP8T) switch has been fabricated in 180 nm thin film silicon-on-insulator (SOI) CMOS technology with a quad-band GSM harmonic filter in integrated passive devices (IPD) technology, which is developed for cellular applications. The antenna switch module (ASM) features 1.2 dB insertion loss with filter on 2G bands and 0.4 dB insertion loss in 3G bands, less than −45 dB isolation and maximum −103 dB intermodulation distortion for mobile front ends by applying distributed architecture and adaptive supply voltage generator. (semiconductor integrated circuits)

  14. Reduced nonlinearities in 100-nm high SOI waveguides

    Science.gov (United States)

    Lacava, C.; Marchetti, R.; Vitali, V.; Cristiani, I.; Giuliani, G.; Fournier, M.; Bernabe, S.; Minzioni, P.

    2016-03-01

    Here we show the results of an experimental analysis dedicated to investigate the impact of optical non linear effects, such as two-photon absorption (TPA), free-carrier absorption (FCA) and free-carrier dispersion (FCD), on the performance of integrated micro-resonator based filters for application in WDM telecommunication systems. The filters were fabricated using SOI (Silicon-on-Insulator) technology by CEA-Leti, in the frame of the FP7 Fabulous Project, which aims to develop low-cost and high-performance integrated optical devices to be used in new generation passive optical- networks (NG-PON2). Different designs were tested, including both ring-based structures and racetrack-based structures, with single-, double- or triple- resonator configuration, and using different waveguide cross-sections (from 500 x 200 nm to 825 x 100 nm). Measurements were carried out using an external cavity tunable laser source operating in the extended telecom bandwidth, using both continuous wave signals and 10 Gbit/s modulated signals. Results show that the use 100-nm high waveguide allows reducing the impact of non-linear losses, with respect to the standard waveguides, thus increasing by more than 3 dB the maximum amount of optical power that can be injected into the devices before causing significant non-linear effects. Measurements with OOK-modulated signals at 10 Gbit/s showed that TPA and FCA don't affect the back-to-back BER of the signal, even when long pseudo-random-bit-sequences (PRBS) are used, as the FCD-induced filter-detuning increases filter losses but "prevents" excessive signal degradation.

  15. High Proportions of Sub-micron Particulate Matter in Icelandic Dust Storms in 2015

    Science.gov (United States)

    Dagsson Waldhauserova, Pavla; Arnalds, Olafur; Olafsson, Haraldur; Magnusdottir, Agnes

    2017-04-01

    Iceland is extremely active dust region and desert areas of over 44,000 km2 acknowledge Iceland as the largest Arctic and European desert. Frequent dust events, up to 135 dust days annually, transport dust particles far distances towards the Arctic and Europe. Satellite MODIS pictures have revealed dust plumes exceeding 1,000 km. The annual dust deposition was calculated as 40.1 million tons yr-1. Two dust storms were measured in transverse horizontal profile about 90 km far from different dust sources in southwestern Iceland in the summer of 2015. Aerosol monitor DustTrak DRX 8533EP was used to measure PM mass concentrations corresponding to PM1, PM2.5, PM4, PM10 and the total PM15 at several places within the dust plume. Images from camera network operated by the Icelandic Road and Coastal Administration were used to estimate the visibility and spatial extent of measured dust events. A numerical simulation of surface winds was carried out with the numerical model HIRLAM with horizontal resolution of 5 km and used to calculate the total dust flux from the sources. The in situ measurements inside the dust plumes showed that aeolian dust can be very fine. The study highlights that suspended volcanic dust in Iceland causes air pollution with extremely high PM1 concentrations comparable to the polluted urban stations in Europe or Asia rather than reported dust event observations from around the world. The PM1/PM2.5 ratios are generally low during dust storms outside of Iceland, much lower than > 0.9 and PM1/PM10 ratios of 0.34-0.63 found in our study. It shows that Icelandic volcanic dust consists of higher proportion of submicron particles compared to crustal dust. The submicron particles are predicted to travel long distances. Moreover, such submicron particles pose considerable health risk because of high potential for entering the lungs. Icelandic volcanic glass has often fine pipe-vesicular structures known from asbestos and high content of heavy metals. Previous

  16. Achieving nanoscale horizontal separations in the standard 2 μm PolyMUMPS process

    KAUST Repository

    Elshurafa, Amro M.

    2013-01-25

    This paper shares with the research community how to achieve, effectively and easily, lateral submicron separations in the standard 2 lm PolyMUMPS process without any fabrication intervention or post-processing, based on the oxide sidewall spacer technique. Thousands of nanoseparations were created and successfully tested by visual inspection and by a simple capacitance measurement. The lateral separations attained were less than 440 nm and reached as low as 280 nm. To corroborate the findings, measurements were performed on different capacitors fabricated in different fabrication runs with consistent results. This is the first time that submicron lateral distances are reported in PolyMUMPS using the oxide spacer technique.

  17. Implantation of oxygen ions for the realization of SOS (silicon on insulator) structures: SIMOX

    International Nuclear Information System (INIS)

    Margail, J.

    1987-03-01

    Highdose oxygen implantation is becoming a serious candidate for SOI (silicon on insulator) structure realization. The fabrication condition study of these substrates allowed to show up the implantation and annealing parameter importance for microstructure, and particularly for crystal quality of silicon films. It has been shown that the use of high temperature annealings leads to high quality substrates: monocrystal silicon film without any precipitate, at the card scale; Si/Si O 2 interface formation. After annealing at 1340 O C, Hall mobilities have been measured in silicon film, and its residual doping is very low. First characteristics and performance of submicron CMOS circuits prooves the electric quality of these substrates [fr

  18. Mechanisms of submicron inclusion re-equilibration during host mineral deformation

    Science.gov (United States)

    Griffiths, Thomas; Habler, Gerlinde; Abart, Rainer; Rhede, Dieter; Wirth, Richard

    2014-05-01

    Both brittle and ductile deformation can facilitate re-equilibration of mineral inclusions. The presence of inclusions also influences stress and strain distribution in the host. The processes governing feedbacks between brittle deformation, ductile deformation, and inclusion re-equilibration have been studied using unique microstructures in Permian meta-pegmatite garnets from the Koralpe, Eastern Alps, Austria. Sampled almandine-spessartine garnets contain highly abundant submicron-sized inclusions, which originated during or subsequent to magmatic garnet growth. The Permian magmatic assemblages were affected by eclogite facies metamorphism during the Cretaceous tectono-metamorphic event. The meta-pegmatite garnet deformed crystal-plastically at this metamorphic stage (Bestmann et al. 2008) and the host-inclusion system was affected by partial recrystallization. Trails of coarser inclusions (1-10µm diameter) crosscut the magmatic submicron inclusion density zoning in the garnet, defining curviplanar geometrical surfaces in 3D. In 10-40µm broad 'bleaching zones' flanking inclusion trails, the original ≤1µm sized inclusions are not seen in the optical microscope or SEM, however inclusions <100nm are still abundant in TEM foils from these areas. From their microstructural characteristics it is inferred that the trails formed at sites of healed brittle cracks. FEG-microprobe data showed that inclusion-trails and associated bleaching zones can be formed isochemically, although some trails showed non-isochemical coarsening. In both cases no change in garnet major element composition was observed. EBSD mapping revealed two phenomena that were investigated by cutting targeted TEM foils. Firstly, bleaching zones are associated with systematic very low angle (ca. 0.5°) garnet lattice orientation changes along discrete boundaries. TEM foils transecting such a boundary show a lower concentration of dislocations than expected for the lattice rotation inferred from EBSD

  19. Design and application of 8-channel SOI-based AWG demultiplexer for CWDM-system

    International Nuclear Information System (INIS)

    Juhari, Nurjuliana; Menon, P. Susthitha; Ehsan, Abang Annuar; Shaari, Sahbudin

    2015-01-01

    Arrayed Waveguide Grating (AWG) serving as a demultiplexer (demux) has been designed on SOI platform and was utilized in a Coarse Wavelength Division Multiplexing (CWDM) system ranging from 1471 nm to 1611 nm. The investigation was carried out at device and system levels. At device level, 20 nm (∼ 2500 GHz) channel spacing was successfully simulated using beam propagation method (BPM) under TE mode polarization with a unique double S-shape pattern at arrays region. The performance of optical properties gave the low values of 0.96 dB dB for insertion loss and – 22.38 dB for optical crosstalk. AWG device was then successfully used as demultiplexer in CWDM system when 10 Gb/s data rate was applied in the system. Limitation of signal power due to attenuation and fiber dispersion detected by BER analyzer =10 −9 of the system was compared with theoretical value. Hence, the maximum distance of optical fiber can be achieved

  20. Design and application of 8-channel SOI-based AWG demultiplexer for CWDM-system

    Energy Technology Data Exchange (ETDEWEB)

    Juhari, Nurjuliana; Menon, P. Susthitha; Ehsan, Abang Annuar; Shaari, Sahbudin [Institute of Microengineering and Nanoelectronics (IMEN), Universiti Kebangsaan Malaysia (UKM), 43600 UKM Bangi, Selangor (Malaysia)

    2015-04-24

    Arrayed Waveguide Grating (AWG) serving as a demultiplexer (demux) has been designed on SOI platform and was utilized in a Coarse Wavelength Division Multiplexing (CWDM) system ranging from 1471 nm to 1611 nm. The investigation was carried out at device and system levels. At device level, 20 nm (∼ 2500 GHz) channel spacing was successfully simulated using beam propagation method (BPM) under TE mode polarization with a unique double S-shape pattern at arrays region. The performance of optical properties gave the low values of 0.96 dB dB for insertion loss and – 22.38 dB for optical crosstalk. AWG device was then successfully used as demultiplexer in CWDM system when 10 Gb/s data rate was applied in the system. Limitation of signal power due to attenuation and fiber dispersion detected by BER analyzer =10{sup −9} of the system was compared with theoretical value. Hence, the maximum distance of optical fiber can be achieved.

  1. Effects of ultra-thin Si-fin body widths upon SOI PMOS FinFETs

    Science.gov (United States)

    Liaw, Yue-Gie; Chen, Chii-Wen; Liao, Wen-Shiang; Wang, Mu-Chun; Zou, Xuecheng

    2018-05-01

    Nano-node tri-gate FinFET devices have been developed after integrating a 14 Å nitrided gate oxide upon the silicon-on-insulator (SOI) wafers established on an advanced CMOS logic platform. These vertical double gate (FinFET) devices with ultra-thin silicon fin (Si-fin) widths ranging from 27 nm to 17 nm and gate length down to 30 nm have been successfully developed with a 193 nm scanner lithography tool. Combining the cobalt fully silicidation and the CESL strain technology beneficial for PMOS FinFETs was incorporated into this work. Detailed analyses of Id-Vg characteristics, threshold voltage (Vt), and drain-induced barrier lowering (DIBL) illustrate that the thinnest 17 nm Si-fin width FinFET exhibits the best gate controllability due to its better suppression of short channel effect (SCE). However, higher source/drain resistance (RSD), channel mobility degradation due to dry etch steps, or “current crowding effect” will slightly limit its transconductance (Gm) and drive current.

  2. Design and fabrication of two kind of SOI-based EA-type VOAs

    Science.gov (United States)

    Yuan, Pei; Wang, Yue; Wu, Yuanda; An, Junming; Hu, Xiongwei

    2018-06-01

    SOI-based variable optical attenuators based on electro-absorption mechanism are demonstrated in this paper. Two different doping structures are adopted to realize the attenuation: a structure with a single lateral p-i-n diode and a structure with several lateral p-i-n diodes connected in series. The VOAs with lateral p-i-n diodes connected in series (series VOA) can greatly improve the device attenuation efficiency compared to VOAs with a single lateral p-i-n diode structure (single VOA), which is verified by the experimental results that the attenuation efficiency of the series VOA and the single VOA is 3.76 dB/mA and 0.189 dB/mA respectively. The corresponding power consumption at 20 dB attenuation is 202 mW (series VOA) and 424 mW (single VOA) respectively. The raise time is 34.5 ns (single VOA) and 45.5 ns (series VOA), and the fall time is 37 ns (single VOA) and 48.5 ns (series VOA).

  3. Spacer engineered Trigate SOI TFET: An investigation towards harsh temperature environment applications

    Science.gov (United States)

    Mallikarjunarao; Ranjan, Rajeev; Pradhan, K. P.; Artola, L.; Sahu, P. K.

    2016-09-01

    In this paper, a novel N-channel Tunnel Field Effect Transistor (TFET) i.e., Trigate Silicon-ON-Insulator (SOI) N-TFET with high-k spacer is proposed for better Sub-threshold swing (SS) and OFF-state current (IOFF) by keeping in mind the sensitivity towards temperature. The proposed model can achieve a Sub-threshold swing less than 35 mV/decade at various temperatures, which is desirable for designing low power CTFET for digital circuit applications. In N-TFET source doping has a significant effect on the ON-state current (ION) level; therefore more electrons will tunnel from source to channel region. High-k Spacer i.e., HfO2 is used to enhance the device performance and also it avoids overlapping of transistors in an integrated circuits (IC's). We have designed a reliable device by performing the temperature analysis on Transfer characteristics, Drain characteristics and also on various performance metrics like ON-state current (ION), OFF-state current (IOFF), ION/IOFF, Trans-conductance (gm), Trans-conductance Generation Factor (TGF), Sub-threshold Swing (SS) to observe the applications towards harsh temperature environment.

  4. Modelling size and structure of nanoparticles formed from drying of submicron solution aerosols

    International Nuclear Information System (INIS)

    Bandyopadhyay, Arpan A.; Pawar, Amol A.; Venkataraman, Chandra; Mehra, Anurag

    2015-01-01

    Drying of submicron solution aerosols, under controlled conditions, has been explored to prepare nanoparticles for drug delivery applications. A computational model of solution drop evaporation is developed to study the evolution of solute gradients inside the drop and predict the size and shell thickness of precipitating nanoparticles. The model considers evaporation as a two-stage process involving droplet shrinkage and shell growth. It was corroborated that droplet evaporation rate controls the solute distribution within a droplet and the resulting particle structure (solid or shell type). At higher gas temperatures, rapid build-up of solute near drop surface from high evaporation rates results in early attainment of critical supersaturation solubility and a steeper solute gradient, which favours formation of larger, shell-type particles. At lower gas temperatures, formation of smaller, solid nanoparticles is indicated. The computed size and shell thickness are in good agreement with experimentally prepared lipid nanoparticles. This study indicates that solid or shell structure of precipitated nanoparticles is strongly affected by evaporation rate, while initial solute concentration in the precursor solution and atomized droplet size affect shell thickness. For the gas temperatures considered, evaporative cooling leads to droplet temperature below the melting point of the lipid solute. Thus, we conclude that control over nanoparticle size and structure, of thermolabile precursor materials suitable for drug delivery, can be achieved by controlling evaporation rates, through selection of aerosol processing conditions

  5. Modelling size and structure of nanoparticles formed from drying of submicron solution aerosols

    Energy Technology Data Exchange (ETDEWEB)

    Bandyopadhyay, Arpan A.; Pawar, Amol A.; Venkataraman, Chandra; Mehra, Anurag, E-mail: mehra@iitb.ac.in [Indian Institute of Technology Bombay, Department of Chemical Engineering (India)

    2015-01-15

    Drying of submicron solution aerosols, under controlled conditions, has been explored to prepare nanoparticles for drug delivery applications. A computational model of solution drop evaporation is developed to study the evolution of solute gradients inside the drop and predict the size and shell thickness of precipitating nanoparticles. The model considers evaporation as a two-stage process involving droplet shrinkage and shell growth. It was corroborated that droplet evaporation rate controls the solute distribution within a droplet and the resulting particle structure (solid or shell type). At higher gas temperatures, rapid build-up of solute near drop surface from high evaporation rates results in early attainment of critical supersaturation solubility and a steeper solute gradient, which favours formation of larger, shell-type particles. At lower gas temperatures, formation of smaller, solid nanoparticles is indicated. The computed size and shell thickness are in good agreement with experimentally prepared lipid nanoparticles. This study indicates that solid or shell structure of precipitated nanoparticles is strongly affected by evaporation rate, while initial solute concentration in the precursor solution and atomized droplet size affect shell thickness. For the gas temperatures considered, evaporative cooling leads to droplet temperature below the melting point of the lipid solute. Thus, we conclude that control over nanoparticle size and structure, of thermolabile precursor materials suitable for drug delivery, can be achieved by controlling evaporation rates, through selection of aerosol processing conditions.

  6. Nanometer, submicron and micron sized aluminum powder prepared by semi-solid mechanical stirring method with addition of ceramic particles

    International Nuclear Information System (INIS)

    Qin, X.H.; Jiang, D.L.; Dong, S.M.

    2004-01-01

    Composite powder, which is a mixture of Al/Al 2 O 3 composite particles and nanometer, submicron and micron sized aluminum powder, was prepared by semi-solid mechanical stirring method with addition of Al 2 O 3 ceramic particles. The ceramic particles have an average diameter of 80 μm and a volume fraction of 15% in the slurry. The methods used to measure the size distribution of particles greater than 50 μm and less than 50 μm were sieve analysis and photosedimentation, respectively. The surface morphology and transverse sections of the composite powder of different sizes were examined by scanning electron microscope (SEM), optical microscope and auger electron spectroscopy (AES). The results indicate that the composite powder prepared in present work have a wide size distribution ranging from less than 50-900 μm, and the aluminum particles and Al/Al 2 O 3 composite particles are separated and isolated. The particles greater than 200 μm and less than 50 μm are almost pure aluminum powder. The rate of conversion of ingot aluminum into particles less than 1 μm containing nanometer and submicron sizes is 1.777 wt.% in this work. The aluminum powder of different sizes has different shape and surface morphology, quasi-spherical in shape with rough surface for aluminum particles of micron scale, irregular in shape for aluminum particles of submicron scale, and quite close to a globular or an excellent globular in shape for aluminum particles of nanometer size. On the other hand, the surface of ceramic particle was coated by aluminum particles with maximum thickness less than 10 μm containing nanometer and submicron sizes as a single layer. It is suggested that the surface of ceramic particles can provide more nucleation sites for solidification of liquid aluminum and the nucleation of liquid aluminum can take place readily, grow and adhere on the surface of ceramic particles, although it is poorly wetted by the liquid aluminum and the semi-solid slurry can

  7. Submicron organic aerosol in Tijuana, Mexico, from local and Southern California sources during the CalMex campaign

    Science.gov (United States)

    Takahama, S.; Johnson, A.; Guzman Morales, J.; Russell, L. M.; Duran, R.; Rodriguez, G.; Zheng, J.; Zhang, R.; Toom-Sauntry, D.; Leaitch, W. R.

    2013-05-01

    The CalMex campaign was conducted from May 15 to June 30 of 2010 to study the properties and sources of air pollution in Tijuana, Mexico. In this study, submicron organic aerosol mass (OM) composition measured by Fourier Transform Infrared Spectroscopy (FTIR), Aerosol Chemical Speciation Monitor (ACSM), and X-ray spectromicroscopy are combined with statistical analysis and measurements of other atmospheric constituents. The average (±one standard deviation) OM concentration was 3.3 ± 1.7 μg m-3. A large source of submicron aerosol mass at this location was determined to be vehicular sources, which contributed approximately 40% to the submicron OM; largely during weekday mornings. The O/C ratio estimated from ACSM measurements was 0.64 ± 0.19; diurnal variations in this value and the more oxygenated fraction of OM as determined from Positive Matrix Factorization and classification analyses suggest the high degree of oxygenation originates from aged OM, rather than locally-produced secondary organic aerosol. A large contribution of this oxygenated aerosol to Tijuana from various source classes was observed; some fraction of this aerosol mass may be associated with non-refractory components, such as dust or BC. Backtrajectory simulations using the HYSPLIT model suggest that the mean wind vector consistently originated from the northwest region, over the Pacific Ocean and near the Southern California coast, which suggests that the origin of much of the oxygenated organic aerosol observed in Tijuana (as much as 60% of OM) may have been the Southern California Air Basin. The marine aerosol contribution to OM during the period was on average 23 ± 24%, though its contribution varied over synoptic rather than diurnal timescales. BB aerosol contributed 20 ± 20% of the OM during the campaign period, with notable BB events occurring during several weekend evenings.

  8. Synthesis and characterization of hollow {alpha}-Fe{sub 2}O{sub 3} sub-micron spheres prepared by sol-gel

    Energy Technology Data Exchange (ETDEWEB)

    Leon, Lizbet, E-mail: lizbetlf@gmail.com; Bustamante, Angel; Osorio, Ana; Olarte, G. S. [Universidad Nacional Mayor de San Marcos (Peru); Santos Valladares, Luis De Los, E-mail: ld301@cam.ac.uk; Barnes, Crispin H. W. [University of Cambridge, Cavendish Laboratory (United Kingdom); Majima, Yutaka [Tokyo Institute of Technology, Materials and Structures Laboratory (Japan)

    2011-11-15

    In this work we report the preparation of magnetic hematite hollow sub-micron spheres ({alpha}-Fe{sub 2}O{sub 3}) by colloidal suspensions of ferric nitrate nine-hydrate (Fe(NO{sub 3}){sub 3}{center_dot}9H{sub 2}O) particles in citric acid solution by following the sol-gel method. After the gel formation, the samples were annealed at different temperatures in an oxidizing atmosphere. Annealing at 180 Degree-Sign C resulted in an amorphous phase, without iron oxide formation. Annealing at 250 Degree-Sign C resulted in coexisting phases of hematite, maghemite and magnetite, whereas at 400 Degree-Sign C, only hematite and maghemite were found. Pure hematite hollow sub-micron spheres with porous shells were formed after annealing at 600 Degree-Sign C. The characterization was performed by X-ray diffraction (XRD), Moessbauer spectroscopy (MS) and scanning electron microscopy (SEM).

  9. The capture of submicron particles by collector plates - Wind-tunnel investigations

    International Nuclear Information System (INIS)

    Gauthier, Daniel

    1971-01-01

    The deposition of submicron particles on collector plates parallel to the flow was studied experimentally in a wind-tunnel. The validity of a theoretical model based on brownian diffusion was investigated and its Inadequacies tested. The aerosol sample consisted of uranine particles (mean geometrical radius: about 0. 1 μm). The average flow speeds varied from 1 to 10 m/s and the length of the collector plates between 1 and 10 cm. Results showed that capture was mainly due to diffusion and was in good agreement with the theoretical model; however a noticeable deposit of particles on the front part of the collector edge was observed. Sedimentation was insignificant in almost all the cases. (author) [fr

  10. Microwave processing for ceramic materials in microsystem technology

    International Nuclear Information System (INIS)

    Rhee, S.

    2002-11-01

    In this study, the applicability of microwaves for sintering of monolithic ceramics and ceramic microcomponents was investigated. Experiments with 2.45 GHz and 30 GHz microwaves were conducted and contrasted to conventional thermal processing. The advantages and disadvantages of microwave processing were then assessed. Nanoscale zirconia and sub-micron lead-zirconate-titanate electroceramics were selected for the evaluation. (orig.)

  11. A new circuit technique for reduced leakage current in Deep Submicron CMOS technologies

    Directory of Open Access Journals (Sweden)

    A. Schmitz

    2005-01-01

    Full Text Available Modern CMOS processes in the Deep Submicron regime are restricted to supply voltages below 2 volts and further to account for the transistors' field strength limitations and to reduce the power per logic gate. To maintain the high switching performance, the threshold voltage must be scaled according with the supply voltage. However, this leads to an increased subthreshold current of the transistors in standby mode (VGS=0. Another source of leakage is gate current, which becomes significant for gate oxides of 3nm and below. We propose a Self-Biasing Virtual Rails (SBVR - CMOS technique which acts like an adaptive local supply voltage in case of standby mode. Most important sources of leakage currents are reduced by this technique. Moreover, SBVR-CMOS is capable of conserving stored information in sleep mode, which is vital for memory circuits. Memories are exposed to radiation causing soft errors. This well-known problem becomes even worse in standby mode of typical SRAMs, that have low driving performance to withstand alpha particle hits. In this paper, a 16-transistor SRAM cell is proposed, which combines the advantage of extremely low leakage currents with a very high soft error stability.

  12. Bloch-wave engineered submicron-diameter quantum-dot micropillars for cavity QED experiments

    DEFF Research Database (Denmark)

    Gregersen, Niels; Lermer, Matthias; Reitzenstein, Stephan

    2013-01-01

    The semiconductor micropillar is attractive for cavity QED experiments. For strong coupling, the figure of merit is proportional to Q/√V, and a design combining a high Q and a low mode volume V is thus desired. However, for the standard submicron diameter design, poor mode matching between the ca...... the cavity and the DBR Bloch mode limits the Q. We present a novel adiabatic design where Bloch-wave engineering is employed to improve the mode matching, allowing the demonstration of a record-high vacuum Rabi splitting of 85 μeV and a Q of 13600 for a 850 nm diameter micropillar....

  13. Time-specific measurements of energy deposition from radiation fields in simulated sub-micron tissue volumes

    International Nuclear Information System (INIS)

    Famiano, M.A.

    1997-01-01

    A tissue-equivalent spherical proportional counter is used with a modified amplifier system to measure specific energy deposited from a uniform radiation field for short periods of time (∼1 micros to seconds) in order to extrapolate to dose in sub-micron tissue volumes. The energy deposited during these time intervals is compared to biological repair processes occurring within the same intervals after the initial energy deposition. The signal is integrated over a variable collection time which is adjusted with a square-wave pulse. Charge from particle passages is collected on the anode during the period in which the integrator is triggered, and the signal decays quickly to zero after the integrator feedback switch resets; the process repeats for every triggering pulse. Measurements of energy deposited from x rays, 137 Cs gamma rays, and electrons from a 90 Sr/ 90 Y source for various time intervals are taken. Spectral characteristics as a function of charge collection time are observed and frequency plots of specific energy and collection time-interval are presented. In addition, a threshold energy flux is selected for each radiation type at which the formation of radicals (based on current measurements) in mammalian cells equals the rate at which radicals are repaired

  14. Analysis of the rectangular resonator with butterfly MMI coupler using SOI

    Science.gov (United States)

    Kim, Sun-Ho; Park, Jun-Hee; Kim, Eudum; Jeon, Su-Jin; Kim, Ji-Hoon; Choi, Young-Wan

    2018-02-01

    We propose a rectangular resonator sensor structure with butterfly MMI coupler using SOI. It consists of the rectangular resonator, total internal reflection (TIR) mirror, and the butterfly MMI coupler. The rectangular resonator is expected to be used as bio and chemical sensors because of the advantages of using MMI coupler and the absence of bending loss unlike ring resonators. The butterfly MMI coupler can miniaturize the device compared to conventional MMI by using a linear butterfly shape instead of a square in the MMI part. The width, height, and slab height of the rib type waveguide are designed to be 1.5 μm, 1.5 μm, and 0.9 μm, respectively. This structure is designed as a single mode. When designing a TIR mirror, we considered the Goos-Hänchen shift and critical angle. We designed 3:1 MMI coupler because rectangular resonator has no bending loss. The width of MMI is designed to be 4.5 μm and we optimize the length of the butterfly MMI coupler using finite-difference time-domain (FDTD) method for higher Q-factor. It has the equal performance with conventional MMI even though the length is reduced by 1/3. As a result of the simulation, Qfactor of rectangular resonator can be obtained as 7381.

  15. Nanoscale and submicron fatigue crack growth in nickel microbeams

    International Nuclear Information System (INIS)

    Yang, Y.; Yao, N.; Imasogie, B.; Soboyejo, W.O.

    2007-01-01

    This paper presents a novel edge-notched microbeam technique for the study of short fatigue crack growth. The technique is used to study submicron and nanoscale fatigue in LIGA Ni thin films with columnar microstructures. The edge-notched microbeams were fabricated within LIGA Ni thin films, using focused ion beam (FIB) techniques. The microbeams were then cyclically deformed to failure at a stress ratio of 0.1. Different slip-band structures were observed below the nanoscale notches. Cyclic deformation resulted in the formation of primary slip bands below the notch. Subsequent crack growth then occurred by the unzipping of fatigue cracks along intersecting slip bands. The effects of the primary slip bands were idealized using dislocation-based models. These were used to estimate the intrinsic fatigue threshold and the fatigue endurance limit. The estimates from the model are shown to be consistent with experimental data from prior stress-life experiments and current/prior fatigue threshold estimates

  16. Controlled motion of domain walls in submicron amorphous wires

    Energy Technology Data Exchange (ETDEWEB)

    Ţibu, Mihai; Lostun, Mihaela; Rotărescu, Cristian; Atiţoaie, Alexandru; Lupu, Nicoleta; Óvári, Tibor-Adrian, E-mail: taovari@phys-iasi.ro; Chiriac, Horia [Department of Magnetic Materials and Devices, National Institute of Research and Development for Technical Physics, Iaşi, 700050 (Romania); Allwood, Dan A. [Department of Materials Science and Engineering, University of Sheffield, Sheffield, S1 3JD (United Kingdom)

    2016-05-15

    Results on the control of the domain wall displacement in cylindrical Fe{sub 77.5}Si{sub 7.5}B{sub 15} amorphous glass-coated submicron wires prepared by rapid quenching from the melt are reported. The control methods have relied on conical notches with various depths, up to a few tens of nm, made in the glass coating and in the metallic nucleus using a focused ion beam (FIB) system, and on the use of small nucleation coils at one of the sample ends in order to apply magnetic field pulses aimed to enhance the nucleation of reverse domains. The notch-based method is used for the first time in the case of cylindrical ultrathin wires. The results show that the most efficient technique of controlling the domain wall motion in this type of samples is the simultaneous use of notches and nucleation coils. Their effect depends on wire diameter, notch depth, its position on the wire length, and characteristics of the applied pulse.

  17. A submicron synchrotron X-ray beam generated by capillary optics

    International Nuclear Information System (INIS)

    Engstroem, P.; Larsson, S.; Rindby, A.; Buttkewitz, A.; Garbe, S.; Gaul, G.; Knoechel, A.; Lechtenberg, F.; Deutsches Elektronen-Synchrotron

    1991-01-01

    A novel capillary optics technique for focusing synchrotron X-ray beams has been applied in an experiment performed at the DORIS storage ring at HASYLAB. This new technqiue, which utilizes the total reflection properties of X-rays inside small capillaries, has recently been applied to generate microbeams of X-rays, with a beam size down to about 10 μm using conventional X-ray tubes. The result from our recent experiment shows that capillary optics can also be used to generate a submicron beam of X-rays from a synchrotron light source. A description of the capillary unit, and the alignment procedure is given. The influence of the thermal load on the device caused by the intense flux of synchrotron radiation will be discussed. Future perspectives of the capillary techniques as applied to synchrotron radiation will be discussed. (orig.)

  18. High temperature piezoresistive {beta}-SiC-on-SOI pressure sensor for combustion engines

    Energy Technology Data Exchange (ETDEWEB)

    Berg, J. von; Ziermann, R.; Reichert, W.; Obermeier, E. [Tech. Univ. Berlin (Germany). Microsensor and Actuator Technol. Center; Eickhoff, M.; Kroetz, G. [Daimler Benz AG, Munich (Germany); Thoma, U.; Boltshauser, T.; Cavalloni, C. [Kistler Instrumente AG, Winterthur (Switzerland); Nendza, J.P. [TRW Deutschland GmbH, Barsinghausen (Germany)

    1998-08-01

    For measuring the cylinder pressure in combustion engines of automobiles a high temperature pressure sensor has been developed. The sensor is made of a membrane based piezoresistive {beta}-SiC-on-SOI (SiCOI) sensor chip and a specially designed housing. The SiCOI sensor was characterized under static pressures of up to 200 bar in the temperature range between room temperature and 300 C. The sensitivity of the sensor at room temperature is approximately 0.19 mV/bar and decreases to about 0.12 mV/bar at 300 C. For monitoring the dynamic cylinder pressure the sensor was placed into the combustion chamber of a gasoline engine. The measurements were performed at 1500 rpm under different loads, and for comparison a quartz pressure transducer from Kistler AG was used as a reference. The maximum pressure at partial load operation amounts to about 15 bar. The difference between the calibrated SiCOI sensor and the reference sensor is significantly less than 1 bar during the whole operation. (orig.) 8 refs.

  19. Study of lead phytoavailability for atmospheric industrial micronic and sub-micronic particles in relation with lead speciation

    Energy Technology Data Exchange (ETDEWEB)

    Uzu, G. [EcoLab UMR 5245 CNRS-INPT-UPS, ENSAT BP 32607 Auzeville Tolosane, 31326 Castanet Tolosan (France)], E-mail: gaelle.uzu@ensat.fr; Sobanska, S. [LASIR UMR 8516, Universite des Sciences et Technologies de Lille, Batiment C5, 59655 Villeneuve d' Ascq Cedex (France)], E-mail: Sophie.Sobanska@univ-lille1.fr; Aliouane, Y. [EcoLab UMR 5245 CNRS-INPT-UPS, ENSAT BP 32607 Auzeville Tolosane, 31326 Castanet Tolosan (France); Pradere, P. [Chemical Metal Treatment Company, STCM, 30-32 chemin de Fondeyre, 31200 Toulouse (France)], E-mail: p.pradere@stc-metaux.com; Dumat, C. [EcoLab UMR 5245 CNRS-INPT-UPS, ENSAT BP 32607 Auzeville Tolosane, 31326 Castanet Tolosan (France)], E-mail: camille.dumat@ensat.fr

    2009-04-15

    Particles from channelled emissions of a battery recycling facility were size-segregated and investigated to correlate their speciation and morphology with their transfer towards lettuce. Microculture experiments carried out with various calcareous soils spiked with micronic and sub-micronic particles (1650 {+-} 20 mg Pb kg{sup -1}) highlighted a greater transfer in soils mixed with the finest particles. According to XRD and Raman spectroscopy results, the two fractions presented differences in the amount of minor lead compounds like carbonates, but their speciation was quite similar, in decreasing order of abundance: PbS, PbSO{sub 4}, PbSO{sub 4}.PbO, {alpha}-PbO and Pb{sup 0}. Morphology investigations revealed that PM{sub 2.5} (i.e. Particulate Matter 2.5 composed of particles suspended in air with aerodynamic diameters of 2.5 {mu}m or less) contained many Pb nanoballs and nanocrystals which could influence lead availability. The soil-plant transfer of lead was mainly influenced by size and was very well estimated by 0.01 M CaCl{sub 2} extraction. - The soil-lettuce lead transfer from atmospheric industrial sub-micronic and micronic particles depends on particle size.

  20. Effective immobilization of glucose oxidase on chitosan submicron particles from gladius of Todarodes pacificus for glucose sensing.

    Science.gov (United States)

    Anusha, J R; Fleming, Albin T; Kim, Hee-Je; Kim, Byung Chul; Yu, Kook-Hyun; Raj, C Justin

    2015-08-01

    An effective enzymatic glucose biosensor was developed by immobilizing glucose oxidase on chitosan submicron particles synthesized from the gladius of Todarodes pacificus (GCSP). The chemically synthesized chitosan from gladius was pulverized to submicron particles by ball milling technique, which was further characterized and compared with the standard chitosan (SCS). The degree of deacetylation of GCSP was determined using FTIR spectroscopy which was comparable to the value of standard chitosan. The glucose oxidase (GOx) was immobilized over GCSP on porous zinc oxide/platinum nanoparticle (ZnO/Pt) based electrode. The morphological and structural properties of the electrodes were analyzed using scanning electron microscopy and X-ray diffraction analysis. The glucose sensing behavior of electrode was estimated using electrochemical analysis and showed an excellent analytical performance. The electrode ZnO/Pt/GCSP conjugated with GOx displayed high sensitivity (88.76 μA mM(-1) cm(-2)) with low detection limit in short response time. In addition, the very low value of Michaelis-Menten constant for GCSP based electrode contributes a better affinity of the electrode surface towards glucose oxidase. Copyright © 2015 Elsevier B.V. All rights reserved.

  1. Study of lead phytoavailability for atmospheric industrial micronic and sub-micronic particles in relation with lead speciation

    International Nuclear Information System (INIS)

    Uzu, G.; Sobanska, S.; Aliouane, Y.; Pradere, P.; Dumat, C.

    2009-01-01

    Particles from channelled emissions of a battery recycling facility were size-segregated and investigated to correlate their speciation and morphology with their transfer towards lettuce. Microculture experiments carried out with various calcareous soils spiked with micronic and sub-micronic particles (1650 ± 20 mg Pb kg -1 ) highlighted a greater transfer in soils mixed with the finest particles. According to XRD and Raman spectroscopy results, the two fractions presented differences in the amount of minor lead compounds like carbonates, but their speciation was quite similar, in decreasing order of abundance: PbS, PbSO 4 , PbSO 4 .PbO, α-PbO and Pb 0 . Morphology investigations revealed that PM 2.5 (i.e. Particulate Matter 2.5 composed of particles suspended in air with aerodynamic diameters of 2.5 μm or less) contained many Pb nanoballs and nanocrystals which could influence lead availability. The soil-plant transfer of lead was mainly influenced by size and was very well estimated by 0.01 M CaCl 2 extraction. - The soil-lettuce lead transfer from atmospheric industrial sub-micronic and micronic particles depends on particle size

  2. Investigation of the stability of polysilicon layers in SOI-structures under irradiation by electrons and hard magnetic field influence

    Directory of Open Access Journals (Sweden)

    Khoverko Yu. N.

    2010-10-01

    Full Text Available The properties of recrystallized polysilicon on insulator layers of p-type conductive SOI-structures with different carrier concentration irradiated with high-energy electrons flow about 1017 сm–2 in temperature range 4,2—300 К and high magnetic fields were investigated. It was found that heavily doped laser recrystallized polysilicon on insulator layers show its radiation resistance under irradiation with high-energy electrons and magnetoresistance of such material remains quite low in magnetic field about 14 T does not exceed 1—2%. Such qulity can be applied in designing of microelectronic sensors of mechanical values operable in hard conditions of exploitation.

  3. Single halo SDODEL n-MOSFET: an alternative low-cost pseudo-SOI with better analog performance

    Science.gov (United States)

    Sarkar, Partha; Mallik, Abhijit; Sarkar, Chandan Kumar

    2009-03-01

    In this paper, with the help of extensive TCAD simulations, we investigate the analog performance of source/drain on depletion layer (SDODEL) MOSFETs with a single-halo (SH) implant near the source side of the channel. We use the SH implant in such a structure for the first time. The analog performance parameters in SH SDODEL MOSFETs are compared to those in SH MOSFETs as well as in SH SOI MOSFETs. In addition to reduced junction capacitance for the SH SDODEL structure as compared to that in bulk SH devices, it has been shown that such devices lead to improved performance and lower power dissipation for sub-100 nm CMOS technologies. Our results show that, in SH SDODEL MOSFETs, there is significant improvement in the intrinsic device performance for analog applications (such as device gain, gm/ID, etc) for the sub-100 nm technologies.

  4. Single halo SDODEL n-MOSFET: an alternative low-cost pseudo-SOI with better analog performance

    International Nuclear Information System (INIS)

    Sarkar, Partha; Mallik, Abhijit; Sarkar, Chandan Kumar

    2009-01-01

    In this paper, with the help of extensive TCAD simulations, we investigate the analog performance of source/drain on depletion layer (SDODEL) MOSFETs with a single-halo (SH) implant near the source side of the channel. We use the SH implant in such a structure for the first time. The analog performance parameters in SH SDODEL MOSFETs are compared to those in SH MOSFETs as well as in SH SOI MOSFETs. In addition to reduced junction capacitance for the SH SDODEL structure as compared to that in bulk SH devices, it has been shown that such devices lead to improved performance and lower power dissipation for sub-100 nm CMOS technologies. Our results show that, in SH SDODEL MOSFETs, there is significant improvement in the intrinsic device performance for analog applications (such as device gain, g m /I D , etc) for the sub-100 nm technologies

  5. Size-Tuned Plastic Flow Localization in Irradiated Materials at the Submicron Scale

    Science.gov (United States)

    Cui, Yinan; Po, Giacomo; Ghoniem, Nasr

    2018-05-01

    Three-dimensional discrete dislocation dynamics (3D-DDD) simulations reveal that, with reduction of sample size in the submicron regime, the mechanism of plastic flow localization in irradiated materials transitions from irradiation-controlled to an intrinsic dislocation source controlled. Furthermore, the spatial correlation of plastic deformation decreases due to weaker dislocation interactions and less frequent cross slip as the system size decreases, thus manifesting itself in thinner dislocation channels. A simple model of discrete dislocation source activation coupled with cross slip channel widening is developed to reproduce and physically explain this transition. In order to quantify the phenomenon of plastic flow localization, we introduce a "deformation localization index," with implications to the design of radiation-resistant materials.

  6. Occurrence of weak, sub-micron, tropospheric aerosol events at high Arctic latitudes

    Science.gov (United States)

    O'Neill, N. T.; Pancrati, O.; Baibakov, K.; Eloranta, E.; Batchelor, R. L.; Freemantle, J.; McArthur, L. J. B.; Strong, K.; Lindenmaier, R.

    2008-07-01

    Numerous fine mode (sub-micron) aerosol optical events were observed during the summer of 2007 at the High Arctic atmospheric observatory (PEARL) located at Eureka, Nunavut, Canada. Half of these events could be traced to forest fires in southern and eastern Russia and the Northwest Territories of Canada. The most notable findings were that (a) a combination of ground-based measurements (passive sunphotometry, high spectral resolution lidar) could be employed to determine that weak (near sub-visual) fine mode events had occurred, and (b) this data combined with remote sensing imagery products (MODIS, OMI-AI, FLAMBE fire sources), Fourier transform spectroscopy and back trajectories could be employed to identify the smoke events.

  7. Effect of the gate scaling on the analogue performance of s-Si CMOS devices

    International Nuclear Information System (INIS)

    Fobelets, K; Calvo-Gallego, J; Velázquez-Pérez, J E

    2011-01-01

    In this contribution, we present a detailed study of the analogue performance of deep submicron strained n-channel Si/SiGe (s-Si) MOSFETs. The study was carried out using a 2D device simulator based on the hydrodynamic model and the impedance field method to self-consistently obtain the current noise at the device's terminals. The analysis focused on the possible benefits of the gate scaling on the ac and noise performance of the transistor for low-power applications while keeping constant the oxide thickness equal to 2 nm to guarantee negligible level of the gate tunnel current. For a drain to source bias of 50 mV, it was found that a pure scaling of the transistor's gate length under 32 nm is detrimental for subthreshold operation in terms of the subthreshold slope (S) and transconductance (g m ) but would lead to reasonably low values of the minimum noise figure (NF min ). For the sake of comparison, SOI MOSFETs with the same layout and operating under the same conditions were simulated. The SOI MOSFETs showed better immunity against the gate scaling in terms of S than the s-Si MOSFETs, but lower values of g m and a higher value of NF min at the same level of the drain current. Finally, the devices have been studied in the saturation region for a drain to source bias of 1 V. In this region, it was found that the dependence of the current level SOI or s-Si MOSFET may outperform its counterparts

  8. Calculation of the soft error rate of submicron CMOS logic circuits

    International Nuclear Information System (INIS)

    Juhnke, T.; Klar, H.

    1995-01-01

    A method to calculate the soft error rate (SER) of CMOS logic circuits with dynamic pipeline registers is described. This method takes into account charge collection by drift and diffusion. The method is verified by comparison of calculated SER's to measurement results. Using this method, the SER of a highly pipelined multiplier is calculated as a function of supply voltage for a 0.6 microm, 0.3 microm, and 0.12 microm technology, respectively. It has been found that the SER of such highly pipelined submicron CMOS circuits may become too high so that countermeasures have to be taken. Since the SER greatly increases with decreasing supply voltage, low-power/low-voltage circuits may show more than eight times the SER for half the normal supply voltage as compared to conventional designs

  9. Design consideration for dc SQUIDs fabricated in deep sub-micron technology

    International Nuclear Information System (INIS)

    Ketchen, M.B.

    1991-01-01

    Design rules for scaling dc SQUID junctions to optimize SQUID performance have been well known for over a decade, and verified down to the sub-micron regime. Practical SQUIDs having well coupled input coils of usable inductance have generally been fabricated at the 2-5 μm level of lithography. Other technologies, silicon in particular, are now routinely practiced at the 0.5 μm level of lithography with impressive demonstrations at the 0.1-0.25 μm level not uncommon. In this paper the implications of applying such fabrication capability to advance dc SQUID technology are explored. In particular the issues of scaling practical dc SQUIDs down to the 0.1-0.25 μm regime are examined, using as a prototype design the basic washer SQUID with a spiral input coil

  10. Micro-and nanodosimetry for radiobiological planning in radiotherapy and cancer risk assessment in radiation environment

    International Nuclear Information System (INIS)

    Rosenfeld, A.B.

    2006-01-01

    Full text: Microdosimetry and nanodosimetry can provide unique information for prediction of radiobiological properties of radiation, which is important in radiation therapy for accurate dose planning and in radiation protection for cancer induction risk assessment. This demand measurements of the pattern of energies deposited by ionizing radiation on cellular scale and DNA levels.Silicon microelectronics technology is offering a unique opportunity for replacing gas proportional counters (TEPC) with miniature detectors for regional microdosimetry. Silicon on Insulator (SOI) technology has been used for the development of arrays of micron size sensitive volumes for modelling energy deposited in biological cells. The challenge in silicon microdosimetry is the development of well defined sensitive volume (SV) and full charge collection deposited by ionizing radiation in the SV. First generation SOI microdosimeters were developed at CMRP and investigated in a wide range of radiation fields for proton and neutron therapies and recently on isotopic neutron sources and heavy ions with energy up to lGeV/jj,m which are typical for deep space radiation environment. Microdosimetric spectra were obtained in a phantom that are well matched to TEPC and Monte Carlo simulations. Evidence that radiations with the same LET exhibit different biological effects demand development of new sensors sensitive to the track structure of ions or the type of particle for prediction of radiobiological effect of radiation using radiobiological models. New monolithic Si AE-E telescope of cellular size for simultaneous regional microdosimetry and particle identification will be presented and results will be discussed. The new design of the SOI microdosimeter is based on 3D micron and submicron size of Si SVs. This approach allows improvement in the accuracy of the Si microdosimetry because of full charge collection and the ability to measure low LET as low as 0.01 keV/jjm, which is similar to TEPC

  11. A self-aligned gate definition process with submicron gaps

    NARCIS (Netherlands)

    Warmerdam, L.F.P.; Aarnink, Antonius A.I.; Holleman, J.; Wallinga, Hans

    1989-01-01

    A self-aligned gate definition process is proposed. Spacings between adjacent gates of 0.5 µm and smaller are fabricated. The spacing is realized by an edge-etch technique, combined with anisotropic plasma etching of the single poly-silicon layer. Straight gaps with minor width variation are

  12. A novel submicron emulsion system loaded with vincristine–oleic acid ion-pair complex with improved anticancer effect: in vitro and in vivo studies

    Directory of Open Access Journals (Sweden)

    Zhang T

    2013-03-01

    Full Text Available Ting Zhang,1 Yong Zheng,2 iang Peng,3 Xi Cao,1 Tao Gong,1 Zhirong Zhang11Key Laboratory of Drug Targeting and Drug Delivery Systems, Sichuan University, Chengdu, People’s Republic of China; 2Second Affiliated Hospital, Chongqing Medical University, Chongqing, People’s Republic of China; 3State Key Laboratory of Oral Diseases, Sichuan University, Chengdu, People’s Republic of ChinaBackground: Vincristine (VCR, which is a widely used antineoplastic drug, was integrated with a submicron-emulsion drug-delivery system to enhance the anticancer effect.Methods: After the formation of a VCR–oleic acid ion-pair complex (VCR-OA, the VCR-OA-loaded submicron emulsion (VCR-OA-SME, prepared by classical high-pressure homogenization, was characterized and its in vitro anticancer effects were evaluated.Results: The submicron-emulsion formulation exhibited a homogeneous round shape. The mean particle size, zeta potential, and encapsulation efficiency were 157.6 ± 12.6 nm, −26.5 ± 5.0 mV and 78.64% ± 3.44%, respectively. An in vitro release study of the VCR-OA-SME revealed that 12.4% of the VCR was released within the first 2 hours (initial burst-release phase and the rest of the drug was detected in the subsequent sustained-release phase. Compared with VCR solution, the pharmacokinetic study of VCR-OA-SME showed relatively longer mean residence time (mean residence time [0–∞] increased from 187.19 to 227.56 minutes, higher maximum concentration (from 252.13 ng/mL to 533.34 ng/mL, and greater area under the curve (area under the curve [0–∞] from 11,417.77 µg/L/minute to 17,164.34 µg/L/minute. Moreover, the VCR-OA-SME exhibited higher cytotoxicity (P < 0.05 on tumor cells by inducing cell arrest in the G2/M phase or even apoptosis (P < 0.05.Conclusion: The VCR-OA-SME formulation in our study displayed great potential for an anticancer effect for VCR.Keywords: ion-pair complex, submicron emulsion, cytotoxicity, apoptosis, cell uptake

  13. Analysis system of submicron particle tracks in the fine-grained nuclear emulsion by a combination of hard x-ray and optical microscopy

    International Nuclear Information System (INIS)

    Naka, T.; Asada, T.; Yoshimoto, M.; Katsuragawa, T.; Tawara, Y.; Umemoto, A.; Suzuki, Y.; Terada, Y.; Takeuchi, A.; Uesugi, K.; Kimura, M.

    2015-01-01

    Analyses of nuclear emulsion detectors that can detect and identify charged particles or radiation as tracks have typically utilized optical microscope systems because the targets have lengths from several μm to more than 1000 μm. For recent new nuclear emulsion detectors that can detect tracks of submicron length or less, the current readout systems are insufficient due to their poor resolution. In this study, we developed a new system and method using an optical microscope system for rough candidate selection and the hard X-ray microscope system at SPring-8 for high-precision analysis with a resolution of better than 70 nm resolution. Furthermore, we demonstrated the analysis of submicron-length tracks with a matching efficiency of more than 99% and position accuracy of better than 5 μm. This system is now running semi-automatically

  14. Characterization and evaluation of femtosecond laser-induced sub-micron periodic structures generated on titanium to improve osseointegration of implants

    Science.gov (United States)

    Lee, Bryan E. J.; Exir, Hourieh; Weck, Arnaud; Grandfield, Kathryn

    2018-05-01

    Reproducible and controllable methods of modifying titanium surfaces for dental and orthopaedic applications are of interest to prevent poor implant outcomes by improving osseointegration. This study made use of a femtosecond laser to generate laser-induced periodic surface structures with periodicities of 300, 620 and 760 nm on titanium substrates. The reproducible rippled patterns showed consistent submicron scale roughness and relatively hydrophobic surfaces as measured by atomic force microscopy and contact angle, respectively. Transmission electron microscopy and Auger electron spectroscopy identified a thicker oxide layer on ablated surfaces compared to controls. In vitro testing was conducted using osteosarcoma Saos-2 cells. Cell metabolism on the laser-ablated surfaces was comparable to controls and alkaline phosphatase activity was notably increased at late time points for the 620 and 760 nm surfaces compared to controls. Cells showed a more elongated shape on laser-ablated surfaces compared to controls and showed perpendicular alignment to the periodic structures. This work has demonstrated the feasibility of generating submicron features on an implant material with the ability to influence cell response and improve implant outcomes.

  15. Sub-micron accurate track navigation method ''Navi'' for the analysis of Nuclear Emulsion

    International Nuclear Information System (INIS)

    Yoshioka, T; Yoshida, J; Kodama, K

    2011-01-01

    Sub-micron accurate track navigation in Nuclear Emulsion is realized by using low energy signals detected by automated Nuclear Emulsion read-out systems. Using those much dense ''noise'', about 10 4 times larger than the real tracks, the accuracy of the track position navigation reaches to be sub micron only by using the information of a microscope field of view, 200 micron times 200 micron. This method is applied to OPERA analysis in Japan, i.e. support of human eye checks of the candidate tracks, confirmation of neutrino interaction vertexes and to embed missing track segments to the track data read-out by automated systems.

  16. Sub-micron accurate track navigation method ``Navi'' for the analysis of Nuclear Emulsion

    Science.gov (United States)

    Yoshioka, T.; Yoshida, J.; Kodama, K.

    2011-03-01

    Sub-micron accurate track navigation in Nuclear Emulsion is realized by using low energy signals detected by automated Nuclear Emulsion read-out systems. Using those much dense ``noise'', about 104 times larger than the real tracks, the accuracy of the track position navigation reaches to be sub micron only by using the information of a microscope field of view, 200 micron times 200 micron. This method is applied to OPERA analysis in Japan, i.e. support of human eye checks of the candidate tracks, confirmation of neutrino interaction vertexes and to embed missing track segments to the track data read-out by automated systems.

  17. Bottom-up synthesis of up-converting submicron-sized Er{sup 3+}-doped LiNbO{sub 3} particles

    Energy Technology Data Exchange (ETDEWEB)

    Jardiel, T., E-mail: jardiel@icv.csic.es [Departamento de Electroceramica, Instituto de Ceramica y Vidrio-CSIC, Kelsen 5, 28049 Madrid (Spain); Caballero, A.C. [Departamento de Electroceramica, Instituto de Ceramica y Vidrio-CSIC, Kelsen 5, 28049 Madrid (Spain); Marin-Dobrincic, M.; Cantelar, E.; Cusso, F. [Departamento de Fisica de Materiales, C-04, Facultad de Ciencias, Universidad Autonoma de Madrid, Avda. Francisco Tomas y Valiente 7, 28049 Madrid (Spain)

    2012-08-15

    A new and simple wet chemical synthesis method is proposed to prepare submicron-sized Erbium-doped LiNbO{sub 3} powders. The synthesis procedure comprises the co-precipitation of lithium and erbium ions from common precursors and their subsequent reaction with niobium ethoxide. A systematic characterization by means of X-Ray Diffraction (XRD), X-ray Fluorescence (XRF), Inductively Coupled Plasma (ICP-OES), Atomic Absorption (AAS), Field Emission Scanning Electron Microscopy (FE-SEM), as well as by the examination of the luminescence properties, evidence that with this method a congruent Er{sup 3+}-doped LiNbO{sub 3} single phase material can be obtained without using complex and time-consuming processing steps. The synthesized powders exhibit efficient IR to VIS up-conversion emissions under 974 nm pumping. -- Highlights: Black-Right-Pointing-Pointer A novel chemical route to the preparation of LiNbO{sub 3} powders has been developed. Black-Right-Pointing-Pointer This process avoids complex and time-consuming processing steps. Black-Right-Pointing-Pointer A congruent Er{sup 3+}-doped LiNbO{sub 3} single phase material can be obtained by this way. Black-Right-Pointing-Pointer The luminescence properties are the expected for this composition.

  18. Determined Initial lead for South Of Isua (SOI) terrain suggests a single homogeneous source for it and possibly other archaean rocks

    Science.gov (United States)

    Tera, F.

    2011-12-01

    A Thorogenic-Uranogenic Lead Isotope Plane (TULIP), which entails plotting 206/208 (or its reverse) vs 207/208 (or its reverse), was applied to the Pb data on South of Isua (SOI) by Kamber et al., (1). When the data on 20 samples of these rocks and feldspars are plotted in pairs (each pair is a rock and its feldspar) on TULIP, they fall on 10 mixing lines that converge on a single spot (Fig. 1). This is the end member initial lead (EMIL). The 206/208 & 207/208 so determined are 0.3675 and 0.43525, respectively. From these values one calculates 207/206 = 1.1843 ± 0.0007, for EMIL. This pattern requires either: A) each pair has a singular kappa, K = 232Th/238U, different from others, or B) a pair's in situ decay Pb was homogenized in recent times. On 204/206 vs 207/206 diagram, the whole rocks of SOI define a 3.776 Ga isochron (2). From this and EMIL's 207/206, one obtains: 206/204 = 10.977, 207/204 = 12.974; and 208/204 = 29.756. This singularity of initial Pb contrasts with a deduced variability by the original authors (1). EMIL's radiogenic *(207/206) = 1.6220, gives a single-stage age = 5.9 Ga, indicating inapplicability of its evolution in one stage. Also, the μ calculated from 238U-206Pb for the single stage is different from that inferred from 235U-207Pb, confirming disqualification of this scenario. Reconciliation of the two decay schemes necessitates assumption of EMIL evolution in a minimum of two stages. Starting at 4.563 Ga, five scenarios were assumed: First stage ends and second starts at 4.55, 4.54, 4.53, 4.52 or 4.51 Ga. Second stages end at 3.776 Ga. The calculated μ1 for the first stage are 106, 59.5, 44.6, 36.3 and 30.9 respectively. For μ2 the change is limited, from 5.45 to 5.28. Only an average calculated K for both stages is possible. For the five outlined scenarios it ranges from 1.118 to 1.111. Earlier, Tera (3) observed that initial Pb of the oldest terrestrial reservoir requires evolution in two stages. There too μ1 >> μ2. Data on

  19. A novel design of submicron thin film point contacts

    International Nuclear Information System (INIS)

    Koch, H.

    1986-01-01

    A thin film point contact design applicable to SIS-, SNS-, and microbridge-type Josephson junctions is presented, which offers potentially advanced junction characteristics (low capacitance, low stray inductance, increased quasi-particle resistance). The design philosophy is based on the fact that a point contact results if two planes having a common symmetry axis but oriented perpendicular to each other are brought into contact with each other. For the case of thin films, instead of two-dimensional planes, the cross section of the resulting ''point''-contact is defined by the thicknesses of the two thin films. Film thicknesses can be controlled much more precisely than lateral dimensions created by lithography. Hence, submicron junction geometries can be achieved using only conventional fabrication techniques. Following this idea, Josephson weak links of the ultrashort microbridge-type have been fabricated by an all-Nb technique having a 0.3-μm X 0.2-μm cross section with a R /SUB q/ I /SUB c/ product (R /SUB q/ = quasiparticle resistance, I /SUB c/ = critical current) of more than 20 mV

  20. Immobilization of trypsin on sub-micron skeletal polymer monolith

    Energy Technology Data Exchange (ETDEWEB)

    Yao Chunhe [Beijing National Laboratory for Molecular Sciences, Key Laboratory of Analytical Chemistry for Living Biosystems, Institute of Chemistry, Chinese Academy of Sciences, Beijing 100190 (China); Graduate School, Chinese Academy of Sciences, Beijing 100049 (China); Qi Li, E-mail: qili@iccas.ac.cn [Beijing National Laboratory for Molecular Sciences, Key Laboratory of Analytical Chemistry for Living Biosystems, Institute of Chemistry, Chinese Academy of Sciences, Beijing 100190 (China); Hu Wenbin [Beijing National Laboratory for Molecular Sciences, Key Laboratory of Analytical Chemistry for Living Biosystems, Institute of Chemistry, Chinese Academy of Sciences, Beijing 100190 (China); Graduate School, Chinese Academy of Sciences, Beijing 100049 (China); Wang Fuyi [Beijing National Laboratory for Molecular Sciences, Key Laboratory of Analytical Chemistry for Living Biosystems, Institute of Chemistry, Chinese Academy of Sciences, Beijing 100190 (China); Yang Gengliang [College of Pharmacy, Hebei University, Baoding 071002 (China)

    2011-04-29

    A new kind of immobilized trypsin reactor based on sub-micron skeletal polymer monolith has been developed. Covalent immobilization of trypsin on this support was performed using the epoxide functional groups in either a one- or a multi-step reaction. The proteolytic activity of the immobilized trypsin was measured by monitoring the formation of N-{alpha}-benzoyl-L-arginine (BA) which is the digestion product of a substrate N-{alpha}-benzoyl-L-arginine ethyl ester (BAEE). Results showed that the digestion speed was about 300 times faster than that performed in free solution. The performance of such an enzyme reactor was further demonstrated by digesting protein myoglobin. It has been found that the protein digestion could be achieved in 88 s at 30 deg. C, which is comparable to 24 h digestion in solution at 37 {sup o}C. Furthermore, the immobilized trypsin exhibits increased stability even after continuous use compared to that in free solution. The present monolithic enzyme-reactor provides a promising platform for the proteomic research.

  1. Total dose effects on the matching properties of deep submicron MOS transistors

    International Nuclear Information System (INIS)

    Wang Yuxin; Hu Rongbin; Li Ruzhang; Chen Guangbing; Fu Dongbing; Lu Wu

    2014-01-01

    Based on 0.18 μm MOS transistors, for the first time, the total dose effects on the matching properties of deep submicron MOS transistors are studied. The experimental results show that the total dose radiation magnifies the mismatch among identically designed MOS transistors. In our experiments, as the radiation total dose rises to 200 krad, the threshold voltage and drain current mismatch percentages of NMOS transistors increase from 0.55% and 1.4% before radiation to 17.4% and 13.5% after radiation, respectively. PMOS transistors seem to be resistant to radiation damage. For all the range of radiation total dose, the threshold voltage and drain current mismatch percentages of PMOS transistors keep under 0.5% and 2.72%, respectively. (semiconductor devices)

  2. Using a micro-molding process to fabricate polymeric wavelength filters

    Science.gov (United States)

    Chuang, Wei-Ching; Lee, An-Chen; Ho, Chi-Ting

    2008-08-01

    A procedure for fabricating a high aspect ratio periodic structure on a UV polymer at submicron order using holographic interferometry and molding processes is described. First, holographic interferometry using a He-Cd (325 nm) laser was used to create the master of the periodic line structure on an i-line sub-micron positive photoresist film. A 20 nm nickel thin film was then sputtered on the photoresist. The final line pattern on a UV polymer was obtained from casting against the master mold. Finally, a SU8 polymer was spun on the polymer grating to form a planar waveguide or a channel waveguide. The measurement results show that the waveguide length could be reduced for the waveguide having gratings with a high aspect ratio.

  3. Athermal and wavelength-trimmable photonic filters based on TiO₂-cladded amorphous-SOI.

    Science.gov (United States)

    Lipka, Timo; Moldenhauer, Lennart; Müller, Jörg; Trieu, Hoc Khiem

    2015-07-27

    Large-scale integrated silicon photonic circuits suffer from two inevitable issues that boost the overall power consumption. First, fabrication imperfections even on sub-nm scale result in spectral device non-uniformity that require fine-tuning during device operation. Second, the photonic devices need to be actively corrected to compensate thermal drifts. As a result significant amount of power is wasted if no athermal and wavelength-trimmable solutions are utilized. Consequently, in order to minimize the total power requirement of photonic circuits in a passive way, trimming methods are required to correct the device inhomogeneities from manufacturing and athermal solutions are essential to oppose temperature fluctuations of the passive/active components during run-time. We present an approach to fabricate CMOS backend-compatible and athermal passive photonic filters that can be corrected for fabrication inhomogeneities by UV-trimming based on low-loss amorphous-SOI waveguides with TiO2 cladding. The trimming of highly confined 10 μm ring resonators is proven over a free spectral range retaining athermal operation. The athermal functionality of 2nd-order 5 μm add/drop microrings is demonstrated over 40°C covering a broad wavelength interval of 60 nm.

  4. Compact Si-based asymmetric MZI waveguide on SOI as a thermo-optical switch

    Science.gov (United States)

    Rizal, C. S.; Niraula, B.

    2018-03-01

    A compact low power consuming asymmetric MZI based optical modulator with fast response time has been proposed on SOI platform. The geometrical and performance characteristics were analyzed in depth and optimized using coupled mode analysis and FDTD simulation tools, respectively. It was tested with and without implementation of thermo-optic (TO) effect. The device showed good frequency modulating characteristics when tested without the implementation of the TO effect. The fabricated device showed quality factor, Q ≈ 10,000, and this value is comparable to the Q of the device simulated with 25% transmission loss, showing FSR of 0.195 nm, FWHM ≈ 0.16 nm, and ER of 13 dB. With TO effect, it showed temperature sensitivity of 0.01 nm/°C and FSR of 0.19 nm. With the heater length of 4.18 mm, the device required 0.26 mW per π shift power with a switching voltage of 0.309 V, response time of 10 μ, and figure-of-merit of 2.6 mW μs. All of these characteristics make this device highly attractive for use in integrated Si photonics network as optical switch and wavelength modulator.

  5. Submicron confinement effect on electrical activation of B implanted in Si

    International Nuclear Information System (INIS)

    Bruno, E.; Mirabella, S.; Impellizzeri, G.; Priolo, F.; Giannazzo, F.; Raineri, V.; Napolitani, E.

    2005-01-01

    In this work we studied the effect of B implantation in Si through submicron laterally confined area on B clustering and its electrical activation. For this study, we implanted B 3 keV into a Si wafer grown by Molecular Beam Epitaxy (MBE) through a patterned oxide mask with opening widths down to 0.38 μm. Then, we annealed the sample at 800 deg. C for several times up to 120 min and monitored the 2D carrier profile by quantitative high resolution Scanning Capacitance Microscopy (SCM). We show that by reducing the opening widths, not only the B clustering is strongly reduced, but also the B cluster dissolution is accelerated. This demonstrates the beneficial role of implanted B confinement on the B electrical activation. The above results have a significant impact in the modern Si based electronic device engineering

  6. Submicron hollow spot generation by solid immersion lens and structured illumination

    International Nuclear Information System (INIS)

    Kim, M-S; Scharf, T; Herzig, H P; Assafrao, A C; Wachters, A J H; Pereira, S F; Urbach, H P; Brun, M; Olivier, S; Nicoletti, S

    2012-01-01

    We report on the experimental and numerical demonstration of immersed submicron-size hollow focused spots, generated by structuring the polarization state of an incident light beam impinging on a micro-size solid immersion lens (μ-SIL) made of SiO 2 . Such structured focal spots are characterized by a doughnut-shaped intensity distribution, whose central dark region is of great interest for optical trapping of nano-size particles, super-resolution microscopy and lithography. In this work, we have used a high-resolution interference microscopy technique to measure the structured immersed focal spots, whose dimensions were found to be significantly reduced due to the immersion effect of the μ-SIL. In particular, a reduction of 37% of the dark central region was verified. The measurements were compared with a rigorous finite element method model for the μ-SIL, revealing excellent agreement between them. (paper)

  7. A rugged 650 V SOI-based high-voltage half-bridge IGBT gate driver IC for motor drive applications

    Science.gov (United States)

    Hua, Qing; Li, Zehong; Zhang, Bo; Chen, Weizhong; Huang, Xiangjun; Feng, Yuxiang

    2015-05-01

    This paper proposes a rugged high-voltage N-channel insulated gate bipolar transistor (IGBT) gate driver integrated circuit. The device integrates a high-side and a low-side output stages on a single chip, which is designed specifically for motor drive applications. High-voltage level shift technology enables the high-side stage of this device to operate up to 650 V. The logic inputs are complementary metal oxide semiconductor (CMOS)/transistor transistor logic compatible down to 3.3 V. Undervoltage protection functionality with hysteresis characteristic has also been integrated to enhance the device reliability. The device is fabricated in a 1.0 μm, 650 V high-voltage bipolar CMOS double-diffused metal oxide semiconductor (BCD) on silicon-on-insulator (SOI) process. Deep trench dielectric isolation technology is employed to provide complete electrical isolation with advantages such as reduced parasitic effects, excellent noise immunity and low leakage current. Experimental results show that the isolation voltage of this device can be up to approximately 779 V at 25°C, and the leakage current is only 5 nA at 650 V, which is 15% higher and 67% lower than the conventional ones. In addition, it delivers an excellent thermal stability and needs very low quiescent current and offers a high gate driver capability which is needed to adequately drive IGBTs that have large input capacitances.

  8. Material synthesis for silicon integrated-circuit applications using ion implantation

    Science.gov (United States)

    Lu, Xiang

    As devices scale down into deep sub-microns, the investment cost and complexity to develop more sophisticated device technologies have increased substantially. There are some alternative potential technologies, such as silicon-on-insulator (SOI) and SiGe alloys, that can help sustain this staggering IC technology growth at a lower cost. Surface SiGe and SiGeC alloys with germanium peak composition up to 16 atomic percent are formed using high-dose ion implantation and subsequent solid phase epitaxial growth. RBS channeling spectra and cross-sectional TEM studies show that high quality SiGe and SiGeC crystals with 8 atomic percent germanium concentration are formed at the silicon surface. Extended defects are formed in SiGe and SiGeC with 16 atomic percent germanium concentration. X-ray diffraction experiments confirm that carbon reduces the lattice strain in SiGe alloys but without significant crystal quality improvement as detected by RBS channeling spectra and XTEM observations. Separation by plasma implantation of oxygen (SPIMOX) is an economical method for SOI wafer fabrication. This process employs plasma immersion ion implantation (PIII) for the implantation of oxygen ions. The implantation rate for Pm is considerably higher than that of conventional implantation. The feasibility of SPIMOX has been demonstrated with successful fabrication of SOI structures implementing this process. Secondary ion mass spectrometry (SIMS) analysis and cross-sectional transmission electron microscopy (XTEM) micrographs of the SPIMOX sample show continuous buried oxide under single crystal overlayer with sharp silicon/oxide interfaces. The operational phase space of implantation condition, oxygen dose and annealing requirement has been identified. Physical mechanisms of hydrogen induced silicon surface layer cleavage have been investigated using a combination of microscopy and hydrogen profiling techniques. The evolution of the silicon cleavage phenomenon is recorded by a series

  9. Fabrication of submicron-scale rectangular bar of transparent In-Ga-Zn-O: A study of the possible application of transparent In-Ga-Zn-O optical waveguide

    Science.gov (United States)

    Shimizu, Takashi; Kuwahara, Masashi

    2014-05-01

    We studied the optical properties of In-Ga-Zn-O (IGZO) films and found a very low extinction coefficient of the films. For the potential application of the films, we propose an optical waveguide device made of IGZO. We have succeeded in producing a submicron-scale rectangular-bar structure of IGZO using our newly developed dry etching process. Simulation results showed an ˜5 dB/cm propagation loss of a 400 × 400 nm2 square optical waveguide device of amorphous IGZO at a wavelength of 1.55 µm, when a standard deviation of ˜4 nm and a correlation length of ˜100 nm of sidewall roughness were achieved.

  10. Effects of neglecting carrier tunneling on electrostatic potential in calculating direct tunneling gate current in deep submicron MOSFETs

    OpenAIRE

    Hakim, MMA; Haque, A

    2002-01-01

    We investigate the validity of the assumption of neglecting carrier tunneling effects on self-consistent electrostatic potential in calculating direct tunneling gate current in deep submicron MOSFETs. Comparison between simulated and experimental results shows that for accurate modeling of direct tunneling current, tunneling effects on potential profile need to be considered. The relative error in gate current due to neglecting carrier tunneling is higher at higher gate voltages and increases...

  11. Wolte 5. low temperature electronics

    International Nuclear Information System (INIS)

    Balestra, F.; Dieudonne, F.; Jomaah, J.

    2002-01-01

    This book present the latest research and development results in advanced materials, technologies, devices, circuits and systems for low temperature electronics. The main themes of the papers are ranging from physics and fundamental aspects, modeling and simulation, to device and circuit design. The topics include advanced process and characterization, novel devices and cryogenic instrumentation. The papers are divided into nine sections, reflecting the main research efforts in different areas: i) deep submicron silicon MOSFETs, ii) alternative MOSFETs (SOI, innovating device architectures), iii) III-V devices, iv) other semiconductor devices (Ge devices, p-n junctions, IR sensors, semiconductor microcrystals), v) emerging devices and phenomena (nano Si-based devices, conduction and fluctuations mechanisms), vi) superconducting materials, vii) superconducting detectors, viii) superconducting devices and circuits (RSFQ, SIS mixers, metal-superconducting-semiconductor structures), ix) low temperature electronics for space applications. Six invited papers presented by internationally recognized authors, and 39 contributed papers are presented. The invited papers provide an excellent overview of today's status and progress, as well as tomorrow's challenges and trends in this important discipline for many cryogenic applications. (authors)

  12. PDADMAC flocculation of Chinese hamster ovary cells: enabling a centrifuge-less harvest process for monoclonal antibodies.

    Science.gov (United States)

    McNerney, Thomas; Thomas, Anne; Senczuk, Anna; Petty, Krista; Zhao, Xiaoyang; Piper, Rob; Carvalho, Juliane; Hammond, Matthew; Sawant, Satin; Bussiere, Jeanine

    2015-01-01

    High titer (>10 g/L) monoclonal antibody (mAb) cell culture processes are typically achieved by maintaining high viable cell densities over longer culture durations. A corresponding increase in the solids and sub-micron cellular debris particle levels are also observed. This higher burden of solids (≥15%) and sub-micron particles typically exceeds the capabilities of a continuous centrifuge to effectively remove the solids without a substantial loss of product and/or the capacity of the harvest filtration train (depth filter followed by membrane filter) used to clarify the centrate. We discuss here the use of a novel and simple two-polymer flocculation method used to harvest mAb from high cell mass cell culture processes. The addition of the polycationic polymer, poly diallyldimethylammonium chloride (PDADMAC) to the cell culture broth flocculates negatively-charged cells and cellular debris via an ionic interaction mechanism. Incorporation of a non-ionic polymer such as polyethylene glycol (PEG) into the PDADMAC flocculation results in larger flocculated particles with faster settling rate compared to PDADMAC-only flocculation. PDADMAC also flocculates the negatively-charged sub-micron particles to produce a feed stream with a significantly higher harvest filter train throughput compared to a typical centrifuged harvest feed stream. Cell culture process variability such as lactate production, cellular debris and cellular densities were investigated to determine the effect on flocculation. Since PDADMAC is cytotoxic, purification process clearance and toxicity assessment were performed.

  13. Critical current and electric transport properties of superconducting epitaxial Nb(Ti)N submicron structures

    Science.gov (United States)

    Klimov, A.; Słysz, W.; Guziewicz, M.; Kolkovsky, V.; Wegrzecki, M.; Bar, J.; Marchewka, M.; Seredyński, B.

    2016-12-01

    Critical current and current-voltage characteristics of epitaxial Nb(Ti)N submicron ultrathin structures were measured as function of temperature. For 700-nm-wide bridge we found current-driven vortex de-pinning at low temperatures and thermally activated flux flow closer to the transition temperature, as the limiting factors for the critical current density. For 100-nm-wide meander we observed combination of phase-slip activation and vortex-anti-vortex pair (VAP) thermal excitation. Our Nb(Ti)N meander structure demonstrates high de-pairing critical current densities 107 A/cm2 at low temperatures, but the critical currents are much smaller due to presence of the local constrictions.

  14. Improved Light Conversion Efficiency Of Dye-Sensitized Solar Cell By Dispersing Submicron-Sized Granules Into The Nano-Sized TiO2 Layer

    Directory of Open Access Journals (Sweden)

    Song S.A.

    2015-06-01

    Full Text Available In this work, TiO2 nanoparticles and submicron-sized granules were synthesized by a hydrothermal method and spray pyrolysis, respectively. Submicron-sized granules were dispersed into the nano-sized TiO2 layer to improve the light conversion efficiency. Granules showed better light scattering, but lower in terms of the dye-loading quantity and recombination resistance compared with nanoparticles. Consequently, the nano-sized TiO2 layer had higher cell efficiency than the granulized TiO2 layer. When dispersed granules into the nanoparticle layer, the light scattering was enhanced without the loss of dye-loading quantities. The dispersion of granulized TiO2 led to increase the cell efficiency up to 6.51%, which was about 5.2 % higher than that of the electrode consisting of only TiO2 nanoparticles. Finally, the optimal hydrothermal temperature and dispersing quantity of granules were found to be 200°C and 20 wt%, respectively.

  15. An injectable hybrid nanoparticle-in-oil-in-water submicron emulsion for improved delivery of poorly soluble drugs

    Science.gov (United States)

    Wang, Shuo; Wang, Hua; Liang, Wenquan; Huang, Yongzhuo

    2012-04-01

    Poor drugability problems are commonly seen in a class of chemical entities with poor solubility in water and oil, and moreover, physicochemical instability of these compounds poses extra challenges in design of dosage forms. Such problems contribute a significant high failure rate in new drug development. A hybrid nanoparicle-in-oil-in-water (N/O/W) submicron emulsion was proposed for improved delivery of poorly soluble and unstable drugs (e.g., dihydroartemisinin (DHA)). DHA is known for its potent antimalarial effect and antitumor activity. However, its insolubility and instability impose big challenges for formulations, and so far, no injectable dosage forms are clinically available yet. Therefore, an injectable DHA N/O/W system was developed. Unlike other widely-explored systems (e.g., liposomes, micelles, and emulsions), in which low drug load and only short-term storage are often found, the hybrid submicron emulsion possesses three-fold higher drug-loading capacity than the conventional O/W emulsion. Of note, it can be manufactured into a freeze-drying form and can render its storage up to 6 months even in room temperature. The in vivo studies demonstrated that the PK profiles were significantly improved, and this injectable system was effective in suppressing tumor growth. The strategy provides a useful solution to effective delivery of such a class of drugs.

  16. Plastic deformation of submicron-sized crystals studied by in-situ Kikuchi diffraction and dislocation imaging

    DEFF Research Database (Denmark)

    Zhang, Xiaodan; Godfrey, Andrew; Winther, Grethe

    2012-01-01

    The plastic deformation of submicron-size copper single crystals in the form of pillars has been characterized during in-situ compression in the transmission electron microscope up to strains of 28–33% using a state-of-the-art holder (PI-95 PicoIndenter). The dimensions of the crystals used were...... approx. 500×250×200 nm3 with the compression axis oriented 1.6° from [110]. Local crystallographic orientations have been determined with high accuracy using a Kikuchi diffraction method and glide of dislocations over a pillar has also been observed directly by dark field imaging. The variation...

  17. Charge-Domain Signal Processing of Direct RF SamplingMixer with Discrete-Time Filters in Bluetooth and GSM Receivers

    NARCIS (Netherlands)

    Ho, Y.C.; Staszewski, R.B.; Muhammad, K.; Hung, C.M.; Leipold, D.; Maggio, K.

    2006-01-01

    RF circuits for multi-GHz frequencies have recently migrated to low-cost digital deep-submicron CMOS processes. Unfortunately, this process environment, which is optimized only for digital logic and SRAM memory, is extremely unfriendly for conventional analog and RF designs. We present fundamental

  18. Separation of submicron particles from biofuel combustion with flue gas condensation or wet condensing electrostatic precipitator. Analysis of possibilities; Avskiljning av submikrona partiklar vid biobraenslefoerbraenning med roekgaskondensering eller kondenserande vaata elfilter. Analys av moejligheterna

    Energy Technology Data Exchange (ETDEWEB)

    Roennbaeck, Marie; Gustavsson, Lennart [Swedish National Testing and Research Inst., Boraas (Sweden)

    2006-11-15

    Dust particles in flue gas larger than 1 {mu}m are well separated by conventional techniques, while submicron particles are poorly separated. As the use of biofuels with high ash content is increasing, as well as knowledge about negative health effects from inhalation of submicron particles, the interest for reduction of emissions of submicron particles will probably increase. The aim of this project is to investigate possible techniques for separation of submicron particles during flue gas condensation through modification of conventional technique, or with available techniques not usually used with combustion of biofuels, e.g. a wet electrostatic precipitator. Mechanisms for separation of dust particles are briefly described. Cyclones separates particles larger than about 1 {mu}m. Fabric filters separates all particles sizes, but the efficiency reduces as the size reduces. In flue gas condensers and scrubbers the speed and size of water droplets are important for the reduction efficiency. Dry electrostatic precipitators work for all particle sizes, but with reduced efficiency for sizes between 0.1 and 3 {mu}m. Wet electrostatic precipitators separates submicron particles much better. One reason for this is that the potential between the electrodes can be higher. Among conventional flue gas condensers and scrubbers there are two types that, properly designed, can separate submicron particles, namely 'type venturi scrubbers', i.e. a scrubber where a high flue gas velocity is used to form many, small water droplets by friction forces in a nozzle, and 'type scrubber with nozzles', i.e. a scrubber where nozzles supply droplets to the flue gas. For a scrubber with nozzles, the falling velocity of the droplets must be lower and the size smaller than is common today. Also the wet electrostatic precipitator separates submicron particles with high efficiency. They are used today mainly for problematic particles, e.g. sticky or corrosive ones, or for

  19. Process informed accurate compact modelling of 14-nm FinFET variability and application to statistical 6T-SRAM simulations

    OpenAIRE

    Wang, Xingsheng; Reid, Dave; Wang, Liping; Millar, Campbell; Burenkov, Alex; Evanschitzky, Peter; Baer, Eberhard; Lorenz, Juergen; Asenov, Asen

    2016-01-01

    This paper presents a TCAD based design technology co-optimization (DTCO) process for 14nm SOI FinFET based SRAM, which employs an enhanced variability aware compact modeling approach that fully takes process and lithography simulations and their impact on 6T-SRAM layout into account. Realistic double patterned gates and fins and their impacts are taken into account in the development of the variability-aware compact model. Finally, global process induced variability and local statistical var...

  20. Submicron particulate organic matter in the urban atmosphere: a new method for real-time measurement, molecular-level characterization and source apportionment

    Science.gov (United States)

    Müller, Markus; Eichler, Philipp; D'Anna, Barbara; Tan, Wen; Wisthaler, Armin

    2017-04-01

    We used a novel chemical analytical method for measuring submicron particulate organic matter in the atmosphere of three European cities (Innsbruck, Lyon, Valencia). Proton-Transfer-Reaction Time-of-Flight Mass Spectrometry (PTR-ToF-MS) was used in combination with the "chemical analysis of aerosol online" (CHARON) inlet for detecting particulate organic compounds on-line (i.e. without filter pre-collection), in real-time (1-min time resolution), at ng m-3 concentrations, with molecular-level resolution (i.e. obtaining molecular weight and elemental composition information). The CHARON-PTR-ToF-MS system monitored molecular tracers associated with different particle sources including levoglucosan from biomass combustion, PAHs from vehicular traffic, nicotine from cigarette smoking, and monoterpene oxidation products secondarily formed from biogenic emissions. The tracer information was used for interpreting positive matrix factorization (PMF) data which allowed us to apportion the sources of submicron particulate organic matter in the different urban environments. This work was funded through the PIMMS ITN, which was supported by the European Commission's 7th Framework Programme under grant agreement number 287382.

  1. Exploring the value of mixed methods within the At Home/Chez Soi housing first project: a strategy to evaluate the implementation of a complex population health intervention for people with mental illness who have been homeless.

    Science.gov (United States)

    Macnaughton, Eric L; Goering, Paula N; Nelson, Geoffrey B

    2012-05-02

    This paper is a methodological case study that describes the At Home/Chez Soi (Housing First) Initiative's mixed-methods strategy for implementation evaluation and discusses the value of these methods in evaluating the implementation of such complex population health interventions. The Housing First (HF) model is being implemented in five cities: Vancouver, Winnipeg, Toronto, Montréal and Moncton. At Home/Chez Soi is an intervention trial that aims to address the issue of homelessness in people with mental health issues. The HF model emphasizes choices, hopefulness and connecting people with resources that make a difference to their quality of life. A component of HF is supported housing, which provides a rent subsidy and rapid access to housing of choice in private apartments; a second component is support. Quantitative and qualitative methods were used to evaluate HF implementation. The findings of this case study illustrate how the critical ingredients of complex interventions, such as HF, can be adapted to different contexts while implementation fidelity is maintained at a theoretical level. The findings also illustrate how the project's mixed methods approach helped to facilitate the adaptation process. Another value of this approach is that it identifies systemic and organizational factors (e.g., housing supply, discrimination, housing procurement strategy) that affect implementation of key elements of HF. In general, the approach provides information about both whether and how key aspects of the intervention are implemented effectively across different settings. It thus provides implementation data that are rigorous, contextually relevant and practical.

  2. One year online chemical speciation of submicron particulate matter (PM1) sampled at a French industrial and coastal site

    Science.gov (United States)

    Zhang, Shouwen; Riffault, Véronique; Dusanter, Sébastien; Augustin, Patrick; Fourmentin, Marc; Delbarre, Hervé

    2015-04-01

    The harbor of Dunkirk (Northern France) is surrounded by different industrial plants (metallurgy, petrochemistry, food processing, power plant, etc.), which emit gaseous and particulate pollutants such as Volatile Organic Compounds (VOCs), oxides of nitrogen (NOx) and sulfur (SO2), and submicron particles (PM1). These emissions are poorly characterized and their impact on neighboring urban areas has yet to be assessed. Studies are particularly needed in this type of complex environments to get a better understanding of PM1sources, especially from the industrial sector, their temporal variability, and their transformation. Several instruments, capable of real-time measurements (temporal resolution ≤ 30 min), were deployed at a site located downwind from the industrial area of Dunkirk for a one-year duration (July 2013-September 2014). An Aerosol Chemical Speciation Monitor (ACSM) and an Aethalometer monitored the main chemical species in the non-refractory submicron particles and black carbon, respectively. Concomitant measurements of trace gases and wind speed and direction were also performed. This dataset was analyzed considering four wind sectors, characteristics of marine, industrial, industrial-urban, and urban influences, and the different seasons. We will present a descriptive analysis of PM1, showing strong variations of ambient concentrations, as well as evidences of SO2 to SO4 gas-particle conversion when industrial plumes reached the monitoring site. The organic fraction measured by ACSM (37% of the total mass on average) was analyzed using a source-receptor model based on Positive Matrix Factorization (PMF) to identify chemical signatures of main emission sources and to quantify the contribution of each source to the PM1 budget given the wind sector. Four main factors were identified: hydrocarbon organic aerosol (HOA), oxygenated organic aerosol (OOA), biomass burning organic aerosol (BBOA) and cooking-like organic aerosol (COA). Overall, the total PM

  3. On-chip grating coupler array on the SOI platform for fan-in/fan-out of MCFs with low insertion loss and crosstalk

    DEFF Research Database (Denmark)

    Ding, Yunhong; Ye, Feihong; Peucheret, Christophe

    2015-01-01

    We report the design and fabrication of a compact multi-core fiber fan-in/fan-out using a grating coupler array on the SOI platform. The grating couplers are fully-etched, enabling the whole circuit to be fabricated in a single lithography and etching step. Thanks to the apodized design...... for the grating couplers and the introduction of an aluminum reflective mirror, a highest coupling efficiency of -3.8 dB with 3 dB coupling bandwidth of 48 nm and 1.5 dB bandwidth covering the whole C band, together with crosstalk lower than -32 dB are demonstrated. (C)2015 Optical Society of America...

  4. Production and characterization of submicron hematite (α−Fe{sub 2}O{sub 3}) particles by ultrasonic spray pyrolysis method

    Energy Technology Data Exchange (ETDEWEB)

    Kırcı, Burak; Ebin, Burçak; Gürmen, Sebahattin [Department of Metallurgical and Materials Engineering, Istanbul Technical University Istanbul (Turkey)

    2013-12-16

    The ultrasonic spray pyrolysis (USP) method has been used to prepare submicron hematite (α−Fe{sub 2}O{sub 3}) particles using two different industrial pickling solutions of iron chloride (41 g/L FeCl{sub 2} and 54 g/L FeCl{sub 3}) Particles were obtained by thermal decomposition of generated aerosols from precursor solutions using 1.7 MHz ultrasonic atomizer. Reaction temperature was set up at 800 °C and aerosol droplets were carried into the heated zone by 0.7 L/min air flow rate. X-Ray Diffraction (XRD) studies were used to determine the crystal structure and crystallite size of the particles. Results indicate that patterns correspond to hematite phase with rhombohedral crystal structure (space group: R3c). The crystallite sizes of particles prepared from FeCl{sub 2} and FeCl{sub 3} solutions that were calculated from Scherrer equation are 59 and 33 nm, respectively. Scanning electron microscope (SEM) and energy dispersive spectroscopy (EDS) investigations give detailed information about particle size, morphology and composition. SEM micrographs show that hematite nanoparticles aggregate and formed spherical secondary particles in submicron range.

  5. Size-selective separation of submicron particles in suspensions with ultrasonic atomization.

    Science.gov (United States)

    Nii, Susumu; Oka, Naoyoshi

    2014-11-01

    Aqueous suspensions containing silica or polystyrene latex were ultrasonically atomized for separating particles of a specific size. With the help of a fog involving fine liquid droplets with a narrow size distribution, submicron particles in a limited size-range were successfully separated from suspensions. Performance of the separation was characterized by analyzing the size and the concentration of collected particles with a high resolution method. Irradiation of 2.4MHz ultrasound to sample suspensions allowed the separation of particles of specific size from 90 to 320nm without regarding the type of material. Addition of a small amount of nonionic surfactant, PONPE20 to SiO2 suspensions enhanced the collection of finer particles, and achieved a remarkable increase in the number of collected particles. Degassing of the sample suspension resulted in eliminating the separation performance. Dissolved air in suspensions plays an important role in this separation. Copyright © 2014 Elsevier B.V. All rights reserved.

  6. The total dose effects on the 1/f noise of deep submicron CMOS transistors

    International Nuclear Information System (INIS)

    Hu Rongbin; Wang Yuxin; Lu Wu

    2014-01-01

    Using 0.18 μm CMOS transistors, the total dose effects on the 1/f noise of deep-submicron CMOS transistors are studied for the first time in mainland China. From the experimental results and the theoretic analysis, we realize that total dose radiation causes a lot of trapped positive charges in STI (shallow trench isolation) SiO 2 layers, which induces a current leakage passage, increasing the 1/f noise power of CMOS transistors. In addition, we design some radiation-hardness structures on the CMOS transistors and the experimental results show that, until the total dose achieves 750 krad, the 1/f noise power of the radiation-hardness CMOS transistors remains unchanged, which proves our conclusion. (semiconductor devices)

  7. Process-conditioned bias correction for seasonal forecasting: a case-study with ENSO in Peru

    Science.gov (United States)

    Manzanas, R.; Gutiérrez, J. M.

    2018-05-01

    This work assesses the suitability of a first simple attempt for process-conditioned bias correction in the context of seasonal forecasting. To do this, we focus on the northwestern part of Peru and bias correct 1- and 4-month lead seasonal predictions of boreal winter (DJF) precipitation from the ECMWF System4 forecasting system for the period 1981-2010. In order to include information about the underlying large-scale circulation which may help to discriminate between precipitation affected by different processes, we introduce here an empirical quantile-quantile mapping method which runs conditioned on the state of the Southern Oscillation Index (SOI), which is accurately predicted by System4 and is known to affect the local climate. Beyond the reduction of model biases, our results show that the SOI-conditioned method yields better ROC skill scores and reliability than the raw model output over the entire region of study, whereas the standard unconditioned implementation provides no added value for any of these metrics. This suggests that conditioning the bias correction on simple but well-simulated large-scale processes relevant to the local climate may be a suitable approach for seasonal forecasting. Yet, further research on the suitability of the application of similar approaches to the one considered here for other regions, seasons and/or variables is needed.

  8. Mechanically Robust, Stretchable Solar Absorbers with Submicron-Thick Multilayer Sheets for Wearable and Energy Applications.

    Science.gov (United States)

    Lee, Hye Jin; Jung, Dae-Han; Kil, Tae-Hyeon; Kim, Sang Hyeon; Lee, Ki-Suk; Baek, Seung-Hyub; Choi, Won Jun; Baik, Jeong Min

    2017-05-31

    A facile method to fabricate a mechanically robust, stretchable solar absorber for stretchable heat generation and an enhanced thermoelectric generator (TEG) is demonstrated. This strategy is very simple: it uses a multilayer film made of titanium and magnesium fluoride optimized by a two-dimensional finite element frequency-domain simulation, followed by the application of mechanical stresses such as bending and stretching to the film. This process produces many microsized sheets with submicron thickness (∼500 nm), showing great adhesion to any substrates such as fabrics and polydimethylsiloxane. It exhibits a quite high light absorption of approximately 85% over a wavelength range of 0.2-4.0 μm. Under 1 sun illumination, the solar absorber on various stretchable substrates increased the substrate temperature to approximately 60 °C, irrespective of various mechanical stresses such as bending, stretching, rubbing, and even washing. The TEG with the absorber on the top surface also showed an enhanced output power of 60%, compared with that without the absorber. With an incident solar radiation flux of 38.3 kW/m 2 , the output power significantly increased to 24 mW/cm 2 because of the increase in the surface temperature to 141 °C.

  9. Preparation and optimization of submicron chitosan capsules by water-based electrospraying for food and bioactive packaging applications.

    Science.gov (United States)

    Sreekumar, Sruthi; Lemke, Philipp; Moerschbacher, Bruno M; Torres-Giner, Sergio; Lagaron, Jose M

    2017-10-01

    In the present study, a well-defined set of chitosans, with different degrees of acetylation (DA) and degrees of polymerization (DP), were processed by solution electrospraying from a water-based solvent. The solution properties, in terms of surface tension, conductivity, viscosity, and pH, were characterized and related to the physico-chemical properties of the chitosans. It was observed that both DA and DP values of a given chitosan, in combination with biopolymer concentration, mainly determined solution viscosity. This was, in turn, the major driving factor that defined the electrosprayability of chitosan. In addition, the physico-chemical properties of chitosans highly influenced solution conductivity and results indicated that the chitosan solutions with low or low-to-medium values of conductivity were the most optimal for electrospraying. The results obtained here also demonstrate that a good process control can be achieved by adjusting the working conditions, i.e. applied voltage, flow-rate, and tip-to-collector distance. Finally, it was also shown that electrosprayability of chitosan with inadequate physico-chemical properties can be improved by solution mixing of very different kinds of this polysaccharide. The resultant electrosprayed submicron chitosan capsules can be applied for encapsulation of food additives and to develop bioactive coatings of interest in food packaging, where these particles alone or containing functional ingredients can be released from the package into the food to promote a health benefit.

  10. Indoor and Outdoor Levels and Sources of Submicron Particles (PM1) at Homes in Edmonton, Canada.

    Science.gov (United States)

    Bari, Md Aynul; Kindzierski, Warren B; Wallace, Lance A; Wheeler, Amanda J; MacNeill, Morgan; Héroux, Marie-Ève

    2015-06-02

    Exposure to submicron particles (PM1) is of interest due to their possible chronic and acute health effects. Seven consecutive 24-h PM1 samples were collected during winter and summer 2010 in a total of 74 nonsmoking homes in Edmonton, Canada. Median winter concentrations of PM1 were 2.2 μg/m(3) (interquartile range, IQR = 0.8-6.1 μg/m(3)) and 3.3 μg/m(3) (IQR = 1.5-6.9 μg/m(3)) for indoors and outdoors, respectively. In the summer, indoor (median 4.4 μg/m(3), IQR = 2.4-8.6 μg/m(3)) and outdoor (median 4.3 μg/m(3), IQR = 2.6-7.4 μg/m(3)) levels were similar. Positive matrix factorization (PMF) was applied to identify and apportion indoor and outdoor sources of elements in PM1 mass. Nine sources contributing to both indoor and outdoor PM1 concentrations were identified including secondary sulfate, soil, biomass smoke and environmental tobacco smoke (ETS), traffic, settled and mixed dust, coal combustion, road salt/road dust, and urban mixture. Three additional indoor sources were identified i.e., carpet dust, copper-rich, and silver-rich. Secondary sulfate, soil, biomass smoke and ETS contributed more than 70% (indoors: 0.29 μg/m(3), outdoors: 0.39 μg/m(3)) of measured elemental mass in PM1. These findings can aid understanding of relationships between submicron particles and health outcomes for indoor/outdoor sources.

  11. Modified two-step emulsion solvent evaporation technique for fabricating biodegradable rod-shaped particles in the submicron size range.

    Science.gov (United States)

    Safari, Hanieh; Adili, Reheman; Holinstat, Michael; Eniola-Adefeso, Omolola

    2018-05-15

    Though the emulsion solvent evaporation (ESE) technique has been previously modified to produce rod-shaped particles, it cannot generate small-sized rods for drug delivery applications due to the inherent coupling and contradicting requirements for the formation versus stretching of droplets. The separation of the droplet formation from the stretching step should enable the creation of submicron droplets that are then stretched in the second stage by manipulation of the system viscosity along with the surface-active molecule and oil-phase solvent. A two-step ESE protocol is evaluated where oil droplets are formed at low viscosity followed by a step increase in the aqueous phase viscosity to stretch droplets. Different surface-active molecules and oil phase solvents were evaluated to optimize the yield of biodegradable PLGA rods. Rods were assessed for drug loading via an imaging agent and vascular-targeted delivery application via blood flow adhesion assays. The two-step ESE method generated PLGA rods with major and minor axis down to 3.2 µm and 700 nm, respectively. Chloroform and sodium metaphosphate was the optimal solvent and surface-active molecule, respectively, for submicron rod fabrication. Rods demonstrated faster release of Nile Red compared to spheres and successfully targeted an inflamed endothelium under shear flow in vitro and in vivo. Copyright © 2018 Elsevier Inc. All rights reserved.

  12. Characterization of silicon-on-insulator wafers

    Science.gov (United States)

    Park, Ki Hoon

    The silicon-on-insulator (SOI) is attracting more interest as it is being used for an advanced complementary-metal-oxide-semiconductor (CMOS) and a base substrate for novel devices to overcome present obstacles in bulk Si scaling. Furthermore, SOI fabrication technology has improved greatly in recent years and industries produce high quality wafers with high yield. This dissertation investigated SOI material properties with simple, yet accurate methods. The electrical properties of as-grown wafers such as electron and hole mobilities, buried oxide (BOX) charges, interface trap densities, and carrier lifetimes were mainly studied. For this, various electrical measurement techniques were utilized such as pseudo-metal-oxide-semiconductor field-effect-transistor (PseudoMOSFET) static current-voltage (I-V) and transient drain current (I-t), Hall effect, and MOS capacitance-voltage/capacitance-time (C-V/C-t). The electrical characterization, however, mainly depends on the pseudo-MOSFET method, which takes advantage of the intrinsic SOI structure. From the static current-voltage and pulsed measurement, carrier mobilities, lifetimes and interface trap densities were extracted. During the course of this study, a pseudo-MOSFET drain current hysteresis regarding different gate voltage sweeping directions was discovered and the cause was revealed through systematic experiments and simulations. In addition to characterization of normal SOI, strain relaxation of strained silicon-on-insulator (sSOI) was also measured. As sSOI takes advantage of wafer bonding in its fabrication process, the tenacity of bonding between the sSOI and the BOX layer was investigated by means of thermal treatment and high dose energetic gamma-ray irradiation. It was found that the strain did not relax with processes more severe than standard CMOS processes, such as anneals at temperature as high as 1350 degree Celsius.

  13. Water ice and sub-micron ice particles on Tethys and Mimas

    Science.gov (United States)

    Scipioni, Francesca; Nordheim, Tom; Clark, Roger Nelson; D'Aversa, Emiliano; Cruikshank, Dale P.; Tosi, Federico; Schenk, Paul M.; Combe, Jean-Philippe; Dalle Ore, Cristina M.

    2017-10-01

    IntroductionWe present our ongoing work, mapping the variation of the main water ice absorption bands, and the distribution of the sub-micron particles, across Mimas and Tethys’ surfaces using Cassini-VIMS cubes acquired in the IR range (0.8-5.1 μm). We present our results in the form of maps of variation of selected spectral indicators (depth of absorption bands, reflectance peak height, spectral slopes).Data analysisVIMS acquires hyperspectral data in the 0.3-5.1 μm spectral range. We selected VIMS cubes of Tethys and Mimas in the IR range (0.8-5.1 μm). For all pixels in the selected cubes, we measured the band depths for water-ice absorptions at 1.25, 1.5 and 2.02 μm and the height of the 3.6 μm reflection peak. Moreover, we considered the spectral indictors for particles smaller than 1 µm [1]: (i) the 2 µm absorption band is asymmetric and (ii) it has the minimum shifted to longer λ (iii) the band depth ratio 1.5/2.0 µm decreases; (iv) the reflection peak at 2.6 µm decreases; (v) the Fresnel reflection peak is suppressed; (vi) the 5 µm reflectance is decreased relative to the 3.6 µm peak. To characterize the global variation of water-ice band depths, and of sub-micron particles spectral indicators, across Mimas and Tethys, we sampled the two satellites’ surfacees with a 1°x1° fixed-resolution grid and then averaged the band depths and peak values inside each square cell.3. ResultsFor both moons we find that large geologic features, such as the Odysseus and Herschel impact basins, do not correlate with water ice’s abundance variation. For Tethys, we found a quite uniform surface on both hemispheres. The only deviation from this pattern shows up on the trailing hemisphere, where we notice two north-oriented, dark areas around 225° and 315°. For Mimas, the leading and trailing hemispheres appear to be quite similar in water ice abundance, the trailing portion having water ice absorption bands lightly more suppressed than the leading side

  14. Effects of surface chemistry on coagulation of submicron iron oxide particles (α-Fe_2O_3) in water

    OpenAIRE

    Liang, Liyuan

    1988-01-01

    Particles in the colloidal size range, i.e. smaller than 10^(-6) meter, are of interest in environmental science and many other fields of science and engineering. Since aqueous oxide particles have high specific surface areas they adsorb ions and molecules from water, and may remain stable in the aqueous phase with respect to coagulation. Submicron particles collide as a result of their thermal energy, and the effective collision rate is slowed by electric repulsion forces. A key to understan...

  15. Vécu des situations scolaires, estime de soi et Développement : du jugement moral a la période de la latence

    Directory of Open Access Journals (Sweden)

    Emile-Henri Riard

    2011-06-01

    Full Text Available Suivant une approche de psychologie sociale clinique, le point de vue adopté dans cet article est triple : 1- considérer les situations scolaires “ ordinaires ” comme potentiellement génératrices de difficultés; 2- s’inscrire en amont de l’adolescence afin d’améliorer la compréhension de cette dernière; 3 – considérer le vécu des élèves. La recherche menée en France (enfants de 6 à 11 ans, par questionnaire (48 situations relevant de la scolarité : classe, cour de récréation, trajet domicile/école et domicile ont été proposées ; test d’estime de soi (Coopersmith ; développement moral (Kohlberg. Variables : âge, sexe, mode d’habitat, position scolaire, classement, département. Les résultats (analyse de variance démontrent un fonctionnement “ en bloc ” du niveau de vécu de difficulté. Ressortent comme variables significatives, par ordre d’importance décroissante: le sexe (les garçons ressentent davantage les difficultés que les filles; l’âge (le niveau de difficulté vécue décroît avec l’âge mais concerne surtout la cour de récréation ; le mode d’habitat (collectif. La classe est l’espace le plus porteur de différences de vécu de difficultés indépendamment des variables. Le niveau d’autonomie et l’estime de soi sont schématiquement inversement proportionnés au niveau de difficulté vécu. La conclusion met l’accent sur l’importance des effets interactif et d’accumulation des situations.

  16. Improved crystallinity, spatial arrangement and monodispersity of submicron La{sub 0.7}Ba{sub 0.3}MnO{sub 3} powders: A citrate chelation approach

    Energy Technology Data Exchange (ETDEWEB)

    Rao, Ch. N. [Department of Applied Physics, Defence Institute of Advanced Technology, Girinagar, Pune 411025 (India); Samatham, S. Shanmukharao; Ganesan, V.; Sathe, V.G.; Phase, D.M. [UGC-DAE Consortium for Scientific Research, Khandwa Road, Indore 452 017 (India); Kale, S.N., E-mail: sangeetakale2004@gmail.com [Department of Applied Physics, Defence Institute of Advanced Technology, Girinagar, Pune 411025 (India)

    2012-11-15

    The perovskite manganite systems have been the materials of tremendous interest due to their strong correlation between structure, transport and magnetism. These materials in their single-crystal form show colossal magneto-resistance (CMR), but the applied fields are very high ({approx}1-5 T). The polycrystalline samples do show high low-field magneto-resistance (LFMR), but good amount of control over particle sizes and grain-boundary distribution is required, which is well known but less realized in practical approaches. In this context, we report on synthesis and manipulation of polycrystalline La{sub 0.7}Ba{sub 0.3}MnO{sub 3} (LBMO) submicron powders using citric acid chelation. The Citrate-gel route is used to synthesize poly-dispersed LBMO powders which are subjected to citrate chelation for a duration of 0 (LB0) to 4 h(LB4) . The samples show improved ordering in X-ray diffraction patterns. Raman spectroscopy scans indicate changed mode signatures due to the probable chelating process, which alters the surface morphology. X-ray photoelectron microscopy shows an evidence of fine citrate layer on the grain boundaries. Low temperature B-H curves exhibit fine hysteresis loops for all samples, while room temperature B-H curves shows paramagnetic response. Scanning electron microscopy images showed the formation of well arranged, connected, mono-dispersed grains of LB4 sample, as against polydispered LB0. The magneto-resistance (at H=100 kOe) is seen to enhance for LB4 at its transition temperature (75%, as compared to LB0, where it is 60%), which can be attributed to the well-controlled inter-grain tunneling phenomenon and thin insulating regions in between, created due to citrate chelation, which probably enhances the scattering phenomenon and its susceptibility to applied fields. As citric acid is known to chelate Mn ions, it probably chelates the smaller LB particulate structure and leaves behind citrate-connected submicron grains of LBMO, which are seen to be

  17. Influence of Bipolar Pulse Poling Technique for Piezoelectric Vibration Energy Harvesters using Pb(Zr,Ti)O3 Films on 200 mm SOI Wafers

    International Nuclear Information System (INIS)

    Moriwaki, N; Fujimoto, K; Suzuki, K; Kobayashi, T; Itoh, T; Maeda, R; Suzuki, Y; Makimoto, N

    2013-01-01

    Piezoelectric vibration energy harvester arrays using Pb(Zr,Ti)O 3 thin films on 200 mm SOI wafers were fabricated. In-plane distribution of influence of bipolar pulse poling technique on direct current (DC) power output from the harvesters was investigated. The results indicate that combination poling treatment of DC and bipolar pulse poling increases a piezoelectric property and reduces a dielectric constant. It means that this poling technique improves the figure of merit of sensors and harvesters. Maximum DC power from a harvester treated by DC poling after bipolar pulse poling is about five times larger than a one treated by DC poling only

  18. The effects of synthesis parameters on the formation of PbI2 particles under DTAB-assisted hydrothermal process

    International Nuclear Information System (INIS)

    Zhu Gangqiang; Hojamberdiev, Mirabbos; Liu Peng; Peng Jianhong; Zhou Jianping; Bian Xiaobin; Huang Xijin

    2011-01-01

    Highlights: ► Submicron- and micron-sized PbI 2 particles were hydrothermally synthesized. ► Structural transformation form belt-like to rod- and microtube-like was observed. ► Phase-pure PbI 2 particles could be hydrothermally obtained at pH 2 particles. ► The optical band gap energy of PbI 2 was slightly affected by morphology. - Abstract: Submicron- and micron-sized lead iodide (PbI 2 ) particles with well-controlled morphologies were successfully fabricated via a low-temperature hydrothermal process assisted by dodecyltrimethylammonium bromide (DTAB) as cationic surfactant. The as-synthesized powders were characterized by X-ray diffraction, scanning electron microscopy, transmission electron microscopy, and UV–vis spectroscopy. The effects of synthesis parameters (temperature, time, pH, and surfactant amount) were systematically investigated. The obtained results showed that the submicron structure was belt-like at 100–120 °C, transformed to rod-like by increasing temperature to 140 °C and it became a microtube-like at 160–200 °C. By changing the pH of the synthesizing solution, it was found that a pure PbI 2 phase could be obtained below 7. With the addition of increasing amount of surfactant, microparticles were converted to microrods → submicron belts → microtubes. The time-dependent experimental results revealed that the dissolution–recrystallization and dissolution–recrystallization–self-oriented-attachment were considered to be the possible mechanisms for the formation of the belt- and tube-like PbI 2 submicron- and micron-sized particles, respectively. The optical properties of the PbI 2 particles synthesized at 100–200 °C for 8 h under hydrothermal conditions were also studied.

  19. Spectromicroscopy of catalytic relevant processes with sub-micron resolution

    International Nuclear Information System (INIS)

    Guenther, S.; Esch, F.; Gregoratti, L.; Marsi, M.; Kiskinova, M.; Schubert, U. A.; Grotz, P.; Knoezinger, H.; Taglauer, E.; Schuetz, E.; Schaak, A.; Imbihl, R.

    2000-01-01

    The capabilities of the Scanning Photo Electron Microscope (SPEM) at ELETTRA as a unique probing tool in the field of catalysis and surface science are illustrated presenting results of two recent investigations. The lateral resolution and the high surface sensitivity of the SPEM has enabled imaging the initial steps of the spreading processes of MoO 3 crystals on an alumina support surface, a model system of a catalyst used in petrochemistry. In the second study the local adsorbate coverage inside a pulse of a chemical wave occurring in the catalytic NO+H 2 reaction on a Rh(110) single crystal surface has been determined. The microscope was used to monitor the sample surface in situ during the reaction and thus characterizing a temporal and spatial inhomogeneous system. The so-called excitation cycle of the pulse formation has been verified and the adsorbate gradient inside a chemical wave was measured

  20. Molecular sensing using monolayer floating gate, fully depleted SOI MOSFET acting as an exponential transducer.

    Science.gov (United States)

    Takulapalli, Bharath R

    2010-02-23

    Field-effect transistor-based chemical sensors fall into two broad categories based on the principle of signal transduction-chemiresistor or Schottky-type devices and MOSFET or inversion-type devices. In this paper, we report a new inversion-type device concept-fully depleted exponentially coupled (FDEC) sensor, using molecular monolayer floating gate fully depleted silicon on insulator (SOI) MOSFET. Molecular binding at the chemical-sensitive surface lowers the threshold voltage of the device inversion channel due to a unique capacitive charge-coupling mechanism involving interface defect states, causing an exponential increase in the inversion channel current. This response of the device is in opposite direction when compared to typical MOSFET-type sensors, wherein inversion current decreases in a conventional n-channel sensor device upon addition of negative charge to the chemical-sensitive device surface. The new sensor architecture enables ultrahigh sensitivity along with extraordinary selectivity. We propose the new sensor concept with the aid of analytical equations and present results from our experiments in liquid phase and gas phase to demonstrate the new principle of signal transduction. We present data from numerical simulations to further support our theory.

  1. Aerosols in the tropical and subtropical UT/LS: in-situ measurements of submicron particle abundance and volatility

    Directory of Open Access Journals (Sweden)

    S. Borrmann

    2010-06-01

    Full Text Available Processes occurring in the tropical upper troposphere (UT, the Tropical Transition Layer (TTL, and the lower stratosphere (LS are of importance for the global climate, for stratospheric dynamics and air chemistry, and for their influence on the global distribution of water vapour, trace gases and aerosols. In this contribution we present aerosol and trace gas (in-situ measurements from the tropical UT/LS over Southern Brazil, Northern Australia, and West Africa. The instruments were operated on board of the Russian high altitude research aircraft M-55 "Geophysica" and the DLR Falcon-20 during the campaigns TROCCINOX (Araçatuba, Brazil, February 2005, SCOUT-O3 (Darwin, Australia, December 2005, and SCOUT-AMMA (Ouagadougou, Burkina Faso, August 2006. The data cover submicron particle number densities and volatility from the COndensation PArticle counting System (COPAS, as well as relevant trace gases like N2O, ozone, and CO. We use these trace gas measurements to place the aerosol data into a broader atmospheric context. Also a juxtaposition of the submicron particle data with previous measurements over Costa Rica and other tropical locations between 1999 and 2007 (NASA DC-8 and NASA WB-57F is provided. The submicron particle number densities, as a function of altitude, were found to be remarkably constant in the tropical UT/LS altitude band for the two decades after 1987. Thus, a parameterisation suitable for models can be extracted from these measurements. Compared to the average levels in the period between 1987 and 2007 a slight increase of particle abundances was found for 2005/2006 at altitudes with potential temperatures, Θ, above 430 K. The origins of this increase are unknown except for increases measured during SCOUT-AMMA. Here the eruption of the Soufrière Hills volcano in the Caribbean caused elevated particle mixing ratios. The vertical profiles from Northern hemispheric mid-latitudes between 1999 and 2006 also are

  2. Sub-micron resolution selected area electron channeling patterns.

    Science.gov (United States)

    Guyon, J; Mansour, H; Gey, N; Crimp, M A; Chalal, S; Maloufi, N

    2015-02-01

    Collection of selected area channeling patterns (SACPs) on a high resolution FEG-SEM is essential to carry out quantitative electron channeling contrast imaging (ECCI) studies, as it facilitates accurate determination of the crystal plane normal with respect to the incident beam direction and thus allows control the electron channeling conditions. Unfortunately commercial SACP modes developed in the past were limited in spatial resolution and are often no longer offered. In this contribution we present a novel approach for collecting high resolution SACPs (HR-SACPs) developed on a Gemini column. This HR-SACP technique combines the first demonstrated sub-micron spatial resolution with high angular accuracy of about 0.1°, at a convenient working distance of 10mm. This innovative approach integrates the use of aperture alignment coils to rock the beam with a digitally calibrated beam shift procedure to ensure the rocking beam is maintained on a point of interest. Moreover a new methodology to accurately measure SACP spatial resolution is proposed. While column considerations limit the rocking angle to 4°, this range is adequate to index the HR-SACP in conjunction with the pattern simulated from the approximate orientation deduced by EBSD. This new technique facilitates Accurate ECCI (A-ECCI) studies from very fine grained and/or highly strained materials. It offers also new insights for developing HR-SACP modes on new generation high-resolution electron columns. Copyright © 2014 Elsevier B.V. All rights reserved.

  3. Synergistic effect of PLGA nanoparticles and submicron triglyceride droplets in enhancing the intestinal solubilisation of a lipophilic weak base.

    Science.gov (United States)

    Joyce, Paul; Prestidge, Clive A

    2018-06-15

    A novel hybrid microparticulate system composed of poly(lactic-co-glycolic) acid (PLGA) nanoparticles and submicron medium-chain triglyceride (MCT) droplets was fabricated to overcome the pH-dependent solubility and precipitation challenges associated with a model poorly water-soluble weak base, cinnarizine (CIN). Molecular CIN was confined within both the lipid and polymer phase of PLGA-lipid hybrid (PLH) and PLGA-lipid-mannitol hybrid (PLMH) particles, which offered significant biopharmaceutical advantages in comparison to the unformulated drug, submicron MCT droplets and PLGA nanoparticles. This was highlighted by a substantial reduction in the pH-induced precipitation during in vitro gastrointestinal two-step dissolution studies. A >2.5-fold solubilisation enhancement was observed for the composite particles during simulated intestinal conditions, compared to pure CIN. Furthermore, the drug solubilisation capacity during in vitro intestinal digesting conditions was ~2-2.5 times greater for PLMH particles compared to the precursor emulsion droplets and PLGA nanoparticles. The observations from this study indicate that a synergy exists between the degradation products of PLGA nanoparticles and lipid droplets, whereby the dual-phase release and dissolution mechanism of the hybrid particles aids in prolonging pH-provoked precipitation. Subsequently, the ability for PLGA polymers and oligomers to act as polymeric precipitation inhibitors has been highlighted for the first time. Copyright © 2018 Elsevier B.V. All rights reserved.

  4. Block copolymer stabilized nonaqueous biocompatible sub-micron emulsions for topical applications.

    Science.gov (United States)

    Atanase, Leonard Ionut; Riess, Gérard

    2013-05-20

    Polyethylene glycol (PEG) 400/Miglyol 812 non-aqueous sub-micron emulsions were developed due to the fact that they are of interest for the design of drug-loaded biocompatible topical formulations. These types of emulsions were favourably stabilized by poly (2-vinylpyridine)-b-poly (butadiene) (P2VP-b-PBut) copolymer with DPBut>DP2VP, each of these sequences being well-adapted to the solubility parameters of PEG 400 and Miglyol 812, respectively. This type of block copolymers, which might limit the Ostwald ripening, appeared to be more efficient stabilizers than low molecular weight non-ionic surfactants. The emulsion characteristics, such as particle size, stability and viscosity at different shear rates were determined as a function of the phase ratio, the copolymer concentration and storage time. It was further shown that Acyclovir, as a model drug of low water solubility, could be incorporated into the PEG 400 dispersed phase, with no significant modification of the initial emulsion characteristics. Copyright © 2013 Elsevier B.V. All rights reserved.

  5. Toward Quantifying the Mass-Based Hygroscopicity of Individual Submicron Atmospheric Aerosol Particles with STXM/NEXAFS and SEM/EDX

    Science.gov (United States)

    Yancey Piens, D.; Kelly, S. T.; OBrien, R. E.; Wang, B.; Petters, M. D.; Laskin, A.; Gilles, M. K.

    2014-12-01

    The hygroscopic behavior of atmospheric aerosols influences their optical and cloud-nucleation properties, and therefore affects climate. Although changes in particle size as a function of relative humidity have often been used to quantify the hygroscopic behavior of submicron aerosol particles, it has been noted that calculations of hygroscopicity based on size contain error due to particle porosity, non-ideal volume additivity and changes in surface tension. We will present a method to quantify the hygroscopic behavior of submicron aerosol particles based on changes in mass, rather than size, as a function of relative humidity. This method results from a novel experimental approach combining scanning transmission x-ray microscopy with near-edge x-ray absorption fine spectroscopy (STXM/NEXAFS), as well as scanning electron microscopy with energy dispersive x-ray spectroscopy (SEM/EDX) on the same individual particles. First, using STXM/NEXAFS, our methods are applied to aerosol particles of known composition ‒ for instance ammonium sulfate, sodium bromide and levoglucosan ‒ and validated by theory. Then, using STXM/NEXAFS and SEM/EDX, these methods are extended to mixed atmospheric aerosol particles collected in the field at the DOE Atmospheric Radiation Measurement (ARM) Climate Research Facility at the Southern Great Planes sampling site in Oklahoma, USA. We have observed and quantified a range of hygroscopic behaviors which are correlated to the composition and morphology of individual aerosol particles. These methods will have implications for parameterizing aerosol mixing state and cloud-nucleation activity in atmospheric models.

  6. Use of submicron carbon filaments in place of carbon black as a porous reduction electrode in lithium batteries with a catholyte comprising bromine chloride in thionyl chloride

    Energy Technology Data Exchange (ETDEWEB)

    Frysz, C.A. [Wilson Greatbatch, Ltd., Clarence, NY (United States); Shui, X.; Chung, D.D.L. [State Univ. of New York, Buffalo, NY (United States). Composite Materials Research Lab.

    1995-12-31

    Submicron carbon filaments used in place of carbon black as porous reduction electrodes in carbon limited lithium batteries in plate and jellyroll configurations with the BCX (bromine chloride in thionyl chloride) catholyte gave a specific capacity (at 2 V cut-off) of up to 8,700 mAh/g carbon, compared to a value of up to 2,900 mAh/g carbon for carbon black. The high specific capacity per g carbon (demonstrating superior carbon efficiency) for the filament electrode is partly due to the filaments` processability into sheets as thin as 0.2 mm with good porosity and without a binder, and partly due to the high catholyte absorptivity and high rate of catholyte absorption of the filament electrode.

  7. Compliments, motivation et estime de soi : l'effet paradoxal de féliciter les capacités des enfants

    DEFF Research Database (Denmark)

    Hansen, Mikkel

    2014-01-01

    motivation may suffer when given feedback that evaluates their person. We discuss links between different types of feedback and children’s motivational frameworks, including their self-esteem. // RÉSUMÉ L’objectif de compliments tels que « T’es très fort, très intelligent » est d’encourager les enfants, mais...... des recherches récentes montrent que de telles propositions en feedback peuvent dissuader les enfants de s’engager dans des tâches difficiles, réduisant ainsi leurs apprentissages. Nous exposerons les travaux de Dweck (e.g., 2000) qui démontrent comment les compliments centrés sur l’évaluation de la...... personne influent négativement sur la motivation intrinsèque du sujet. Nous discuterons des liens existant entre différents types de feedback et les cadres motivationnels où évoluent les enfants, ainsi que de leur estime de soi....

  8. Fatigue crack closure in submicron-thick freestanding copper films

    International Nuclear Information System (INIS)

    Kondo, Toshiyuki; Ishii, Takaki; Hirakata, Hiroyuki; Minoshima, Kohji

    2015-01-01

    The fatigue crack closure in approximately 500-nm-thick freestanding copper films were investigated by in situ field emission scanning electron microscope (FESEM) observations of the fatigue crack opening/closing behavior at three stress ratios of R=0.1, 0.5, and 0.8 in the low–K max (maximum stress intensity factor) region of K max <4.5 MPam 1/2 . The direct observation of fatigue cracks clarified that crack closure occurred at R=0.1 and 0.5, while the fatigue crack was always open at R=0.8. Changes in the gage distance across the fatigue crack during a fatigue cycle were measured from the FESEM images, and the crack opening stress intensity factor K op was evaluated on the basis of the stress intensity factor K vs. the gage distance relationship. The effective stress intensity factor range ΔK eff =K max −K op was then evaluated. The R-dependence of the da/dN vs. ΔK eff relationship was smaller than that of the da/dN vs. ΔK relationship. This suggests that ΔK eff is a dominating parameter rather than ΔK in the fatigue crack propagation in the films. This paper is the first report on the presence of the fatigue crack closure in submicron-thick freestanding metallic films

  9. Submicron hard X-ray fluorescence imaging of synthetic elements.

    Science.gov (United States)

    Jensen, Mark P; Aryal, Baikuntha P; Gorman-Lewis, Drew; Paunesku, Tatjana; Lai, Barry; Vogt, Stefan; Woloschak, Gayle E

    2012-04-13

    Synchrotron-based X-ray fluorescence microscopy (XFM) using hard X-rays focused into sub-micron spots is a powerful technique for elemental quantification and mapping, as well as microspectroscopic measurements such as μ-XANES (X-ray absorption near edge structure). We have used XFM to image and simultaneously quantify the transuranic element plutonium at the L(3) or L(2)-edge as well as Th and lighter biologically essential elements in individual rat pheochromocytoma (PC12) cells after exposure to the long-lived plutonium isotope (242)Pu. Elemental maps demonstrate that plutonium localizes principally in the cytoplasm of the cells and avoids the cell nucleus, which is marked by the highest concentrations of phosphorus and zinc, under the conditions of our experiments. The minimum detection limit under typical acquisition conditions with an incident X-ray energy of 18 keV for an average 202 μm(2) cell is 1.4 fg Pu or 2.9×10(-20) moles Pu μm(-2), which is similar to the detection limit of K-edge XFM of transition metals at 10 keV. Copper electron microscopy grids were used to avoid interference from gold X-ray emissions, but traces of strontium present in naturally occurring calcium can still interfere with plutonium detection using its L(α) X-ray emission. Copyright © 2012 Elsevier B.V. All rights reserved.

  10. Dielectric isolation for power integrated circuits; Isolation dielectrique enterree pour les circuits integres de puissance

    Energy Technology Data Exchange (ETDEWEB)

    Zerrouk, D.

    1997-07-18

    Considerable efforts have been recently directed towards integrating onto the same chip, sense or protection elements that is low voltage analog and/or digital control circuitry together with high voltage/high current devices. Most of these so called `smart power` devices use either self isolation, junction isolation or Silicon-On-Insulator (SOI) to integrate low voltage elements with vertical power devices. Dielectric isolation is superior to the other isolation techniques such as self isolation or junction isolation. Thesis work consists of the study of the feasibility of a dielectric technology based on the melting and the solidification in a Rapid Thermal Processing furnace (RTP), of thick polysilicon films deposited on oxide. The purpose of this technique is to obtain substrate with localized SOI structures for smart power applications. SOI technology offers significant potential advantages, such as non-occurrence of latch-up in CMOS structures, high packaging density, low parasitic capacitance and the possibility of 3D structures. In addition, SOI technology using thick silicon films (10-100 {mu}m) offers special advantages for high voltage integrated circuits. Several techniques have been developed to form SOI films. Zone melting recrystallization is one of the most promising for localized SOI. The SOI structures have first been analyzed in term of extended defects. N-channel MOSFET`s transistors have also been fabricated in the SOI substrates and electrically characterized (threshold voltages, off-state leakage current, mobilities,...). The SOI transistors exhibit good characteristics, although inferior to witness transistors. The recrystallized silicon films are therefore found to be suitable for the fabrication of SOI devices. (author) 106 refs.

  11. Photographie et représentation de soi dans W ou le Souvenir d’enfance de Georges Perec

    Directory of Open Access Journals (Sweden)

    Siriki Ouattara

    2014-04-01

    Full Text Available W ou le souvenir d’enfance convoque ouvertement en son sein des éléments paralittéraires comme la photographie qui le déconstruit. Le désir de Georges Perec de reconstituer ou de reconstruire son histoire est si ardent qu’il lui a consacré ce roman particulier. Dans cette œuvre autobiographique atypique, l’auteur fait appel à diverses techniques de représentation de soi, la photographie. Cette dernière est un élément nouveau en littérature (même s´elle y est prise en compte depuis le dix-neuvième siècle qui redéfinit nombre d´habitudes littéraires. Ainsi, elle occasionne un renouvellement de l´écriture à travers l´institution de nouveaux rapports qui, tout en changeant les vieux rôles narratifs, invitent à dire autrement, voire à raconter différemment. La photographie offre alors l´occasion d´expérimenter une nouvelle discursivité de la représentation.

  12. Vectors and submicron precision: redundancy and 3D stacking in silicon pixel detectors

    CERN Document Server

    Heijne, E H M; Wong, W; Idarraga, J; Visser, J; Jakubek, J; Leroy, C; Turecek, D; Visschers, J; Pospisil, S; Ballabriga, R; Vykydal, Z; Vermeulen, J; Plackett, R; Heijne, E H M; Llopart, X; Boltje, D; Campbell, M

    2010-01-01

    Measurements are shown of GeV pions and muons in two 300 mu m thick, Si Medipix pixel detector assemblies that are stacked on top of each other, with a 25 mu m thick brass foil in between. In such a radiation imaging semiconductor matrix with a large number of pixels along the particle trail, one can determine local space vectors for the particle trajectory instead of points. This improves pattern recognition and track reconstruction, especially in a crowded environment. Stacking of sensor planes is essential for resolving directional ambiguities. Signal charge sharing can be employed for measuring positions with submicron precision. In the measurements one notices accompanying `delta' electrons that emerge outside the particle trail, far beyond the boundaries of the 55 mu m pixel cells. The frequency of such corrupted position measurements is similar to one per 2.5mm of traversed Si.

  13. Insights into Submicron Aerosol Composition and Sources from the WINTER Aircraft Campaign Over the Eastern US.

    Science.gov (United States)

    Schroder, J. C.; Campuzano Jost, P.; Day, D. A.; Fibiger, D. L.; McDuffie, E. E.; Blake, N. J.; Hills, A. J.; Hornbrook, R. S.; Apel, E. C.; Weinheimer, A. J.; Campos, T. L.; Brown, S. S.; Jimenez, J. L.

    2015-12-01

    The WINTER aircraft campaign was a recent field experiment to probe the sources and evolution of gas pollutants and aerosols in Northeast US urban and industrial plumes during the winter. A highly customized Aerodyne aerosol mass spectrometer (AMS) was flown on the NCAR C-130 to characterize submicron aerosol composition and evolution. Thirteen research flights were conducted covering a wide range of conditions, including rural, urban, and marine environments during day and night. Organic aerosol (OA) was a large component of the submicron aerosol in the boundary layer. The fraction of OA (fOA) was smaller (35-40%) than in recent US summer campaigns (~60-70%). Biomass burning was observed to be an important source of OA in the boundary layer, which is consistent with recent wintertime studies that show a substantial contribution of residential wood burning to the OA loadings. OA oxygenation (O/C ratio) shows a broad distribution with a substantial fraction of smaller O/C ratios when compared to previous summertime campaigns. Since measurements were rarely made very close to primary sources (i.e. directly above urban areas), this is consistent with oxidative chemistry being slower during winter. SOA formation and aging in the NYC plume was observed during several flights and compared with summertime results from LA (CalNex) and Mexico City (MILAGRO). Additionally, an oxidation flow reactor (OFR) capable of oxidizing ambient air up to several equivalent days of oxidation was deployed for the first time in an aircraft platform. The aerosol outflow of the OFR was sampled with the AMS to provide real-time snapshots of the potential for aerosol formation and aging. For example, a case study of a flight through the Ohio River valley showed evidence of oxidation of SO2 to sulfate. The measured sulfate enhancements were in good agreement with our OFR chemical model. OFR results for SOA will be discussed.

  14. Sub-micron Hard X-ray Fluorescence Imaging of Synthetic Elements

    Science.gov (United States)

    Jensen, Mark P.; Aryal, Baikuntha P.; Gorman-Lewis, Drew; Paunesku, Tatjana; Lai, Barry; Vogt, Stefan; Woloschak, Gayle E.

    2013-01-01

    Synchrotron-based X-ray fluorescence microscopy (SXFM) using hard X-rays focused into sub-micron spots is a powerful technique for elemental quantification and mapping, as well as microspectroscopic measurement such as μ-XANES (X-ray absorption near edge structure). We have used SXFM to image and simultaneously quantify the transuranic element plutonium at the L3 or L2 edge as well as lighter biologically essential elements in individual rat pheochromocytoma (PC12) cells after exposure to the long-lived plutonium isotope 242Pu. Elemental maps reveal that plutonium localizes principally in the cytoplasm of the cells and avoids the cell nucleus, which is marked by the highest concentrations of phosphorus and zinc, under the conditions of our experiments. The minimum detection limit under typical acquisition conditions for an average 202 μm2 cell is 1.4 fg Pu/cell or 2.9 × 10−20 moles Pu/μm2, which is similar to the detection limit of K-edge SXFM of transition metals at 10 keV. Copper electron microscopy grids were used to avoid interference from gold X-ray emissions, but traces of strontium present in naturally occurring calcium can still interfere with plutonium detection using its Lα X-ray emission. PMID:22444530

  15. A bioactive coating with submicron-sized titania crystallites fabricated by induction heating of titanium after tensile deformations.

    Science.gov (United States)

    Li, Ning-Bo; Xu, Wen-Hua; Xiao, Gui-Yong; Zhao, Jun-Han; Lu, Yu-Peng

    2017-11-01

    Thermal oxidation technology was widely investigated as one of effective surface modification method for improving the bioactivity and biocompatibility of titanium and its alloys. In this work, the induction heat oxidization method, a fast, efficient, economical and environmental protective technology, was applied to prepare the submicron-morphological oxide coating with variable rutile TiO 2 equiaxed crystallites on the surface of pure Ti substrates after cold-drawing with 10-20% deformations. The results showed the plastic-deformed Ti cylinders recrystallized during induction heating treatment (IHT) for 10-20s which resulted in evolution of microstructures as well as slight improvement of microhardness. The surface characteristics of TiO 2 crystallites in oxidation layers were determined by the microstructural evolutions of Ti substrate in terms of the nucleation and growth of TiO 2 crystallites. Specially, the oxidized surface with 50-75nm roughness and more uniform and finer equiaxed oxide grains remarkablely improved the apatite deposition after bioactive evaluation in 1.5 × SBF for 7 days. This work provided a potential method to create controlled bioactive oxide coatings with submicro-/nano-scaled TiO 2 crystallites on titanium substrate in terms of the role of metallographic microstructure in the formation process of titanium oxides. Copyright © 2017 Elsevier Ltd. All rights reserved.

  16. Experimental verification of temperature coefficients of resistance for uniformly doped P-type resistors in SOI

    Science.gov (United States)

    Olszacki, M.; Maj, C.; Bahri, M. Al; Marrot, J.-C.; Boukabache, A.; Pons, P.; Napieralski, A.

    2010-06-01

    Many today's microsystems like strain-gauge-based piezoresistive pressure sensors contain doped resistors. If one wants to predict correctly the temperature impact on the performance of such devices, the accurate data about the temperature coefficients of resistance (TCR) are essential. Although such data may be calculated using one of the existing mobility models, our experiments showed that we can observe the huge mismatch between the calculated and measured values. Thus, in order to investigate the TCR values, a set of the test structures that contained doped P-type resistors was fabricated. As the TCR value also depends on the doping profile shape, we decided to use the very thin, 340 nm thick SOI wafers in order to fabricate the quasi-uniformly doped silicon layers ranging from 2 × 1017 at cm-3 to 1.6 × 1019 at cm-3. The results showed that the experimental data for the first-order TCR are quite far from the calculated ones especially over the doping range of 1018-1019 at cm-3 and quite close to the experimental ones obtained by Bullis about 50 years ago for bulk silicon. Moreover, for the first time, second-order coefficients that were not very consistent with the calculations were obtained.

  17. Experimental verification of temperature coefficients of resistance for uniformly doped P-type resistors in SOI

    International Nuclear Information System (INIS)

    Olszacki, M; Maj, C; Al Bahri, M; Marrot, J-C; Boukabache, A; Pons, P; Napieralski, A

    2010-01-01

    Many today's microsystems like strain-gauge-based piezoresistive pressure sensors contain doped resistors. If one wants to predict correctly the temperature impact on the performance of such devices, the accurate data about the temperature coefficients of resistance (TCR) are essential. Although such data may be calculated using one of the existing mobility models, our experiments showed that we can observe the huge mismatch between the calculated and measured values. Thus, in order to investigate the TCR values, a set of the test structures that contained doped P-type resistors was fabricated. As the TCR value also depends on the doping profile shape, we decided to use the very thin, 340 nm thick SOI wafers in order to fabricate the quasi-uniformly doped silicon layers ranging from 2 × 10 17 at cm −3 to 1.6 × 10 19 at cm −3 . The results showed that the experimental data for the first-order TCR are quite far from the calculated ones especially over the doping range of 10 18 –10 19 at cm −3 and quite close to the experimental ones obtained by Bullis about 50 years ago for bulk silicon. Moreover, for the first time, second-order coefficients that were not very consistent with the calculations were obtained.

  18. Nanostructured submicron block copolymer dots by sacrificial stamping: a potential preconcentration platform for locally resolved sensing, chemistry and cellular interactions

    OpenAIRE

    Hou, Peilong; Han, Weijia; Philippi, Michael; Schäfer, Helmut; Steinhart, Martin

    2018-01-01

    Classical contact lithography involves patterning of surfaces by embossing or by transfer of ink. We report direct lithographic transfer of parts of sacrificial stamps onto counterpart surfaces. Using sacrificial stamps consisting of the block copolymer polystyrene-block-poly(2-pyridine) (PS-b-P2VP), we deposited arrays of nanostructured submicron PS-b-P2VP dots with heights of about 100 nm onto silicon wafers and glass slides. The sacrificial PS-b-P2VP stamps were topographically patterned w...

  19. Thermal processing of strained silicon-on-insulator for atomically precise silicon device fabrication

    International Nuclear Information System (INIS)

    Lee, W.C.T.; Bishop, N.; Thompson, D.L.; Xue, K.; Scappucci, G.; Cederberg, J.G.; Gray, J.K.; Han, S.M.; Celler, G.K.; Carroll, M.S.; Simmons, M.Y.

    2013-01-01

    Highlights: ► Strained silicon-on-insulator (sSOI) samples were flash-annealed at high temperature under ultra-high vacuum conditions. ► The extend of surface strain relaxation depends on the annealing temperature with no strain relaxation observed below 1020 °C. ► A 2 × 1 reconstructed surface with low defect density can be achieved. ► The annealed sSOI surface shows enhanced step undulations due to the unique energetics caused by surface strain. - Abstract: We investigate the ability to reconstruct strained silicon-on-insulator (sSOI) substrates in ultra-high vacuum for use in atomic scale device fabrication. Characterisation of the starting sSOI substrate using μRaman shows an average tensile strain of 0.8%, with clear strain modulation in a crosshatch pattern across the surface. The surfaces were heated in ultra-high vacuum from temperatures of 900 °C to 1100 °C and subsequently imaged using scanning tunnelling microscopy (STM). The initial strain modulation on the surface is observed to promote silicon migration and the formation of crosshatched surface features whose height and pitch increases with increasing annealing temperature. STM images reveal alternating narrow straight S A steps and triangular wavy S B steps attributed to the spontaneous faceting of S B and preferential adatom attachment on S B under biaxial tensile strain. Raman spectroscopy shows that despite these high temperature anneals no strain relaxation of the substrate is observed up to temperatures of 1020 °C. Above 1100 °C, strain relaxation is evident but is confined to the surface.

  20. Dry deposition of submicron atmospheric aerosol over water surfaces in motion

    International Nuclear Information System (INIS)

    Nevenick, Calec

    2013-01-01

    Whether by chronic or accidental releases, the impact of a nuclear installation on the environment mainly depends on atmospheric transfers; and as the accidents at Chernobyl and Fukushima show, affect the contamination of surfaces and impacts in the medium and long-term on the environment and the population. In this context, this work focuses on the characterization and modeling of dry deposition of submicron aerosols on liquid surfaces in motion such as rivers. Unlike wet deposition which is conditioned by washout and rainout (rain and clouds), dry deposition is a phenomenon that depends entirely on the characteristics of aerosols, receiving surfaces, and air flow. In practice, the evaluation of dry deposition is based on the estimation of flux modeling as the product of particle concentration and deposition velocity which can vary over several orders of magnitude depending on the receiving surfaces (forest, snow, urban, grassland...). This topic is motivated by the virtual non-existence of studies on the mechanisms of dry deposition on continental water systems such as rivers; and respect for submicron aerosols. They have the lowest deposition efficiencies and filtration and the longer residence time in the atmosphere. In addition, they are potentially the most dangerous to living beings because they can penetrate deeper into the airway. Due to the lack of data on the dry deposition of submicron aerosols on a liquid surface in motion, the approach was based on two axes: 1) the acquisition of experimental deposition velocities and 2) the analysis and interpretation of results through modeling. The experiments were performed with uranine aerosols released into the IOA wind tunnel (Interface Ocean Atmosphere) of the Institute for Research on Non Equilibrium Phenomena which is configured to study the coupling between the air flow and water. These experiments have given many dry deposition velocities for different configurations characterized according to wind

  1. Dry deposition of submicron atmospheric aerosol over water surfaces in motion

    International Nuclear Information System (INIS)

    Calec, Nevenick

    2013-01-01

    Whether by chronic or accidental releases, the impact of a nuclear installation on the environment mainly depends on atmospheric transfers; and as the accidents at Chernobyl and Fukushima show, affect the contamination of surfaces and impacts in the medium and long-term on the environment and the population. In this context, this work focuses on the characterization and modeling of dry deposition of submicron aerosols on liquid surfaces in motion such as rivers. Unlike wet deposition which is conditioned by washout and rainout (rain and clouds), dry deposition is a phenomenon that depends entirely on the characteristics of aerosols, receiving surfaces, and air flow. In practice, the evaluation of dry deposition is based on the estimation of flux modeling as the product of particle concentration and deposition velocity which can vary over several orders of magnitude depending on the receiving surfaces (forest, snow, urban, grassland..). This topic is motivated by the virtual non-existence of studies on the mechanisms of dry deposition on continental water systems such as rivers; and respect for submicron aerosols. They have the lowest deposition efficiencies and filtration and the longer residence time in the atmosphere. In addition, they are potentially the most dangerous to living beings because they can penetrate deeper into the airway. Due to the lack of data on the dry deposition of submicron aerosols on a liquid surface in motion, the approach was based on two axes: 1) the acquisition of experimental deposition velocities and 2) the analysis and interpretation of results through modeling. The experiments were performed with uranine aerosols released into the IOA wind tunnel (Interface Ocean Atmosphere) of the Institute for Research on Non Equilibrium Phenomena which is configured to study the coupling between the air flow and water. These experiments have given many dry deposition velocities for different configurations characterized according to wind

  2. A sacrificial process for fabrication of biodegradable polymer membranes with submicron thickness.

    Science.gov (United States)

    Beardslee, Luke A; Stolwijk, Judith; Khaladj, Dimitrius A; Trebak, Mohamed; Halman, Justin; Torrejon, Karen Y; Niamsiri, Nuttawee; Bergkvist, Magnus

    2016-08-01

    A new sacrificial molding process using a single mask has been developed to fabricate ultrathin 2-dimensional membranes from several biocompatible polymeric materials. The fabrication process is similar to a sacrificial microelectromechanical systems (MEMS) process flow, where a mold is created from a material that can be coated with a biodegradable polymer and subsequently etched away, leaving behind a very thin polymer membrane. In this work, two different sacrificial mold materials, silicon dioxide (SiO2 ) and Liftoff Resist (LOR) were used. Three different biodegradable materials; polycaprolactone (PCL), poly(lactic-co-glycolic acid) (PLGA), and polyglycidyl methacrylate (PGMA), were chosen as model polymers. We demonstrate that this process is capable of fabricating 200-500 nm thin, through-hole polymer membranes with various geometries, pore-sizes and spatial features approaching 2.5 µm using a mold fabricated via a single contact photolithography exposure. In addition, the membranes can be mounted to support rings made from either SU8 or PCL for easy handling after release. Cell culture compatibility of the fabricated membranes was evaluated with human dermal microvascular endothelial cells (HDMECs) seeded onto the ultrathin porous membranes, where the cells grew and formed confluent layers with well-established cell-cell contacts. Furthermore, human trabecular meshwork cells (HTMCs) cultured on these scaffolds showed similar proliferation as on flat PCL substrates, further validating its compatibility. All together, these results demonstrated the feasibility of our sacrificial fabrication process to produce biocompatible, ultra-thin membranes with defined microstructures (i.e., pores) with the potential to be used as substrates for tissue engineering applications. © 2015 Wiley Periodicals, Inc. J Biomed Mater Res Part B: Appl Biomater, 104B: 1192-1201, 2016. © 2015 Wiley Periodicals, Inc.

  3. Spatially Resolved Distribution of Fe Species around Microbes at the Submicron Scale in Natural Bacteriogenic Iron Oxides.

    Science.gov (United States)

    Suga, Hiroki; Kikuchi, Sakiko; Takeichi, Yasuo; Miyamoto, Chihiro; Miyahara, Masaaki; Mitsunobu, Satoshi; Ohigashi, Takuji; Mase, Kazuhiko; Ono, Kanta; Takahashi, Yoshio

    2017-09-27

    Natural bacteriogenic iron oxides (BIOS) were investigated using local-analyzable synchrotron-based scanning transmission X-ray microscopy (STXM) with a submicron-scale resolution. Cell, cell sheath interface (EPS), and sheath in the BIOS were clearly depicted using C-, N-, and O- near edge X-ray absorption fine structure (NEXAFS) obtained through STXM measurements. Fe-NEXAFS obtained from different regions of BIOS indicated that the most dominant iron mineral species was ferrihydrite. Fe(II)- and/or Fe(III)-acidic polysaccharides accompanied ferrihydrite near the cell and EPS regions. Our STXM/NEXAFS analysis showed that Fe species change continuously between the cell, EPS, and sheath under several 10-nm scales.

  4. Quantitative cellular uptake of double fluorescent core-shelled model submicronic particles

    Energy Technology Data Exchange (ETDEWEB)

    Leclerc, Lara, E-mail: leclerc@emse.fr [Ecole Nationale Superieure des Mines, CIS-EMSE, LINA (France); Boudard, Delphine [LINA (France); Pourchez, Jeremie; Forest, Valerie [Ecole Nationale Superieure des Mines, CIS-EMSE, LINA (France); Marmuse, Laurence; Louis, Cedric [NANO-H S.A.S (France); Bin, Valerie [LINA (France); Palle, Sabine [Universite Jean Monnet, Centre de Microscopie Confocale Multiphotonique (France); Grosseau, Philippe; Bernache-Assollant, Didier [Ecole Nationale Superieure des Mines, CIS-EMSE, LINA (France); Cottier, Michele [LINA (France)

    2012-11-15

    The relationship between particles' physicochemical parameters, their uptake by cells and their degree of biological toxicity represent a crucial issue, especially for the development of new technologies such as fabrication of micro- and nanoparticles in the promising field of drug delivery systems. This work was aimed at developing a proof-of-concept for a novel model of double fluorescence submicronic particles that could be spotted inside phagolysosomes. Fluorescein isothiocyanate (FITC) particles were synthesized and then conjugated with a fluorescent pHrodo Trade-Mark-Sign probe, red fluorescence of which increases in acidic conditions such as within lysosomes. After validation in acellular conditions by spectral analysis with confocal microscopy and dynamic light scattering, quantification of phagocytosis was conducted on a macrophage cell line in vitro. The biological impact of pHrodo functionalization (cytotoxicity, inflammatory response, and oxidative stress) was also investigated. Results validate the proof-of-concept of double fluorescent particles (FITC + pHrodo), allowing detection of entirely engulfed pHrodo particles (green and red labeling). Moreover incorporation of pHrodo had no major effects on cytotoxicity compared to particles without pHrodo, making them a powerful tool for micro- and nanotechnologies.

  5. Process system and method for fabricating submicron field emission cathodes

    Science.gov (United States)

    Jankowski, Alan F.; Hayes, Jeffrey P.

    1998-01-01

    A process method and system for making field emission cathodes exists. The deposition source divergence is controlled to produce field emission cathodes with height-to-base aspect ratios that are uniform over large substrate surface areas while using very short source-to-substrate distances. The rate of hole closure is controlled from the cone source. The substrate surface is coated in well defined increments. The deposition source is apertured to coat pixel areas on the substrate. The entire substrate is coated using a manipulator to incrementally move the whole substrate surface past the deposition source. Either collimated sputtering or evaporative deposition sources can be used. The position of the aperture and its size and shape are used to control the field emission cathode size and shape.

  6. Oxygenated organic functional groups and their sources in single and submicron organic particles in MILAGRO 2006 campaign

    Directory of Open Access Journals (Sweden)

    S. Liu

    2009-09-01

    Full Text Available Fourier Transform Infrared (FTIR and X-ray Fluorescence (XRF were used to measure organic functional groups and elements of submicron particles collected during MILAGRO in March 2006 on three platforms: the Mexico City urban area (SIMAT, the high altitude site at 4010 m (Altzomoni, and the NCAR C130 aircraft. Scanning Transmission X-ray Microscopy (STXM and Near-Edge X-ray Absorption Fine Structure (NEXAFS were applied to single particle organic functional group abundance analysis of particles simultaneously collected at SIMAT and C130. Correlations of elemental concentrations showed different groups of source-related elements at SIMAT, Altzomoni, and C130, suggesting different processes affecting the air masses sampled at the three platforms. Cluster analysis resulted in seven distinct clusters of FTIR spectra, with the last three clusters consisting of spectra collected almost exclusively on the C130 platform, reflecting the variety of sources contributing to C130 samples. Positive Matrix Factorization (PMF of STXM-NEXAFS spectra identified three main factors representing soot, secondary, and biomass burning type spectra. PMF of FTIR spectra resulted in two fossil fuel combustion factors and one biomass burning factor, the former representative of source regions to the northeast and southwest of SIMAT. Alkane, carboxylic acid, amine, and alcohol functional groups were mainly associated with combustion related sources, while non-acid carbonyl groups were likely from biomass burning events. The majority of OM and O/C was attributed to combustion sources, although no distinction between direct emissions and atmospherically processed OM could be identified.

  7. Bifunctional NaYF4:Er3+/Yb3+ submicron rods, implemented in quantum dot sensitized solar cell(Conference Presentation)

    Science.gov (United States)

    Guerrero, J. Pablo; Cerdán Pasarán, Andrea; López-Luke, Tzarara; Ramachari, D.; Esparza, Diego; De la Rosa Cruz, Elder; Romero Arellano, Victor Hugo

    2016-09-01

    In this work are presented the results obtained with solar cells sensitized with quantum dots of cadmium sulphide (CdS) incorporating luminescent materials (NaYF4:Yb/Er). The study revealed that through using a bifunctional layer of NaYF4:Yb/Er submicron rods, the infrared radiation is absorbed in 980nm to generate luminescence in the visible region to 530nm, under the UP-conversion process, in the same way simultaneously, NaYF4:Yb/Er layer causes scattering toward the quantum dots, the emission and scattering generated by this material is reabsorbed by the QD-CdS, and these in turn are absorbing in its range of solar radiation absorption, Thus generates an increase in the electron injection into the semiconductor of TiO2. The results of a cell incorporating NaYF4: Yb/Er at 0.07M shown photoconversion efficiencies of 3.39% improving efficiency with respect to the reference solar cell without using NaYF4: Yb/Er of 1.99%. The obtained values of current and voltage showed a strong dependence of the percentage of NaYF4 Yb/Er, and the mechanism of incorporation of this material.

  8. Sub-micron opto-chemical probes for studying living neurons

    Science.gov (United States)

    Hossein-Zadeh, M.; Delgado, J.; Schweizer, F.; Lieberman, R.

    2017-02-01

    We have fabricated sub-micron opto-chemical probes for pH, oxygen and calcium monitoring and demonstrated their application in intracellular and extracellular monitoring of neurons (cortical neuronal cultures and acute hippocampal slices). Using these probes, we have measured extracellular pH in the stratum radiatum of the CA1 region of mouse hippocampus upon stimulation of presynaptic Schaffer collateral axons. Synaptic transmission was monitored using standard electrophysiological techniques. We find that the local pH transiently changes in response to synaptic stimulation. In addition, the geometry of the functionalized region on the probe combined with high sensitivity imaging enables simultaneous monitoring of spatially adjacent but distinct compartments. As proof of concept we impaled cultured neurons with the probe measured calcium and pH inside as well as directly outside of neurons as we changed the pH and calcium concentration in the physiological solution in the perfusion chamber. As such these probes can be used to study the impact of the environment on both cellular and extra-cellular space. Additionally as the chemical properties of the surrounding medium can be controlled and monitored with high precision, these probes enable differential measurement of the target parameter referenced to a stable bath. This approach eliminates the uncertainties associated with non-chemical fluctuations in the fluorescent emission and result in a self-calibrated opto-chemical probe. We have also demonstrated multifunctional probes that are capable of measuring up to three parameters in the extracellular space in brain slices.

  9. EQUIPMENT FOR NONDESTRUCTIVE TESTING OF SILICON WAFERS SUBMICRON TOPOLOGY DURING THE FABRICATION OF INTEGRATED CIRCUITS

    Directory of Open Access Journals (Sweden)

    S. A. Chizhik

    2013-01-01

    Full Text Available The advantages of using an atomic force microscopy in manufacturing of submicron integrated circuits are described. The possibilities of characterizing the surface morphology and the etching profile for silicon substrate and bus lines, estimation of the periodicity and size of bus lines, geometrical stability for elementary bus line are shown. Methods of optical and atomic force microcopies are combined in one diagnostic unit. Scanning  probe  microscope  (SPM  200  is  designed  and  produced.  Complex  SPM  200  realizes  nondestructive control of microelectronics elements made on silicon wafers up to 200 mm in diameter and it is introduced by JSC «Integral» for the purpose of operational control, metrology and acceptance of the final product.

  10. Parametric study of plasma-mediated thermoluminescence produced by Al2O3 sub-micron powders

    Science.gov (United States)

    Morávek, T.; Ambrico, P. F.; Ambrico, M.; Schiavulli, L.; Ráheľ, J.

    2017-10-01

    Sub-micron Al2O3 powders with a surface activated by dielectric barrier discharge exhibit improved performance in wet deposition of ceramic layers. In addressing the possible mechanisms responsible for the observed improvement, a comprehensive thermoluminescence (TL) study of plasma-activated powders was performed. TL offers the unique possibility of exploring the population of intrinsic electrons/holes in the charge trapping states. This study covers a wide range of experimental conditions affecting the TL of powders: treatment time, plasma working gas composition, change of discharge configuration, step-annealing of powder, exposure to laser irradiation and aging time. Deconvoluted TL spectra were followed for the changes in their relative contributions. The TL spectra of all tested gases (air, Ar, N2 and 5% He in N2) consist of the well-known main dosimetric peak at 450 K and a peak of similar magnitude at higher temperatures, centered between 700 and 800 K depending on the working gas used. N2 plasma treatment gave rise to a new specific TL peak at 510 K, which exhibited several peculiarities. Initial thermal annealing of Al2O3 powders led to its significant amplification (unlike the other peaks); the peak was insensitive to optical bleaching, and it exhibited slow gradual growth during the long-term aging test. Besides its relevance to the ceramic processing studies, a comprehensive set of data is presented that provides a useful and unconventional view on plasma-mediated material changes.

  11. Sub-micron indent induced plastic deformation in copper and irradiated steel

    International Nuclear Information System (INIS)

    Robertson, Ch.

    1998-09-01

    In this work we aim to study the indent induced plastic deformation. For this purpose, we have developed a new approach, whereby the indentation curves provides the mechanical behaviour, while the deformation mechanisms are observed thanks to Transmission Electron Microscopy (TEM). In order to better understand how an indent induced dislocation microstructure forms, numerical modeling of the indentation process at the scale of discrete dislocations has been worked out as well. Validation of this modeling has been performed through direct comparison of the computed microstructures with TEM micrographs of actual indents in pure Cu [001]. Irradiation induced modifications of mechanical behaviour of ion irradiated 316L have been investigated, thanks to the mentioned approach. An important hardening effect was reported from indentation data (about 50%), on helium irradiated 316L steel. TEM observations of the damage zone clearly show that this behaviour is associated with the presence of He bubbles. TEM observations of the indent induced plastic zone also showed that the extent of the plastic zone is strongly correlated with hardness, that is to say: harder materials gets a smaller plastic zone. These results thus clearly established that the selected procedure can reveal any irradiation induced hardening in sub-micron thick ion irradiated layers. The behaviour of krypton irradiated 316L steel is somewhat more puzzling. In one hand indeed, a strong correlation between the defect cluster size and densities on the irradiation temperature is observed in the 350 deg C -600 deg C range, thanks to TEM observations of the damage zone. On the other hand, irradiation induced hardening reported from indentation data is relatively small (about 10%) and shows no dependence upon the irradiation temperature (within the mentioned range). In addition, it has been shown that the reported hardening vanishes following appropriate post-irradiation annealing, although most of the TEM

  12. Single Grain TFTs for High Speed Flexible Electronics

    NARCIS (Netherlands)

    Baiano, A.

    2009-01-01

    SG-TFTs fabricated by the ?-Czochralski process have already reached a performance as high as that of SOI MOSFET devices. However, one of the most important and challenging goals is extending SG-TFT technology to reach a higher level of performance than that achieved with SOI technology. This thesis

  13. Coercivity enhancement of HDDR-processed Nd-Fe-B permanent magnet with the rapid hot-press consolidation process

    Energy Technology Data Exchange (ETDEWEB)

    Nozawa, N. [Magnetic Materials Research Laboratory, NEOMAX Company, Hitachi Metals Ltd., Osaka 618-0013 (Japan); Sepehri-Amin, H. [Graduate School of Pure and Applied Sciences, University of Tsukuba, Tsukuba 305-8571 (Japan); Magnetic Materials Center, National Institute for Materials Science, Tsukuba 305-0047 (Japan); Ohkubo, T. [Magnetic Materials Center, National Institute for Materials Science, Tsukuba 305-0047 (Japan); Hono, K. [Graduate School of Pure and Applied Sciences, University of Tsukuba, Tsukuba 305-8571 (Japan); Magnetic Materials Center, National Institute for Materials Science, Tsukuba 305-0047 (Japan); Nishiuchi, T. [Magnetic Materials Research Laboratory, NEOMAX Company, Hitachi Metals Ltd., Osaka 618-0013 (Japan); Hirosawa, S., E-mail: Satoshi_Hirosawa@hitachi-metals.co.j [Magnetic Materials Research Laboratory, NEOMAX Company, Hitachi Metals Ltd., Osaka 618-0013 (Japan)

    2011-01-15

    High coercivity, fully dense anisotropic permanent magnets of submicron grain sizes were produced by rapid hot-press consolidation of hydrogenation-disproportionation-desorption-recombination (HDDR) processed Nd-Fe-Co-B powders. In the hot-press process, the coercivity of the consolidated material showed a sharp minimum prior to full densification. Thereafter, it reached a value 25% higher than that of the initial powder. Scanning electron microscopy and transmission electron microscopy observations revealed that the variation in H{sub cJ} was caused by a redistribution of Nd along the grain boundaries during hot pressing and that the high coercivity was attributable to the formation of thin, continuous Nd-rich phase along the grain boundaries.

  14. Electrochemical filtration for turbidity removal in industrial cooling/process water systems

    International Nuclear Information System (INIS)

    Kumbhar, A.G.; Venkateswaran, G.

    2008-01-01

    Water samples of large cooling water reservoirs may look visibly clear and transparent, but still may contain sub-micron size particles at sub-parts-per-million levels. Deposition of these particles on heat exchanger surfaces, reduces the heat transfer efficiency in power industry. In nuclear power plants, additionally it creates radiation exposure problems due to activation of fine metallic turbidity in the reactor core and its subsequent transfer to out-of-core surfaces. Sub-micron filtration creates back high-pressure problem. Zeta filters available commercially are prescribed for separating either positively or negatively charged particles. They are of once-use and throw-type. Precipitation surface modified ion exchangers impart chemical impurities to the system. Thus, sub-micron size and dilute turbidity removal from large volumes of waters such as heat exchanger cooling water in nuclear and power industry poses a problem. Electro deposition of the turbidity causing particles, on porous carbon/graphite felt electrodes, is one of the best suited methods for turbidity removal from large volumes of water due to the filter's high permeability, inertness to the system and regenerability resulting in low waste generation. Initially, active indium turbidity removal from RAPS-1 heavy water moderator system, and microbes removal from heat exchanger cooling lake water of RAPS 1 and 2 were demonstrated with in-house designed and fabricated prototype electrochemical filter (ECF). Subsequently, a larger size, high flow filter was fabricated and deployed for iron turbidity removal from active process waters system of Kaiga Generation Station unit 1 and silica and iron turbidity removal from cooling water pond used for heat exchanger of a high temperature high pressure (HTHP) loop at WSCD, Kalpakkam. The ECF proved its exclusive utility for sub-micron size turbidity removal and microbes removal. ECF maneuverability with potential and current for both positively and

  15. High spatial resolution grain orientation and strain mapping in thin films using polychromatic submicron x-ray diffraction

    Science.gov (United States)

    Tamura, N.; MacDowell, A. A.; Celestre, R. S.; Padmore, H. A.; Valek, B.; Bravman, J. C.; Spolenak, R.; Brown, W. L.; Marieb, T.; Fujimoto, H.; Batterman, B. W.; Patel, J. R.

    2002-05-01

    The availability of high brilliance synchrotron sources, coupled with recent progress in achromatic focusing optics and large area two-dimensional detector technology, has allowed us to develop an x-ray synchrotron technique that is capable of mapping orientation and strain/stress in polycrystalline thin films with submicron spatial resolution. To demonstrate the capabilities of this instrument, we have employed it to study the microstructure of aluminum thin film structures at the granular and subgranular levels. Due to the relatively low absorption of x-rays in materials, this technique can be used to study passivated samples, an important advantage over most electron probes given the very different mechanical behavior of buried and unpassivated materials.

  16. Offgas system particulate cleaning test and evaluation for the process experimental pilot plant

    International Nuclear Information System (INIS)

    Ayers, A.L. Jr.; Gale, L.G.; Stermer, D.L.

    1990-01-01

    The process experimental pilot plant (PREPP) incinerates mixed solid waste. The exhaust gas is processed through a wet offgas cleaning system. Rapid loading of the exhaust filters has been a problem and an important contributing factor is the use of quench solution containing a relatively high concentration of dissolved solids. The dissolved solids are released as a submicron particulate when the quench solution evaporates. A series of tests were performed to better identify the nature of the problem and explore solutions to the problem involving modifications to the quench process

  17. Offgas system particulate cleaning test and evaluation for the Process Experimental Pilot Plant

    International Nuclear Information System (INIS)

    Ayers, A.L. Jr.; Gale, L.G.; Stermer, D.L.

    1990-01-01

    The Process Experimental Pilot Plant (PREPP) incinerates mixed solid waste. The exhaust gas is processed through a wet offgas cleaning system. Rapid loading of the exhaust filters has been a problem and an important contributing factor is the use of a quench solution containing a relatively high concentration of dissolved solids. The dissolved solids are released as a submicron particulate when the quench solution evaporates. A series of tests were performed to better identify the nature of the problem and explore solutions to the problem involving modifications to the quench process. 2 refs., 7 figs

  18. Computational Study of Stratified Combustion in an Optical Diesel Engine

    KAUST Repository

    Jaasim, Mohammed

    2017-03-28

    Full cycle simulations of KAUST optical diesel engine were conducted in order to provide insights into the details of fuel spray, mixing, and combustion characteristics at different start of injection (SOI) conditions. Although optical diagnostics provide valuable information, the high fidelity simulations with matched parametric conditions improve fundamental understanding of relevant physical and chemical processes by accessing additional observables such as the local mixture distribution, intermediate species concentrations, and detailed chemical reaction rates. Commercial software, CONVERGE™, was used as the main simulation tool, with the Reynolds averaged Navier-Stokes (RANS) turbulence model and the multi-zone (SAGE) combustion model to compute the chemical reaction terms. SOI is varied from late compression ignition (CI) to early partially premixed combustion (PPC) conditions. The simulation results revealed a stronger correlation between fuel injection timing and combustion phasing for late SOI conditions, whereas the combustion phasing starts to decouple from SOI for early SOI cases. The predictions are consistent with the experimental observations, in terms of the overall trends in combustion and emission characteristics, while the high fidelity simulations provided further insights into the effects of mixture stratifications resulting from different SOI conditions.

  19. A terrestrial ecosystem model (SOLVEG) coupled with atmospheric gas and aerosol exchange processes

    International Nuclear Information System (INIS)

    Katata, Genki; Ota, Masakazu

    2017-01-01

    In order to predict the impact of atmospheric pollutants (gases and aerosols) to the terrestrial ecosystem, new schemes for calculating the processes of dry deposition of gases and aerosols, and water and carbon cycles in terrestrial ecosystems were implemented in the one-dimensional atmosphere-SOiL-VEGetation model, SOLVEG. We made performance tests at various vegetation areas to validate the newly developed schemes. In this report, the detail in each modeled process is described with an instruction how to use the modified SOLVEG. The framework of 'terrestrial ecosystem model' was developed for investigation of a change in water, energy, and carbon cycles associated with global warming and air pollution and its impact on terrestrial ecosystems. (author)

  20. Innovative approach to produce submicron drug particles by vibrational atomization spray drying: influence of the type of solvent and surfactant.

    Science.gov (United States)

    Durli, T L; Dimer, F A; Fontana, M C; Pohlmann, A R; Beck, R C R; Guterres, S S

    2014-08-01

    Spray drying is a technique used to produce solid particles from liquid solutions, emulsions or suspensions. Buchi Labortechnik developed the latest generation of spray dryers, Nano Spray Dryer B-90. This study aims to obtain, directly, submicron drug particles from an organic solution, employing this equipment and using dexamethasone as a model drug. In addition, we evaluated the influence of both the type of solvent and surfactant on the properties of the powders using a 3(2) full factorial analysis. The particles were obtained with high yields (above 60%), low water content (below 2%) and high drug content (above 80%). The surface tension and the viscosity were strongly influenced by the type of solvent. The highest powder yields were obtained for the highest surface tension and the lowest viscosity of the drug solutions. The use of ionic surfactants led to higher process yields. The laser diffraction technique revealed that the particles deagglomerate into small ones with submicrometric size, (around 1 µm) that was also observed by scanning electron microscopy. Interaction between the raw materials in the spray-dried powders was verified by calorimetric analysis. Thus, it was possible to obtain dexamethasone submicrometric particles by vibrational atomization from organic solution.