WorldWideScience

Sample records for submicron material masks

  1. Proceedings of submicron multiphase materials

    International Nuclear Information System (INIS)

    Baney, R.; Gilliom, L.; Hirano, S.I.; Schmidt, H.

    1992-01-01

    This book contains the papers presented at Symposium R of the spring 1992 Materials Research Society meeting held in San Francisco, California. The title of the symposium, Submicron Multiphase Materials, was selected by the organizers to encompass the realm of composite materials from those smaller than conventional fiber matrix composites to those with phase separation dimensions approaching molecular dimensions. The development of composite materials is as old as the development of materials. Humans quickly learned that, by combining materials, the best properties of each can be realized and that, in fact, synergistic effects often arise. For example, chopped straw was used by the Israelites to limit cracking in bricks. The famed Japanese samurai swords were multilayers of hard oxide and tough ductile materials. One also finds in nature examples of composite materials. These range form bone to wood, consisting of a hard phase which provides strength and stiffness and a softer phase for toughness. Advanced composites are generally thought of as those which are based on a high modulus, discontinuous, chopped or woven fiber phase and a continuous polymer phase. In multiphase composites, dimensions can range from meters in materials such as steel rod-reinforced concrete structures to angstroms. In macrophase separated composite materials, properties frequently follow the rule of mixtures with the properties approximating the arithmetic mean of the properties of each individual phase, if there is good coupling between the phases. As the phases become smaller, the surface to volume ratio grows in importance with respect to properties. Interfacial and interphase phenomena being to dominate. Surface free energies play an ever increasing role in controlling properties. In recent years, much research in materials science has been directed at multiphase systems where phase separations are submicron in at least some dimension

  2. Light emitting diode with high aspect ratio submicron roughness for light extraction and methods of forming

    Science.gov (United States)

    Li, Ting [Ventura, CA

    2011-04-26

    The surface morphology of an LED light emitting surface is changed by applying a reactive ion etch (RIE) process to the light emitting surface. High aspect ratio, submicron roughness is formed on the light emitting surface by transferring a thin film metal hard-mask having submicron patterns to the surface prior to applying a reactive ion etch process. The submicron patterns in the metal hard-mask can be formed using a low cost, commercially available nano-patterned template which is transferred to the surface with the mask. After subsequently binding the mask to the surface, the template is removed and the RIE process is applied for time duration sufficient to change the morphology of the surface. The modified surface contains non-symmetric, submicron structures having high aspect ratio which increase the efficiency of the device.

  3. Combined laser and atomic force microscope lithography on aluminum: Mask fabrication for nanoelectromechanical systems

    DEFF Research Database (Denmark)

    Berini, Abadal Gabriel; Boisen, Anja; Davis, Zachary James

    1999-01-01

    A direct-write laser system and an atomic force microscope (AFM) are combined to modify thin layers of aluminum on an oxidized silicon substrate, in order to fabricate conducting and robust etch masks with submicron features. These masks are very well suited for the production of nanoelectromecha......A direct-write laser system and an atomic force microscope (AFM) are combined to modify thin layers of aluminum on an oxidized silicon substrate, in order to fabricate conducting and robust etch masks with submicron features. These masks are very well suited for the production...... writing, and to perform submicron modifications by AFM oxidation. The mask fabrication for a nanoscale suspended resonator bridge is used to illustrate the advantages of this combined technique for NEMS. (C) 1999 American Institute of Physics. [S0003-6951(99)00221-1]....

  4. Mask Materials and Designs for Extreme Ultra Violet Lithography

    Science.gov (United States)

    Kim, Jung Sik; Ahn, Jinho

    2018-03-01

    Extreme ultra violet lithography (EUVL) is no longer a future technology but is going to be inserted into mass production of semiconductor devices of 7 nm technology node in 2018. EUVL is an extension of optical lithography using extremely short wavelength (13.5 nm). This short wavelength requires major modifications in the optical systems due to the very strong absorption of EUV light by materials. Refractive optics can no longer be used, and reflective optics is the only solution to transfer image from mask to wafer. This is why we need the multilayer (ML) mirror-based mask as well as an oblique incident angle of light. This paper discusses the principal theory on the EUV mask design and its component materials including ML reflector and EUV absorber. Mask shadowing effect (or mask 3D effect) is explained and its technical solutions like phase shift mask is reviewed. Even though not all the technical issues on EUV mask are handled in this review paper, you will be able to understand the principles determining the performance of EUV masks.

  5. Energy enhancer for mask based laser materials processing

    DEFF Research Database (Denmark)

    Bastue, Jens; Olsen, Flemmming Ove

    1996-01-01

    A device capable of drastically improving the energy efficiency of present mask based laser materials processing systems is presented. Good accordance between experiments and simulations for a TEA-CO2 laser system designed for laser marking has been demonstrated. The energy efficiency may...... be improved with a factor of 2 - 4 for typical mask transmittances between 10 - 40%....

  6. Submicron x-ray diffraction and its applications to problems in materials and environmental science

    Science.gov (United States)

    Tamura, N.; Celestre, R. S.; MacDowell, A. A.; Padmore, H. A.; Spolenak, R.; Valek, B. C.; Meier Chang, N.; Manceau, A.; Patel, J. R.

    2002-03-01

    The availability of high brilliance third generation synchrotron sources together with progress in achromatic focusing optics allows us to add submicron spatial resolution to the conventional century-old x-ray diffraction technique. The new capabilities include the possibility to map in situ, grain orientations, crystalline phase distribution, and full strain/stress tensors at a very local level, by combining white and monochromatic x-ray microbeam diffraction. This is particularly relevant for high technology industry where the understanding of material properties at a microstructural level becomes increasingly important. After describing the latest advances in the submicron x-ray diffraction techniques at the Advanced Light Source, we will give some examples of its application in material science for the measurement of strain/stress in metallic thin films and interconnects. Its use in the field of environmental science will also be discussed.

  7. Submicron X-Ray Diffraction and its Applications to Problems in Materials and Environmental Science

    Energy Technology Data Exchange (ETDEWEB)

    Patel, J. R.

    2002-08-16

    The availability of high brilliance 3rd generation synchrotron sources together with progress in achromatic focusing optics allow to add submicron spatial resolution to the conventional century-old X-ray diffraction technique. The new capabilities include the possibility to map in-situ, grain orientations, crystalline phase distribution and full strain/stress tensors at a very local level, by combining white and monochromatic X-ray microbeam diffraction. This is particularly relevant for high technology industry where the understanding of material properties at a microstructural level becomes increasingly important. After describing the latest advances in the submicron X-ray diffraction techniques at the ALS, we will give some examples of its application in material science for the measurement of strain/stress in metallic thin films and interconnects. Its use in the field of environmental science will also be discussed.

  8. Submicron X-ray diffraction and its applications to problems in materials and environmental science

    Energy Technology Data Exchange (ETDEWEB)

    Tamura, N.; Celestre, R.S.; MacDowell, A.A.; Padmore, H.A.; Spolenak, R.; Valek, B.C.; Meier Chang, N.; Manceau, A.; Patel, J.R.

    2002-03-26

    The availability of high brilliance 3rd generation synchrotron sources together with progress in achromatic focusing optics allow to add submicron spatial resolution to the conventional century-old X-ray diffraction technique. The new capabilities include the possibility to map in-situ, grain orientations, crystalline phase distribution and full strain/stress tensors at a very local level, by combining white and monochromatic X-ray microbeam diffraction. This is particularly relevant for high technology industry where the understanding of material properties at a microstructural level becomes increasingly important. After describing the latest advances in the submicron X-ray diffraction techniques at the ALS, we will give some examples of its application in material science for the measurement of strain/stress in metallic thin films and interconnects. Its use in the field of environmental science will also be discussed.

  9. Facile synthesis and stable cycling ability of hollow submicron silicon oxide–carbon composite anode material for Li-ion battery

    Energy Technology Data Exchange (ETDEWEB)

    Kim, Joong-Yeon; Nguyen, Dan Thien [Department of Fine Chemical Engineering & Applied Chemistry, Chungnam National University, Daejeon 305-764 (Korea, Republic of); Kang, Joon-Sup [Department of Energy Science and Technology, Chungnam National University, Daejeon 305-764 (Korea, Republic of); Song, Seung-Wan, E-mail: swsong@cnu.ac.kr [Department of Fine Chemical Engineering & Applied Chemistry, Chungnam National University, Daejeon 305-764 (Korea, Republic of); Department of Energy Science and Technology, Chungnam National University, Daejeon 305-764 (Korea, Republic of)

    2015-06-05

    Highlights: • Hollow submicron SiO{sub 2}–carbon composite material was synthesized using Si{sup 4+}-citrate chelation. • Composite material possessed a homogeneous distribution of SiO{sub 2} and carbon. • Composite electrode delivered ⩾600 mAh/g with a stable cycling stability. • This materials design and synthesis provides a useful platform for scalable production. - Abstract: Advanced SiO{sub 2}–carbon composite anode active material for lithium-ion battery has been synthesized through a simple chelation of silicon cation with citrate in a glyme-based solvent. The resultant composite material demonstrates a homogeneous distribution of constituents over the submicron particles and a unique hollow spherical microstructure, which provides an enhanced electrical conductivity and better accommodation of volume change of silicon during electrochemical charge–discharge cycling, respectively. As a result, the composite electrode exhibits a high cycling stability delivering the capacity retention of 91% at the 100th cycle and discharge capacities of 662–602 mAh/g and coulombic efficiencies of 99.8%. This material synthesis is scalable and cost-effective in preparing various submicron or micron composite electrode materials.

  10. Submicron X-ray diffraction

    International Nuclear Information System (INIS)

    MacDowell, Alastair; Celestre, Richard; Tamura, Nobumichi; Spolenak, Ralph; Valek, Bryan; Brown, Walter; Bravman, John; Padmore, Howard; Batterman, Boris; Patel, Jamshed

    2000-01-01

    At the Advanced Light Source in Berkeley the authors have instrumented a beam line that is devoted exclusively to x-ray micro diffraction problems. By micro diffraction they mean those classes of problems in Physics and Materials Science that require x-ray beam sizes in the sub-micron range. The instrument is for instance, capable of probing a sub-micron size volume inside micron sized aluminum metal grains buried under a silicon dioxide insulating layer. The resulting Laue pattern is collected on a large area CCD detector and automatically indexed to yield the grain orientation and deviatoric (distortional) strain tensor of this sub-micron volume. A four-crystal monochromator is then inserted into the beam, which allows monochromatic light to illuminate the same part of the sample. Measurement of diffracted photon energy allows for the determination of d spacings. The combination of white and monochromatic beam measurements allow for the determination of the total strain/stress tensor (6 components) inside each sub-micron sized illuminated volume of the sample

  11. Laser plasmas as x-ray sources for lithographic imaging of submicron structures

    International Nuclear Information System (INIS)

    Bijkerk, F.; van Dorssen, G.E.; van der Wiel, M.J.

    1988-01-01

    Laser radiation can be used efficiently to generate x-rays for lithographic imaging of submicron patterns, e.g., for VLSI device fabrication. Due to their short wavelength and high average power, excimer lasers show much potential for this application. Results are presented of scaling studies for high repetition rate excimer laser application, using the frequency doubled output of a low repetition rate Nd:YAG/Glass laser. Spectral and spatial characteristics of x-ray emission of the laser plasma are shown. The power density in the laser focus was 3 x 10 12 W/cm 2 . With this source Si x-ray masks with submicron Au absorber profiles are imaged into high sensitivity x-ray photoresist. For the exposures 80 laser shots sufficed to yield high quality submicron structures. Extrapolation of the results to a high power excimer laser reduces the exposure time of the photoresists to several seconds, enabling a wafer throughput at an industrial level

  12. Fabrication of a Polymer Micro Needle Array by Mask-Dragging X-Ray Lithography and Alignment X-Ray Lithography

    International Nuclear Information System (INIS)

    Li Yi-Gui; Yang Chun-Sheng; Liu Jing-Quan; Sugiyama Susumu

    2011-01-01

    Polymer materials such as transparent thermoplastic poly(methyl methacrylate) (PMMA) have been of great interest in the research and development of integrated circuits and micro-electromechanical systems due to their relatively low cost and easy process. We fabricated PMMA-based polymer hollow microneedle arrays by mask-dragging and aligning x-ray lithography. Techniques for 3D micromachining by direct lithography using x-rays are developed. These techniques are based on using image projection in which the x-ray is used to illuminate an appropriate gold pattern on a polyimide film mask. The mask is imaged onto the PMMA sample. A pattern with an area of up to 100 × 100mm 2 can be fabricated with sub-micron resolution and a highly accurate order of a few microns by using a dragging mask. The fabrication technology has several advantages, such as forming complex 3D micro structures, high throughput and low cost. (cross-disciplinary physics and related areas of science and technology)

  13. Fabrication of a Polymer Micro Needle Array by Mask-Dragging X-Ray Lithography and Alignment X-Ray Lithography

    Science.gov (United States)

    Li, Yi-Gui; Yang, Chun-Sheng; Liu, Jing-Quan; Sugiyama, Susumu

    2011-03-01

    Polymer materials such as transparent thermoplastic poly(methyl methacrylate) (PMMA) have been of great interest in the research and development of integrated circuits and micro-electromechanical systems due to their relatively low cost and easy process. We fabricated PMMA-based polymer hollow microneedle arrays by mask-dragging and aligning x-ray lithography. Techniques for 3D micromachining by direct lithography using x-rays are developed. These techniques are based on using image projection in which the x-ray is used to illuminate an appropriate gold pattern on a polyimide film mask. The mask is imaged onto the PMMA sample. A pattern with an area of up to 100 × 100mm2 can be fabricated with sub-micron resolution and a highly accurate order of a few microns by using a dragging mask. The fabrication technology has several advantages, such as forming complex 3D micro structures, high throughput and low cost.

  14. Hybrid mask for deep etching

    KAUST Repository

    Ghoneim, Mohamed T.

    2017-08-10

    Deep reactive ion etching is essential for creating high aspect ratio micro-structures for microelectromechanical systems, sensors and actuators, and emerging flexible electronics. A novel hybrid dual soft/hard mask bilayer may be deposited during semiconductor manufacturing for deep reactive etches. Such a manufacturing process may include depositing a first mask material on a substrate; depositing a second mask material on the first mask material; depositing a third mask material on the second mask material; patterning the third mask material with a pattern corresponding to one or more trenches for transfer to the substrate; transferring the pattern from the third mask material to the second mask material; transferring the pattern from the second mask material to the first mask material; and/or transferring the pattern from the first mask material to the substrate.

  15. A 3D-RBS study of irradiation-induced deformation and masking properties of ordered colloidal nanoparticulate masks

    International Nuclear Information System (INIS)

    Zolnai, Z.; Deak, A.; Nagy, N.; Toth, A.L.; Kotai, E.; Battistig, G.

    2010-01-01

    The 500 keV Xe 2+ irradiation-induced anisotropic deformation of ordered colloidal silica nanoparticulate masks is followed using 2 MeV 4 He + Rutherford Backscattering Spectrometry (RBS) with different measurement geometries and the improved data analysis capabilities of the RBS-MAST spectrum simulation code. The three-dimensional (3D) geometrical transformation from spherical to oblate ellipsoidal and polygonal shape and the decrease of the mask's hole size is described. The masking properties of the silica monolayer and the depth distribution of Xe in the underlying Si substrate vs. the irradiated Xe 2+ fluence are discussed. Field Emission Scanning Electron Microscopy (FESEM) is applied as complementary characterization tool. Our results give contribution to clarify the impact of ion-nanoparticle interactions on the potentials and limits of nanosphere lithography. We also show the capability of the conventional RBS technique to characterize laterally ordered submicron-sized three-dimensional structures.

  16. Mask materials in powderblasting

    NARCIS (Netherlands)

    Wensink, H.; Berenschot, Johan W.; Jansen, Henricus V.; Elwenspoek, Michael Curt

    1999-01-01

    Powderblasting has the opportunity to become a standard technology in micromachining. To machine small details with powderbalsting, it is necessary to use a suiabled mask. In this paper four mask types ares examined. BF400 resist foil is most suitable for standard use in powderblasting for reason of

  17. Evaluation of the protection factor of half-masks with respirator fitting test apparatus

    International Nuclear Information System (INIS)

    Murata, M.; Ikezawa, Y.; Yoshida, Y.; Matsui, H.; Kokubu, M.

    1980-01-01

    A respirator fitting test apparatus has been developed to select half-masks of high performance and to estimate their protective ability. With the apparatus, the facepiece leakage was measured for six different half-masks worn by 40 volunteer subjects, under simulated working conditions of normal breathing, smiling, moving head, and talking. A cloud of submicron sodium chloride particles was fed into a test hood in which the subject wore a mask. The air sampled from inside the mask with a miniature pump was assessed by a flame photometer. It is hown that the protection factor (ratio of the concentration of test cloud outside the mask to that inside) for each mask varies widely with the subject and the working conditions, and that the protection factors roughly fit a lognormal distribution. The values characterizing the distributions of respective masks are given. (H.K.)

  18. Size-Tuned Plastic Flow Localization in Irradiated Materials at the Submicron Scale

    Science.gov (United States)

    Cui, Yinan; Po, Giacomo; Ghoniem, Nasr

    2018-05-01

    Three-dimensional discrete dislocation dynamics (3D-DDD) simulations reveal that, with reduction of sample size in the submicron regime, the mechanism of plastic flow localization in irradiated materials transitions from irradiation-controlled to an intrinsic dislocation source controlled. Furthermore, the spatial correlation of plastic deformation decreases due to weaker dislocation interactions and less frequent cross slip as the system size decreases, thus manifesting itself in thinner dislocation channels. A simple model of discrete dislocation source activation coupled with cross slip channel widening is developed to reproduce and physically explain this transition. In order to quantify the phenomenon of plastic flow localization, we introduce a "deformation localization index," with implications to the design of radiation-resistant materials.

  19. Evaluation of protection provided by air purifying half and full-face masks as worn

    International Nuclear Information System (INIS)

    Murata, Mikio; Ikezawa, Yoshio; Yoshida, Yoshikazu

    1979-01-01

    For selecting good-performing masks and estimating the protection provided by the masks, the leakage has been measured for six types of half mask and three types of full-face mask as worn. The cloud of submicron sodium chloride particles was generated within a test hood in which the subject wore his mask. The air sampled from inside the mask with a miniature pump was assessed by a flame photometer. The leakage was measured under four simulated working conditions such as normal breathing, smiling, moving head, and talking. The measured protection factors (defined as the ratio of the concentration of the test cloud outside the mask to that inside the mask) widely distributed from 10 to 3,300 for the half masks and from 100 to 3,300 for the full-face masks, depending on the persons and the working conditions. The values characterising the distribution of the protection factor for each mask are summarized. Based on these values, the performance of each mask and the effects of working conditions on the protection are discussed. (author)

  20. Modeling of intense pulsed ion beam heated masked targets for extreme materials characterization

    Science.gov (United States)

    Barnard, John J.; Schenkel, Thomas

    2017-11-01

    Intense, pulsed ion beams locally heat materials and deliver dense electronic excitations that can induce material modifications and phase transitions. Material properties can potentially be stabilized by rapid quenching. Pulsed ion beams with pulse lengths of order ns have recently become available for materials processing. Here, we optimize mask geometries for local modification of materials by intense ion pulses. The goal is to rapidly excite targets volumetrically to the point where a phase transition or local lattice reconstruction is induced followed by rapid cooling that stabilizes desired material's properties fast enough before the target is altered or damaged by, e.g., hydrodynamic expansion. By using a mask, the longitudinal dimension can be large compared to the transverse dimension, allowing the possibility of rapid transverse cooling. We performed HYDRA simulations that calculate peak temperatures for a series of excitation conditions and cooling rates of silicon targets with micro-structured masks and compare these to a simple analytical model. The model gives scaling laws that can guide the design of targets over a wide range of pulsed ion beam parameters.

  1. Investigation of moisture uptake into printed circuit board laminate and solder mask materials

    DEFF Research Database (Denmark)

    Conseil, Helene; Gudla, Visweswara Chakravarthy; Borgaonkar, Shruti

    2017-01-01

    with different solder mask materials and exposed to saturated water vapour and liquid water. The solder masks are characterised for their microstructure and constituent phases using scanning electron microscopy and X-ray diffraction. The observations are correlated with themoisture absorption characteristic...

  2. NATO Advanced Study Institute on Physics of Submicron Semiconductor Devices

    CERN Document Server

    Ferry, David; Jacoboni, C

    1988-01-01

    The papers contained in the volume represent lectures delivered as a 1983 NATO ASI, held at Urbino, Italy. The lecture series was designed to identify the key submicron and ultrasubmicron device physics, transport, materials and contact issues. Nonequilibrium transport, quantum transport, interfacial and size constraints issues were also highlighted. The ASI was supported by NATO and the European Research Office. H. L. Grubin D. K. Ferry C. Jacoboni v CONTENTS MODELLING OF SUB-MICRON DEVICES.................. .......... 1 E. Constant BOLTZMANN TRANSPORT EQUATION... ... ...... .................... 33 K. Hess TRANSPORT AND MATERIAL CONSIDERATIONS FOR SUBMICRON DEVICES. . .. . . . . .. . . . .. . .. . .... ... .. . . . .. . . . .. . . . . . . . . . . 45 H. L. Grubin EPITAXIAL GROWTH FOR SUB MICRON STRUCTURES.................. 179 C. E. C. Wood INSULATOR/SEMICONDUCTOR INTERFACES.......................... 195 C. W. Wilms en THEORY OF THE ELECTRONIC STRUCTURE OF SEMICONDUCTOR SURFACES AND INTERFACES...................

  3. Scalable Sub-micron Patterning of Organic Materials Toward High Density Soft Electronics.

    Science.gov (United States)

    Kim, Jaekyun; Kim, Myung-Gil; Kim, Jaehyun; Jo, Sangho; Kang, Jingu; Jo, Jeong-Wan; Lee, Woobin; Hwang, Chahwan; Moon, Juhyuk; Yang, Lin; Kim, Yun-Hi; Noh, Yong-Young; Jaung, Jae Yun; Kim, Yong-Hoon; Park, Sung Kyu

    2015-09-28

    The success of silicon based high density integrated circuits ignited explosive expansion of microelectronics. Although the inorganic semiconductors have shown superior carrier mobilities for conventional high speed switching devices, the emergence of unconventional applications, such as flexible electronics, highly sensitive photosensors, large area sensor array, and tailored optoelectronics, brought intensive research on next generation electronic materials. The rationally designed multifunctional soft electronic materials, organic and carbon-based semiconductors, are demonstrated with low-cost solution process, exceptional mechanical stability, and on-demand optoelectronic properties. Unfortunately, the industrial implementation of the soft electronic materials has been hindered due to lack of scalable fine-patterning methods. In this report, we demonstrated facile general route for high throughput sub-micron patterning of soft materials, using spatially selective deep-ultraviolet irradiation. For organic and carbon-based materials, the highly energetic photons (e.g. deep-ultraviolet rays) enable direct photo-conversion from conducting/semiconducting to insulating state through molecular dissociation and disordering with spatial resolution down to a sub-μm-scale. The successful demonstration of organic semiconductor circuitry promise our result proliferate industrial adoption of soft materials for next generation electronics.

  4. Selective phase masking to reduce material saturation in holographic data storage systems

    Science.gov (United States)

    Phillips, Seth; Fair, Ivan

    2014-09-01

    Emerging networks and applications require enormous data storage. Holographic techniques promise high-capacity storage, given resolution of a few remaining technical issues. In this paper, we propose a technique to overcome one such issue: mitigation of large magnitude peaks in the stored image that cause material saturation resulting in readout errors. We consider the use of ternary data symbols, with modulation in amplitude and phase, and use a phase mask during the encoding stage to reduce the probability of large peaks arising in the stored Fourier domain image. An appropriate mask is selected from a predefined set of pseudo-random masks by computing the Fourier transform of the raw data array as well as the data array multiplied by each mask. The data array or masked array with the lowest Fourier domain peak values is recorded. On readout, the recorded array is multiplied by the mask used during recording to recover the original data array. Simulations are presented that demonstrate the benefit of this approach, and provide insight into the appropriate number of phase masks to use in high capacity holographic data storage systems.

  5. High Efficiency Mask Based Laser Materials Processing with TEA-CO2 - and Excimer Laser

    DEFF Research Database (Denmark)

    Bastue, Jens; Olsen, Flemmming Ove

    1997-01-01

    In general, mask based laser materials processing techniques suffer from a very low energy efficiency. We have developed a simple device called an energy enhancer, which is capable of increasing the energy efficiency of typical mask based laser materials processing systems. A short review of the ...... line marking with TEA-CO2 laser of high speed canning lines. The second one is manufactured for marking or microdrilling with excimer laser....

  6. Face masks in radiotherapy of head and neck cancers: Comparative test of different materials

    International Nuclear Information System (INIS)

    Niewald, M.; Lehmann, W.; Scharding, B.; Berberich, W.; Schnabel, K.; Leetz, H.K.; Universitaet des Saarlandes, Homburg/Saar

    1986-01-01

    A most precise immobilisation of the patient's head is indispensabel in order to reach a high degree of exactness and reproducibility in radiotherapy of malignant head and neck tumors. Face masks made of different synthetic materials have proved to be a simple and economical solution for this problem. Based on our own experiences with ''Baycast Longuettes'' (manufacturing firm: Johnson and Johnson, Duesseldorf), eleven substances have been tested in the phantom (compound of plaster and synthetic resin, thermoplast, polyurethane foam, compounds of cotton and synthetic resin and fibre glass compounds). An appropriate material was ''Hexcelite'' (manufacturing firm: Medimex, Hamburg), a reticulated thermoplast which after warming up can be easily adapted to the patient's face and which guarantees a very good fixation of the head. As compared to solid masks, there is only a slight superposition of the depth dose of Co-60 gamma radiation by secondary electrons from the mask material. So that an increased rate of radiogenic dermatitides is not to be expected. (orig.) [de

  7. Submicron superconducting structures

    International Nuclear Information System (INIS)

    Golovashkin, A.I.; Lykov, A.N.

    1986-01-01

    An overview of works concerning superconducting structures of submicron dimensions and a system of such structures is given. It is noted that usage of the above structures in superconducting microelectronics permits, first, to increase the element packing density, to decrease the signal transmission time, capacity, power dissipated in high-frequency applications. Secondly, negligible coherence length in transition metals, their alloys and high-temperature compounds also restrict the dimensions of superconducting weak couplings when the 'classical' Josephson effect is displayed. The most effective methods for production of submicron superconducting structures are the following: lithography, double scribering. Recently the systems of superconducting submicron elements are extensively studied. It is shown that such systems can be phased by magnetic field

  8. Effect of Three Different Core Materials on Masking Ability of a Zirconia Ceramic

    Directory of Open Access Journals (Sweden)

    Farhad Tabatabaian

    2016-12-01

    Full Text Available Objectives: Masking ability of a restorative material plays a role in hiding colored substructures; however, the masking ability of zirconia ceramic (ZRC has not yet been clearly understood in zirconia-based restorations. This study evaluated the effect of three different core materials on masking ability of a ZRC.Materials and Methods: Ten zirconia disc samples, 0.5mm in thickness and 10mm in diameter, were fabricated. A white (W substrate (control and three substrates of nickel-chromium alloy (NCA, non-precious gold alloy (NPGA, and ZRC were prepared. The zirconia discs were placed on the four types of substrates for spectrophotometry. The L*, a*, and b* values of the specimens were measured by a spectrophotometer and color change (ΔE values were calculated to determine color differences between the test and control groups and were then compared with the perceptual threshold. Randomized block ANOVA and Bonferroni test analyzed the data. A significance level of 0.05 was considered.Results: The mean and standard deviation values of ΔE for NCA, NPGA, and ZRC groups were 10.26±2.43, 9.45±1.74, and 6.70±1.91 units, respectively. Significant differences were found in the ΔE values between ZRC and the other two experimental groups (NCA and NPGA; P<0.0001 and P=0.001, respectively. The ΔE values for the groups were more than the predetermined perceptual threshold.Conclusions: Within the limitations of this study, it was concluded that the tested ZRC could not well mask the examined core materials.Keywords: Color; Spectrophotometry; Visual Perception; Yttria Stabilized Tetragonal Zirconia

  9. Prototype of an energy enhancer for mask based laser materials processing

    DEFF Research Database (Denmark)

    Bastue, Jens; Olsen, Flemmming Ove

    1997-01-01

    In general mask based laser material processing (MBLMP) is a process which suffers from a low energy efficiency, because the majority of the laser light is absorbed in or reflected by the mask. We have developed a device called an energy enhancer which is capable of improving the energy efficienc...... component reflectivity and alignment sensitivity are investigated in order to evaluate the possibility of making commercial use of the device. The obtainable image quality and how this is influenced by the focusing and imaging system is discussed in some detail....... by a factor of 2 - 4 for a typical TEA-CO2 system for mask based laser marking. A simple ray-tracing model has been built in order to design and optimise the energy enhancer. Thus we present experimental results as well as simulations and show fine accordance between the two. Important system parameters like...

  10. High aspect ratio silicon nanomoulds for UV embossing fabricated by directional thermal oxidation using an oxidation mask

    International Nuclear Information System (INIS)

    Chen, L Q; Chan-Park, Mary B; Yan, Y H; Zhang Qing; Li, C M; Zhang Jun

    2007-01-01

    Nanomoulding is simple and economical but moulds with nanoscale features are usually prohibitively expensive to fabricate because nanolithographic techniques are mostly serial and time-consuming for large-area patterning. This paper describes a novel, simple and inexpensive parallel technique for fabricating nanoscale pattern moulds by silicon etching followed by thermal oxidation. The mask pattern can be made by direct photolithography or photolithography followed by metal overetching for submicron- and nanoscale features, respectively. To successfully make nanoscale channels having a post-oxidation cross-sectional shape similar to that of the original channel, an oxidation mask to promote unidirectional (specifically horizontal) oxide growth is found to be essential. A silicon nitride or metal mask layer prevents vertical oxidation of the Si directly beneath it. Without this mask, rectangular channels become smaller but are V-shaped after oxidation. By controlling the silicon etch depth and oxidation time, moulds with high aspect ratio channels having widths ranging from 500 to 50 nm and smaller can be obtained. The nanomould, when passivated with a Teflon-like layer, can be used for first-generation replication using ultraviolet (UV) nanoembossing and second-generation replication in other materials, such as polydimethylsiloxane (PDMS). The PDMS stamp, which was subsequently coated with Au, was used for transfer printing of Au electrodes with a 600 nm gap which will find applications in plastics nanoelectronics

  11. Prevention of sidewall redeposition of etched byproducts in the dry Au etch process

    International Nuclear Information System (INIS)

    Aydemir, A; Akin, T

    2012-01-01

    In this paper we present a new technique of etching thin Au film in a dual frequency inductively coupled plasma (ICP) system on Si substrate to prevent the redeposition of etched Au particles over the sidewall of the masking material known as veils. First, the effect of the lithography step was investigated. Then the effects of etch chemistry and the process parameters on the redeposition of etched Au particles on the sidewall of the masking material were investigated. The redeposition effect was examined by depositing a thin Ti film over the masking material acting as a hard mask. The results showed that depositing a thin Ti film over the masking material prevents the formation of veils after etching Au in plasma environments for submicron size structures. Based on the results of this study, we propose a new technique that completely eliminates formation of veils after etching Au in plasma environments for submicron size structures. (paper)

  12. Novel Translucent and Strong Submicron Alumina Ceramics for Dental Restorations.

    Science.gov (United States)

    Zhao, M; Sun, Y; Zhang, J; Zhang, Y

    2018-03-01

    An ideal ceramic restorative material should possess excellent aesthetic and mechanical properties. We hypothesize that the high translucency and strength of polycrystalline ceramics can be achieved through microstructural tailoring. The aim of this study is to demonstrate the superior optical and mechanical properties of a new class of submicron grain-sized alumina ceramics relative to the current state-of-the-art dental ceramic materials. The translucency, the in-line transmission ( T IT ) in particular, of these submicron alumina ceramics has been examined with the Rayleigh-Gans-Debye light-scattering model. The theoretical predictions related very well with the measured T IT values. The translucency parameter ( TP) and contrast ratio ( CR) of the newly developed aluminas were measured with a reflectance spectrophotometer on a black-and-white background. For comparison, the T IT , TP, and CR values for a variety of dental ceramics, mostly measured in-house but also cited from the literature, were included. The flexural strength of the aluminas was determined with the 4-point bending test. Our findings have shown that for polycrystalline alumina ceramics, an average grain size ceramic and zirconias, including the most translucent cubic-containing zirconias. The strength of these submicron grain-sized aluminas was significantly higher than that of the cubic-containing zirconia (e.g., Zpex Smile) and lithia-based glass-ceramics (e.g., IPS e.max CAD HT). A coarse-grained alumina could also reach a translucency level comparable to that of dental porcelain. However, the relatively low strength of this material has limited its clinical indications to structurally less demanding applications, such as orthodontic brackets. With a combined high strength and translucency, the newly developed submicron grain-sized alumina may be considered a suitable material for dental restorations.

  13. Fabrication of micro- and nano-structured materials using mask-less processes

    International Nuclear Information System (INIS)

    Roy, Sudipta

    2007-01-01

    Micro- and nano-scale devices are used in electronics, micro-electro- mechanical, bio-analytical and medical components. An essential step for the fabrication of such small scale devices is photolithography. Photolithography requires a master mask to transfer micrometre or sub-micrometre scale patterns onto a substrate. The requirement of a physical, rigid mask can impede progress in applications which require rapid prototyping, flexible substrates, multiple alignment and 3D fabrication. Alternative technologies, which do not require the use of a physical mask, are suitable for these applications. In this paper mask-less methods of micro- and nano-scale fabrication have been discussed. The most common technique, which is the laser direct imaging (LDI), technique has been applied to fabricate micrometre scale structures on printed circuit boards, glass and epoxy. LDI can be combined with chemical methods to deposit metals, inorganic materials as well as some organic entities at the micrometre scale. Inkjet technology can be used to fabricate micrometre patterns of etch resists, organic transistors as well as arrays for bioanalysis. Electrohydrodynamic atomisation is used to fabricate micrometre scale ceramic features. Electrochemical methodologies offer a variety of technical solutions for micro- and nano-fabrication owing to the fact that electron charge transfer can be constrained to a solid-liquid interface. Electrochemical printing is an adaptation of inkjet printing which can be used for rapid prototyping of metallic circuits. Micro-machining using nano-second voltage pulses have been used to fabricate high precision features on metals and semiconductors. Optimisation of reactor, electrochemistry and fluid flow (EnFACE) has also been employed to transfer micrometre scale patterns on a copper substrate. Nano-scale features have been fabricated by using specialised tools such as scanning tunnelling microscopy, atomic force microscopy and focused ion beam. The

  14. Masks: The Artist in Me

    Science.gov (United States)

    Skophammer, Karen

    2009-01-01

    Whether masks are made from cardboard, papier-mache, metal, wood, leather, fabric, clay or any combination of these materials, they bring out the artist in people. Young children like to wear masks when they play to pretend they were another person or animal. Masks let them fantasize and be creative. The author's students made masks representing…

  15. Patterning of light-extraction nanostructures on sapphire substrates using nanoimprint and ICP etching with different masking materials.

    Science.gov (United States)

    Chen, Hao; Zhang, Qi; Chou, Stephen Y

    2015-02-27

    Sapphire nanopatterning is the key solution to GaN light emitting diode (LED) light extraction. One challenge is to etch deep nanostructures with a vertical sidewall in sapphire. Here, we report a study of the effects of two masking materials (SiO2 and Cr) and different etching recipes (the reaction gas ratio, the reaction pressure and the inductive power) in a chlorine-based (BCl3 and Cl2) inductively coupled plasma (ICP) etching of deep nanopillars in sapphire, and the etching process optimization. The masking materials were patterned by nanoimprinting. We have achieved high aspect ratio sapphire nanopillar arrays with a much steeper sidewall than the previous etching methods. We discover that the SiO2 mask has much slower erosion rate than the Cr mask under the same etching condition, leading to the deep cylinder-shaped nanopillars (122 nm diameter, 200 nm pitch, 170 nm high, flat top, and a vertical sidewall of 80° angle), rather than the pyramid-shaped shallow pillars (200 nm based diameter, 52 nm height, and 42° sidewall) resulted by using Cr mask. The processes developed are scalable to large volume LED manufacturing.

  16. High density submicron magnetoresistive random access memory (invited)

    Science.gov (United States)

    Tehrani, S.; Chen, E.; Durlam, M.; DeHerrera, M.; Slaughter, J. M.; Shi, J.; Kerszykowski, G.

    1999-04-01

    Various giant magnetoresistance material structures were patterned and studied for their potential as memory elements. The preferred memory element, based on pseudo-spin valve structures, was designed with two magnetic stacks (NiFeCo/CoFe) of different thickness with Cu as an interlayer. The difference in thickness results in dissimilar switching fields due to the shape anisotropy at deep submicron dimensions. It was found that a lower switching current can be achieved when the bits have a word line that wraps around the bit 1.5 times. Submicron memory elements integrated with complementary metal-oxide-semiconductor (CMOS) transistors maintained their characteristics and no degradation to the CMOS devices was observed. Selectivity between memory elements in high-density arrays was demonstrated.

  17. The difficult business model for mask equipment makers and mask infrastructure development support from consortia and governments

    Science.gov (United States)

    Hector, Scott

    2005-11-01

    The extension of optical projection lithography through immersion to patterning features with half pitch face the challenge of being profitable in the small market for mask equipment while encountering significant R&D expenses to bring new generations of mask fabrication equipment to market. The total available market for patterned masks is estimated to be $2.5B to $2.9B per year. The patterned mask market is about 20% of the market size for lithography equipment and materials. The total available market for mask-making equipment is estimated to be about $800M per year. The largest R&D affordability issue arises for the makers of equipment for fabricating masks where total available sales are typically less than ten units per year. SEMATECH has used discounted cash flow models to predict the affordable R&D while maintaining industry accepted internal rates of return. The results have been compared to estimates of the total R&D cost to bring a new generation of mask equipment to market for various types of tools. The analysis revealed that affordability of the required R&D is a significant problem for many suppliers of mask-making equipment. Consortia such as SEMATECH and Selete have played an important role in cost sharing selected mask equipment and material development projects. Governments in the United States, in Europe and in Japan have also helped equipment suppliers with support for R&D. This paper summarizes the challenging business model for mask equipment suppliers and highlight government support for mask equipment and materials development.

  18. Laser direct writing using submicron-diameter fibers.

    Science.gov (United States)

    Tian, Feng; Yang, Guoguang; Bai, Jian; Xu, Jianfeng; Hou, Changlun; Liang, Yiyong; Wang, Kaiwei

    2009-10-26

    In this paper, a novel direct writing technique using submicron-diameter fibers is presented. The submicron-diameter fiber probe serves as a tightly confined point source and it adopts micro touch mode in the process of writing. The energy distribution of direct writing model is analyzed by Three-Dimension Finite-Difference Time-Domain method. Experiments demonstrate that submicron-diameter fiber direct writing has some advantages: simple process, 350-nm-resolution (lower than 442-nm-wavelength), large writing area, and controllable width of lines. In addition, by altering writing direction of lines, complex submicron patterns can be fabricated.

  19. Study on Buckling of Stiff Thin Films on Soft Substrates as Functional Materials

    Science.gov (United States)

    Ma, Teng

    In engineering, buckling is mechanical instability of walls or columns under compression and usually is a problem that engineers try to prevent. In everyday life buckles (wrinkles) on different substrates are ubiquitous -- from human skin to a rotten apple they are a commonly observed phenomenon. It seems that buckles with macroscopic wavelengths are not technologically useful; over the past decade or so, however, thanks to the widespread availability of soft polymers and silicone materials micro-buckles with wavelengths in submicron to micron scale have received increasing attention because it is useful for generating well-ordered periodic microstructures spontaneously without conventional lithographic techniques. This thesis investigates the buckling behavior of thin stiff films on soft polymeric substrates and explores a variety of applications, ranging from optical gratings, optical masks, energy harvest to energy storage. A laser scanning technique is proposed to detect micro-strain induced by thermomechanical loads and a periodic buckling microstructure is employed as a diffraction grating with broad wavelength tunability, which is spontaneously generated from a metallic thin film on polymer substrates. A mechanical strategy is also presented for quantitatively buckling nanoribbons of piezoelectric material on polymer substrates involving the combined use of lithographically patterning surface adhesion sites and transfer printing technique. The precisely engineered buckling configurations provide a route to energy harvesters with extremely high levels of stretchability. This stiff-thin-film/polymer hybrid structure is further employed into electrochemical field to circumvent the electrochemically-driven stress issue in silicon-anode-based lithium ion batteries. It shows that the initial flat silicon-nanoribbon-anode on a polymer substrate tends to buckle to mitigate the lithiation-induced stress so as to avoid the pulverization of silicon anode. Spontaneously

  20. Tailored synthesis of monodispersed nano/submicron porous silicon oxycarbide (SiOC) spheres with improved Li-storage performance as an anode material for Li-ion batteries

    Science.gov (United States)

    Shi, Huimin; Yuan, Anbao; Xu, Jiaqiang

    2017-10-01

    A spherical silicon oxycarbide (SiOC) material (monodispersed nano/submicron porous SiOC spheres) is successfully synthesized via a specially designed synthetic strategy involving pyrolysis of phenyltriethoxysilane derived pre-ceramic polymer spheres at 900 °C. In order to prevent sintering of the pre-ceramic polymer spheres upon heating, a given amount of hollow porous SiO2 nanobelts which are separately prepared from tetraethyl orthosilicate with CuO nanobelts as templates are introduced into the pre-ceramic polymer spheres before pyrolysis. This material is investigated as an anode for lithium-ion batteries in comparison with the large-size bulk SiOC material synthesized under the similar conditions but without hollow SiO2 nanobelts. The maximum reversible specific capacity of ca. 900 mAh g-1 is delivered at the current density of 100 mA g-1 and ca. 98% of the initial capacity is remained after 100 cycles at 100 mA g-1 for the SiOC spheres material, which are much superior to the bulk SiOC material. The improved lithium storage performance in terms of specific capacity and cyclability is attributed to its particular morphology of monodisperse nano/submicron porous spheres as well as its modified composition and microstructure. This SiOC material has higher Li-storage activity and better stability against volume expansion during repeated lithiation and delithiation cycling.

  1. Submicron confinement effect on electrical activation of B implanted in Si

    International Nuclear Information System (INIS)

    Bruno, E.; Mirabella, S.; Impellizzeri, G.; Priolo, F.; Giannazzo, F.; Raineri, V.; Napolitani, E.

    2005-01-01

    In this work we studied the effect of B implantation in Si through submicron laterally confined area on B clustering and its electrical activation. For this study, we implanted B 3 keV into a Si wafer grown by Molecular Beam Epitaxy (MBE) through a patterned oxide mask with opening widths down to 0.38 μm. Then, we annealed the sample at 800 deg. C for several times up to 120 min and monitored the 2D carrier profile by quantitative high resolution Scanning Capacitance Microscopy (SCM). We show that by reducing the opening widths, not only the B clustering is strongly reduced, but also the B cluster dissolution is accelerated. This demonstrates the beneficial role of implanted B confinement on the B electrical activation. The above results have a significant impact in the modern Si based electronic device engineering

  2. 3D imaging of cement-based materials at submicron resolution by combining laser scanning confocal microscopy with serial sectioning.

    Science.gov (United States)

    Yio, M H N; Mac, M J; Wong, H S; Buenfeld, N R

    2015-05-01

    In this paper, we present a new method to reconstruct large volumes of nontransparent porous materials at submicron resolution. The proposed method combines fluorescence laser scanning confocal microscopy with serial sectioning to produce a series of overlapping confocal z-stacks, which are then aligned and stitched based on phase correlation. The method can be extended in the XY plane to further increase the overall image volume. Resolution of the reconstructed image volume does not degrade with increase in sample size. We have used the method to image cementitious materials, hardened cement paste and concrete and the results obtained show that the method is reliable. Possible applications of the method such as three-dimensional characterization of the pores and microcracks in hardened concrete, three-dimensional particle shape characterization of cementitious materials and three-dimensional characterization of other porous materials such as rocks and bioceramics are discussed. © 2015 The Authors Journal of Microscopy © 2015 Royal Microscopical Society.

  3. Ultrahigh-strength submicron-sized metallic glass wires

    International Nuclear Information System (INIS)

    Wang, Y.B.; Lee, C.C.; Yi, J.; An, X.H.; Pan, M.X.; Xie, K.Y.; Liao, X.Z.; Cairney, J.M.; Ringer, S.P.; Wang, W.H.

    2014-01-01

    In situ deformation experiments were performed in a transmission electron microscope to investigate the mechanical properties of submicron-sized Pd 40 Cu 30 Ni 10 P 20 metallic glass (MG) wires. Results show that the submicron-sized MG wires exhibit intrinsic ultrahigh tensile strength of ∼2.8 GPa, which is nearly twice as high as that in their bulk counterpart, and ∼5% elastic strain approaching the elastic limits. The tensile strength, engineering strain at failure and deformation mode of the submicron-sized MG wires depend on the diameter of the wires

  4. Ni-Al Alloys as Alternative EUV Mask Absorber

    Directory of Open Access Journals (Sweden)

    Vu Luong

    2018-03-01

    Full Text Available Extreme ultraviolet (EUV lithography is being industrialized as the next candidate printing technique for high-volume manufacturing of scaled down integrated circuits. At mask level, the combination of EUV light at oblique incidence, absorber thickness, and non-uniform mirror reflectance through incidence angle, creates photomask-induced imaging aberrations, known as mask 3D (M3D effects. A possible mitigation for the M3D effects in the EUV binary intensity mask (BIM, is to use mask absorber materials with high extinction coefficient κ and refractive coefficient n close to unity. We propose nickel aluminide alloys as a candidate BIM absorber material, and characterize them versus a set of specifications that a novel EUV mask absorber must meet. The nickel aluminide samples have reduced crystallinity as compared to metallic nickel, and form a passivating surface oxide layer in neutral solutions. Composition and density profile are investigated to estimate the optical constants, which are then validated with EUV reflectometry. An oxidation-induced Al L2 absorption edge shift is observed, which significantly impacts the value of n at 13.5 nm wavelength and moves it closer to unity. The measured optical constants are incorporated in an accurate mask model for rigorous simulations. The M3D imaging impact of the nickel aluminide alloy mask absorbers, which predict significant M3D reduction in comparison to reference absorber materials. In this paper, we present an extensive experimental methodology flow to evaluate candidate mask absorber materials.

  5. Translucency and masking properties of two ceramic materials for heat-press technology.

    Science.gov (United States)

    Șoim, Alexandra; Strîmbu, Maria; Burde, Alexandru V; Culic, Bogdan; Dudea, Diana; Gasparik, Cristina

    2018-03-01

    To assess the translucency of two pressable ceramics and to analyze their masking property when placed on different tooth-shaded backgrounds. Thirty discs (1-mm thickness) were fabricated using two pressable ceramics (shade/translucency): 1M1T/HT, 1M2T/HT, 2M2T (VITA PM9), and A1LT/HT, B1LT/HT, A2LT (e.max Press). Color measurements of discs were performed with a dental spectrophotometer on tooth-colored backgrounds (A1/A2/A3/A3.5/A4), and black and white backings. The masking property was calculated as the color difference (CIEDE2000) between parameters of discs on control (A1, A2) and test backgrounds (A3, A3.5, A4). One-way ANOVA was used for assessing differences in translucency parameter (TP) between ceramics. Two-way ANOVA was used for detecting differences among groups when measured over tooth-shaded backgrounds (α = 0.05, Bonferroni correction). TP ranged between 14.96 (B1LT) and 25.18 (1M1HT). A significant difference in TP was found between tested ceramics (F = 949.949, P  .05), 1M1T, A1HT and B1HT (P > .05), 1M2T, 2M2T, and A2HT (P > .05). A significant interaction effect of underlying background on color of ceramic discs was found (F = 107.994, P ceramics. Except A1LT, all ceramic materials evaluated showed poor masking properties on A4 background. Highly translucent ceramics should be wisely used for restoring the appearance of dental structures since background color has a large effect upon these materials. The more recently introduced pressable ceramics showed high levels of translucency. © 2018 Wiley Periodicals, Inc.

  6. Submicron Emulsions and Their Applications in Oral Delivery.

    Science.gov (United States)

    Mundada, Veenu; Patel, Mitali; Sawant, Krutika

    2016-01-01

    A "submicron emulsion" is an isotropic mixture of drug, lipids, and surfactants, usually with hydrophilic cosolvents and with droplet diameters ranging from 10 to 500 nm. Submicron emulsions are of increasing interest in medicine due to their kinetic stability, high solubilizing capacity, and tiny globule size. Because of these properties, they have been applied in various fields, such as personal care, cosmetics, health care, pharmaceuticals, and agrochemicals. Submicron emulsions are by far the most advanced nanoparticulate systems for the systemic delivery of biologically active agents for controlled drug delivery and targeting. They are designed mainly for pharmaceutical formulations suitable for various routes of administration like parenteral, ocular, transdermal, and oral. This review article describes the marked potential of submicron emulsions for oral drug delivery owing to their numerous advantages like reduced first pass metabolism, inhibition of P-glycoprotein efflux system, and enhanced absorption via intestinal lymphatic pathway. To overcome the limitations of liquid dosage forms, submicron emulsions can be formulated into solid dosage forms such as solid self-emulsifying systems. This article covers various types of submicron emulsions like microemulsion, nanoemulsion, and self-emulsifying drug delivery system (SEDDS), and their potential pharmaceutical applications in oral delivery with emphasis on their advantages, limitations, and advancements.

  7. Masked Photocathode for Photoinjector

    International Nuclear Information System (INIS)

    Qiang, Ji

    2010-01-01

    In this research note, we propose a scheme to insert a photocathode inside a photoinjector for generating high brightness electron beam. Instead of mounting the photocathode onto the electrode, a masked electrode with small hole is used to shield the photocathode from the accelerating vacuum chamber. Using such a masked photocathode will make the replacement of photocathode material very simple by rotating the photocathode behind the mask into the hole. This will significantly increase the usage lifetime of a photocathode. Furthermore, this also helps reduce the dark current or secondary electron emission from the photocathode. The hole on the mask also provides a transverse cut-off to the Gaussian laser profile which can be beneficial from the beam dynamics point of view.

  8. What's in a mask? Information masking with forward and backward visual masks.

    Science.gov (United States)

    Davis, Chris; Kim, Jeesun

    2011-10-01

    Three experiments tested how the physical format and information content of forward and backward masks affected the extent of visual pattern masking. This involved using different types of forward and backward masks with target discrimination measured by percentage correct in the first experiment (with a fixed target duration) and by an adaptive threshold procedure in the last two. The rationale behind the manipulation of the content of the masks stemmed from masking theories emphasizing attentional and/or conceptual factors rather than visual ones. Experiment 1 used word masks and showed that masking was reduced (a masking reduction effect) when the forward and backward masks were the same word (although in different case) compared to when the masks were different words. Experiment 2 tested the extent to which a reduction in masking might occur due to the physical similarity between the forward and backward masks by comparing the effect of the same content of the masks in the same versus different case. The result showed a significant reduction in masking for same content masks but no significant effect of case. The last experiment examined whether the reduction in masking effect would be observed with nonword masks--that is, having no high-level representation. No reduction in masking was found from same compared to different nonword masks (Experiment 3). These results support the view that the conscious perception of a rapidly displayed target stimulus is in part determined by high-level perceptual/cognitive factors concerned with masking stimulus grouping and attention.

  9. Enhancement in electrical conductivity of pastes containing submicron Ag-coated Cu filler with palmitic acid surface modification

    Science.gov (United States)

    Choi, Eun Byeol; Lee, Jong-Hyun

    2017-09-01

    The fabrication and applied use of submicron Ag-coated Cu (Cu@Ag) particles as a filler material for epoxy-based conductive pastes having the advantages of a lower material cost and antioxidation behavior were studied. Submicron Cu@Ag particles were successfully prepared and surface-modified using palmitic acid. Diffuse reflectance infrared Fourier transform spectroscopy and thermogravimetric differential scanning calorimetry results indicated the formation of an organic layer by the chemical interaction between the Cu@Ag surface and palmitic acid and the survival of the organic layer after treatment at 160 °C for 3 h in air. The printed pastes containing both commercial micron Cu@Ag flakes and the fabricated submicron Cu@Ag particles showed a greatly reduced electrical resistivity (4.68 × 10-4 Ω cm) after surface modification compared to an initial value of 1.85 × 10-3 Ω cm when cured.

  10. Assessment of molecular contamination in mask pod

    Science.gov (United States)

    Foray, Jean Marie; Dejaune, Patrice; Sergent, Pierre; Gough, Stuart; Cheung, D.; Davenet, Magali; Favre, Arnaud; Rude, C.; Trautmann, T.; Tissier, Michel; Fontaine, H.; Veillerot, M.; Avary, K.; Hollein, I.; Lerit, R.

    2008-04-01

    Context/ study Motivation: Contamination and especially Airbone Molecular Contamination (AMC) is a critical issue for mask material flow with a severe and fairly unpredictable risk of induced contamination and damages especially for 193 nm lithography. It is therefore essential to measure, to understand and then try to reduce AMC in mask environment. Mask material flow was studied in a global approach by a pool of European partners, especially within the frame of European MEDEA+ project, so called "MUSCLE". This paper deals with results and assessment of mask pod environment in term of molecular contamination in a first step, then in a second step preliminary studies to reduce mask pod influence and contamination due to material out gassing. Approach and techniques: A specific assessment of environmental / molecular contamination along the supply chain was performed by all partners. After previous work presented at EMLC 07, further studies were performed on real time contamination measurement pod at different sites locations (including Mask manufacturing site, blank manufacturing sites, IC fab). Studies were linked to the main critical issues: cleaning, storage, handling, materials and processes. Contamination measurement campaigns were carried out along the mask supply chain using specific Adixen analyzer in order to monitor in real time organic contaminants (ppb level) in mask pods. Key results would be presented: VOC, AMC and humidity level on different kinds of mask carriers, impact of basic cleaning on pod outgassing measurement (VOC, NH3), and process influence on pod contamination... In a second step, preliminary specific pod conditioning studies for better pod environment were performed based on Adixen vacuum process. Process influence had been experimentally measured in term of molecular outgassing from mask pods. Different AMC experimental characterization methods had been carried out leading to results on a wide range of organic and inorganic

  11. Masks for extreme ultraviolet lithography

    International Nuclear Information System (INIS)

    Cardinale, G; Goldsmith, J; Kearney, P A; Larson, C; Moore, C E; Prisbrey, S; Tong, W; Vernon, S P; Weber, F; Yan, P-Y.

    1998-01-01

    In extreme ultraviolet lithography (EUVL), the technology specific requirements on the mask are a direct consequence of the utilization of radiation in the spectral region between 10 and 15 nm. At these wavelengths, all condensed materials are highly absorbing and efficient radiation transport mandates the use of all-reflective optical systems. Reflectivity is achieved with resonant, wavelength-matched multilayer (ML) coatings on all of the optical surfaces - including the mask. The EUV mask has a unique architecture - it consists of a substrate with a highly reflective ML coating (the mask blank) that is subsequently over-coated with a patterned absorber layer (the mask). Particulate contamination on the EUVL mask surface, errors in absorber definition and defects in the ML coating all have the potential to print in the lithographic process. While highly developed technologies exist for repair of the absorber layer, no viable strategy for the repair of ML coating defects has been identified. In this paper the state-of-the-art in ML deposition technology, optical inspection of EUVL mask blank defects and candidate absorber patterning approaches are reviewed

  12. Nondestructive mapping of chemical composition and structural qualities of group III-nitride nanowires using submicron beam synchrotron-based X-ray diffraction

    Energy Technology Data Exchange (ETDEWEB)

    Bonanno, P.L., E-mail: plb2@njit.edu [Georgia Institute of Technology/GTL, UMI 2958 Georgia Tech-CNRS, 57070 Metz (France); Gautier, S. [LMOPS + UMI: Laboratoire Matériaux Optiques, Photonique et micro-nano Systèmes, UMR CNRS 7132, Université de Metz et SUPELEC, 2 rue E. Belin, 57070 Metz, France, UMI 2958 Georgia Tech-CNRS, 57070 Metz (France); Gmili, Y.El.; Moudakir, T. [UMI 2958 Georgia Tech-CNRS, 57070 Metz (France); Sirenko, A.A. [Department of Physics, New Jersey Institute of Technology, Newark, NJ 07102 (United States); Kazimirov, A. [Cornell High Energy Synchrotron Source (CHESS), Cornell University, Ithaca, NY 14853 (United States); Cai, Z.-H. [Advanced Photon Source, 9700 S. Cass Avenue, Argonne, IL 60439 (United States); Martin, J. [LMOPS + UMI: Laboratoire Matériaux Optiques, Photonique et micro-nano Systèmes, UMR CNRS 7132, Université de Metz et SUPELEC, 2 rue E. Belin, 57070 Metz, France, UMI 2958 Georgia Tech-CNRS, 57070 Metz (France); Goh, W.H. [Georgia Institute of Technology/GTL, UMI 2958 Georgia Tech-CNRS, 57070 Metz (France); Martinez, A.; Ramdane, A.; Le Gratiet, L. [Laboratoire de Photonique et de Nanostructures, UPR CNRS 20, Route de Nozay, 91460 Marcoussis (France); Maloufi, N. [Laboratoire d' Etude des Textures et Application aux Matériaux UMR CNRS 7078 Ile du Saulcy 57045 METZ cedex 1 (France); Assouar, M.B. [Laboratoire de Physique des Milieux Ionisés et Applications, Nancy University, CNRS, BP 239, F-54506 Vandoeuvre-lès-Nancy Cédex (France); Ougazzaden, A. [Georgia Institute of Technology/GTL, UMI 2958 Georgia Tech-CNRS, 57070 Metz (France)

    2013-08-31

    Submicron beam synchrotron-based X-ray diffraction (XRD) techniques have been developed and used to accurately and nondestructively map chemical composition and material quality of selectively grown group III-nitride nanowires. GaN, AlGaN, and InGaN multi-quantum-well nanowires have been selectively grown on lattice matched and mismatched substrates, and the challenges associated with obtaining and interpreting submicron beam XRD results are addressed and solved. Nanoscale cathodoluminescence is used to examine exciton behavior, and energy-dispersive X-ray spectroscopy is used to verify chemical composition. Scanning transmission electron microscopy is later used to paint a more complete picture. The advantages of submicron beam XRD over other techniques are discussed in the context of this challenging material system. - Highlights: ► We used nano selective area growth to create nanowires of GaN, AlGaN and InGaN/GaN. ► We characterized them by synchrotron-based submicron beam X-ray diffraction (XRD). ► This technique accurately determined chemical and crystallographic properties. ► Challenges of XRD are addressed in the context of this challenging material system. ► Advantages of XRD over other characterization methods are discussed.

  13. Nasal mask ventilation is better than face mask ventilation in edentulous patients

    OpenAIRE

    Kapoor, Mukul Chandra; Rana, Sandeep; Singh, Arvind Kumar; Vishal, Vindhya; Sikdar, Indranil

    2016-01-01

    Background and Aims: Face mask ventilation of the edentulous patient is often difficult as ineffective seating of the standard mask to the face prevents attainment of an adequate air seal. The efficacy of nasal ventilation in edentulous patients has been cited in case reports but has never been investigated. Material and Methods: Consecutive edentulous adult patients scheduled for surgery under general anesthesia with endotracheal intubation, during a 17-month period, were prospectively ev...

  14. Suboxide/subnitride formation on Ta masks during magnetic material etching by reactive plasmas

    Energy Technology Data Exchange (ETDEWEB)

    Li, Hu; Muraki, Yu; Karahashi, Kazuhiro; Hamaguchi, Satoshi, E-mail: hamaguch@ppl.eng.osaka-u.ac.jp [Center for Atomic and Molecular Technologies, Osaka University, Yamadaoka 2-1, Suita 565-0871 (Japan)

    2015-07-15

    Etching characteristics of tantalum (Ta) masks used in magnetoresistive random-access memory etching processes by carbon monoxide and ammonium (CO/NH{sub 3}) or methanol (CH{sub 3}OH) plasmas have been examined by mass-selected ion beam experiments with in-situ surface analyses. It has been suggested in earlier studies that etching of magnetic materials, i.e., Fe, Ni, Co, and their alloys, by such plasmas is mostly due to physical sputtering and etch selectivity of the process arises from etch resistance (i.e., low-sputtering yield) of the hard mask materials such as Ta. In this study, it is shown that, during Ta etching by energetic CO{sup +} or N{sup +} ions, suboxides or subnitrides are formed on the Ta surface, which reduces the apparent sputtering yield of Ta. It is also shown that the sputtering yield of Ta by energetic CO{sup +} or N{sup +} ions has a strong dependence on the angle of ion incidence, which suggests a correlation between the sputtering yield and the oxidation states of Ta in the suboxide or subnitride; the higher the oxidation state of Ta, the lower is the sputtering yield. These data account for the observed etch selectivity by CO/NH{sub 3} and CH{sub 3}OH plasmas.

  15. A Masked Photocathode in a Photoinjector

    OpenAIRE

    Qiang, Ji

    2011-01-01

    In this paper, we propose a masked photocathode inside a photoinjector for generating high brightness electron beam. Instead of mounting the photocathode onto an electrode, an electrode with small hole is used as a mask to shield the photocathode from the accelerating vacuum chamber. Using such a masked photocathode will make the replacement of photocathode material easy by rotating the photocathode behind the electrode into the hole. Furthermore, this helps reduce the dark current or seconda...

  16. Degradation of CMOS image sensors in deep-submicron technology due to γ-irradiation

    Science.gov (United States)

    Rao, Padmakumar R.; Wang, Xinyang; Theuwissen, Albert J. P.

    2008-09-01

    In this work, radiation induced damage mechanisms in deep submicron technology is resolved using finger gated-diodes (FGDs) as a radiation sensitive tool. It is found that these structures are simple yet efficient structures to resolve radiation induced damage in advanced CMOS processes. The degradation of the CMOS image sensors in deep-submicron technology due to γ-ray irradiation is studied by developing a model for the spectral response of the sensor and also by the dark-signal degradation as a function of STI (shallow-trench isolation) parameters. It is found that threshold shifts in the gate-oxide/silicon interface as well as minority carrier life-time variations in the silicon bulk are minimal. The top-layer material properties and the photodiode Si-SiO2 interface quality are degraded due to γ-ray irradiation. Results further suggest that p-well passivated structures are inevitable for radiation-hard designs. It was found that high electrical fields in submicron technologies pose a threat to high quality imaging in harsh environments.

  17. Image differencing using masked CCD

    International Nuclear Information System (INIS)

    Rushbrooke, J.G.; Ansorge, R.E.; Webber, C.J. St. J.

    1987-01-01

    A charge coupled device has some of its ''pixels'' masked by a material which is opaque to the radiation to which the device is to be exposed, each masked region being employed as a storage zone into which the charge pattern from the unmasked pixels can be transferred to enable a subsequent charge pattern to be established on further exposure of the unmasked pixels. The components of the resulting video signal corresponding to the respective charge patterns read-out from the CCD are subtracted to produce a video signal corresponding to the difference between the two images which formed the respective charge patterns. Alternate rows of pixels may be masked, or chequer-board pattern masking may be employed. In an X-ray imaging system the CCD is coupled to image intensifying and converting means. (author)

  18. X-ray face mask and bib device

    International Nuclear Information System (INIS)

    Forshee, D.J.

    1982-01-01

    An x-ray protective face mask is made of a relatively transparent lead containing radiation shielding plastics material, and is removably attachable to a chest or bib shield for application of the device to and support upon the shoulders of a technician or a patient. Alternatively, the face mask is formed of a lens portion supported in a plastics frame, upon the lower portion of which is removably attached a bib shield that in turn is removably attachable to an apron. The frame of the face mask, bib shield and apron are preferably lined with lead sheets to protect the neck, face, chest and body of the technician from the random secondary or scatter x-ray beams. The face mask and bib shield can be formed of a moulded plastics material as a unitary device, the lens portion being attached to the frame therefor. (author)

  19. Mountain pine beetle-killed lodgepole pine for the production of submicron lignocellulose fibrils

    Science.gov (United States)

    Ingrid Hoeger; Rolland Gleisner; Jose Negron; Orlando J. Rojas; J. Y. Zhu

    2014-01-01

    The elevated levels of tree mortality attributed to mountain pine beetle (MPB) (Dendroctonus ponderosae Hopkins) in western North American forests create forest management challenges. This investigation introduces the production of submicron or nanometer lignocellulose fibrils for value-added materials from the widely available resource represented by dead pines after...

  20. Development and scintigraphic evaluation of submicron sized dry powder inhalation formulation of fluticasone propionate in healthy human volunteers

    International Nuclear Information System (INIS)

    Ali, Sultana S.; Ahmad, F.J.; Khar, R.K.; Rathore, V.P.; Ali, Rashid; Rawat, H.S.; Chopra, M.K.; Mittal, G.; Bhatnagar, A.

    2010-01-01

    Full text: Objective of the present study concerns formulation and evaluation of submicron sized dry powder inhalation formulation of Fluticasone propionate for the treatment of bronchial asthma, COPD and a new life saving treatment option in restrictive lung diseases such as Interstitial Lung Disease (ILD), toxic and non-cardiogenic pulmonary inflammations or pulmonary edema, which have no effective treatment presently. Materials and Methods: The submicron sized particles were prepared by precipitation method using acetone as solvent and water as antisolvent. Poloxamer F127 was used as stabilizer. Both submicronized and micronized particles were characterized using FTIR, XRD, DSC, SEM and TEM. The mass median aerodynamic diameter (MMAD) of the submicronized and micronized API was calculated using Andersen cascade impactor. The prepared particles and micronized Active Pharmaceutical Ingredient (API) were radiolabeled with 99m Tc. Size3 HPMC capsules were filled with the 12.5 mg radiolabeled blend (100μg Fluticasone propionate and 12.4mg inhalable lactose) and given to healthy volunteers to assess the comparative pulmonary deposition. Results: The prepared formulation has shown better lung deposition as compared to micronized API. The MMAD of submicronized particles was in the range of 1 - 5 μm while the MMAD of micronized API was in the range of 5 - 15μm. Conclusion: The developed submicron sized dry powder inhalation formulation has better lung deposition as compared to micron sized API and it will become a better treatment option for the bronchial asthma, COPD and ILDs

  1. SiO2/ZnO Composite Hollow Sub-Micron Fibers: Fabrication from Facile Single Capillary Electrospinning and Their Photoluminescence Properties

    Directory of Open Access Journals (Sweden)

    Guanying Song

    2017-02-01

    Full Text Available In this work, SiO2/ZnO composite hollow sub-micron fibers were fabricated by a facile single capillary electrospinning technique followed by calcination, using tetraethyl orthosilicate (TEOS, polyvinylpyrrolidone (PVP and ZnO nanoparticles as raw materials. The characterization results of the scanning electron microscopy (SEM, transmission electron microscopy (TEM, X-ray diffraction (XRD and Fourier transform infrared spectroscopy (FT-IR spectra indicated that the asprepared composite hollow fibers consisted of amorphous SiO2 and hexagonal wurtzite ZnO. The products revealed uniform tubular structure with outer diameters of 400–500 nm and wall thickness of 50–60 nm. The gases generated and the directional escaped mechanism was proposed to illustrate the formation of SiO2/ZnO composite hollow sub-micron fibers. Furthermore, a broad blue emission band was observed in the photoluminescence (PL of SiO2/ZnO composite hollow sub-micron fibers, exhibiting great potential applications as blue light-emitting candidate materials.

  2. Structural colour printing from a reusable generic nanosubstrate masked for the target image

    International Nuclear Information System (INIS)

    Rezaei, M; Jiang, H; Kaminska, B

    2016-01-01

    Structural colour printing has advantages over traditional pigment-based colour printing. However, the high fabrication cost has hindered its applications in printing large-area images because each image requires patterning structural pixels in nanoscale resolution. In this work, we present a novel strategy to print structural colour images from a pixelated substrate which is called a nanosubstrate. The nanosubstrate is fabricated only once using nanofabrication tools and can be reused for printing a large quantity of structural colour images. It contains closely packed arrays of nanostructures from which red, green, blue and infrared structural pixels can be imprinted. To print a target colour image, the nanosubstrate is first covered with a mask layer to block all the structural pixels. The mask layer is subsequently patterned according to the target colour image to make apertures of controllable sizes on top of the wanted primary colour pixels. The masked nanosubstrate is then used as a stamp to imprint the colour image onto a separate substrate surface using nanoimprint lithography. Different visual colours are achieved by properly mixing the red, green and blue primary colours into appropriate ratios controlled by the aperture sizes on the patterned mask layer. Such a strategy significantly reduces the cost and complexity of printing a structural colour image from lengthy nanoscale patterning into high throughput micro-patterning and makes it possible to apply structural colour printing in personalized security features and data storage. In this paper, nanocone array grating pixels were used as the structural pixels and the nanosubstrate contains structures to imprint the nanocone arrays. Laser lithography was implemented to pattern the mask layer with submicron resolution. The optical properties of the nanocone array gratings are studied in detail. Multiple printed structural colour images with embedded covert information are demonstrated. (paper)

  3. Structural colour printing from a reusable generic nanosubstrate masked for the target image

    Science.gov (United States)

    Rezaei, M.; Jiang, H.; Kaminska, B.

    2016-02-01

    Structural colour printing has advantages over traditional pigment-based colour printing. However, the high fabrication cost has hindered its applications in printing large-area images because each image requires patterning structural pixels in nanoscale resolution. In this work, we present a novel strategy to print structural colour images from a pixelated substrate which is called a nanosubstrate. The nanosubstrate is fabricated only once using nanofabrication tools and can be reused for printing a large quantity of structural colour images. It contains closely packed arrays of nanostructures from which red, green, blue and infrared structural pixels can be imprinted. To print a target colour image, the nanosubstrate is first covered with a mask layer to block all the structural pixels. The mask layer is subsequently patterned according to the target colour image to make apertures of controllable sizes on top of the wanted primary colour pixels. The masked nanosubstrate is then used as a stamp to imprint the colour image onto a separate substrate surface using nanoimprint lithography. Different visual colours are achieved by properly mixing the red, green and blue primary colours into appropriate ratios controlled by the aperture sizes on the patterned mask layer. Such a strategy significantly reduces the cost and complexity of printing a structural colour image from lengthy nanoscale patterning into high throughput micro-patterning and makes it possible to apply structural colour printing in personalized security features and data storage. In this paper, nanocone array grating pixels were used as the structural pixels and the nanosubstrate contains structures to imprint the nanocone arrays. Laser lithography was implemented to pattern the mask layer with submicron resolution. The optical properties of the nanocone array gratings are studied in detail. Multiple printed structural colour images with embedded covert information are demonstrated.

  4. Micromagnetic simulations of submicron cobalt dots

    International Nuclear Information System (INIS)

    Parker, G. J.; Cerjan, C.

    2000-01-01

    Numerical simulations of submicron Co extruded elliptical dots were performed to illustrate the relative importance of different physical parameters on the switching behavior in the easy direction. Shape, size, magnetic moment magnitude, and the magnitude and distribution of the crystalline anisotropicity were varied. The simulation represents magnetostatic, exchange, and crystalline anisotropicity fields on a structured mesh using finite difference techniques. The smooth boundary of the dots is accurately represented by use of the embedded curve boundary method. Agreement with experimental hysteresis measurements of submicron dot arrays is obtained when an appropriate angular distribution of the grain anisotropicity axes is invoked. (c) 2000 American Institute of Physics

  5. Ion distribution near a mask edge with arbitrary shape for VLSI IC applications

    International Nuclear Information System (INIS)

    Lutsch, A.G.K.; Oosthuizen, D.R.

    1985-01-01

    The profile of the mask edge during ion implantation determines the electrical field in the critical drain region of a MOS-transistor. Equal ion density lines are computed for various mask edges for the example of boron implanted into silicon at 70 keV. Four moments of the impurity depth distribution (without mask material are taken into consideration. Homogenisation and, therefore a higher noise immunity, can be obtained by the proper choice of the mask etching process. The influence of a too-thin mask material is also shown. (author)

  6. An investigation into the efficiency of disposable face masks.

    Science.gov (United States)

    Rogers, K B

    1980-01-01

    Disposable face masks used in hospitals have been assessed for the protection afforded the patient and the wearer by challenges of simulated natural conditions of stress. Operating theatre masks made of synthetic materials allow the wearer to breathe through the masks, and these have been shown to protect the patient well but the wearer slightly less. Cheaper paper masks are worn for ward duties, and of these only the Promask protected in area in front of the wearer: air does not pass through this mask, expired air is prevented from passing forward, and the wearer breathes unfiltered air. All the other paper masks tested allowed many bacteria-laden particles to pass through them. PMID:7440756

  7. How the global layout of the mask influences masking strength.

    Science.gov (United States)

    Ghose, Tandra; Hermens, Frouke; Herzog, Michael H

    2012-12-10

    In visual backward masking, the perception of a target is influenced by a trailing mask. Masking is usually explained by local interactions between the target and the mask representations. However, recently it has been shown that the global spatial layout of the mask rather than its local structure determines masking strength (Hermens & Herzog, 2007). Here, we varied the mask layout by spatial, luminance, and temporal cues. We presented a vernier target followed by a mask with 25 elements. Performance deteriorated when the length of the two mask elements neighboring the target vernier was doubled. However, when the length of every second mask element was doubled, performance improved. When the luminance of the neighboring elements was doubled, performance also deteriorated but no improvement in performance was observed when every second element had a double luminance. For temporal manipulations, a complex nonmonotonic masking function was observed. Hence, changes in the mask layout by spatial, luminance, and temporal cues lead to highly different results.

  8. Effective EUVL mask cleaning technology solutions for mask manufacturing and in-fab mask maintenance

    Science.gov (United States)

    Dietze, Uwe; Dress, Peter; Waehler, Tobias; Singh, Sherjang; Jonckheere, Rik; Baudemprez, Bart

    2011-03-01

    Extreme Ultraviolet Lithography (EUVL) is considered the leading lithography technology choice for semiconductor devices at 16nm HP node and beyond. However, before EUV Lithography can enter into High Volume Manufacturing (HVM) of advanced semiconductor devices, the ability to guarantee mask integrity at point-of-exposure must be established. Highly efficient, damage free mask cleaning plays a critical role during the mask manufacturing cycle and throughout the life of the mask, where the absence of a pellicle to protect the EUV mask increases the risk of contamination during storage, handling and use. In this paper, we will present effective EUVL mask cleaning technology solutions for mask manufacturing and in-fab mask maintenance, which employs an intelligent, holistic approach to maximize Mean Time Between Cleans (MBTC) and extend the useful life span of the reticle. The data presented will demonstrate the protection of the capping and absorber layers, preservation of pattern integrity as well as optical and mechanical properties to avoid unpredictable CD-linewidth and overlay shifts. Experiments were performed on EUV blanks and pattern masks using various process conditions. Conditions showing high particle removal efficiency (PRE) and minimum surface layer impact were then selected for durability studies. Surface layer impact was evaluated over multiple cleaning cycles by means of UV reflectivity metrology XPS analysis and wafer prints. Experimental results were compared to computational models. Mask life time predictions where made using the same computational models. The paper will provide a generic overview of the cleaning sequence which yielded best results, but will also provide recommendations for an efficient in-fab mask maintenance scheme, addressing handling, storage, cleaning and inspection.

  9. Cavity cooling of an optically levitated submicron particle

    Science.gov (United States)

    Kiesel, Nikolai; Blaser, Florian; Delić, Uroš; Grass, David; Kaltenbaek, Rainer; Aspelmeyer, Markus

    2013-01-01

    The coupling of a levitated submicron particle and an optical cavity field promises access to a unique parameter regime both for macroscopic quantum experiments and for high-precision force sensing. We report a demonstration of such controlled interactions by cavity cooling the center-of-mass motion of an optically trapped submicron particle. This paves the way for a light–matter interface that can enable room-temperature quantum experiments with mesoscopic mechanical systems. PMID:23940352

  10. Design of Polymeric Nanofiber Gauze Mask to Prevent Inhaling PM2.5 Particles from Haze Pollution

    Directory of Open Access Journals (Sweden)

    Xingzhou Li

    2015-01-01

    Full Text Available Recently, PM2.5 (particulate matter with diameter of 2.5 micron or less has become a major health hazard from the polluted air in many cities in China. The regular gauze masks are used to prevent inhaling the PM2.5 fine particles; however, those masks are not able to filter out the PM2.5 because of the large porosity of the mask materials. Some well-prevented masks usually have poor breathability, which increases other health risks. In this study, a polysulfone based nanofiber for mask filtration material was synthesized by electrospinning. That nanofiber mask material was characterized by SEM, air permeability test, and PM2.5 trapping experiment. The results indicate that nanofiber mask material can efficiently filter out the PM2.5 particles and simultaneously preserve a good breathability. We attribute such improvement to the nanoscaled fibers, having the same porosity as that of regular gauze mask but with extremely reduced local interfiber space.

  11. A Facile Method for Separating and Enriching Nano and Submicron Particles from Titanium Dioxide Found in Food and Pharmaceutical Products.

    Science.gov (United States)

    Faust, James J; Doudrick, Kyle; Yang, Yu; Capco, David G; Westerhoff, Paul

    2016-01-01

    Recent studies indicate the presence of nano-scale titanium dioxide (TiO2) as an additive in human foodstuffs, but a practical protocol to isolate and separate nano-fractions from soluble foodstuffs as a source of material remains elusive. As such, we developed a method for separating the nano and submicron fractions found in commercial-grade TiO2 (E171) and E171 extracted from soluble foodstuffs and pharmaceutical products (e.g., chewing gum, pain reliever, and allergy medicine). Primary particle analysis of commercial-grade E171 indicated that 54% of particles were nano-sized (i.e., E171 and E171 isolated from foodstuffs and pharmaceuticals was accomplished using rate-zonal centrifugation. Commercial-grade E171 was separated into nano- and submicron-enriched fractions consisting of a nano:submicron fraction of approximately 0.45:1 and 3.2:1, respectively. E171 extracted from gum had nano:submicron fractions of 1.4:1 and 0.19:1 for nano- and submicron-enriched, respectively. We show a difference in particle adhesion to the cell surface, which was found to be dependent on particle size and epithelial orientation. Finally, we provide evidence that E171 particles are not immediately cytotoxic to the Caco-2 human intestinal epithelium model. These data suggest that this separation method is appropriate for studies interested in isolating the nano-sized particle fraction taken directly from consumer products, in order to study separately the effects of nano and submicron particles.

  12. Large-area parallel near-field optical nanopatterning of functional materials using microsphere mask

    Energy Technology Data Exchange (ETDEWEB)

    Chen, G.X. [NUS Nanoscience and Nanotechnology Initiative, National University of Singapore, 2 Engineering Drive 3, Singapore 117576 (Singapore); Department of Electrical and Computer Engineering, National University of Singapore, 4 Engineering Drive 3, Singapore 117576 (Singapore); Hong, M.H. [NUS Nanoscience and Nanotechnology Initiative, National University of Singapore, 2 Engineering Drive 3, Singapore 117576 (Singapore); Department of Electrical and Computer Engineering, National University of Singapore, 4 Engineering Drive 3, Singapore 117576 (Singapore); Data Storage Institute, ASTAR, DSI Building, 5 Engineering Drive 1, Singapore 117608 (Singapore)], E-mail: Hong_Minghui@dsi.a-star.edu.sg; Lin, Y. [NUS Nanoscience and Nanotechnology Initiative, National University of Singapore, 2 Engineering Drive 3, Singapore 117576 (Singapore); Department of Electrical and Computer Engineering, National University of Singapore, 4 Engineering Drive 3, Singapore 117576 (Singapore); Wang, Z.B. [Data Storage Institute, ASTAR, DSI Building, 5 Engineering Drive 1, Singapore 117608 (Singapore); Ng, D.K.T. [Department of Electrical and Computer Engineering, National University of Singapore, 4 Engineering Drive 3, Singapore 117576 (Singapore); Data Storage Institute, ASTAR, DSI Building, 5 Engineering Drive 1, Singapore 117608 (Singapore); Xie, Q. [Data Storage Institute, ASTAR, DSI Building, 5 Engineering Drive 1, Singapore 117608 (Singapore); Tan, L.S. [NUS Nanoscience and Nanotechnology Initiative, National University of Singapore, 2 Engineering Drive 3, Singapore 117576 (Singapore); Department of Electrical and Computer Engineering, National University of Singapore, 4 Engineering Drive 3, Singapore 117576 (Singapore); Chong, T.C. [Department of Electrical and Computer Engineering, National University of Singapore, 4 Engineering Drive 3, Singapore 117576 (Singapore); Data Storage Institute, ASTAR, DSI Building, 5 Engineering Drive 1, Singapore 117608 (Singapore)

    2008-01-31

    Large-area parallel near-field optical nanopatterning on functional material surfaces was investigated with KrF excimer laser irradiation. A monolayer of silicon dioxide microspheres was self-assembled on the sample surfaces as the processing mask. Nanoholes and nanospots were obtained on silicon surfaces and thin silver films, respectively. The nanopatterning results were affected by the refractive indices of the surrounding media. Near-field optical enhancement beneath the microspheres is the physical origin of nanostructure formation. Theoretical calculation was performed to study the intensity of optical field distributions under the microspheres according to the light scattering model of a sphere on the substrate.

  13. Improvement of radiographs by means of optical masks

    International Nuclear Information System (INIS)

    Shishov, B.A.; Tereshenko, O.I.; Tyurin, E.I.

    1985-01-01

    High-gradient photographic material improves contrast and detectability of small details. Parts of the radiographs will however tend to be over- or underexposed. The recorded information can be improved by optical masks that modify the light in various parts of the image according to film sensitivity. For screen-film systems an immediate correction of the image by inserted masks results in a better recording of details while the well known detail filtering process improves only the visual detectability of the already recorded information. A special cassette for the generation of masks and a method for the calculation of correction factors for various screen combinations and masks types are described. (author)

  14. Influence of mask type and mask position on the effectiveness of bag-mask ventilation in a neonatal manikin.

    Science.gov (United States)

    Deindl, Philipp; O'Reilly, Megan; Zoller, Katharina; Berger, Angelika; Pollak, Arnold; Schwindt, Jens; Schmölzer, Georg M

    2014-01-01

    Anatomical face mask with an air cushion rim might be placed accidentally in a false orientation on the newborn's face or filled with various amounts of air during neonatal resuscitation. Both false orientation as well as variable filling may reduce a tight seal and therefore hamper effective positive pressure ventilation (PPV). We aimed to measure the influence of mask type and mask position on the effectiveness of PPV. Twenty neonatal staff members delivered PPV to a modified, leak-free manikin. Resuscitation parameters were recorded using a self-inflatable bag PPV with an Intersurgical anatomical air cushion rim face mask (IS) and a size 0/1 Laerdal round face mask. Three different positions of the IS were tested: correct position, 90° and 180° rotation in reference to the midline of the face. IS masks in each correct position on the face but with different inflation of the air cushion (empty, 10, 20 and 30 mL). Mask leak was similar with mask rotation to either 90° or 180° but significantly increased from 27 (13-73) % with an adequate filled IS mask compared to 52 (16-83) % with an emptied air cushion rim. Anatomical-shaped face mask had similar mask leaks compared to round face mask. A wrongly positioned anatomical-shaped mask does not influence mask leak. Mask leak significantly increased once the air cushion rim was empty, which may cause failure in mask PPV.

  15. Grain orientation and strain measurements in sub-micron wide passivated individual aluminum test structures

    International Nuclear Information System (INIS)

    Tamura, N.; Valek, B.C.; Spolenak, R.; MacDowell, A.A.; Celestre, R.S.; Padmore, H.A.; Brown, W.L.; Marieb, T.; Bravman, J.C.; Batterman, B.W.; Patel, J.R.

    2001-01-01

    An X-ray microdiffraction dedicated beamline, combining white and monochromatic beam capabilities, has been built at the Advanced Light Source. The purpose of this beamline is to address the myriad of problems in Materials Science and Physics that require submicron x-ray beams for structural characterization. Many such problems are found in the general area of thin films and nano-materials. For instance, the ability to characterize the orientation and strain state in individual grains of thin films allows us to measure structural changes at a very local level. These microstructural changes are influenced heavily by such parameters as deposition conditions and subsequent treatment. The accurate measurement of strain gradients at the micron and sub-micron level finds many applications ranging from the strain state under nano-indenters to gradients at crack tips. Undoubtedly many other applications will unfold in the future as we gain experience with the capabilities and limitations of this instrument. We have applied this technique to measure grain orientation and residual stress in single grains of pure Al interconnect lines and preliminary results on post-electromigration test experiments are presented. It is shown that measurements with this instrument can be used to resolve the complete stress tensor (6 components) in a submicron volume inside a single grain of Al under a passivation layer with an overall precision of about 20 MPa. The microstructure of passivated lines appears to be complex, with grains divided into identifiable subgrains and noticeable local variations of both tensile/compressive and shear stresses within single grains

  16. Clay Mask Workshop

    Science.gov (United States)

    Gamble, David L.

    2012-01-01

    Masks can represent so many things, such as emotions (happy, sad, fearful) and power. The familiar "comedy and tragedy" masks, derived from ancient Greek theater, are just one example from mask history. Death masks from the ancient Egyptians influenced the ancient Romans into creating similar masks for their departed. Masks can represent many…

  17. venice: Mask utility

    Science.gov (United States)

    Coupon, Jean

    2018-02-01

    venice reads a mask file (DS9 or fits type) and a catalogue of objects (ascii or fits type) to create a pixelized mask, find objects inside/outside a mask, or generate a random catalogue of objects inside/outside a mask. The program reads the mask file and checks if a point, giving its coordinates, is inside or outside the mask, i.e. inside or outside at least one polygon of the mask.

  18. A Novel Submicron Emulsion System Loaded with Doxorubicin Overcome Multi-Drug Resistance in MCF-7/ADR Cells.

    Science.gov (United States)

    Zhou, W P; Hua, H Y; Sun, P C; Zhao, Y X

    2015-01-01

    The purpose of the present study was to develop the Solutol HS15-based doxorubicin submicron emulsion with good stability and overcoming multi-drug resistance. In this study, we prepared doxorubicin submicron emulsion, and examined the stability after autoclaving, the in vitro cytotoxic activity, the intracellular accumulation and apoptpsis of doxorubicin submicron emulsion in MCF-7/ADR cells. The physicochemical properties of doxorubicin submicron emulsion were not significantly affected after autoclaving. The doxorubicin submicron emulsion significantly increased the intracellular accumulation of doxorubicin submicron emulsion and enhanced cytotoxic activity and apoptotic effects of doxorubicin. These results may be correlated to doxorubicin submicron emulsion inhibitory effects on efflux pumps through the progressive release of intracellular free Solutol HS15 from doxorubicin submicron emulsion. Furthermore, these in vitro results suggest that the Solutol HS15-based submicron emulsion may be a potentially useful drug delivery system to circumvent multi-drug resistance of tumor cells.

  19. A Facile Method for Separating and Enriching Nano and Submicron Particles from Titanium Dioxide Found in Food and Pharmaceutical Products

    Science.gov (United States)

    Yang, Yu; Capco, David G.; Westerhoff, Paul

    2016-01-01

    Recent studies indicate the presence of nano-scale titanium dioxide (TiO2) as an additive in human foodstuffs, but a practical protocol to isolate and separate nano-fractions from soluble foodstuffs as a source of material remains elusive. As such, we developed a method for separating the nano and submicron fractions found in commercial-grade TiO2 (E171) and E171 extracted from soluble foodstuffs and pharmaceutical products (e.g., chewing gum, pain reliever, and allergy medicine). Primary particle analysis of commercial-grade E171 indicated that 54% of particles were nano-sized (i.e., < 100 nm). Isolation and primary particle analysis of five consumer goods intended to be ingested revealed differences in the percent of nano-sized particles from 32%‒58%. Separation and enrichment of nano- and submicron-sized particles from commercial-grade E171 and E171 isolated from foodstuffs and pharmaceuticals was accomplished using rate-zonal centrifugation. Commercial-grade E171 was separated into nano- and submicron-enriched fractions consisting of a nano:submicron fraction of approximately 0.45:1 and 3.2:1, respectively. E171 extracted from gum had nano:submicron fractions of 1.4:1 and 0.19:1 for nano- and submicron-enriched, respectively. We show a difference in particle adhesion to the cell surface, which was found to be dependent on particle size and epithelial orientation. Finally, we provide evidence that E171 particles are not immediately cytotoxic to the Caco-2 human intestinal epithelium model. These data suggest that this separation method is appropriate for studies interested in isolating the nano-sized particle fraction taken directly from consumer products, in order to study separately the effects of nano and submicron particles. PMID:27798677

  20. The memory characteristics of submicron feature-size PZT capacitors with PtOx top electrode by using dry-etching

    International Nuclear Information System (INIS)

    Huang, C.-K.; Wang, C.-C.; Wu, T.-B.

    2007-01-01

    Dry etching and its effect on the characteristics of submicron feature-size PbZr 1-x Ti x O 3 (PZT) capacitors with PtO x top electrode were investigated. The photoresist (PR)-masked PtO x films were etched by an Ar/(20%)Cl 2 /O 2 helicon wave plasma. A fence-free pattern with a significantly high etch rate and sidewall slope was obtained by the addition of O 2 into the etching gas mixture, due to the chemical instability of PtO x and the formation of a PtO 2 passivation layer to suppress redeposition of the etch by-products on the etched surface. The patterned PtO x electrode can be further used as a hard mask for etching the PZT film, subsequently, with the gas mixture of Ar, CF 4 and O 2 . A high etching rate of PZT and a good etching selectivity to PtO x can be obtained at 30% O 2 addition into the Ar/(50%)CF 4 plasma. The etched capacitors have a steep, 72 0 , sidewall angle with a clean surface. Moreover, the addition of O 2 into the etching gas can well preserve the properties and the fatigue endurance of PtO x /PZT capacitors

  1. Rates of initial acceptance of PAP masks and outcomes of mask switching.

    Science.gov (United States)

    Bachour, Adel; Vitikainen, Pirjo; Maasilta, Paula

    2016-05-01

    Recently, we noticed a considerable development in alleviating problems related to positive airway pressure (PAP) masks. In this study, we report on the initial PAP mask acceptance rates and the effects of mask switching on mask-related symptoms. We prospectively collected all cases of mask switching in our sleep unit for a period of 14 months. At the time of the study, we used ResMed™ CPAP devices and masks. Mask switching was defined as replacing a mask used for at least 1 day with another type of mask. Changing to a different size but keeping the same type of mask did not count as mask switching. Switching outcomes were considered failed if the initial problem persisted or reappeared during the year that followed switching. Our patient pool was 2768. We recorded 343 cases of mask switching among 267 patients. Of the 566 patients who began new PAP therapy, 108 (39 women) had switched masks, yielding an initial mask acceptance rate of 81 %. The reason for switching was poor-fit/uncomfortable mask in 39 %, leak-related in 30 %, outdated model in 25 %, and nasal stuffiness in 6 % of cases; mask switching resolved these problems in 61 %. Mask switching occurred significantly (p = 0.037) more often in women and in new PAP users. The odds ratio for abandoning PAP therapy within 1 year after mask switching was 7.2 times higher (interval 4.7-11.1) than not switching masks. The initial PAP mask acceptance rate was high. Patients who switched their masks are at greater risk for abandoning PAP therapy.

  2. Comparison of plastic and orfit[reg] masks for patient head fixation during radiotherapy: precision and costs

    International Nuclear Information System (INIS)

    Weltens, Caroline; Kesteloot, Katrien; Vandevelde, Guy; Van den Bogaert, Walter

    1995-01-01

    Purpose: Two widely used immobilization systems for head fixation during radiotherapy treatment for ear-nose-throat (ENT) tumors are evaluated. Methods and Materials: Masks made of poly vinyl-chloride (plastuc) are compared to thermoplastic masks (Orfit[reg]) with respect to the accuracy of the treatment setup and the costs. For both types of material, a cut-out (windows corresponding to treatment fields) and a full mask (not cut out) are considered. Forty-three patients treated for ENT tumors were randomized into four groups, to be fixed by one of the following modalities: cut-out plastic mask (12 patients), full plastic mask (11 patients), cut-out Orfit[reg] mask (10 patients), and full Orfit[reg] mask (10 patients). Results: Reproducibility of the treatment setup was assessed by calculating the deviations from the mean value for each individual patient and was demonstrated to be identical for all subgroups: no differences were demonstrated between the plastic (s = 2.1 mm) and the Orfit[reg] (s = 2.1 mm) group nor between the cut-out (s = 2.0 mm) and not cut-out (s = 2.1 mm) group. The transfer chain from simulator to treatment unit was checked by comparing portal images to their respective simulation image, and no differences between the four subgroups (s = ±3.5 mm) could be detected. A methodology was described to compare the costs of both types of masks, and illustrated with the data for a department. It was found that Orfit[reg] masks are a cheaper alternative than plastic masks; they require much less investment expenses and the workload and material cost of the first mask for each patient is also lower. Cut-out masks are more expensive than full masks, because of the higher workload and the additional material required for second and third masks that are required in case of field modifications. Conclusions: No substantial difference in patient setup accuracy between both types of masks was detected, and cutting out the masks had no impact on the fixing

  3. Contact printed masks for 3D microfabrication in negative resists

    DEFF Research Database (Denmark)

    Häfliger, Daniel; Boisen, Anja

    2005-01-01

    We present a process based on contact printed shadow masks for three dimensional microfabrication of soft and sensitive overhanging membranes in SU-8. A metal mask is transferred onto unexposed SU-8 from an elastomer stamp made of polydimethylsiloxane. This mask is subsequently embedded into the ......We present a process based on contact printed shadow masks for three dimensional microfabrication of soft and sensitive overhanging membranes in SU-8. A metal mask is transferred onto unexposed SU-8 from an elastomer stamp made of polydimethylsiloxane. This mask is subsequently embedded...... into the negative resist to protect buried material from UV-exposure. Unlike direct evaporation-deposition of a mask onto the SU-8, printing avoids high stress and radiation, thus preventing resist wrinkling and prepolymerization. We demonstrate effective monolithic fabrication of soft, 4-μm thick and 100-μm long...

  4. Submicron and nano formulations of titanium dioxide and zinc oxide stimulate unique cellular toxicological responses in the green microalga Chlamydomonas reinhardtii

    Energy Technology Data Exchange (ETDEWEB)

    Gunawan, Cindy, E-mail: c.gunawan@unsw.edu.au [ARC Centre of Excellence for Functional Nanomaterials, School of Chemical Engineering, The University of New South Wales, Sydney, NSW (Australia); Sirimanoonphan, Aunchisa [ARC Centre of Excellence for Functional Nanomaterials, School of Chemical Engineering, The University of New South Wales, Sydney, NSW (Australia); Teoh, Wey Yang [Clean Energy and Nanotechnology (CLEAN) Laboratory, School of Energy and Environment, City University of Hong Kong, Kowloon, Hong Kong Special Administrative Region (Hong Kong); Marquis, Christopher P., E-mail: c.marquis@unsw.edu.au [School of Biotechnology and Biomolecular Sciences, The University of New South Wales, Sydney, NSW (Australia); Amal, Rose [ARC Centre of Excellence for Functional Nanomaterials, School of Chemical Engineering, The University of New South Wales, Sydney, NSW (Australia)

    2013-09-15

    Highlights: • Uptake of TiO{sub 2} solids by C. reinhardtii generates ROS as an early stress response. • Submicron and nanoTiO{sub 2} exhibit benign effect on cell proliferation. • Uptake of ZnO solids and leached zinc by C. reinhardtii inhibit the alga growth. • No cellular oxidative stress is detected with submicron and nano ZnO exposure. • The toxicity of particles is not necessarily mediated by cellular oxidative stress. -- Abstract: The work investigates the eco-cytoxicity of submicron and nano TiO{sub 2} and ZnO, arising from the unique interactions of freshwater microalga Chlamydomonas reinhardtii to soluble and undissolved components of the metal oxides. In a freshwater medium, submicron and nano TiO{sub 2} exist as suspended aggregates with no-observable leaching. Submicron and nano ZnO undergo comparable concentration-dependent fractional leaching, and exist as dissolved zinc and aggregates of undissolved ZnO. Cellular internalisation of solid TiO{sub 2} stimulates cellular ROS generation as an early stress response. The cellular redox imbalance was observed for both submicron and nano TiO{sub 2} exposure, despite exhibiting benign effects on the alga proliferation (8-day EC50 > 100 mg TiO{sub 2}/L). Parallel exposure of C. reinhardtii to submicron and nano ZnO saw cellular uptake of both the leached zinc and solid ZnO and resulting in inhibition of the alga growth (8-day EC50 ≥ 0.01 mg ZnO/L). Despite the sensitivity, no zinc-induced cellular ROS generation was detected, even at 100 mg ZnO/L exposure. Taken together, the observations confront the generally accepted paradigm of cellular oxidative stress-mediated cytotoxicity of particles. The knowledge of speciation of particles and the corresponding stimulation of unique cellular responses and cytotoxicity is vital for assessment of the environmental implications of these materials.

  5. Submicron and nano formulations of titanium dioxide and zinc oxide stimulate unique cellular toxicological responses in the green microalga Chlamydomonas reinhardtii

    International Nuclear Information System (INIS)

    Gunawan, Cindy; Sirimanoonphan, Aunchisa; Teoh, Wey Yang; Marquis, Christopher P.; Amal, Rose

    2013-01-01

    Highlights: • Uptake of TiO 2 solids by C. reinhardtii generates ROS as an early stress response. • Submicron and nanoTiO 2 exhibit benign effect on cell proliferation. • Uptake of ZnO solids and leached zinc by C. reinhardtii inhibit the alga growth. • No cellular oxidative stress is detected with submicron and nano ZnO exposure. • The toxicity of particles is not necessarily mediated by cellular oxidative stress. -- Abstract: The work investigates the eco-cytoxicity of submicron and nano TiO 2 and ZnO, arising from the unique interactions of freshwater microalga Chlamydomonas reinhardtii to soluble and undissolved components of the metal oxides. In a freshwater medium, submicron and nano TiO 2 exist as suspended aggregates with no-observable leaching. Submicron and nano ZnO undergo comparable concentration-dependent fractional leaching, and exist as dissolved zinc and aggregates of undissolved ZnO. Cellular internalisation of solid TiO 2 stimulates cellular ROS generation as an early stress response. The cellular redox imbalance was observed for both submicron and nano TiO 2 exposure, despite exhibiting benign effects on the alga proliferation (8-day EC50 > 100 mg TiO 2 /L). Parallel exposure of C. reinhardtii to submicron and nano ZnO saw cellular uptake of both the leached zinc and solid ZnO and resulting in inhibition of the alga growth (8-day EC50 ≥ 0.01 mg ZnO/L). Despite the sensitivity, no zinc-induced cellular ROS generation was detected, even at 100 mg ZnO/L exposure. Taken together, the observations confront the generally accepted paradigm of cellular oxidative stress-mediated cytotoxicity of particles. The knowledge of speciation of particles and the corresponding stimulation of unique cellular responses and cytotoxicity is vital for assessment of the environmental implications of these materials

  6. Bloch-Wave Engineered Submicron Diameter Micropillars with Quality Factors Exceeding 10,000

    DEFF Research Database (Denmark)

    Hofling, S.; Lermer, M.; Gregersen, Niels

    2011-01-01

    Adiabatic design submicron diameter quantum-dot micropillars have been designed and implemented for cavity quantum electrodynamics experiments. Ultra-high experimental quality factors (>10,000) are obtained for submicron diameters and strong light-matter interaction is observed....

  7. The implementation of Mask-Ed: reflections of academic participants.

    Science.gov (United States)

    Reid-Searl, Kerry; Levett-Jones, Tracy; Cooper, Simon; Happell, Brenda

    2014-09-01

    This paper profiles the findings from a study that explored the perspectives and experiences of nurse educators who implemented a novel simulation approach termed Mask-Ed. The technique involves the educator wearing a silicone mask and or body parts and transforming into a character. The premise of this approach is that the masked educator has domain specific knowledge related to the simulation scenario and can transmit this to learners in a way that is engaging, realistic, spontaneous and humanistic. Nurse educators charged with the responsibility of implementing Mask-Ed in three universities were invited to participate in the study by attending an introductory workshop, implementing the technique and then journaling their experiences, insights and perspectives over a 12 month period. The journal entries were then thematically analysed. Key themes were categorised under the headings of Preparation, Implementation and Impact; Reflexivity and Responsiveness; Student Engagement and Ownership; and Teaching and Learning. Mask-Ed is a simulation approach which allows students to interact with the 'characters' in humanistic ways that promote person-centred care and therapeutic communication. This simulation approach holds previously untapped potential for a range of learning experiences, however, to be effective, adequate resourcing, training, preparation and practice is required. Copyright © 2014 Elsevier Ltd. All rights reserved.

  8. Masking of aluminum surface against anodizing

    Science.gov (United States)

    Crawford, G. B.; Thompson, R. E.

    1969-01-01

    Masking material and a thickening agent preserve limited unanodized areas when aluminum surfaces are anodized with chromic acid. For protection of large areas it combines well with a certain self-adhesive plastic tape.

  9. Comparison of face masks in the bag-mask ventilation of a manikin.

    Science.gov (United States)

    Redfern, D; Rassam, S; Stacey, M R; Mecklenburgh, J S

    2006-02-01

    We conducted a study investigating the effectiveness of four face mask designs in the bag-mask ventilation of a special manikin adapted to simulate a difficult airway. Forty-eight anaesthetists volunteered to bag-mask ventilate the manikin for 3 min with four different face masks. The primary outcome of the study was to calculate mean percentage leak from the face masks over 3 min. Anaesthetists were also asked to rate the face masks using a visual analogue score. The single-use scented intersurgical face mask had the lowest mean leak (20%). This was significantly lower than the mean leak from the single-use, cushioned 7,000 series Air Safety Ltd. face mask (24%) and the reusable silicone Laerdal face mask (27%) but not significantly lower than the mean leak from the reusable anatomical intersurgical face mask (23%). There was a large variation in both performance and satisfaction between anaesthetists with each design. This highlights the importance of having a variety of face masks available for emergency use.

  10. Visual masking & schizophrenia

    Directory of Open Access Journals (Sweden)

    Michael H. Herzog

    2015-06-01

    Full Text Available Visual masking is a frequently used tool in schizophrenia research. Visual masking has a very high sensitivity and specificity and masking paradigms have been proven to be endophenotypes. Whereas masking is a powerful technique to study schizophrenia, the underlying mechanisms are discussed controversially. For example, for more than 25 years, masking deficits of schizophrenia patients were mainly attributed to a deficient magno-cellular system (M-system. Here, we show that there is very little evidence that masking deficits are magno-cellular deficits. We will discuss the magno-cellular and other approaches in detail and highlight their pros and cons.

  11. An introduction to deep submicron CMOS for vertex applications

    CERN Document Server

    Campbell, M; Cantatore, E; Faccio, F; Heijne, Erik H M; Jarron, P; Santiard, Jean-Claude; Snoeys, W; Wyllie, K

    2001-01-01

    Microelectronics has become a key enabling technology in the development of tracking detectors for High Energy Physics. Deep submicron CMOS is likely to be extensively used in all future tracking systems. Radiation tolerance in the Mrad region has been achieved and complete readout chips comprising many millions of transistors now exist. The choice of technology is dictated by market forces but the adoption of deep submicron CMOS for tracking applications still poses some challenges. The techniques used are reviewed and some of the future challenges are discussed.

  12. Cost-effectiveness of Low-dose Submicron Diclofenac Compared With Generic Diclofenac.

    Science.gov (United States)

    Mladsi, Deirdre; Ronquest, Naoko; Odom, Dawn; Miles, LaStella; Saag, Kenneth

    2016-11-01

    NSAIDs are commonly prescribed for the treatment of pain and inflammation. Despite the effectiveness of NSAIDs, concerns exist regarding their tolerability. Worldwide health authorities, including the European Medicines Agency, Health Canada, and the US Food and Drug Administration, have advised that NSAIDs be prescribed at the lowest effective dosage and for the shortest duration. Effective lowering of NSAID dosage without compromising pain relief has been demonstrated in randomized, controlled trials of the recently approved NSAID lower-dose submicron diclofenac. Building on previously published work from an independently published systematic review and meta-analysis, a linear dose-toxicity relationship between diclofenac dose and serious gastrointestinal (GI) events was recently demonstrated, indicating that reductions in adverse events (AEs) may be seen even with modest dose reductions in many patients. The objective of the present study was to estimate the potential reduction in risk for NSAID dose-related AEs, corresponding savings in health care costs, and the incremental cost-effectiveness of submicron diclofenac compared with generic diclofenac in the United States. Our decision-analytic cost-effectiveness model considered a subset of potential AEs that may be avoided by lowering NSAID dosage. To estimate the expected reductions in upper GI bleeding/perforation and major cardiovascular events with submicron diclofenac, our model used prediction equations estimated by meta-regressions using data from systematic literature reviews. Utilities, lifetime costs, and health outcomes associated with AEs were estimated using data from the literature. The face validity of the model structure and inputs was confirmed by clinical experts in the United States. Results were evaluated in 1-way and probabilistic sensitivity analyses. The model predicted that submicron diclofenac versus generic diclofenac could reduce the occurrence of modeled GI events (by 18

  13. Nasal mask ventilation is better than face mask ventilation in edentulous patients.

    Science.gov (United States)

    Kapoor, Mukul Chandra; Rana, Sandeep; Singh, Arvind Kumar; Vishal, Vindhya; Sikdar, Indranil

    2016-01-01

    Face mask ventilation of the edentulous patient is often difficult as ineffective seating of the standard mask to the face prevents attainment of an adequate air seal. The efficacy of nasal ventilation in edentulous patients has been cited in case reports but has never been investigated. Consecutive edentulous adult patients scheduled for surgery under general anesthesia with endotracheal intubation, during a 17-month period, were prospectively evaluated. After induction of anesthesia and administration of neuromuscular blocker, lungs were ventilated with a standard anatomical face mask of appropriate size, using a volume controlled anesthesia ventilator with tidal volume set at 10 ml/kg. In case of inadequate ventilation, the mask position was adjusted to achieve best-fit. Inspired and expired tidal volumes were measured. Thereafter, the face mask was replaced by a nasal mask and after achieving best-fit, the inspired and expired tidal volumes were recorded. The difference in expired tidal volumes and airway pressures at best-fit with the use of the two masks and number of patients with inadequate ventilation with use of the masks were statistically analyzed. A total of 79 edentulous patients were recruited for the study. The difference in expiratory tidal volumes with the use of the two masks at best-fit was statistically significant (P = 0.0017). Despite the best-fit mask placement, adequacy of ventilation could not be achieved in 24.1% patients during face mask ventilation, and 12.7% patients during nasal mask ventilation and the difference was statistically significant. Nasal mask ventilation is more efficient than standard face mask ventilation in edentulous patients.

  14. Scanning SQUID susceptometers with sub-micron spatial resolution

    Energy Technology Data Exchange (ETDEWEB)

    Kirtley, John R., E-mail: jkirtley@stanford.edu; Rosenberg, Aaron J.; Palmstrom, Johanna C.; Holland, Connor M.; Moler, Kathryn A. [Department of Applied Physics, Stanford University, Stanford, California 94305-4045 (United States); Paulius, Lisa [Department of Physics, Western Michigan University, Kalamazoo, Michigan 49008-5252 (United States); Spanton, Eric M. [Department of Physics, Stanford University, Stanford, California 94305-4045 (United States); Schiessl, Daniel [Attocube Systems AG, Königinstraße 11A, 80539 Munich (Germany); Jermain, Colin L.; Gibbons, Jonathan [Department of Physics, Cornell University, Cornell, Ithaca, New York 14853 (United States); Fung, Y.-K.K.; Gibson, Gerald W. [IBM Research Division, T. J. Watson Research Center, Yorktown Heights, New York 10598 (United States); Huber, Martin E. [Department of Physics, University of Colorado Denver, Denver, Colorado 80217-3364 (United States); Ralph, Daniel C. [Department of Physics, Cornell University, Cornell, Ithaca, New York 14853 (United States); Kavli Institute at Cornell, Ithaca, New York 14853 (United States); Ketchen, Mark B. [OcteVue, Hadley, Massachusetts 01035 (United States)

    2016-09-15

    Superconducting QUantum Interference Device (SQUID) microscopy has excellent magnetic field sensitivity, but suffers from modest spatial resolution when compared with other scanning probes. This spatial resolution is determined by both the size of the field sensitive area and the spacing between this area and the sample surface. In this paper we describe scanning SQUID susceptometers that achieve sub-micron spatial resolution while retaining a white noise floor flux sensitivity of ≈2μΦ{sub 0}/Hz{sup 1/2}. This high spatial resolution is accomplished by deep sub-micron feature sizes, well shielded pickup loops fabricated using a planarized process, and a deep etch step that minimizes the spacing between the sample surface and the SQUID pickup loop. We describe the design, modeling, fabrication, and testing of these sensors. Although sub-micron spatial resolution has been achieved previously in scanning SQUID sensors, our sensors not only achieve high spatial resolution but also have integrated modulation coils for flux feedback, integrated field coils for susceptibility measurements, and batch processing. They are therefore a generally applicable tool for imaging sample magnetization, currents, and susceptibilities with higher spatial resolution than previous susceptometers.

  15. Scanning SQUID susceptometers with sub-micron spatial resolution

    International Nuclear Information System (INIS)

    Kirtley, John R.; Rosenberg, Aaron J.; Palmstrom, Johanna C.; Holland, Connor M.; Moler, Kathryn A.; Paulius, Lisa; Spanton, Eric M.; Schiessl, Daniel; Jermain, Colin L.; Gibbons, Jonathan; Fung, Y.-K.K.; Gibson, Gerald W.; Huber, Martin E.; Ralph, Daniel C.; Ketchen, Mark B.

    2016-01-01

    Superconducting QUantum Interference Device (SQUID) microscopy has excellent magnetic field sensitivity, but suffers from modest spatial resolution when compared with other scanning probes. This spatial resolution is determined by both the size of the field sensitive area and the spacing between this area and the sample surface. In this paper we describe scanning SQUID susceptometers that achieve sub-micron spatial resolution while retaining a white noise floor flux sensitivity of ≈2μΦ_0/Hz"1"/"2. This high spatial resolution is accomplished by deep sub-micron feature sizes, well shielded pickup loops fabricated using a planarized process, and a deep etch step that minimizes the spacing between the sample surface and the SQUID pickup loop. We describe the design, modeling, fabrication, and testing of these sensors. Although sub-micron spatial resolution has been achieved previously in scanning SQUID sensors, our sensors not only achieve high spatial resolution but also have integrated modulation coils for flux feedback, integrated field coils for susceptibility measurements, and batch processing. They are therefore a generally applicable tool for imaging sample magnetization, currents, and susceptibilities with higher spatial resolution than previous susceptometers.

  16. Impact of thermoplastic mask on X-ray surface dose calculated with Monte Carlo code

    International Nuclear Information System (INIS)

    Zhao Yanqun; Li Jie; Wu Liping; Wang Pei; Lang Jinyi; Wu Dake; Xiao Mingyong

    2010-01-01

    Objective: To calculate the effects of thermoplastic mask on X-ray surface dose. Methods: The BEAMnrc Monte Carlo Code system, designed especially for computer simulation of radioactive sources, was performed to evaluate the effects of thermoplastic mask on X-ray surface dose.Thermoplastic mask came from our center with a material density of 1.12 g/cm 2 . The masks without holes, with holes size of 0.1 cm x 0.1 cm, and with holes size of 0. 1 cm x 0.2 cm, and masks with different depth (0.12 cm and 0.24 cm) were evaluated separately. For those with holes, the material width between adjacent holes was 0.1 cm. Virtual masks with a material density of 1.38 g/cm 3 without holes with two different depths were also evaluated. Results: Thermoplastic mask affected X-rays surface dose. When using a thermoplastic mask with the depth of 0.24 cm without holes, the surface dose was 74. 9% and 57.0% for those with the density of 1.38 g/cm 3 and 1.12 g/cm 3 respectively. When focusing on the masks with the density of 1.12 g/cm 3 , the surface dose was 41.2% for those with 0.12 cm depth without holes; 57.0% for those with 0. 24 cm depth without holes; 44.5% for those with 0.24 cm depth with holes size of 0.1 cm x 0.2 cm;and 54.1% for those with 0.24 cm depths with holes size of 0.1 cm x 0.1 cm.Conclusions: Using thermoplastic mask during the radiation increases patient surface dose. The severity is relative to the hole size and the depth of thermoplastic mask. The surface dose change should be considered in radiation planning to avoid severe skin reaction. (authors)

  17. Submicron Resolution Spectral-Domain Optical Coherence Tomography

    KAUST Repository

    Alarousu, Erkki; Jabbour, Ghassan

    2013-01-01

    Apparatuses and systems for submicron resolution spectral-domain optical coherence tomography (OCT) are disclosed. The system may use white light sources having wavelengths within 400-1000 nanometers, and achieve resolution below 1 .mu

  18. Binaural masking level differences in nonsimultanuous masking

    NARCIS (Netherlands)

    Kohlrausch, A.G.; Fassel, R.; Gilkey, R.H.; Anderson, T.R.

    1997-01-01

    This chapter investigates the extent to which binaural unmasking occurs with nonsimultaneous presentation of masker and signal, particularly in forward masking. The majority of previous studies that addressed this question found that there is a substantial binaural masking level difference (BMLD) in

  19. "The Mask Who Wasn't There": Visual Masking Effect with the Perceptual Absence of the Mask

    Science.gov (United States)

    Rey, Amandine Eve; Riou, Benoit; Muller, Dominique; Dabic, Stéphanie; Versace, Rémy

    2015-01-01

    Does a visual mask need to be perceptually present to disrupt processing? In the present research, we proposed to explore the link between perceptual and memory mechanisms by demonstrating that a typical sensory phenomenon (visual masking) can be replicated at a memory level. Experiment 1 highlighted an interference effect of a visual mask on the…

  20. Use of metallic glasses for fabrication of structures with submicron dimensions

    Science.gov (United States)

    Wiley, John D.; Perepezko, John H.

    1986-01-01

    Patterned structures of submicron dimension formed of supported or unsupported amorphous metals having submicron feature sizes characterized by etching behavior sufficient to allow delineation of sharp edges and smooth flat flanks, resistance to time-dependent dimensional changes caused by creep, flow, in-diffusion of unwanted impurities, out-diffusion of constituent atoms, void formation, grain growth or phase separation and resistance to phase transformations or compound formation.

  1. EUV mask manufacturing readiness in the merchant mask industry

    Science.gov (United States)

    Green, Michael; Choi, Yohan; Ham, Young; Kamberian, Henry; Progler, Chris; Tseng, Shih-En; Chiou, Tsann-Bim; Miyazaki, Junji; Lammers, Ad; Chen, Alek

    2017-10-01

    As nodes progress into the 7nm and below regime, extreme ultraviolet lithography (EUVL) becomes critical for all industry participants interested in remaining at the leading edge. One key cost driver for EUV in the supply chain is the reflective EUV mask. As of today, the relatively few end users of EUV consist primarily of integrated device manufactures (IDMs) and foundries that have internal (captive) mask manufacturing capability. At the same time, strong and early participation in EUV by the merchant mask industry should bring value to these chip makers, aiding the wide-scale adoption of EUV in the future. For this, merchants need access to high quality, representative test vehicles to develop and validate their own processes. This business circumstance provides the motivation for merchants to form Joint Development Partnerships (JDPs) with IDMs, foundries, Original Equipment Manufacturers (OEMs) and other members of the EUV supplier ecosystem that leverage complementary strengths. In this paper, we will show how, through a collaborative supplier JDP model between a merchant and OEM, a novel, test chip driven strategy is applied to guide and validate mask level process development. We demonstrate how an EUV test vehicle (TV) is generated for mask process characterization in advance of receiving chip maker-specific designs. We utilize the TV to carry out mask process "stress testing" to define process boundary conditions which can be used to create Mask Rule Check (MRC) rules as well as serve as baseline conditions for future process improvement. We utilize Advanced Mask Characterization (AMC) techniques to understand process capability on designs of varying complexity that include EUV OPC models with and without sub-resolution assist features (SRAFs). Through these collaborations, we demonstrate ways to develop EUV processes and reduce implementation risks for eventual mass production. By reducing these risks, we hope to expand access to EUV mask capability for

  2. Electrosprayed Polyvinylpyrrolidone (PVP) Submicron Particles Loaded by Green Tea Extracts

    Science.gov (United States)

    Kamaruddin; Sriyanti, I.; Edikresnha, D.; Munir, M. M.; Khairurrijal, K.

    2018-05-01

    Electrospraying technique has been successfully used to synthesize composite submicron particles of polyvinylpyrrolidone (PVP) and green tea extract (GTE). The precursor solutions were PVP in ethanol (15 wt%) and GTE in ethanol (10 wt%), which were then mixed at varying ratio. The mixed solution then underwent electrospraying process at an applied voltage of 15 kV, a distance of collector to the nozzle at 15 cm, and a flow rate of 3 µL/min. The composite submicron particles of PVP-GTE showed smooth and fine spherical morphology without fibers or beaded fibers. To a certain degree, the increase of GTE content in the PVP-GTE mixed solution decreased the average diameter of PVP-GTE composite particles. Moreover, the analysis of the FTIR spectra confirmed the existing molecular interaction between PVP and GTE in the composite submicron particles as shown by the shift of PVP wavenumber towards GTE, which has typically smaller wavenumber.

  3. Competing for Consciousness: Prolonged Mask Exposure Reduces Object Substitution Masking

    Science.gov (United States)

    Goodhew, Stephanie C.; Visser, Troy A. W.; Lipp, Ottmar V.; Dux, Paul E.

    2011-01-01

    In object substitution masking (OSM) a sparse, temporally trailing 4-dot mask impairs target identification, even though it has different contours from, and does not spatially overlap with the target. Here, we demonstrate a previously unknown characteristic of OSM: Observers show reduced masking at prolonged (e.g., 640 ms) relative to intermediate…

  4. Fabrication, microstructure, and mechanical properties of high strength cobalt sub-micron structures

    International Nuclear Information System (INIS)

    Jin Sumin; Burek, Michael J.; Evans, Robert D.; Jahed, Zeinab; Leung, Michael C.; Evans, Neal D.; Tsui, Ting Y.

    2012-01-01

    The mechanical properties exhibited by sub-micron scale columnar structures of cobalt, fabricated by electron beam lithography and electroplating techniques, were investigated through uniaxial compression. Transmission electron microscopy analyses show these specimens possess a microstructure with sub-micron grains which are elongated and aligned near to the pillar loading axis. In addition, small nanocrystalline cobalt crystals are also present within the columnar structure. These specimens display exceptional mechanical strength comparable with both bulk polycrystalline and nanocrystalline cobalt deposited by electroplating. Size-dependent softening with shrinking sample dimensions is also observed in this work. Additionally, the strength of these sub-micron structures appears to be strain rate sensitive and comparable with bulk nanocrystalline cobalt specimens.

  5. Masking and Partial Masking in Listeners with a High-Frequency Hearing Loss

    NARCIS (Netherlands)

    Smits, J.T.S.; Duifhuis, H.

    1982-01-01

    3 listeners with sensorineural hearing loss ranging from moderate to moderate-severe starting at frequencies higher than 1 kHz participated in two masking experiments and a partial masking experiment. In the first masking experiment, fM = 1 kHz and LM = 50 dB SPL, higher than normal masked

  6. Overlay improvement by exposure map based mask registration optimization

    Science.gov (United States)

    Shi, Irene; Guo, Eric; Chen, Ming; Lu, Max; Li, Gordon; Li, Rivan; Tian, Eric

    2015-03-01

    Along with the increased miniaturization of semiconductor electronic devices, the design rules of advanced semiconductor devices shrink dramatically. [1] One of the main challenges of lithography step is the layer-to-layer overlay control. Furthermore, DPT (Double Patterning Technology) has been adapted for the advanced technology node like 28nm and 14nm, corresponding overlay budget becomes even tighter. [2][3] After the in-die mask registration (pattern placement) measurement is introduced, with the model analysis of a KLA SOV (sources of variation) tool, it's observed that registration difference between masks is a significant error source of wafer layer-to-layer overlay at 28nm process. [4][5] Mask registration optimization would highly improve wafer overlay performance accordingly. It was reported that a laser based registration control (RegC) process could be applied after the pattern generation or after pellicle mounting and allowed fine tuning of the mask registration. [6] In this paper we propose a novel method of mask registration correction, which can be applied before mask writing based on mask exposure map, considering the factors of mask chip layout, writing sequence, and pattern density distribution. Our experiment data show if pattern density on the mask keeps at a low level, in-die mask registration residue error in 3sigma could be always under 5nm whatever blank type and related writer POSCOR (position correction) file was applied; it proves random error induced by material or equipment would occupy relatively fixed error budget as an error source of mask registration. On the real production, comparing the mask registration difference through critical production layers, it could be revealed that registration residue error of line space layers with higher pattern density is always much larger than the one of contact hole layers with lower pattern density. Additionally, the mask registration difference between layers with similar pattern density

  7. A novel anti-influenza copper oxide containing respiratory face mask.

    Science.gov (United States)

    Borkow, Gadi; Zhou, Steve S; Page, Tom; Gabbay, Jeffrey

    2010-06-25

    Protective respiratory face masks protect the nose and mouth of the wearer from vapor drops carrying viruses or other infectious pathogens. However, incorrect use and disposal may actually increase the risk of pathogen transmission, rather than reduce it, especially when masks are used by non-professionals such as the lay public. Copper oxide displays potent antiviral properties. A platform technology has been developed that permanently introduces copper oxide into polymeric materials, conferring them with potent biocidal properties. We demonstrate that impregnation of copper oxide into respiratory protective face masks endows them with potent biocidal properties in addition to their inherent filtration properties. Both control and copper oxide impregnated masks filtered above 99.85% of aerosolized viruses when challenged with 5.66+/-0.51 and 6.17+/-0.37 log(10)TCID(50) of human influenza A virus (H1N1) and avian influenza virus (H9N2), respectively, under simulated breathing conditions (28.3 L/min). Importantly, no infectious human influenza A viral titers were recovered from the copper oxide containing masks within 30 minutes (masks. Similarly, the infectious avian influenza titers recovered from the copper oxide containing masks were masks 5.03+/-0.54 log(10)TCID(50). The copper oxide containing masks successfully passed Bacterial Filtration Efficacy, Differential Pressure, Latex Particle Challenge, and Resistance to Penetration by Synthetic Blood tests designed to test the filtration properties of face masks in accordance with the European EN 14683:2005 and NIOSH N95 standards. Impregnation of copper oxide into respiratory protective face masks endows them with potent anti-influenza biocidal properties without altering their physical barrier properties. The use of biocidal masks may significantly reduce the risk of hand or environmental contamination, and thereby subsequent infection, due to improper handling and disposal of the masks.

  8. 2013 mask industry survey

    Science.gov (United States)

    Malloy, Matt

    2013-09-01

    A comprehensive survey was sent to merchant and captive mask shops to gather information about the mask industry as an objective assessment of its overall condition. 2013 marks the 12th consecutive year for this process. Historical topics including general mask profile, mask processing, data and write time, yield and yield loss, delivery times, maintenance, and returns were included and new topics were added. Within each category are multiple questions that result in a detailed profile of both the business and technical status of the mask industry. While each year's survey includes minor updates based on feedback from past years and the need to collect additional data on key topics, the bulk of the survey and reporting structure have remained relatively constant. A series of improvements is being phased in beginning in 2013 to add value to a wider audience, while at the same time retaining the historical content required for trend analyses of the traditional metrics. Additions in 2013 include topics such as top challenges, future concerns, and additional details in key aspects of mask masking, such as the number of masks per mask set per ground rule, minimum mask resolution shipped, and yield by ground rule. These expansions beyond the historical topics are aimed at identifying common issues, gaps, and needs. They will also provide a better understanding of real-life mask requirements and capabilities for comparison to the International Technology Roadmap for Semiconductors (ITRS).

  9. Effects of hard mask etch on final topography of advanced phase shift masks

    Science.gov (United States)

    Hortenbach, Olga; Rolff, Haiko; Lajn, Alexander; Baessler, Martin

    2017-07-01

    Continuous shrinking of the semiconductor device dimensions demands steady improvements of the lithographic resolution on wafer level. These requirements challenge the photomask industry to further improve the mask quality in all relevant printing characteristics. In this paper topography of the Phase Shift Masks (PSM) was investigated. Effects of hard mask etch on phase shift uniformity and mask absorber profile were studied. Design of experiments method (DoE) was used for the process optimization, whereas gas composition, bias power of the hard mask main etch and bias power of the over-etch were varied. In addition, influence of the over-etch time was examined at the end of the experiment. Absorber depth uniformity, sidewall angle (SWA), reactive ion etch lag (RIE lag) and through pitch (TP) dependence were analyzed. Measurements were performed by means of Atomic-force microscopy (AFM) using critical dimension (CD) mode with a boot-shaped tip. Scanning electron microscope (SEM) cross-section images were prepared to verify the profile quality. Finally CD analysis was performed to confirm the optimal etch conditions. Significant dependence of the absorber SWA on hard mask (HM) etch conditions was observed revealing an improvement potential for the mask absorber profile. It was found that hard mask etch can leave a depth footprint in the absorber layer. Thus, the etch depth uniformity of hard mask etch is crucial for achieving a uniform phase shift over the active mask area. The optimized hard mask etch process results in significantly improved mask topography without deterioration of tight CD specifications.

  10. Submicron polycaprolactone particles as a carrier for imaging contrast agent for in vitro applications.

    Science.gov (United States)

    Iqbal, Muhammad; Robin, Sophie; Humbert, Philippe; Viennet, Céline; Agusti, Geraldine; Fessi, Hatem; Elaissari, Abdelhamid

    2015-12-01

    Fluorescent materials have recently attracted considerable attention due to their unique properties and high performance as imaging agent in biomedical fields. Different imaging agents have been encapsulated in order to restrict its delivery to a specific area. In this study, a fluorescent contrast agent was encapsulated for in vitro application by polycaprolactone (PCL) polymer. The encapsulation was performed using modified double emulsion solvent evaporation technique with sonication. Fluorescent nanoparticles (20 nm) were incorporated in the inner aqueous phase of double emulsion. A number of samples were fabricated using different concentrations of fluorescent contrast agent. The contrast agent-containing submicron particle was characterized by a zetasizer for average particle size, SEM and TEM for morphology observations and fluorescence spectrophotometer for encapsulation efficiency. Moreover, contrast agent distribution in the PCL matrix was determined by confocal microscopy. The incorporation of contrast agent in different concentrations did not affect the physicochemical properties of PCL particles and the average size of encapsulated particles was found to be in the submicron range. Copyright © 2015 Elsevier B.V. All rights reserved.

  11. Translucency and masking ability of various composite resins at different thicknesses.

    Science.gov (United States)

    Darabi, Farideh; Radafshar, Golpar; Tavangar, Maryam; Davaloo, Reza; Khosravian, Aref; Mirfarhadi, Nastaran

    2014-09-01

    Optical properties of the composite resins, concerning their translucency and thickness, are affected by discolored tooth structure or inherent darkness of the oral cavity. This study aimed to compare the translucency parameter (TP) of five different composite resins in different thicknesses and to evaluate their masking ability in black backgrounds. Five brands of composite resins; Gradia (GC) and Crystalline (Confi-dental) in opaque A2 (OA2), Vit-l-escence (Ultradent) in opaque snow (OS), Herculite XRV (Kerr) and Opallis (FGM) in dentin A2 (DA2) shades were selected to enroll the study. Color coordinates of each composite were determined at 0.5, 1, and 1.5 mm thicknesses on a white backing, the backing of material itself and a black backing were calculated by using a spectrophotometer to evaluate the translucency parameter (TP) of the study materials. The masking ability was also calculated from the specimens on the material itself and on black backing. The values under 2 were estimated as imperceptible. One-way ANOVA, T-test and Tukey HSD were employed for statistical analysis. The masking ability values, recorded for the 1.5 mm-thick specimens, were in the range of imperceptible except for the Herculite. There was no difference in TP values of the materials at 1.5 mm thickness. Opaque snow shade of Vit-l-escence and opaque A2 shade of Gradia showed lower TP values in comparison with the other 1 and 0.5 mm-thick materials and this difference was statistically significant (pcomposite resins could not mask the black background color.

  12. Masking Period Patterns & Forward Masking for Speech-Shaped Noise: Age-related effects

    Science.gov (United States)

    Grose, John H.; Menezes, Denise C.; Porter, Heather L.; Griz, Silvana

    2015-01-01

    Objective The purpose of this study was to assess age-related changes in temporal resolution in listeners with relatively normal audiograms. The hypothesis was that increased susceptibility to non-simultaneous masking contributes to the hearing difficulties experienced by older listeners in complex fluctuating backgrounds. Design Participants included younger (n = 11), middle-aged (n = 12), and older (n = 11) listeners with relatively normal audiograms. The first phase of the study measured masking period patterns for speech-shaped noise maskers and signals. From these data, temporal window shapes were derived. The second phase measured forward-masking functions, and assessed how well the temporal window fits accounted for these data. Results The masking period patterns demonstrated increased susceptibility to backward masking in the older listeners, compatible with a more symmetric temporal window in this group. The forward-masking functions exhibited an age-related decline in recovery to baseline thresholds, and there was also an increase in the variability of the temporal window fits to these data. Conclusions This study demonstrated an age-related increase in susceptibility to non-simultaneous masking, supporting the hypothesis that exacerbated non-simultaneous masking contributes to age-related difficulties understanding speech in fluctuating noise. Further support for this hypothesis comes from limited speech-in-noise data suggesting an association between susceptibility to forward masking and speech understanding in modulated noise. PMID:26230495

  13. Effect of facial material softness and applied force on face mask dead volume, face mask seal, and inhaled corticosteroid delivery through an idealized infant replica.

    Science.gov (United States)

    Carrigy, Nicholas B; O'Reilly, Connor; Schmitt, James; Noga, Michelle; Finlay, Warren H

    2014-08-01

    During the aerosol delivery device design and optimization process, in vitro lung dose (LD) measurements are often performed using soft face models, which may provide a more clinically relevant representation of face mask dead volume (MDV) and face mask seal (FMS) than hard face models. However, a comparison of MDV, FMS, and LD for hard and soft face models is lacking. Metal, silicone, and polyurethane represented hard, soft, and very soft facial materials, respectively. MDV was measured using a water displacement technique. FMS was measured using a valved holding chamber (VHC) flow rate technique. The LD of beclomethasone dipropionate (BDP) delivered via a 100-μg Qvar® pressurized metered dose inhaler with AeroChamber Plus® Flow-Vu® VHC and Small Mask, defined as that which passes through the nasal airways of the idealized infant geometry, was measured using a bias tidal flow system with a filter. MDV, FMS, and LD were measured at 1.5 lb and 3.5 lb of applied force. A mathematical model was used to predict LD based on experimental measurements of MDV and FMS. Experimental BDP LD measurements for ABS, silicone, and polyurethane at 1.5 lb were 0.9 (0.6) μg, 2.4 (1.9) μg, and 19.3 (0.9) μg, respectively. At 3.5 lb, the respective LD was 10.0 (1.5) μg, 13.8 (1.4) μg, and 14.2 (0.9) μg. Parametric analysis with the mathematical model showed that differences in FMS between face models had a greater impact on LD than differences in MDV. The use of soft face models resulted in higher LD than hard face models, with a greater difference at 1.5 lb than at 3.5 lb. A lack of a FMS led to decreased dose consistency; therefore, a sealant should be used when measuring LD with a hard ABS or soft silicone face model at 1.5 lb of applied force or less.

  14. Green binary and phase shifting mask

    Science.gov (United States)

    Shy, S. L.; Hong, Chao-Sin; Wu, Cheng-San; Chen, S. J.; Wu, Hung-Yu; Ting, Yung-Chiang

    2009-12-01

    SixNy/Ni thin film green mask blanks were developed , and are now going to be used to replace general chromium film used for binary mask as well as to replace molydium silicide embedded material for AttPSM for I-line (365 nm), KrF (248 nm), ArF (193 nm) and Contact/Proximity lithography. A bilayer structure of a 1 nm thick opaque, conductive nickel layer and a SixNy layer is proposed for binary and phase-shifting mask. With the good controlling of plasma CVD of SixNy under silane (50 sccm), ammonia (5 sccm) and nitrogen (100 sccm), the pressure is 250 mTorr. and RF frequency 13.56 MHz and power 50 W. SixNy has enough deposition latitude to meet the requirements as an embedded layer for required phase shift 180 degree, and the T% in 193, 248 and 365 nm can be adjusted between 2% to 20% for binary and phase shifting mask usage. Ni can be deposited by E-gun, its sheet resistance Rs is less than 1.435 kΩ/square. Jeol e-beam system and I-line stepper are used to evaluate these thin film green mask blanks, feature size less than 200 nm half pitch pattern and 0.558 μm pitch contact hole can be printed. Transmission spectrums of various thickness of SixNy film are inspected by using UV spectrometer and FTIR. Optical constants of the SixNy film are measured by n & k meter and surface roughness is inspected by using Atomic Force Microscope (AFM).

  15. High-contrast coronagraph performance in the presence of focal plane mask defects

    Science.gov (United States)

    Sidick, Erkin; Shaklan, Stuart; Balasubramanian, Kunjithapatham; Cady, Eric

    2014-08-01

    We have carried out a study of the performance of high-contrast coronagraphs in the presence of mask defects. We have considered the effects of opaque and dielectric particles of various dimensions, as well as systematic mask fabrication errors and the limitations of material properties in creating dark holes. We employ sequential deformable mirrors to compensate for phase and amplitude errors, and show the limitations of this approach in the presence of coronagraph image-mask defects.

  16. Bubble masks for time-encoded imaging of fast neutrons.

    Energy Technology Data Exchange (ETDEWEB)

    Brubaker, Erik; Brennan, James S.; Marleau, Peter; Nowack, Aaron B.; Steele, John T.; Sweany, Melinda; Throckmorton, Daniel J.

    2013-09-01

    Time-encoded imaging is an approach to directional radiation detection that is being developed at SNL with a focus on fast neutron directional detection. In this technique, a time modulation of a detected neutron signal is inducedtypically, a moving mask that attenuates neutrons with a time structure that depends on the source position. An important challenge in time-encoded imaging is to develop high-resolution two-dimensional imaging capabilities; building a mechanically moving high-resolution mask presents challenges both theoretical and technical. We have investigated an alternative to mechanical masks that replaces the solid mask with a liquid such as mineral oil. Instead of fixed blocks of solid material that move in pre-defined patterns, the oil is contained in tubing structures, and carefully introduced air gapsbubblespropagate through the tubing, generating moving patterns of oil mask elements and air apertures. Compared to current moving-mask techniques, the bubble mask is simple, since mechanical motion is replaced by gravity-driven bubble propagation; it is flexible, since arbitrary bubble patterns can be generated by a software-controlled valve actuator; and it is potentially high performance, since the tubing and bubble size can be tuned for high-resolution imaging requirements. We have built and tested various single-tube mask elements, and will present results on bubble introduction and propagation as a function of tubing size and cross-sectional shape; real-time bubble position tracking; neutron source imaging tests; and reconstruction techniques demonstrated on simple test data as well as a simulated full detector system.

  17. Masking Period Patterns and Forward Masking for Speech-Shaped Noise: Age-Related Effects.

    Science.gov (United States)

    Grose, John H; Menezes, Denise C; Porter, Heather L; Griz, Silvana

    2016-01-01

    The purpose of this study was to assess age-related changes in temporal resolution in listeners with relatively normal audiograms. The hypothesis was that increased susceptibility to nonsimultaneous masking contributes to the hearing difficulties experienced by older listeners in complex fluctuating backgrounds. Participants included younger (n = 11), middle-age (n = 12), and older (n = 11) listeners with relatively normal audiograms. The first phase of the study measured masking period patterns for speech-shaped noise maskers and signals. From these data, temporal window shapes were derived. The second phase measured forward-masking functions and assessed how well the temporal window fits accounted for these data. The masking period patterns demonstrated increased susceptibility to backward masking in the older listeners, compatible with a more symmetric temporal window in this group. The forward-masking functions exhibited an age-related decline in recovery to baseline thresholds, and there was also an increase in the variability of the temporal window fits to these data. This study demonstrated an age-related increase in susceptibility to nonsimultaneous masking, supporting the hypothesis that exacerbated nonsimultaneous masking contributes to age-related difficulties understanding speech in fluctuating noise. Further support for this hypothesis comes from limited speech-in-noise data, suggesting an association between susceptibility to forward masking and speech understanding in modulated noise.

  18. X-ray masks

    International Nuclear Information System (INIS)

    Greenwood, J.C.; Satchell, D.W.

    1984-01-01

    In semiconductor manufacture, where X-ray irradiation is used, a thin silicon membrane can be used as an X-ray mask. This membrane has areas on which are patterns to define the regions to be irradiated. These regions are of antireflection material. With the thin, in the order of 3 microns, membranes used, fragility is a problem. Hence a number of ribs of silicon are formed integral with the membrane, and which are relatively thick, 5 to 10 microns. The ribs may be formed by localised deeper boron deposition followed by a selective etch. (author)

  19. Mask alignment system for semiconductor processing

    Science.gov (United States)

    Webb, Aaron P.; Carlson, Charles T.; Weaver, William T.; Grant, Christopher N.

    2017-02-14

    A mask alignment system for providing precise and repeatable alignment between ion implantation masks and workpieces. The system includes a mask frame having a plurality of ion implantation masks loosely connected thereto. The mask frame is provided with a plurality of frame alignment cavities, and each mask is provided with a plurality of mask alignment cavities. The system further includes a platen for holding workpieces. The platen may be provided with a plurality of mask alignment pins and frame alignment pins configured to engage the mask alignment cavities and frame alignment cavities, respectively. The mask frame can be lowered onto the platen, with the frame alignment cavities moving into registration with the frame alignment pins to provide rough alignment between the masks and workpieces. The mask alignment cavities are then moved into registration with the mask alignment pins, thereby shifting each individual mask into precise alignment with a respective workpiece.

  20. A New Algorithm for Radioisotope Identification of Shielded and Masked SNM/RDD Materials

    International Nuclear Information System (INIS)

    Jeffcoat, R.

    2012-01-01

    Detection and identification of shielded and masked nuclear materials is crucial to national security, but vast borders and high volumes of traffic impose stringent requirements for practical detection systems. Such tools must be be mobile, and hence low power, provide a low false alarm rate, and be sufficiently robust to be operable by non-technical personnel. Currently fielded systems have not achieved all of these requirements simultaneously. Transport modeling such as that done in GADRAS is able to predict observed spectra to a high degree of fidelity; our research is focusing on a radionuclide identification algorithm that inverts this modeling within the constraints imposed by a handheld device. Key components of this work include incorporation of uncertainty as a function of both the background radiation estimate and the hypothesized sources, dimensionality reduction, and nonnegative matrix factorization. We have partially evaluated performance of our algorithm on a third-party data collection made with two different sodium iodide detection devices. Initial results indicate, with caveats, that our algorithm performs as good as or better than the on-board identification algorithms. The system developed was based on a probabilistic approach with an improved approach to variance modeling relative to past work. This system was chosen based on technical innovation and system performance over algorithms developed at two competing research institutions. One key outcome of this probabilistic approach was the development of an intuitive measure of confidence which was indeed useful enough that a classification algorithm was developed based around alarming on high confidence targets. This paper will present and discuss results of this novel approach to accurately identifying shielded or masked radioisotopes with radiation detection systems.

  1. 2012 Mask Industry Survey

    Science.gov (United States)

    Malloy, Matt; Litt, Lloyd C.

    2012-11-01

    A survey supported by SEMATECH and administered by David Powell Consulting was sent to semiconductor industry leaders to gather information about the mask industry as an objective assessment of its overall condition. The survey was designed with the input of semiconductor company mask technologists and merchant mask suppliers. 2012 marks the 11th consecutive year for the mask industry survey. This year's survey and reporting structure are similar to those of the previous years with minor modifications based on feedback from past years and the need to collect additional data on key topics. Categories include general mask information, mask processing, data and write time, yield and yield loss, delivery times, and maintenance and returns. Within each category are multiple questions that result in a detailed profile of both the business and technical status of the mask industry. Results, initial observations, and key comparisons between the 2011 and 2012 survey responses are shown here, including multiple indications of a shift towards the manufacturing of higher end photomasks.

  2. Binary Masking & Speech Intelligibility

    DEFF Research Database (Denmark)

    Boldt, Jesper

    The purpose of this thesis is to examine how binary masking can be used to increase intelligibility in situations where hearing impaired listeners have difficulties understanding what is being said. The major part of the experiments carried out in this thesis can be categorized as either experime......The purpose of this thesis is to examine how binary masking can be used to increase intelligibility in situations where hearing impaired listeners have difficulties understanding what is being said. The major part of the experiments carried out in this thesis can be categorized as either...... experiments under ideal conditions or as experiments under more realistic conditions useful for real-life applications such as hearing aids. In the experiments under ideal conditions, the previously defined ideal binary mask is evaluated using hearing impaired listeners, and a novel binary mask -- the target...... binary mask -- is introduced. The target binary mask shows the same substantial increase in intelligibility as the ideal binary mask and is proposed as a new reference for binary masking. In the category of real-life applications, two new methods are proposed: a method for estimation of the ideal binary...

  3. Traditional Chinese Masks Reveal Customs

    Institute of Scientific and Technical Information of China (English)

    1996-01-01

    CHINESE masks are undoubtedly an important component in the worldwide mask culture. Minority nationality masks are a major component of China’s mask culture. Traditional Chinese masks, or nuo, represent a cultural component which originated from religious rites in prehistoric times. Various types of nuo are highly valuable for studies of Chinese customs.

  4. A novel anti-influenza copper oxide containing respiratory face mask.

    Directory of Open Access Journals (Sweden)

    Gadi Borkow

    Full Text Available BACKGROUND: Protective respiratory face masks protect the nose and mouth of the wearer from vapor drops carrying viruses or other infectious pathogens. However, incorrect use and disposal may actually increase the risk of pathogen transmission, rather than reduce it, especially when masks are used by non-professionals such as the lay public. Copper oxide displays potent antiviral properties. A platform technology has been developed that permanently introduces copper oxide into polymeric materials, conferring them with potent biocidal properties. METHODOLOGY/PRINCIPAL FINDINGS: We demonstrate that impregnation of copper oxide into respiratory protective face masks endows them with potent biocidal properties in addition to their inherent filtration properties. Both control and copper oxide impregnated masks filtered above 99.85% of aerosolized viruses when challenged with 5.66+/-0.51 and 6.17+/-0.37 log(10TCID(50 of human influenza A virus (H1N1 and avian influenza virus (H9N2, respectively, under simulated breathing conditions (28.3 L/min. Importantly, no infectious human influenza A viral titers were recovered from the copper oxide containing masks within 30 minutes (< or = 0.88 log(10TCID(50, while 4.67+/-1.35 log(10TCID(50 were recovered from the control masks. Similarly, the infectious avian influenza titers recovered from the copper oxide containing masks were < or = 0.97+/-0.01 log(10TCID(50 and from the control masks 5.03+/-0.54 log(10TCID(50. The copper oxide containing masks successfully passed Bacterial Filtration Efficacy, Differential Pressure, Latex Particle Challenge, and Resistance to Penetration by Synthetic Blood tests designed to test the filtration properties of face masks in accordance with the European EN 14683:2005 and NIOSH N95 standards. CONCLUSIONS/SIGNIFICANCE: Impregnation of copper oxide into respiratory protective face masks endows them with potent anti-influenza biocidal properties without altering their physical

  5. SUBMICRON PARTICLES EMISSION CONTROL BY ELECTROSTATIC AGGLOMERATION

    Directory of Open Access Journals (Sweden)

    Andrzej Krupa

    2017-04-01

    Full Text Available The aim of the study was to develop a device for more effective treatment of flue gases from submicron particles emitted by power plants burning bituminous coal and by this way the reduction of environment pollution. Electrostatic processes were employed to this goal, as the most effective solution. The solutions hitherto applied in electrostatic precipitation techniques were designed for large particles, typically with sizes> 5 µm, which are easily removed by the action of electrostatic force on the electrically charged particles. In submicron size range (0.1-1 µm the collection efficiency of an ESP is minimal, because of the low value of electric charge on such particles. In order to avoid problems with the removal of submicron particles of fly ash from the flue gases electrostatic agglomeration has been used. In this process, by applying an alternating electric field, larger charged particles (> 1 µm oscillate, and the particles "collect" smaller uncharged particles. In the developed agglomerator with alternating electric field, the charging of particles and the coagulation takes place in one stage that greatly simplified the construction of the device, compared to other solutions. The scope of this study included measurements of fractional collection efficiency of particles in the system comprising of agglomerator and ESP for PM1 and PM2.5 ranges, in device made in pilot scale. The collection efficiency for PM2.5 was greater than 90% and PM1 slightly dropped below 90%. The mass collection efficiency for PM2.5 was greater than 95%. The agglomerator stage increases the collection efficiency for PM1 at a level of 5-10%.

  6. Through-mask anodization of titania dot- and pillar-like nanostructures on bulk Ti substrates using a nanoporous anodic alumina mask

    International Nuclear Information System (INIS)

    Sjoestroem, Terje; Su Bo; Fox, Neil

    2009-01-01

    Nanosized surface topography on an implant material has the capability of stimulating the acceptance of the material in its host surrounding. Fine-tuning of nanotopography feature size has been shown to trigger differentiation of mesenchymal stem cells into bone cells in vitro. For this purpose we have created well defined nanosized titania dot- and pillar-like structures on mechanically polished Ti substrates using a through-mask anodization technique with an anodic porous alumina template. The anodization technique allowed the titania structure dimensions to be precisely tuned in the range 15-140 nm in a single electrolyte system. The fabricated surfaces serve as good model surfaces for precise studies of in vitro cell behaviour. The through-mask anodization technique was used directly on bulk Ti surfaces, thus demonstrating a potential application for patterning of actual Ti implant surfaces.

  7. Electron beam fabrication of a microfluidic device for studying submicron-scale bacteria

    Science.gov (United States)

    2013-01-01

    Background Controlled restriction of cellular movement using microfluidics allows one to study individual cells to gain insight into aspects of their physiology and behaviour. For example, the use of micron-sized growth channels that confine individual Escherichia coli has yielded novel insights into cell growth and death. To extend this approach to other species of bacteria, many of whom have dimensions in the sub-micron range, or to a larger range of growth conditions, a readily-fabricated device containing sub-micron features is required. Results Here we detail the fabrication of a versatile device with growth channels whose widths range from 0.3 μm to 0.8 μm. The device is fabricated using electron beam lithography, which provides excellent control over the shape and size of different growth channels and facilitates the rapid-prototyping of new designs. Features are successfully transferred first into silicon, and subsequently into the polydimethylsiloxane that forms the basis of the working microfluidic device. We demonstrate that the growth of sub-micron scale bacteria such as Lactococcus lactis or Escherichia coli cultured in minimal medium can be followed in such a device over several generations. Conclusions We have presented a detailed protocol based on electron beam fabrication together with specific dry etching procedures for the fabrication of a microfluidic device suited to study submicron-sized bacteria. We have demonstrated that both Gram-positive and Gram-negative bacteria can be successfully loaded and imaged over a number of generations in this device. Similar devices could potentially be used to study other submicron-sized organisms under conditions in which the height and shape of the growth channels are crucial to the experimental design. PMID:23575419

  8. Robust source and mask optimization compensating for mask topography effects in computational lithography.

    Science.gov (United States)

    Li, Jia; Lam, Edmund Y

    2014-04-21

    Mask topography effects need to be taken into consideration for a more accurate solution of source mask optimization (SMO) in advanced optical lithography. However, rigorous 3D mask models generally involve intensive computation and conventional SMO fails to manipulate the mask-induced undesired phase errors that degrade the usable depth of focus (uDOF) and process yield. In this work, an optimization approach incorporating pupil wavefront aberrations into SMO procedure is developed as an alternative to maximize the uDOF. We first design the pupil wavefront function by adding primary and secondary spherical aberrations through the coefficients of the Zernike polynomials, and then apply the conjugate gradient method to achieve an optimal source-mask pair under the condition of aberrated pupil. We also use a statistical model to determine the Zernike coefficients for the phase control and adjustment. Rigorous simulations of thick masks show that this approach provides compensation for mask topography effects by improving the pattern fidelity and increasing uDOF.

  9. Application of advanced structure to multi-tone mask for FPD process

    Science.gov (United States)

    Song, Jin-Han; Jeong, Jin-Woong; Kim, Kyu-Sik; Jeong, Woo-Gun; Yun, Sang-Pil; Lee, Dong-Heok; Choi, Sang-Soo

    2017-07-01

    In accordance with improvement of FPD technology, masks such as phase shift mask (PSM) and multi-tone mask (MTM) for a particular purpose also have been developed. Above all, the MTM consisted of more than tri-tone transmittance has a substantial advantage which enables to reduce the number of mask demand in FPD fabrication process contrast to normal mask of two-tone transmittance.[1,2] A chromium (Cr)-based MTM (Typically top type) is being widely employed because of convenience of etch process caused by its only Cr-based structure consisted of Cr absorber layer and Cr half-tone layer. However, the top type of Cr-based MTM demands two Cr sputtering processes after each layer etching process and writing process. For this reason, a different material from the Cr-based MTM is required for reduction of mask fabrication time and cost. In this study, we evaluate a MTM which has a structure combined Cr with molybdenum silicide (MoSi) to resolve the issues mentioned above. The MoSi which is demonstrated by integrated circuit (IC) process is a suitable material for MTM evaluation. This structure could realize multi-transmittance in common with the Cr-based MTM. Moreover, it enables to reduce the number of sputtering process. We investigate a optimized structure upon consideration of productivity along with performance such as critical dimension (CD) variation and transmittance range of each structure. The transmittance is targeted at h-line wavelength (405 nm) in the evaluation. Compared with Cr-based MTM, the performances of all Cr-/MoSi-based MTMs are considered.

  10. Transmission and signal loss in mask designs for a dual neutron and gamma imager applied to mobile standoff detection

    International Nuclear Information System (INIS)

    Ayaz-Maierhafer, Birsen; Hayward, Jason P.; Ziock, Klaus P.; Blackston, Matthew A.; Fabris, Lorenzo

    2013-01-01

    In order to design a next-generation, dual neutron and gamma imager for mobile standoff detection which uses coded aperture imaging as its primary detection modality, the following design parameters have been investigated for gamma and neutron radiation incident upon a hybrid, coded mask: (1) transmission through mask elements for various mask materials and thicknesses; and (2) signal attenuation in the mask versus angle of incidence. Each of these parameters directly affects detection significance, as quantified by the signal-to-noise ratio. The hybrid mask consists of two or three layers: organic material for fast neutron attenuation and scattering, Cd for slow neutron absorption (if applied), and one of three of the following photon or photon and slow neutron attenuating materials—Linotype alloy, CLYC, or CZT. In the MCNP model, a line source of gamma rays (100–2500 keV), fast neutrons (1000–10,000 keV) or thermal neutrons was positioned above the hybrid mask. The radiation penetrating the mask was simply tallied at the surface of an ideal detector, which was located below the surface of the last mask layer. The transmission was calculated as the ratio of the particles transmitted through the fixed aperture to the particles passing through the closed mask. In order to determine the performance of the mask considering relative motion between the source and detector, simulations were used to calculate the signal attenuation for incident radiation angles of 0–50°. The results showed that a hybrid mask can be designed to sufficiently reduce both transmission through the mask and signal loss at large angles of incidence, considering both gamma ray and fast neutron radiations. With properly selected material thicknesses, the signal loss of a hybrid mask, which is necessarily thicker than the mask required for either single mode imaging, is not a setback to the system's detection significance

  11. Modulation cues influence binaural masking-level difference in masking-pattern experiments.

    Science.gov (United States)

    Nitschmann, Marc; Verhey, Jesko L

    2012-03-01

    Binaural masking patterns show a steep decrease in the binaural masking-level difference (BMLD) when masker and signal have no frequency component in common. Experimental threshold data are presented together with model simulations for a diotic masker centered at 250 or 500 Hz and a bandwidth of 10 or 100 Hz masking a sinusoid interaurally in phase (S(0)) or in antiphase (S(π)). Simulations with a binaural model, including a modulation filterbank for the monaural analysis, indicate that a large portion of the decrease in the BMLD in remote-masking conditions may be due to an additional modulation cue available for monaural detection. © 2012 Acoustical Society of America

  12. Submicron particle mass concentrations and sources in the Amazonian wet season (AMAZE-08)

    Energy Technology Data Exchange (ETDEWEB)

    Chen, Q.; Farmer, D. K.; Rizzo, L. V.; Pauliqueivis, T.; Kuwata, Mikinori; Karl, Thomas G.; Guenther, Alex B.; Allan, James D.; Coe, H.; Andreae, M. O.; Poeschl, U.; Jiminez, J. L.; Artaxo, Paulo; Martin, Scot T.

    2015-01-01

    Real-time mass spectra of non-refractory component of submicron aerosol particles were recorded in a tropical rainforest in the central Amazon basin during the wet season of 2008, as a part of the Amazonian Aerosol Characterization Experiment (AMAZE-08). Organic components accounted on average for more than 80% of the non-refractory submicron particle mass concentrations during the period of measurements. Ammonium was present in sufficient quantities to halfway neutralize sulfate. In this acidic, isoprene-dominated, low-NOx environment the high-resolution mass spectra as well as mass closures with ion chromatography measurements did not provide evidence for significant contributions of organosulfate species, at least at concentrations above uncertainty levels. Positive-matrix factorization of the time series of particle mass spectra identified four statistical factors to account for the variance of the signal intensities of the organic constituents: a factor HOA having a hydrocarbon-like signature and identified as regional emissions of primary organic material, a factor OOA-1 associated with fresh production of secondary organic material by a mechanism of BVOC oxidation followed by gas-to-particle conversion, a factor OOA-2 consistent with reactive uptake of isoprene oxidation products, especially epoxydiols by acidic particles, and a factor OOA-3 associated with long range transport and atmospheric aging. The OOA-1, -2, and -3 factors had progressively more oxidized signatures. Diameter-resolved mass spectral markers also suggested enhanced reactive uptake of isoprene oxidation products to the accumulation mode for the OOA-2 factor, and such size partitioning can be indicative of in-cloud process. The campaign-average factor loadings were in a ratio of 1.1:1.0 for the OOA-1 compared to the OOA-2 pathway, suggesting the comparable importance of gas-phase compared to particle-phase (including cloud waters) production pathways of secondary organic material during

  13. Unmasking Zorro: functional importance of the facial mask in the Masked Shrike (Lanius nubicus)

    OpenAIRE

    Reuven Yosef; Piotr Zduniak; Piotr Tryjanowski

    2012-01-01

    The facial mask is a prominent feature in the animal kingdom. We hypothesized that the facial mask of shrikes allows them to hunt into the sun, which accords them detection and surprise-attack capabilities. We conducted a field experiment to determine whether the mask facilitated foraging while facing into the sun. Male shrikes with white-painted masks hunted facing away from the sun more than birds with black-painted masks, which are the natural color, and more than individuals in the contro...

  14. Silicon germanium as a novel mask for silicon deep reactive ion etching

    KAUST Repository

    Serry, Mohamed Y.

    2013-10-01

    This paper reports on the use of p-type polycrystalline silicon germanium (poly-Si1-xGex) thin films as a new masking material for the cryogenic deep reactive ion etching (DRIE) of silicon. We investigated the etching behavior of various poly-Si1-xGex:B (0mask for silicon depends strongly on three factors: Ge content; boron concentration; and etching temperature. Compared to conventional SiO2 and SiN masks, the proposed SiGe masking material exhibited several advantages, including high etching selectivity to silicon (>1:800). Furthermore, the SiGe mask was etched in SF6/O2 plasma at temperatures ≥ - 80°C and at rates exceeding 8 μm/min (i.e., more than 37 times faster than SiO2 or SiN masks). Because of the chemical and thermodynamic stability of the SiGe film as well as the electronic properties of the mask, it was possible to deposit the proposed film at CMOS backend compatible temperatures. The paper also confirms that the mask can easily be dry-removed after the process with high etching-rate by controlling the ICP and RF power and the SF6 to O2 ratios, and without affecting the underlying silicon substrate. Using low ICP and RF power, elevated temperatures (i.e., > - 80°C), and an adjusted O2:SF6 ratio (i.e., ~6%), we were able to etch away the SiGe mask without adversely affecting the final profile. Ultimately, we were able to develop deep silicon- trenches with high aspect ratio etching straight profiles. © 1992-2012 IEEE.

  15. Set Size and Mask Duration Do Not Interact in Object-Substitution Masking

    Science.gov (United States)

    Argyropoulos, Ioannis; Gellatly, Angus; Pilling, Michael; Carter, Wakefield

    2013-01-01

    Object-substitution masking (OSM) occurs when a mask, such as four dots that surround a brief target item, onsets simultaneously with the target and offsets a short time after the target, rather than simultaneously with it. OSM is a reduction in accuracy of reporting the target with the temporally trailing mask, compared with the simultaneously…

  16. The effect of arsenic thermal diffusion on the morphology and photoluminescence properties of sub-micron ZnO rods

    Energy Technology Data Exchange (ETDEWEB)

    Ding Meng [Department of Physics, Jilin University, Changchun 130023 (China); Laboratory of Excited State Processes, Changchun Institute of Optics, Fine Mechanics and Physics, Chinese Academy of Sciences, Changchun 130021 (China); Yao Bin, E-mail: binyao@jlu.edu.c [Department of Physics, Jilin University, Changchun 130023 (China); Zhao Dongxu, E-mail: dxzhao2000@yahoo.com.c [Laboratory of Excited State Processes, Changchun Institute of Optics, Fine Mechanics and Physics, Chinese Academy of Sciences, Changchun 130021 (China); Fang Fang; Shen Dezhen; Zhang Zhenzhong [Laboratory of Excited State Processes, Changchun Institute of Optics, Fine Mechanics and Physics, Chinese Academy of Sciences, Changchun 130021 (China)

    2010-05-31

    As-doped sub-micron ZnO rods were realized by a simple thermal diffusion process using a GaAs wafer as an arsenic resource. The surface of the sub-micron ZnO rods became rough and the morphology of As-doped sub-micron ZnO rods changed markedly with increasing diffusion temperature. From the results of energy-dispersive X-ray spectroscopy, X-ray diffraction and photoluminescence, arsenic elements were confirmed to be introduced into the sub-micron ZnO rods. The acceptor ionization energy was deduced to be about 110 meV based on the temperature-dependent PL spectra.

  17. The effect of arsenic thermal diffusion on the morphology and photoluminescence properties of sub-micron ZnO rods

    International Nuclear Information System (INIS)

    Ding Meng; Yao Bin; Zhao Dongxu; Fang Fang; Shen Dezhen; Zhang Zhenzhong

    2010-01-01

    As-doped sub-micron ZnO rods were realized by a simple thermal diffusion process using a GaAs wafer as an arsenic resource. The surface of the sub-micron ZnO rods became rough and the morphology of As-doped sub-micron ZnO rods changed markedly with increasing diffusion temperature. From the results of energy-dispersive X-ray spectroscopy, X-ray diffraction and photoluminescence, arsenic elements were confirmed to be introduced into the sub-micron ZnO rods. The acceptor ionization energy was deduced to be about 110 meV based on the temperature-dependent PL spectra.

  18. Vitreous carbon mask substrate for X-ray lithography

    Science.gov (United States)

    Aigeldinger, Georg [Livermore, CA; Skala, Dawn M [Fremont, CA; Griffiths, Stewart K [Livermore, CA; Talin, Albert Alec [Livermore, CA; Losey, Matthew W [Livermore, CA; Yang, Chu-Yeu Peter [Dublin, CA

    2009-10-27

    The present invention is directed to the use of vitreous carbon as a substrate material for providing masks for X-ray lithography. The new substrate also enables a small thickness of the mask absorber used to pattern the resist, and this enables improved mask accuracy. An alternative embodiment comprised the use of vitreous carbon as a LIGA substrate wherein the VC wafer blank is etched in a reactive ion plasma after which an X-ray resist is bonded. This surface treatment provides a surface enabling good adhesion of the X-ray photoresist and subsequent nucleation and adhesion of the electrodeposited metal for LIGA mold-making while the VC substrate practically eliminates secondary radiation effects that lead to delamination of the X-ray resist form the substrate, the loss of isolated resist features, and the formation of a resist layer adjacent to the substrate that is insoluble in the developer.

  19. Fabrication of sub-micron whole waffer SIS tunnel junctions for millimeter wave mixers

    International Nuclear Information System (INIS)

    Huq, S.E.; Blamire, M.G.; Evetts, J.E.; Hasko, D.G.; Ahmed, H.

    1991-01-01

    As a part of a programme for the development of a space-qualified sub-mm-wave mixer operating in the region of one terahertz we have been developing the processes required for the fabrication of submicron whole wafer tunnel junctions. Using the self-aligned whole-wafer process (SAWW) with electron beam lithography we have been able to reliably fabricate high quality (V m > 20 mV) submicron tunnel junctions from whole wafer Nb/AlO x /Nb structures. In particular we show that the junction quality is independent of size down to 0.3 μm 2 junction area. The problems of film stress, anodization, registration for electron beam lithography and lift-off, which limit the yield of good quality sub-micron scale junctions are addressed in this paper

  20. Mask strategy at International SEMATECH

    Science.gov (United States)

    Kimmel, Kurt R.

    2002-08-01

    International SEMATECH (ISMT) is a consortium consisting of 13 leading semiconductor manufacturers from around the globe. Its objective is to develop the infrastructure necessary for its member companies to realize the International Technology Roadmap for Semiconductors (ITRS) through efficiencies of shared development resources and knowledge. The largest area of effort is lithography, recognized as a crucial enabler for microelectronics technology progress. Within the Lithography Division, most of the efforts center on mask-related issues. The development strategy at International SEMATCH will be presented and the interlock of lithography projects clarified. Because of the limited size of the mask production equipment market, the business case is weak for aggressive investment commensurate with the pace of the International Technology Roadmap for Semiconductors. With masks becoming the overwhelming component of lithography cost, new ways of reducing or eliminating mask costs are being explored. Will mask technology survive without a strong business case? Will the mask industry limit the growth of the semiconductor industry? Are advanced masks worth their escalating cost? An analysis of mask cost from the perspective of mask value imparted to the user is presented with examples and generic formulas for the reader to apply independently. A key part to the success for both International SEMATECH and the industry globally will be partnerships on both the local level between mask-maker and mask-user, and the macro level where global collaborations will be necessary to resolve technology development cost challenges.

  1. Masks in Pedagogical Practice

    Science.gov (United States)

    Roy, David

    2016-01-01

    In Drama Education mask work is undertaken and presented as both a methodology and knowledge base. There are numerous workshops and journal articles available for teachers that offer knowledge or implementation of mask work. However, empirical examination of the context or potential implementation of masks as a pedagogical tool remains…

  2. Keeping African Masks Real

    Science.gov (United States)

    Waddington, Susan

    2012-01-01

    Art is a good place to learn about our multicultural planet, and African masks are prized throughout the world as powerfully expressive artistic images. Unfortunately, multicultural education, especially for young children, can perpetuate stereotypes. Masks taken out of context lose their meaning and the term "African masks" suggests that there is…

  3. A new route for the synthesis of submicron-sized LaB6

    International Nuclear Information System (INIS)

    Lihong, Bao; Wurentuya,; Wei, Wei; Tegus, O.

    2014-01-01

    Submicron crystalline LaB 6 has been successfully synthesized by a solid-state reaction of La 2 O 3 with NaBH 4 at 1200 °C. The effects of reaction temperature on the crystal structure, grain size and morphology were investigated by X-ray diffraction, scanning electron microscope and transmission electron microscope. It is found that when the reaction temperature is in the range of 1000–1100 °C, there are ultrafine nanoparticles and nanocrystals that coexist. When the reaction temperature elevated to 1200 °C, the grain morphology transformed from ultrafine nanoparticle to submicron crystals completely. High resolution transmission electron microscope images fully confirm the formation of LaB 6 cubic structure. - Highlights: • Single-phased LaB 6 have been synthesized by a solid-state reaction in a continuous evacuating process. • The reaction temperature has a important effect on the phase composition. • The grain size increase from nano-size to submicron with increasing reaction temperature

  4. Manufacturing a submicron structure using a liquid precursor

    NARCIS (Netherlands)

    Ishihara, R.; Van de Zwan, M.; Trifunovic, M.

    2014-01-01

    Methods for manufacture of a submicron semiconductor structure on a substrate are described. The method may comprise: forming at least one template layer over a support substrate; forming one or more template structures, preferably one or more recesses and/or mesas, in said template layer, said one

  5. Supreme Laryngeal Mask Airway versus Face Mask during Neonatal Resuscitation: A Randomized Controlled Trial.

    Science.gov (United States)

    Trevisanuto, Daniele; Cavallin, Francesco; Nguyen, Loi Ngoc; Nguyen, Tien Viet; Tran, Linh Dieu; Tran, Chien Dinh; Doglioni, Nicoletta; Micaglio, Massimo; Moccia, Luciano

    2015-08-01

    To assess the effectiveness of supreme laryngeal mask airway (SLMA) over face mask ventilation for preventing need for endotracheal intubation at birth. We report a prospective, randomized, parallel 1:1, unblinded, controlled trial. After a short-term educational intervention on SLMA use, infants ≥34-week gestation and/or expected birth weight ≥1500 g requiring positive pressure ventilation (PPV) at birth were randomized to resuscitation by SLMA or face mask. The primary outcome was the success rate of the resuscitation devices (SLMA or face mask) defined as the achievement of an effective PPV preventing the need for endotracheal intubation. We enrolled 142 patients (71 in SLMA and 71 in face mask group, respectively). Successful resuscitation rate was significantly higher with the SLMA compared with face mask ventilation (91.5% vs 78.9%; P = .03). Apgar score at 5 minutes was significantly higher in SLMA than in face mask group (P = .02). Neonatal intensive care unit admission rate was significantly lower in SLMA than in face mask group (P = .02). No complications related to the procedure occurred. In newborns with gestational age ≥34 weeks and/or expected birth weight ≥1500 g needing PPV at birth, the SLMA is more effective than face mask to prevent endotracheal intubation. The SLMA is effective in clinical practice after a short-term educational intervention. Registered with ClinicalTrials.gov: NCT01963936. Copyright © 2015 Elsevier Inc. All rights reserved.

  6. Effect of mask dead space and occlusion of mask holes on delivery of nebulized albuterol.

    Science.gov (United States)

    Berlinski, Ariel

    2014-08-01

    Infants and children with respiratory conditions are often prescribed bronchodilators. Face masks are used to facilitate the administration of nebulized therapy in patients unable to use a mouthpiece. Masks incorporate holes into their design, and their occlusion during aerosol delivery has been a common practice. Masks are available in different sizes and different dead volumes. The aim of this study was to compare the effect of different degrees of occlusion of the mask holes and different mask dead space on the amount of nebulized albuterol available at the mouth opening in a model of a spontaneously breathing child. A breathing simulator mimicking infant (tidal volume [VT] = 50 mL, breathing frequency = 30 breaths/min, inspiratory-expiratory ratio [I:E] = 1:3), child (VT = 155 mL, breathing frequency = 25 breaths/min, I:E = 1:2), and adult (VT = 500 mL, breathing frequency = 15 breaths/min, I:E = 1:2) breathing patterns was connected to a collection filter hidden behind a face plate. A pediatric size mask and an adult size mask connected to a continuous output jet nebulizer were sealed to the face plate. Three nebulizers were loaded with albuterol sulfate (2.5 mg/3 mL) and operated with 6 L/min compressed air for 5 min. Experiments were repeated with different degrees of occlusion (0%, 50%, and 90%). Albuterol was extracted from the filter and measured with a spectrophotometer at 276 nm. Occlusion of the holes in the large mask did not increase the amount of albuterol in any of the breathing patterns. The amount of albuterol captured at the mouth opening did not change when the small mask was switched to the large mask, except with the breathing pattern of a child, and when the holes in the mask were 50% occluded (P = .02). Neither decreasing the dead space of the mask nor occluding the mask holes increased the amount of nebulized albuterol captured at the mouth opening.

  7. Gestalt grouping and common onset masking.

    Science.gov (United States)

    Kahan, Todd A; Mathis, Katherine M

    2002-11-01

    A four-dot mask that surrounds and is presented simultaneously with a briefly presented target will reduce a person's ability to identity that target if the mask persists beyond target offset and attention is divided (Enns & Di Lollo, 1997, 2000). This masking effect, referred to as common onset masking, reflects reentrant processing in the visual system and can best be explained with a theory of object substitution (Di Lollo, Enns, & Rensink, 2000). In the present experiments, we investigated whether Gestalt grouping variables would influence the strength of common onset masking. The results indicated that (1) masking was impervious to grouping by form, similarity of color, position, luminance polarity, and common region and (2) masking increased with the number of elements in the masking display.

  8. Nanoimprint wafer and mask tool progress and status for high volume semiconductor manufacturing

    Science.gov (United States)

    Matsuoka, Yoichi; Seki, Junichi; Nakayama, Takahiro; Nakagawa, Kazuki; Azuma, Hisanobu; Yamamoto, Kiyohito; Sato, Chiaki; Sakai, Fumio; Takabayashi, Yukio; Aghili, Ali; Mizuno, Makoto; Choi, Jin; Jones, Chris E.

    2016-10-01

    Imprint lithography has been shown to be an effective technique for replication of nano-scale features. Jet and Flash* Imprint Lithography (J-FIL*) involves the field-by-field deposition and exposure of a low viscosity resist deposited by jetting technology onto the substrate. The patterned mask is lowered into the fluid which then quickly flows into the relief patterns in the mask by capillary action. Following this filling step, the resist is crosslinked under UV radiation, and then the mask is removed, leaving a patterned resist on the substrate. There are many criteria that determine whether a particular technology is ready for wafer manufacturing. Defectivity and mask life play a significant role relative to meeting the cost of ownership (CoO) requirements in the production of semiconductor devices. Hard particles on a wafer or mask create the possibility of inducing a permanent defect on the mask that can impact device yield and mask life. By using material methods to reduce particle shedding and by introducing an air curtain system, the lifetime of both the master mask and the replica mask can be extended. In this work, we report results that demonstrate a path towards achieving mask lifetimes of better than 1000 wafers. On the mask side, a new replication tool, the FPA-1100 NR2 is introduced. Mask replication is required for nanoimprint lithography (NIL), and criteria that are crucial to the success of a replication platform include both particle control, resolution and image placement accuracy. In this paper we discuss the progress made in both feature resolution and in meeting the image placement specification for replica masks.

  9. Mechanical alignment of substrates to a mask

    Science.gov (United States)

    Webb, Aaron P.; Carlson, Charles T.; Honan, Michael; Amato, Luigi G.; Grant, Christopher Neil; Strassner, James D.

    2016-11-08

    A plurality of masks is attached to the underside of a mask frame. This attachment is made such that each mask can independently move relative to the mask frame in three directions. This relative movement allows each mask to adjust its position to align with respective alignment pins disposed on a working surface. In one embodiment, each mask is attached to the mask frame using fasteners, where the fasteners have a shaft with a diameter smaller than the diameter of the mounting hole disposed on the mask. A bias element may be used to allow relative movement between the mask and the mask frame in the vertical direction. Each mask may also have kinematic features to mate with the respective alignment pins on the working surface.

  10. Comparison of Ventilation With One-Handed Mask Seal With an Intraoral Mask Versus Conventional Cuffed Face Mask in a Cadaver Model: A Randomized Crossover Trial.

    Science.gov (United States)

    Amack, Andrew J; Barber, Gary A; Ng, Patrick C; Smith, Thomas B; April, Michael D

    2017-01-01

    We compare received minute volume with an intraoral mask versus conventional cuffed face mask among medics obtaining a 1-handed mask seal on a cadaver model. This study comprised a randomized crossover trial of adult US Army combat medic volunteers participating in a cadaver laboratory as part of their training. We randomized participants to obtain a 1-handed mask seal during ventilation of a fresh unembalmed cadaver, first using either an intraoral airway device or conventional cuffed face mask. Participants obtained a 1-handed mask seal while a ventilator delivered 10 standardized 750-mL breaths during 1 minute. After a 5-minute rest period, they repeated the study with the alternative mask. The primary outcome measure was received minute volume as measured by a respirometer. Of 27 recruited participants, all completed the study. Median received minute volume was higher with the intraoral mask compared with conventional cuffed mask by 1.7 L (95% confidence interval 1.0 to 1.9 L; Pcadaver model. The intraoral mask may prove a useful airway adjunct for ventilation. Copyright © 2016 American College of Emergency Physicians. Published by Elsevier Inc. All rights reserved.

  11. Mask fabrication process

    Science.gov (United States)

    Cardinale, Gregory F.

    2000-01-01

    A method for fabricating masks and reticles useful for projection lithography systems. An absorber layer is conventionally patterned using a pattern and etch process. Following the step of patterning, the entire surface of the remaining top patterning photoresist layer as well as that portion of an underlying protective photoresist layer where absorber material has been etched away is exposed to UV radiation. The UV-exposed regions of the protective photoresist layer and the top patterning photoresist layer are then removed by solution development, thereby eliminating the need for an oxygen plasma etch and strip and chances for damaging the surface of the substrate or coatings.

  12. Artifact Reduction of Susceptibility-Weighted Imaging Using a Short-Echo Phase Mask

    International Nuclear Information System (INIS)

    Ishimori, Y.; Monma, M.; Kohno, Y.

    2009-01-01

    Background: Susceptibility-weighted imaging (SWI) is utilized in magnetic resonance (MR) venography and other applications, but can include artifacts caused by the phase-masking process. Purpose: To demonstrate risks of filter processes used in making phase masks for SWI, and to propose a simple method for reducing artifacts. Material and Methods: Phase linearity related to echo time (TE) was evaluated for the original phase and high-pass-filtered phase using a CuSO 4 -doped water phantom. Effect of filter size of the Hanning window and background homogeneity were also evaluated in a phantom study. Use of a phase mask generated by data with differing magnitudes of TE was attempted in a human study. Shorter TE was used for making the phase mask, and the number of multiplications was increased. As short and long TEs were necessary simultaneously for phase mask and T2* contrast, a dual-echo technique was used. Results: Linearity of TE and phase value collapsed, and an unexpected negative phase appeared in the high-pass-filtered phase. Using a short-TE phase mask, phase-aliasing artifacts were reduced and visibility of deep veins was equivalent to that under conventional methods with an increased number of multiplications. Conclusion: Use of a short-echo phase mask in SWI is useful for reducing artifacts

  13. Mechanisms of Current Transfer in Electrodeposited Layers of Submicron Semiconductor Particles

    Science.gov (United States)

    Zhukov, N. D.; Mosiyash, D. S.; Sinev, I. V.; Khazanov, A. A.; Smirnov, A. V.; Lapshin, I. V.

    2017-12-01

    Current-voltage ( I- V) characteristics of conductance in multigrain layers of submicron particles of silicon, gallium arsenide, indium arsenide, and indium antimonide have been studied. Nanoparticles of all semiconductors were obtained by processing initial single crystals in a ball mill and applied after sedimentation onto substrates by means of electrodeposition. Detailed analysis of the I- V curves of electrodeposited layers shows that their behavior is determined by the mechanism of intergranular tunneling emission from near-surface electron states of submicron particles. Parameters of this emission process have been determined. The proposed multigrain semiconductor structures can be used in gas sensors, optical detectors, IR imagers, etc.

  14. Mask quality assessment

    Science.gov (United States)

    Regis, Larry; Paulson, Neil; Reynolds, James A.

    1994-02-01

    Product quality and timely delivery are two of the most important parameters, determining the success of a mask manufacturing facility. Because of the sensitivity of this data, however, very little is known about industry performance in these areas. Using Arthur Andersen & Co. to protect contributor identity, the authors have conducted a blind quality survey of mask shops which represents over 75% of the total merchant and captive mask volume in the US. Quantities such as return rate, plate survival yield, performance to schedule and reason for return were requested from 1988 through Q2 1993. Data is analyzed and conclusions are presented.

  15. Experiences in the utilization of face masks for radiotherapy of tumors of the head and neck

    International Nuclear Information System (INIS)

    Niewald, M.; Lehmann, W.; Uhlmann, U.; Berberich, W.; Scharding, B.; Dietz, R.; Schnabel, K.; Leetz, K.H.; Universitaet des Saarlandes, Homburg/Saar

    1985-01-01

    By means of positioning and fixation aids, the precision and reproducibility of irradiation fields in radiotherapy of malignant tumors of the head and neck can be considerably improved. Face masks made of different synthetic materials have proved to be a practicable solution of this problem. In our hospital we have developed and tested a simple and not expensive possibility of manufacturing the maks with ''Baycast'' (producer: Bayer AG Leverkusen). The material is generally well tolerated by the patients, and the head is sufficiently fixed. An increased incidence of radiogenic dermatitides is caused by the overlapping of the depth dose of the Co-60 gamma radiation due to additional secondary electrons emanating from the mask material. This effect can be partly prevented by cutting out the irradiation fields in the masks. (orig.) [de

  16. An etching mask and a method to produce an etching mask

    DEFF Research Database (Denmark)

    2016-01-01

    The present invention relates to an etching mask comprising silicon containing block copolymers produced by self-assembly techniques onto silicon or graphene substrate. Through the use of the etching mask, nanostructures having long linear features having sub-10 nm width can be produced....

  17. Submicron Resolution Spectral-Domain Optical Coherence Tomography

    KAUST Repository

    Alarousu, Erkki

    2013-11-14

    Apparatuses and systems for submicron resolution spectral-domain optical coherence tomography (OCT) are disclosed. The system may use white light sources having wavelengths within 400-1000 nanometers, and achieve resolution below 1 .mu.m. The apparatus is aggregated into a unitary piece, and a user can connect the apparatus to a user provided controller and/or light source. The light source may be a supercontinuum source.

  18. Nanosphere Lithography of Chitin and Chitosan with Colloidal and Self-Masking Patterning

    Directory of Open Access Journals (Sweden)

    Rakkiyappan Chandran

    2018-02-01

    Full Text Available Complex surface topographies control, define, and determine the properties of insect cuticles. In some cases, these nanostructured materials are a direct extension of chitin-based cuticles. The cellular mechanisms that generate these elaborate chitin-based structures are unknown, and involve complicated cellular and biochemical “bottom-up” processes. We demonstrated that a synthetic “top-down” fabrication technique—nanosphere lithography—generates surfaces of chitin or chitosan that mimic the arrangement of nanostructures found on the surface of certain insect wings and eyes. Chitin and chitosan are flexible and biocompatible abundant natural polymers, and are a sustainable resource. The fabrication of nanostructured chitin and chitosan materials enables the development of new biopolymer materials. Finally, we demonstrated that another property of chitin and chitosan—the ability to self-assemble nanosilver particles—enables a novel and powerful new tool for the nanosphere lithographic method: the ability to generate a self-masking thin film. The scalability of the nanosphere lithographic technique is a major limitation; however, the silver nanoparticle self-masking enables a one-step thin-film cast or masking process, which can be used to generate nanostructured surfaces over a wide range of surfaces and areas.

  19. Is mask-based stereotactic head-and-neck fixation as precise as stereotactic head fixation for precision radiotherapy?

    International Nuclear Information System (INIS)

    Georg, Dietmar; Bogner, Joachim; Dieckmann, Karin; Poetter, Richard

    2006-01-01

    Background: The aim of this study was to compare setup accuracy and reproducibility of a stereotactic head and a head-and-neck fixation system, both based on thermoplastic material. Methods and Material: Ten patients were immobilized with a head and a head-and-neck fixation system (both BrainLAB, Germany). Both mask systems were modified with a custom-made mouthpiece and a strip of thermoplastic material attached to the lower part of the mask. During the first treatment session, after positioning patients using room lasers, two orthogonal portal images were taken as reference. Later on, at least five sets of orthogonal portal images were acquired for each patient. The isocentric setup accuracy was determined by comparing field edges and anatomic landmarks and the repositioning accuracy in the mask was obtained by comparing individual anatomic landmarks with respect to the metal balls, fixed on the masks. Systematic and random deviations and resulting three-dimensional (3D) vectors were calculated. Additionally, margins were derived from the systematic and random component of the isocentric setup accuracy. Finally, inter- and intraobserver variations were analyzed. Results: The systematic variation of the isocentric setup accuracy was very similar for the two mask systems, but the random variations were slightly larger for the head-and-neck system, resulting in a 0.4-mm larger 3D vector. The repositioning variations for the head mask were smaller compared with the head-and-neck mask, resulting in smaller 3D vectors for the random (∼0.4 mm) and systematic variations (∼0.6 mm). For both mask systems, a 2-mm margin can be used in lateral and anteroposterior direction, whereas in craniocaudal direction, this margin should be extended to 2.5 mm for the head mask and to 3 mm for the head-and-neck mask. The average absolute differences between two observers were within 0.5 mm, maximum deviations around 1 mm. Conclusion: Thermoplastic mask-based stereotactic head

  20. SEMATECH EUVL mask program status

    Science.gov (United States)

    Yun, Henry; Goodwin, Frank; Huh, Sungmin; Orvek, Kevin; Cha, Brian; Rastegar, Abbas; Kearney, Patrick

    2009-04-01

    As we approach the 22nm half-pitch (hp) technology node, the industry is rapidly running out of patterning options. Of the several lithography techniques highlighted in the International Technology Roadmap for Semiconductors (ITRS), the leading contender for the 22nm hp insertion is extreme ultraviolet lithography (EUVL). Despite recent advances with EUV resist and improvements in source power, achieving defect free EUV mask blank and enabling the EUV mask infrastructure still remain critical issues. To meet the desired EUV high volume manufacturing (HVM) insertion target date of 2013, these obstacles must be resolved on a timely bases. Many of the EUV mask related challenges remain in the pre-competitive stage and a collaborative industry based consortia, such as SEMATECH can play an important role to enable the EUVL landscape. SEMATECH based in Albany, NY is an international consortium representing several of the largest manufacturers in the semiconductor market. Full members include Intel, Samsung, AMD, IBM, Panasonic, HP, TI, UMC, CNSE (College of Nanoscience and Engineering), and Fuller Road Management. Within the SEMATECH lithography division a major thrust is centered on enabling the EUVL ecosystem from mask development, EUV resist development and addressing EUV manufacturability concerns. An important area of focus for the SEMATECH mask program has been the Mask Blank Development Center (MBDC). At the MBDC key issues in EUV blank development such as defect reduction and inspection capabilities are actively pursued together with research partners, key suppliers and member companies. In addition the mask program continues a successful track record of working with the mask community to manage and fund critical mask tools programs. This paper will highlight recent status of mask projects and longer term strategic direction at the MBDC. It is important that mask technology be ready to support pilot line development HVM by 2013. In several areas progress has been

  1. Using synchrotron light to accelerate EUV resist and mask materials learning

    Science.gov (United States)

    Naulleau, Patrick; Anderson, Christopher N.; Baclea-an, Lorie-Mae; Denham, Paul; George, Simi; Goldberg, Kenneth A.; Jones, Gideon; McClinton, Brittany; Miyakawa, Ryan; Mochi, Iacopo; Montgomery, Warren; Rekawa, Seno; Wallow, Tom

    2011-03-01

    As commercialization of extreme ultraviolet lithography (EUVL) progresses, direct industry activities are being focused on near term concerns. The question of long term extendibility of EUVL, however, remains crucial given the magnitude of the investments yet required to make EUVL a reality. Extendibility questions are best addressed using advanced research tools such as the SEMATECH Berkeley microfield exposure tool (MET) and actinic inspection tool (AIT). Utilizing Lawrence Berkeley National Laboratory's Advanced Light Source facility as the light source, these tools benefit from the unique properties of synchrotron light enabling research at nodes generations ahead of what is possible with commercial tools. The MET for example uses extremely bright undulator radiation to enable a lossless fully programmable coherence illuminator. Using such a system, resolution enhancing illuminations achieving k1 factors of 0.25 can readily be attained. Given the MET numerical aperture of 0.3, this translates to an ultimate resolution capability of 12 nm. Using such methods, the SEMATECH Berkeley MET has demonstrated resolution in resist to 16-nm half pitch and below in an imageable spin-on hard mask. At a half pitch of 16 nm, this material achieves a line-edge roughness of 2 nm with a correlation length of 6 nm. These new results demonstrate that the observed stall in ultimate resolution progress in chemically amplified resists is a materials issue rather than a tool limitation. With a resolution limit of 20-22 nm, the CAR champion from 2008 remains as the highest performing CAR tested to date. To enable continued advanced learning in EUV resists, SEMATECH has initiated a plan to implement a 0.5 NA microfield tool at the Advanced Light Source synchrotron facility. This tool will be capable of printing down to 8-nm half pitch.

  2. Sub-micron filter

    Science.gov (United States)

    Tepper, Frederick [Sanford, FL; Kaledin, Leonid [Port Orange, FL

    2009-10-13

    Aluminum hydroxide fibers approximately 2 nanometers in diameter and with surface areas ranging from 200 to 650 m.sup.2/g have been found to be highly electropositive. When dispersed in water they are able to attach to and retain electronegative particles. When combined into a composite filter with other fibers or particles they can filter bacteria and nano size particulates such as viruses and colloidal particles at high flux through the filter. Such filters can be used for purification and sterilization of water, biological, medical and pharmaceutical fluids, and as a collector/concentrator for detection and assay of microbes and viruses. The alumina fibers are also capable of filtering sub-micron inorganic and metallic particles to produce ultra pure water. The fibers are suitable as a substrate for growth of cells. Macromolecules such as proteins may be separated from each other based on their electronegative charges.

  3. Submicron particle monitoring of paving and related road construction operations.

    Science.gov (United States)

    Freund, Alice; Zuckerman, Norman; Baum, Lisa; Milek, Debra

    2012-01-01

    This study identified activities and sources that contribute to ultrafine and other submicron particle exposure that could trigger respiratory symptoms in highway repair workers. Submicron particle monitoring was conducted for paving, milling, and pothole repair operations in a major metropolitan area where several highway repair workers were identified as symptomatic for respiratory illness following exposures at the 2001 World Trade Center disaster site. Exposure assessments were conducted for eight trades involved in road construction using a TSI P-Trak portable condensation particle counter. Direct readings near the workers' breathing zones and observations of activities and potential sources were logged on 7 days on 27 workers using four different models of pavers and two types of millers. Average worker exposure levels ranged from 2 to 3 times background during paving and from 1 to 4 times background during milling. During asphalt paving, average personal exposures to submicron particulates were 25,000-60,000, 28,000-70,000, and 23,000-37,000 particles/ cm(3) for paver operators, screed operators, and rakers, respectively. Average personal exposures during milling were 19,000-111,000, 28,000-81,000, and 19,000 particles/cm(3) for the large miller operators, miller screed operators, and raker, respectively. Personal peak exposures were measured up to 467,000 and 455,000 particles/cm(3) in paving and milling, respectively. Several sources of submicron particles were identified. These included the diesel and electric fired screed heaters; engine exhaust from diesel powered construction vehicles passing by or idling; raking, dumping, and paving of asphalt; exhaust from the hotbox heater; pavement dust or fumes from milling operations, especially when the large miller started and stopped; and secondhand cigarette smoke. To reduce the potential for health effects in workers, over 40 recommendations were made to control exposures, including improved maintenance of

  4. Thermophoretic motion behavior of submicron particles in boundary-layer-separation flow around a droplet.

    Science.gov (United States)

    Wang, Ao; Song, Qiang; Ji, Bingqiang; Yao, Qiang

    2015-12-01

    As a key mechanism of submicron particle capture in wet deposition and wet scrubbing processes, thermophoresis is influenced by the flow and temperature fields. Three-dimensional direct numerical simulations were conducted to quantify the characteristics of the flow and temperature fields around a droplet at three droplet Reynolds numbers (Re) that correspond to three typical boundary-layer-separation flows (steady axisymmetric, steady plane-symmetric, and unsteady plane-symmetric flows). The thermophoretic motion of submicron particles was simulated in these cases. Numerical results show that the motion of submicron particles around the droplet and the deposition distribution exhibit different characteristics under three typical flow forms. The motion patterns of particles are dependent on their initial positions in the upstream and flow forms. The patterns of particle motion and deposition are diversified as Re increases. The particle motion pattern, initial position of captured particles, and capture efficiency change periodically, especially during periodic vortex shedding. The key effects of flow forms on particle motion are the shape and stability of the wake behind the droplet. The drag force of fluid and the thermophoretic force in the wake contribute jointly to the deposition of submicron particles after the boundary-layer separation around a droplet.

  5. Mask_explorer: A tool for exploring brain masks in fMRI group analysis.

    Science.gov (United States)

    Gajdoš, Martin; Mikl, Michal; Mareček, Radek

    2016-10-01

    Functional magnetic resonance imaging (fMRI) studies of the human brain are appearing in increasing numbers, providing interesting information about this complex system. Unique information about healthy and diseased brains is inferred using many types of experiments and analyses. In order to obtain reliable information, it is necessary to conduct consistent experiments with large samples of subjects and to involve statistical methods to confirm or reject any tested hypotheses. Group analysis is performed for all voxels within a group mask, i.e. a common space where all of the involved subjects contribute information. To our knowledge, a user-friendly interface with the ability to visualize subject-specific details in a common analysis space did not yet exist. The purpose of our work is to develop and present such interface. Several pitfalls have to be avoided while preparing fMRI data for group analysis. One such pitfall is spurious non-detection, caused by inferring conclusions in the volume of a group mask that has been corrupted due to a preprocessing failure. We describe a MATLAB toolbox, called the mask_explorer, designed for prevention of this pitfall. The mask_explorer uses a graphical user interface, enables a user-friendly exploration of subject masks and is freely available. It is able to compute subject masks from raw data and create lists of subjects with potentially problematic data. It runs under MATLAB with the widely used SPM toolbox. Moreover, we present several practical examples where the mask_explorer is usefully applied. The mask_explorer is designed to quickly control the quality of the group fMRI analysis volume and to identify specific failures related to preprocessing steps and acquisition. It helps researchers detect subjects with potentially problematic data and consequently enables inspection of the data. Copyright © 2016 Elsevier Ireland Ltd. All rights reserved.

  6. Simultaneous pure-tone masking : the dependence of masking asymmetries on intensity

    NARCIS (Netherlands)

    Vogten, L.L.M.

    1978-01-01

    Phase locking between probe and masker was used in a series of pure-tone masking experiments. The masker was a stationary sine wave of variable frequency; the probe a fixed-frequency tone burst. We have observed that for small frequency separation the masking behaves asymmetrically around the probe

  7. Artifact Reduction of Susceptibility-Weighted Imaging Using a Short-Echo Phase Mask

    Energy Technology Data Exchange (ETDEWEB)

    Ishimori, Y.; Monma, M. (Dept. of Radiological Sciences, Ibaraki Prefectural Univ. of Health Sciences, Inashiki-gun, Ibaraki (Japan)); Kohno, Y. (Dept. of Neurology, Ibaraki Prefectural Univ. of Health Sciences, Inashiki-gun, Ibaraki (Japan))

    2009-11-15

    Background: Susceptibility-weighted imaging (SWI) is utilized in magnetic resonance (MR) venography and other applications, but can include artifacts caused by the phase-masking process. Purpose: To demonstrate risks of filter processes used in making phase masks for SWI, and to propose a simple method for reducing artifacts. Material and Methods: Phase linearity related to echo time (TE) was evaluated for the original phase and high-pass-filtered phase using a CuSO{sub 4}-doped water phantom. Effect of filter size of the Hanning window and background homogeneity were also evaluated in a phantom study. Use of a phase mask generated by data with differing magnitudes of TE was attempted in a human study. Shorter TE was used for making the phase mask, and the number of multiplications was increased. As short and long TEs were necessary simultaneously for phase mask and T2 contrast, a dual-echo technique was used. Results: Linearity of TE and phase value collapsed, and an unexpected negative phase appeared in the high-pass-filtered phase. Using a short-TE phase mask, phase-aliasing artifacts were reduced and visibility of deep veins was equivalent to that under conventional methods with an increased number of multiplications. Conclusion: Use of a short-echo phase mask in SWI is useful for reducing artifacts

  8. Lithographic qualification of high-transmission mask blank for 10nm node and beyond

    Science.gov (United States)

    Xu, Yongan; Faure, Tom; Viswanathan, Ramya; Lobb, Granger; Wistrom, Richard; Burns, Sean; Hu, Lin; Graur, Ioana; Bleiman, Ben; Fischer, Dan; Mignot, Yann; Sakamoto, Yoshifumi; Toda, Yusuke; Bolton, John; Bailey, Todd; Felix, Nelson; Arnold, John; Colburn, Matthew

    2016-04-01

    In this paper, we discuss the lithographic qualification of high transmission (High T) mask for Via and contact hole applications in 10nm node and beyond. First, the simulated MEEF and depth of focus (DoF) data are compared between the 6% and High T attnPSM masks with the transmission of High T mask blank varying from 12% to 20%. The 12% High T blank shows significantly better MEEF and larger DoF than those of 6% attnPSM mask blank, which are consistent with our wafer data. However, the simulations show no obvious advantage in MEEF and DoF when the blank transmittance is larger than 12%. From our wafer data, it has been seen that the common process window from High T mask is 40nm bigger than that from the 6% attnPSM mask. In the elongated bar structure with smaller aspect ratio, 1.26, the 12% High T mask shows significantly less develop CD pull back in the major direction. Compared to the High T mask, the optimized new illumination condition for 6% attnPSM shows limited improvement in MEEF and the DoF through pitch. In addition, by using the High T mask blank, we have also investigated the SRAF printing, side lobe printing and the resist profile through cross sections, and no patterning risk has been found for manufacturing. As part of this work new 12% High T mask blank materials and processes were developed, and a brief overview of key mask technology development results have been shared. Overall, it is concluded that the High T mask, 12% transmission, provides the most robust and extendable lithographic solution for 10nm node and beyond.

  9. Design of Data Masking Architecture and Analysis of Data Masking Techniques for Testing

    OpenAIRE

    Ravikumar G K,; Manjunath T. N,; Ravindra S. Hegadi,; Archana.R.A

    2011-01-01

    Data masking is the process of obscuring-masking, specific data elements within data stores. It ensures that sensitive data is replaced with realistic but not real data. The goal is that sensitive customer information is not available outside of the authorized environment. Data masking is typically done while provisioning nonproduction environments so that copies created to support test and development processes are not exposing sensitive information and thus avoiding risks of leaking. Maskin...

  10. Mask ventilation with two different face masks in the delivery room for preterm infants: a randomized controlled trial.

    Science.gov (United States)

    Cheung, D; Mian, Q; Cheung, P-Y; O'Reilly, M; Aziz, K; van Os, S; Pichler, G; Schmölzer, G M

    2015-07-01

    If an infant fails to initiate spontaneous breathing after birth, international guidelines recommend a positive pressure ventilation (PPV). However, PPV by face mask is frequently inadequate because of leak between the face and mask. Despite a variety of available face masks, none have been prospectively compared in a randomized fashion. We aimed to evaluate and compare leak between two commercially available round face masks (Fisher & Paykel (F&P) and Laerdal) in preterm infants mask PPV in the delivery room routinely had a flow sensor placed between the mask and T-piece resuscitator. Infants were randomly assigned to receive PPV with either a F&P or Laerdal face mask. All resuscitators were trained in the use of both face masks. We compared mask leak, airway pressures, tidal volume and ventilation rate between the two groups. Fifty-six preterm infants (n=28 in each group) were enrolled; mean±s.d. gestational age 28±3 weeks; birth weight 1210±448 g; and 30 (52%) were male. Apgar scores at 1 and 5 min were 5±3 and 7±2, respectively. Infants randomized to the F&P face mask and Laerdal face mask had similar mask leak (30 (25-38) versus 35 (24-46)%, median (interquartile range), respectively, P=0.40) and tidal volume (7.1 (4.9-8.9) versus 6.6 (5.2-8.9) ml kg(-1), P=0.69) during PPV. There were no significant differences in ventilation rate, inflation time or airway pressures between groups. The use of either face mask during PPV in the delivery room yields similar mask leak in preterm infants <33 weeks gestational age.

  11. Self-Rescue Mask Training

    CERN Multimedia

    2013-01-01

    Nine new self-rescue mask instructors have been trained since early 2013, which provides CERN with a total of 26 self-rescue mask instructors to date. This will allow us to meet the increasing training needs caused by the Long Shut Down LS1.   The self-rescue mask instructors have trained 1650 persons in 2012 and about 500 persons since the beginning of the year on how to wear the masks properly. We thank all the instructors and all the persons that made this training possible. Please remember that the self-rescue masks training sessions are scheduled as follows: Basic course: Tuesday and Thursday mornings (2 sessions – 8.30 AM and 10.30 AM), duration:  1.30 hour, in French and English – registration via CERN online training catalogue – Course code 077Y00. Refresher training : Monday mornings (2 sessions – 8.30 AM and 10.30 AM), duration: 1.30 hour , in French and English – registration via CERN online training catalogue &...

  12. How do different brands of size 1 laryngeal mask airway compare with face mask ventilation in a dedicated laryngeal mask airway teaching manikin?

    Science.gov (United States)

    Tracy, Mark Brian; Priyadarshi, Archana; Goel, Dimple; Lowe, Krista; Huvanandana, Jacqueline; Hinder, Murray

    2018-05-01

    International neonatal resuscitation guidelines recommend the use of laryngeal mask airway (LMA) with newborn infants (≥34 weeks' gestation or >2 kg weight) when bag-mask ventilation (BMV) or tracheal intubation is unsuccessful. Previous publications do not allow broad LMA device comparison. To compare delivered ventilation of seven brands of size 1 LMA devices with two brands of face mask using self-inflating bag (SIB). 40 experienced neonatal staff provided inflation cycles using SIB with positive end expiratory pressure (PEEP) (5 cmH 2 O) to a specialised newborn/infant training manikin randomised for each LMA and face mask. All subjects received prior education in LMA insertion and BMV. 12 415 recorded inflations for LMAs and face masks were analysed. Leak detected was lowest with i-gel brand, with a mean of 5.7% compared with face mask (triangular 42.7, round 35.7) and other LMAs (45.5-65.4) (p<0.001). Peak inspiratory pressure was higher with i-gel, with a mean of 28.9 cmH 2 O compared with face mask (triangular 22.8, round 25.8) and other LMAs (14.3-22.0) (p<0.001). PEEP was higher with i-gel, with a mean of 5.1 cmH 2 O compared with face mask (triangular 3.0, round 3.6) and other LMAs (0.6-2.6) (p<0.001). In contrast to other LMAs examined, i-gel had no insertion failures and all users found i-gel easy to use. This study has shown dramatic performance differences in delivered ventilation, mask leak and ease of use among seven different brands of LMA tested in a manikin model. This coupled with no partial or complete insertion failures and ease of use suggests i-gel LMA may have an expanded role with newborn resuscitation as a primary resuscitation device. © Article author(s) (or their employer(s) unless otherwise stated in the text of the article) 2018. All rights reserved. No commercial use is permitted unless otherwise expressly granted.

  13. Magnetoresistance and magnetization in submicron ferromagnetic gratings

    Science.gov (United States)

    Shearwood, C.; Blundell, S. J.; Baird, M. J.; Bland, J. A. C.; Gester, M.; Ahmed, H.; Hughes, H. P.

    1994-05-01

    A technique for engineering micron and submicron scale structures from magnetic films of transition metals has been developed using a combination of electron- and ion-beam lithography enabling high-quality arrays of submicron magnetic Fe wires to be fabricated. This process can be used to fabricate novel devices from a variety of metal combinations which would not be possible by the usual liftoff metallization method. The structure and magnetic properties are reported of an epitaxial 25 nm Fe(001)/GaAs(001) film and the wire gratings which are fabricated from it. The width of the wires in the grating is 0.5 μm for all structures studied, but the separation of each wire is varied in the range 0.5 to 16 μm. An artificially induced shape anisotropy field of around 1 kG, consistent with a magnetostatic calculation, was observed for all separations studied. The field dependence of the magneto-optic Kerr effect and magnetoresistance (MR) data is consistent with a twisted magnetization configuration across the width of the sample beneath saturation for transverse applied fields. In this case, the detailed form of the field dependence of the MR is strikingly modified from that observed in the continuous film and is consistent with coherent rotation of the magnetization.

  14. Oral mask ventilation is more effective than face mask ventilation after nasal surgery.

    Science.gov (United States)

    Yazicioğlu, Dilek; Baran, Ilkay; Uzumcugil, Filiz; Ozturk, Ibrahim; Utebey, Gulten; Sayın, M Murat

    2016-06-01

    To evaluate and compare the face mask (FM) and oral mask (OM) ventilation techniques during anesthesia emergence regarding tidal volume, leak volume, and difficult mask ventilation (DMV) incidence. Prospective, randomized, crossover study. Operating room, training and research hospital. American Society of Anesthesiologists physical status I and II adult patients scheduled for nasal surgery. Patients in group FM-OM received FM ventilation first, followed by OM ventilation, and patients in group OM-FM received OM ventilation first, followed by FM ventilation, with spontaneous ventilation after deep extubation. The FM ventilation was applied with the 1-handed EC-clamp technique. The OM was placed only over the mouth, and the 1-handed EC-clamp technique was used again. A child's size FM was used for the OM ventilation technique, the mask was rotated, and the inferior part of the mask was placed toward the nose. The leak volume (MVleak), mean airway pressure (Pmean), and expired tidal volume (TVe) were assessed with each mask technique for 3 consecutive breaths. A mask ventilation grade ≥3 was considered DMV. DMV occurred more frequently during FM ventilation (75% with FM vs 8% with OM). In the FM-first sequence, the mean TVe was 249±61mL with the FM and 455±35mL with the OM (P=.0001), whereas in the OM-first sequence, it was 276±81mL with the FM and 409±37mL with the OM (P=.0001). Regardless of the order used, the OM technique significantly decreased the MVleak and increased the TVe when compared to the FM technique. During anesthesia emergence after nasal surgery the OM may offer an effective ventilation method as it decreases the incidence of DMV and the gas leak around the mask and provides higher tidal volume delivery compared with FM ventilation. Copyright © 2016 Elsevier Inc. All rights reserved.

  15. Model-based virtual VSB mask writer verification for efficient mask error checking and optimization prior to MDP

    Science.gov (United States)

    Pack, Robert C.; Standiford, Keith; Lukanc, Todd; Ning, Guo Xiang; Verma, Piyush; Batarseh, Fadi; Chua, Gek Soon; Fujimura, Akira; Pang, Linyong

    2014-10-01

    A methodology is described wherein a calibrated model-based `Virtual' Variable Shaped Beam (VSB) mask writer process simulator is used to accurately verify complex Optical Proximity Correction (OPC) and Inverse Lithography Technology (ILT) mask designs prior to Mask Data Preparation (MDP) and mask fabrication. This type of verification addresses physical effects which occur in mask writing that may impact lithographic printing fidelity and variability. The work described here is motivated by requirements for extreme accuracy and control of variations for today's most demanding IC products. These extreme demands necessitate careful and detailed analysis of all potential sources of uncompensated error or variation and extreme control of these at each stage of the integrated OPC/ MDP/ Mask/ silicon lithography flow. The important potential sources of variation we focus on here originate on the basis of VSB mask writer physics and other errors inherent in the mask writing process. The deposited electron beam dose distribution may be examined in a manner similar to optical lithography aerial image analysis and image edge log-slope analysis. This approach enables one to catch, grade, and mitigate problems early and thus reduce the likelihood for costly long-loop iterations between OPC, MDP, and wafer fabrication flows. It moreover describes how to detect regions of a layout or mask where hotspots may occur or where the robustness to intrinsic variations may be improved by modification to the OPC, choice of mask technology, or by judicious design of VSB shots and dose assignment.

  16. High spatial resolution grain orientation and strain mapping in thin films using polychromatic submicron x-ray diffraction

    Science.gov (United States)

    Tamura, N.; MacDowell, A. A.; Celestre, R. S.; Padmore, H. A.; Valek, B.; Bravman, J. C.; Spolenak, R.; Brown, W. L.; Marieb, T.; Fujimoto, H.; Batterman, B. W.; Patel, J. R.

    2002-05-01

    The availability of high brilliance synchrotron sources, coupled with recent progress in achromatic focusing optics and large area two-dimensional detector technology, has allowed us to develop an x-ray synchrotron technique that is capable of mapping orientation and strain/stress in polycrystalline thin films with submicron spatial resolution. To demonstrate the capabilities of this instrument, we have employed it to study the microstructure of aluminum thin film structures at the granular and subgranular levels. Due to the relatively low absorption of x-rays in materials, this technique can be used to study passivated samples, an important advantage over most electron probes given the very different mechanical behavior of buried and unpassivated materials.

  17. Fourier phasing with phase-uncertain mask

    International Nuclear Information System (INIS)

    Fannjiang, Albert; Liao, Wenjing

    2013-01-01

    Fourier phasing is the problem of retrieving Fourier phase information from Fourier intensity data. The standard Fourier phase retrieval (without a mask) is known to have many solutions which cause the standard phasing algorithms to stagnate and produce wrong or inaccurate solutions. In this paper Fourier phase retrieval is carried out with the introduction of a randomly fabricated mask in measurement and reconstruction. Highly probable uniqueness of solution, up to a global phase, was previously proved with exact knowledge of the mask. Here the uniqueness result is extended to the case where only rough information about the mask’s phases is assumed. The exponential probability bound for uniqueness is given in terms of the uncertainty-to-diversity ratio of the unknown mask. New phasing algorithms alternating between the object update and the mask update are systematically tested and demonstrated to have the capability of recovering both the object and the mask (within the object support) simultaneously, consistent with the uniqueness result. Phasing with a phase-uncertain mask is shown to be robust with respect to the correlation in the mask as well as the Gaussian and Poisson noises. (paper)

  18. Computing Challenges in Coded Mask Imaging

    Science.gov (United States)

    Skinner, Gerald

    2009-01-01

    This slide presaentation reviews the complications and challenges in developing computer systems for Coded Mask Imaging telescopes. The coded mask technique is used when there is no other way to create the telescope, (i.e., when there are wide fields of view, high energies for focusing or low energies for the Compton/Tracker Techniques and very good angular resolution.) The coded mask telescope is described, and the mask is reviewed. The coded Masks for the INTErnational Gamma-Ray Astrophysics Laboratory (INTEGRAL) instruments are shown, and a chart showing the types of position sensitive detectors used for the coded mask telescopes is also reviewed. Slides describe the mechanism of recovering an image from the masked pattern. The correlation with the mask pattern is described. The Matrix approach is reviewed, and other approaches to image reconstruction are described. Included in the presentation is a review of the Energetic X-ray Imaging Survey Telescope (EXIST) / High Energy Telescope (HET), with information about the mission, the operation of the telescope, comparison of the EXIST/HET with the SWIFT/BAT and details of the design of the EXIST/HET.

  19. Summation versus suppression in metacontrast masking: On the potential pitfalls of using metacontrast masking to assess perceptual-motor dissociation.

    Science.gov (United States)

    Cardoso-Leite, Pedro; Waszak, Florian

    2014-07-01

    A briefly flashed target stimulus can become "invisible" when immediately followed by a mask-a phenomenon known as backward masking, which constitutes a major tool in the cognitive sciences. One form of backward masking is termed metacontrast masking. It is generally assumed that in metacontrast masking, the mask suppresses activity on which the conscious perception of the target relies. This assumption biases conclusions when masking is used as a tool-for example, to study the independence between perceptual detection and motor reaction. This is because other models can account for reduced perceptual performance without requiring suppression mechanisms. In this study, we used signal detection theory to test the suppression model against an alternative view of metacontrast masking, referred to as the summation model. This model claims that target- and mask-related activations fuse and that the difficulty in detecting the target results from the difficulty to discriminate this fused response from the response produced by the mask alone. Our data support this alternative view. This study is not a thorough investigation of metacontrast masking. Instead, we wanted to point out that when a different model is used to account for the reduced perceptual performance in metacontrast masking, there is no need to postulate a dissociation between perceptual and motor responses to account for the data. Metacontrast masking, as implemented in the Fehrer-Raab situation, therefore is not a valid method to assess perceptual-motor dissociations.

  20. Influence of locational states of submicron fibers added into matrix on mechanical properties of plain-woven Carbon Fiber Composite

    Directory of Open Access Journals (Sweden)

    Kumamoto Soichiro

    2016-01-01

    Full Text Available The aim of this study was to show the influence of locational states of submicron fibers added into epoxy matrix on mechanical properties of modified plane-woven carbon fiber reinforced plastic (CFRP. To change the locational states of submicron fibers, two kinds of fabrication processes were applied in preparing specimen by hand lay-up method. Submicron fibers were simply added into epoxy resin with ethanol after they were stirred by a dispersion process using homogenizer to be located far from the interface between reinforcement and matrix. In contrast, submicron fibers were attached onto the carbon fibers by injecting from a spray nozzle accompanying with ethanol to be located near the interface, after they were tentatively contained in ethanol. The plain-woven CFRP plates were fabricated by hand lay-up method and cured at 80 degree-C for 1 hour and then at 150 degree-C for 3 hours. After curing, the plain-woven CFRP plates were cut into the dimension of specimen. Tensile shear strength and Mode-II fracture toughness of CFRP were determined by tensile lap-shear test and End-notched flexure(ENF test, respectively. When submicron fibers were located far from the interface between carbon fibers and epoxy resin, tensile shear strength and Mode-II fracture toughness of CFRP were improved 30% and 18% compared with those of unmodified case. The improvement ratio in modified case was rather low (about few percentages in the case where submicron fibers were located near the interface. The result suggested that crack propagation should be prevented when submicron fibers were existed far from the interface due to the effective stress state around the crack tip.

  1. Orion Emergency Mask Approach

    Science.gov (United States)

    Tuan, George C.; Graf, John C.

    2009-01-01

    Emergency mask approach on Orion poses a challenge to the traditional Shuttle or Station approaches. Currently, in the case of a fire or toxic spill event, the crew utilizes open loop oxygen masks that provide the crew with oxygen to breath, but also dumps the exhaled oxygen into the cabin. For Orion, with a small cabin volume, the extra oxygen will exceed the flammability limit within a short period of time, unless a nitrogen purge is also provided. Another approach to a fire or toxic spill event is the use of a filtering emergency masks. These masks utilize some form of chemical beds to scrub the air clean of toxic providing the crew safe breathing air for a period without elevating the oxygen level in the cabin. Using the masks and a form of smoke-eater filter, it may be possible to clean the cabin completely or to a level for safe transition to a space suit to perform a cabin purge. Issues with filters in the past have been the reaction time, breakthroughs, and high breathing resistance. Development in a new form of chemical filters has shown promise to make the filtering approach feasible.

  2. Silver halide photographic material providing an image and an unsharp mask

    International Nuclear Information System (INIS)

    Broadhead, P.; Farnell, G.C.

    1981-01-01

    Desirable edge effects are produced by normal imagewise exposure and processing of a sensitive radiographic film comprising a transparent film support bearing a layer of a direct-positive silver halide emulsion and a layer of a negative silver halide emulsion and wherein the film comprises means to reduce crossover between the two emulsion layers, one of said emulsion layers being adapted to record a primary image and the other being adapted to record an unsharp mask image. (author)

  3. [Nasal submicron emulsion of Scutellariae Radix extract preparation technology research based on phase transfer of solute technology].

    Science.gov (United States)

    Shi, Ya-jun; Shi, Jun-hui; Chen, Shi-bin; Yang, Ming

    2015-07-01

    Based on the demand of nasal drug delivery high drug loadings, using the unique phase transfer of solute, integrating the phospholipid complex preparation and submicron emulsion molding process of Scutellariae Radix extract, the study obtained the preparation of the high drug loadings submicron emulsion of Scutellariae Radix extract. In the study of drug solution dispersion method, the uniformity of drug dispersed as the evaluation index, the traditional mixing method, grinding, homogenate and solute phase transfer technology were investigated, and the solute phase transfer technology was adopted in the last. With the adoption of new technology, the drug loading capacity reached 1.33% (phospholipid complex was 4%). The drug loading capacity was improved significantly. The transfer of solute method and timing were studied as follows,join the oil phase when the volume of phospholipid complex anhydrous ethanol solution remaining 30%, the solute phase transfer was completed with the continued recycling of anhydrous ethanol. After drug dissolved away to oil phase, the preparation technology of colostrum was determined with the evaluation index of emulsion droplet form. The particle size of submicron emulsion, PDI and stability parameters were used as evaluation index, orthogonal methodology were adopted to optimize the submicron emulsion ingredient and main influential factors of high pressure homogenization technology. The optimized preparation technology of Scutellariae Radix extract nasal submicron emulsion is practical and stable.

  4. Hot carrier degradation and a new lifetime prediction model in ultra-deep sub-micron pMOSFET

    International Nuclear Information System (INIS)

    Lei Xiao-Yi; Liu Hong-Xia; Zhang Kai; Zhang Yue; Zheng Xue-Feng; Ma Xiao-Hua; Hao Yue

    2013-01-01

    The hot carrier effect (HCE) of an ultra-deep sub-micron p-channel metal—oxide semiconductor field-effect transistor (pMOSFET) is investigated in this paper. Experiments indicate that the generation of positively charged interface states is the predominant mechanism in the case of the ultra-deep sub-micron pMOSFET. The relation of the pMOSFET hot carrier degradation to stress time (t), channel width (W), channel length (L), and stress voltage (V d ) is then discussed. Based on the relation, a lifetime prediction model is proposed, which can predict the lifetime of the ultra-deep sub-micron pMOSFET accurately and reflect the influence of the factors on hot carrier degradation directly. (condensed matter: electronic structure, electrical, magnetic, and optical properties)

  5. Influence of PEG Stoichiometry on Structure-Tuned Formation of Self-Assembled Submicron Nickel Particles

    Directory of Open Access Journals (Sweden)

    Bingxue Pu

    2018-01-01

    Full Text Available Self-assembled submicron nickel particles were successfully synthesized via the one-step surfactant-assisted solvothermal method. The impact of surfactant and reducing agent stoichiometry is investigated in this manuscript. Different morphologies and structures of Ni particles, including flower-like nanoflakes, hydrangea-like structures, chain structures, sphere-like structures, and hollow structures were prepared through different processing conditions with two parameters such as temperature and time. Based on scanning electron microscopy (SEM, X-ray diffraction (XRD, thermal gravimetric analysis (TGA and vibrating sample magnetometry (VSM, the submicron nickel particles show good saturation magnetization and excellent thermal stabilities with a possible growth mechanism for the variety of the structure-tuned formation. Importantly, the microwave absorption properties of the submicron nickel particles were studied. The lowest reflection loss of Ni-P9/T200/H15 with a thin layer thickness of 1.7 mm can reach −42.6 dB at 17.3 GHz.

  6. Migration from full-head mask to "open-face" mask for immobilization of patients with head and neck cancer.

    Science.gov (United States)

    Li, Guang; Lovelock, D Michael; Mechalakos, James; Rao, Shyam; Della-Biancia, Cesar; Amols, Howard; Lee, Nancy

    2013-09-06

    To provide an alternative device for immobilization of the head while easing claustrophobia and improving comfort, an "open-face" thermoplastic mask was evaluated using video-based optical surface imaging (OSI) and kilovoltage (kV) X-ray radiography. A three-point thermoplastic head mask with a precut opening and reinforced strips was developed. After molding, it provided sufficient visible facial area as the region of interest for OSI. Using real-time OSI, the head motion of ten volunteers in the new mask was evaluated during mask locking and 15minutes lying on the treatment couch. Using a nose mark with reference to room lasers, forced head movement in open-face and full-head masks (with a nose hole) was compared. Five patients with claustrophobia were immobilized with open-face masks, set up using OSI and kV, and treated in 121 fractions, in which 61 fractions were monitored during treatment using real-time OSI. With the open-face mask, head motion was found to be 1.0 ± 0.6 mm and 0.4° ± 0.2° in volunteers during the experiment, and 0.8 ± 0.3 mm and 0.4° ± 0.2° in patients during treatment. These agree with patient motion calculated from pre-/post-treatment OSI and kV data using different anatomical landmarks. In volunteers, the head shift induced by mask-locking was 2.3 ± 1.7 mm and 1.8° ± 0.6°, and the range of forced movements in the open-face and full-head masks were found to be similar. Most (80%) of the volunteers preferred the open-face mask to the full-head mask, while claustrophobic patients could only tolerate the open-face mask. The open-face mask is characterized for its immobilization capability and can immobilize patients sufficiently (< 2 mm) during radiotherapy. It provides a clinical solution to the immobilization of patients with head and neck (HN) cancer undergoing radiotherapy, and is particularly beneficial for claustrophobic patients. This new open-face mask is readily adopted in radiotherapy clinic as a superior alternative to

  7. Pattern imprinting in deep sub-micron static random access memories induced by total dose irradiation

    Science.gov (United States)

    Zheng, Qi-Wen; Yu, Xue-Feng; Cui, Jiang-Wei; Guo, Qi; Ren, Di-Yuan; Cong, Zhong-Chao; Zhou, Hang

    2014-10-01

    Pattern imprinting in deep sub-micron static random access memories (SRAMs) during total dose irradiation is investigated in detail. As the dose accumulates, the data pattern of memory cells loading during irradiation is gradually imprinted on their background data pattern. We build a relationship between the memory cell's static noise margin (SNM) and the background data, and study the influence of irradiation on the probability density function of ΔSNM, which is the difference between two data sides' SNMs, to discuss the reason for pattern imprinting. Finally, we demonstrate that, for micron and deep sub-micron devices, the mechanism of pattern imprinting is the bias-dependent threshold shift of the transistor, but for a deep sub-micron device the shift results from charge trapping in the shallow trench isolation (STI) oxide rather than from the gate oxide of the micron-device.

  8. Metacontrast masking is processed before grapheme-color synesthesia.

    Science.gov (United States)

    Bacon, Michael Patrick; Bridgeman, Bruce; Ramachandran, Vilayanur S

    2013-01-01

    We investigated the physiological mechanism of grapheme-color synesthesia using metacontrast masking. A metacontrast target is rendered invisible by a mask that is delayed by about 60 ms; the target and mask do not overlap in space or time. Little masking occurs, however, if the target and mask are simultaneous. This effect must be cortical, because it can be obtained dichoptically. To compare the data for synesthetes and controls, we developed a metacontrast design in which nonsynesthete controls showed weaker dichromatic masking (i.e., the target and mask were in different colors) than monochromatic masking. We accomplished this with an equiluminant target, mask, and background for each observer. If synesthetic color affected metacontrast, synesthetes should show monochromatic masking more similar to the weak dichromatic masking among controls, because synesthetes could add their synesthetic color to the monochromatic condition. The target-mask pairs used for each synesthete were graphemes that elicited strong synesthetic colors. We found stronger monochromatic than dichromatic U-shaped metacontrast for both synesthetes and controls, with optimal masking at an asynchrony of 66 ms. The difference in performance between the monochromatic and dichromatic conditions in the synesthetes indicates that synesthesia occurs at a later processing stage than does metacontrast masking.

  9. An interactive tool for gamut masking

    Science.gov (United States)

    Song, Ying; Lau, Cheryl; Süsstrunk, Sabine

    2014-02-01

    Artists often want to change the colors of an image to achieve a particular aesthetic goal. For example, they might limit colors to a warm or cool color scheme to create an image with a certain mood or feeling. Gamut masking is a technique that artists use to limit the set of colors they can paint with. They draw a mask over a color wheel and only use the hues within the mask. However, creating the color palette from the mask and applying the colors to the image requires skill. We propose an interactive tool for gamut masking that allows amateur artists to create an image with a desired mood or feeling. Our system extracts a 3D color gamut from the 2D user-drawn mask and maps the image to this gamut. The user can draw a different gamut mask or locally refine the image colors. Our voxel grid gamut representation allows us to represent gamuts of any shape, and our cluster-based image representation allows the user to change colors locally.

  10. APPLICATION OF EQUIPMENT FOR AUTOMATIC CONTROL OF PLANAR STRUCTURES IN MANUFACTURING MASTER MASKS OF INTEGRATED CIRCUITS ON PHOTO-MASKS

    Directory of Open Access Journals (Sweden)

    S. M. Avakov

    2007-01-01

    Full Text Available Following the concept of defect-free manufacturing of master masks of IC on photo-masks, two Belarusian sets of optomechanical equipment for 0,3 5 p and 90 nanometers are presented in the paper. Each of the sets comprises:   • Multi-channel laser pattern generator; • Automatic mask defect inspection system; • Laser-based mask defect repair system.The paper contains description of automatic mask defect inspection process during photo-mask manufacturing and respective basic technological operations of the processes.Advantages of a complex approach to the development of a set of opto-mechanical equipment for defect-free manufacturing of photo-masks have been analyzed in the paper. 

  11. Design of TOPAZ masking system using EGS4

    International Nuclear Information System (INIS)

    Uno, Shoji

    1991-01-01

    There are two sources of the beam background in the e + e - collider experiments. One source is the synchrotron radiation from many magnets. Another source comes from the spent-electron hitting the beam pipe near the interaction region. To reduce the these background, TOPAZ masking system was designed using EGS4 code. The designed masking system consists of two pairs of masks which are called mask-1 and mask-2. The mask-1 is placed to intercept the spent-electron. The aperture of the mask-2 was determined for the synchrotron radiation photons not to hit the mask-1 directly. After these masks were installed, we are taking the data in the small beam background. (author)

  12. [Recognition of visual objects under forward masking. Effects of cathegorial similarity of test and masking stimuli].

    Science.gov (United States)

    Gerasimenko, N Iu; Slavutskaia, A V; Kalinin, S A; Kulikov, M A; Mikhaĭlova, E S

    2013-01-01

    In 38 healthy subjects accuracy and response time were examined during recognition of two categories of images--animals andnonliving objects--under forward masking. We revealed new data that masking effects depended of categorical similarity of target and masking stimuli. The recognition accuracy was the lowest and the response time was the most slow, when the target and masking stimuli belongs to the same category, that was combined with high dispersion of response times. The revealed effects were more clear in the task of animal recognition in comparison with the recognition of nonliving objects. We supposed that the revealed effects connected with interference between cortical representations of the target and masking stimuli and discussed our results in context of cortical interference and negative priming.

  13. High resolution shadow mask patterning in deep holes and its application to an electrical wafer feed-through

    NARCIS (Netherlands)

    Burger, G.J.; Burger, G.J.; Smulders, E.J.T.; Berenschot, Johan W.; Lammerink, Theodorus S.J.; Fluitman, J.H.J.; Imai, S.

    1995-01-01

    This paper presents a technique to pattern materials in deep holes and/or on non-planar substrate surfaces. A rather old technique, E-beam evaporation of metals through a shadow mask, is used [1]. The realisation of high resolution shadow masks using micromachining techniques is described. Further,

  14. Mask Phenomenon in Communication

    Institute of Scientific and Technical Information of China (English)

    郎丽璇

    2013-01-01

    People sometimes wear masks. Abusive expression may be used to convey love while polite words can be exchanged among enemies. This essay describes and discusses this special phenomenon in communication and analyzes the elements that con-tribute to the success of a mask communication.

  15. The Moody Mask Model

    DEFF Research Database (Denmark)

    Larsen, Bjarke Alexander; Andkjær, Kasper Ingdahl; Schoenau-Fog, Henrik

    2015-01-01

    This paper proposes a new relation model, called "The Moody Mask model", for Interactive Digital Storytelling (IDS), based on Franceso Osborne's "Mask Model" from 2011. This, mixed with some elements from Chris Crawford's Personality Models, is a system designed for dynamic interaction between ch...

  16. Brightness masking is modulated by disparity structure.

    Science.gov (United States)

    Pelekanos, Vassilis; Ban, Hiroshi; Welchman, Andrew E

    2015-05-01

    The luminance contrast at the borders of a surface strongly influences surface's apparent brightness, as demonstrated by a number of classic visual illusions. Such phenomena are compatible with a propagation mechanism believed to spread contrast information from borders to the interior. This process is disrupted by masking, where the perceived brightness of a target is reduced by the brief presentation of a mask (Paradiso & Nakayama, 1991), but the exact visual stage that this happens remains unclear. In the present study, we examined whether brightness masking occurs at a monocular-, or a binocular-level of the visual hierarchy. We used backward masking, whereby a briefly presented target stimulus is disrupted by a mask coming soon afterwards, to show that brightness masking is affected by binocular stages of the visual processing. We manipulated the 3-D configurations (slant direction) of the target and mask and measured the differential disruption that masking causes on brightness estimation. We found that the masking effect was weaker when stimuli had a different slant. We suggest that brightness masking is partly mediated by mid-level neuronal mechanisms, at a stage where binocular disparity edge structure has been extracted. Copyright © 2015 The Authors. Published by Elsevier Ltd.. All rights reserved.

  17. Gilded Silver Mask

    Institute of Scientific and Technical Information of China (English)

    1998-01-01

    This gilded silver mask from the Liao Dynasty is 31 cm long and 22.2 cm wide. The plump oval face was designed with a protruding brow ridge, narrow eyes, high-bridged nose and closed mouth. The chin is slightly round against a thin neck, the ears are long and the hair can be clearly seen from the finely carved lines. The use of masks was recorded as

  18. Characterization and evaluation of femtosecond laser-induced sub-micron periodic structures generated on titanium to improve osseointegration of implants

    Science.gov (United States)

    Lee, Bryan E. J.; Exir, Hourieh; Weck, Arnaud; Grandfield, Kathryn

    2018-05-01

    Reproducible and controllable methods of modifying titanium surfaces for dental and orthopaedic applications are of interest to prevent poor implant outcomes by improving osseointegration. This study made use of a femtosecond laser to generate laser-induced periodic surface structures with periodicities of 300, 620 and 760 nm on titanium substrates. The reproducible rippled patterns showed consistent submicron scale roughness and relatively hydrophobic surfaces as measured by atomic force microscopy and contact angle, respectively. Transmission electron microscopy and Auger electron spectroscopy identified a thicker oxide layer on ablated surfaces compared to controls. In vitro testing was conducted using osteosarcoma Saos-2 cells. Cell metabolism on the laser-ablated surfaces was comparable to controls and alkaline phosphatase activity was notably increased at late time points for the 620 and 760 nm surfaces compared to controls. Cells showed a more elongated shape on laser-ablated surfaces compared to controls and showed perpendicular alignment to the periodic structures. This work has demonstrated the feasibility of generating submicron features on an implant material with the ability to influence cell response and improve implant outcomes.

  19. Shadows alter facial expressions of Noh masks.

    Directory of Open Access Journals (Sweden)

    Nobuyuki Kawai

    Full Text Available BACKGROUND: A Noh mask, worn by expert actors during performance on the Japanese traditional Noh drama, conveys various emotional expressions despite its fixed physical properties. How does the mask change its expressions? Shadows change subtly during the actual Noh drama, which plays a key role in creating elusive artistic enchantment. We here describe evidence from two experiments regarding how attached shadows of the Noh masks influence the observers' recognition of the emotional expressions. METHODOLOGY/PRINCIPAL FINDINGS: In Experiment 1, neutral-faced Noh masks having the attached shadows of the happy/sad masks were recognized as bearing happy/sad expressions, respectively. This was true for all four types of masks each of which represented a character differing in sex and age, even though the original characteristics of the masks also greatly influenced the evaluation of emotions. Experiment 2 further revealed that frontal Noh mask images having shadows of upward/downward tilted masks were evaluated as sad/happy, respectively. This was consistent with outcomes from preceding studies using actually tilted Noh mask images. CONCLUSIONS/SIGNIFICANCE: Results from the two experiments concur that purely manipulating attached shadows of the different types of Noh masks significantly alters the emotion recognition. These findings go in line with the mysterious facial expressions observed in Western paintings, such as the elusive qualities of Mona Lisa's smile. They also agree with the aesthetic principle of Japanese traditional art "yugen (profound grace and subtlety", which highly appreciates subtle emotional expressions in the darkness.

  20. Pattern imprinting in deep sub-micron static random access memories induced by total dose irradiation

    International Nuclear Information System (INIS)

    Zheng Qi-Wen; Yu Xue-Feng; Cui Jiang-Wei; Guo Qi; Ren Di-Yuan; Cong Zhong-Chao; Zhou Hang

    2014-01-01

    Pattern imprinting in deep sub-micron static random access memories (SRAMs) during total dose irradiation is investigated in detail. As the dose accumulates, the data pattern of memory cells loading during irradiation is gradually imprinted on their background data pattern. We build a relationship between the memory cell's static noise margin (SNM) and the background data, and study the influence of irradiation on the probability density function of ΔSNM, which is the difference between two data sides' SNMs, to discuss the reason for pattern imprinting. Finally, we demonstrate that, for micron and deep sub-micron devices, the mechanism of pattern imprinting is the bias-dependent threshold shift of the transistor, but for a deep sub-micron device the shift results from charge trapping in the shallow trench isolation (STI) oxide rather than from the gate oxide of the micron-device. (condensed matter: structural, mechanical, and thermal properties)

  1. Are Masking-Based Models of Risk Useful?

    Science.gov (United States)

    Gisiner, Robert C

    2016-01-01

    As our understanding of directly observable effects from anthropogenic sound exposure has improved, concern about "unobservable" effects such as stress and masking have received greater attention. Equal energy models of masking such as power spectrum models have the appeal of simplicity, but do they offer biologically realistic assessments of the risk of masking? Data relevant to masking such as critical ratios, critical bandwidths, temporal resolution, and directional resolution along with what is known about general mammalian antimasking mechanisms all argue for a much more complicated view of masking when making decisions about the risk of masking inherent in a given anthropogenic sound exposure scenario.

  2. Comparison of the OxyMask and Venturi Mask in the Delivery of Supplemental Oxygen: Pilot Study in Oxygen-Dependent Patients

    OpenAIRE

    Beecroft, Jaime M; Hanly, Patrick J

    2006-01-01

    BACKGROUND: The OxyMask (Southmedic Inc, Canada) is a new face mask for oxygen delivery that uses a small ‘diffuser’ to concentrate and direct oxygen toward the mouth and nose. The authors hypothesized that this unique design would enable the OxyMask to deliver oxygen more efficiently than a Venturi mask (Hudson RCI, USA) in patients with chronic hypoxemia.METHODS: Oxygen-dependent patients with chronic, stable respiratory disease were recruited to compare the OxyMask and Venturi mask in a ra...

  3. Comparison of the OxyMask and Venturi mask in the delivery of supplemental oxygen: Pilot study in oxygen-dependent patients

    Science.gov (United States)

    Beecroft, Jaime M; Hanly, Patrick J

    2006-01-01

    BACKGROUND: The OxyMask (Southmedic Inc, Canada) is a new face mask for oxygen delivery that uses a small ‘diffuser’ to concentrate and direct oxygen toward the mouth and nose. The authors hypothesized that this unique design would enable the OxyMask to deliver oxygen more efficiently than a Venturi mask (Hudson RCI, USA) in patients with chronic hypoxemia. METHODS: Oxygen-dependent patients with chronic, stable respiratory disease were recruited to compare the OxyMask and Venturi mask in a randomized, single-blind, cross-over design. Baseline blood oxygen saturation (SaO2) was established breathing room air, followed in a random order by supplemental oxygen through the OxyMask or Venturi mask. Oxygen delivery was titrated to maintain SaO2 4% to 5% and 8% to 9% above baseline for two separate 30 min periods of stable breathing. Oxygen flow rate, partial pressure of inspired and expired oxygen (PO2) and carbon dioxide (PCO2), minute ventilation, heart rate, nasal and oral breathing, SaO2 and transcutaneous PCO2 were collected continuously. The study was repeated following alterations to the OxyMask design, which improved clearance of carbon dioxide. RESULTS: Thirteen patients, aged 28 to 79 years, were studied initially using the original OxyMask. Oxygen flow rate was lower, inspired PO2 was higher and expired PO2 was lower while using the OxyMask. Minute ventilation and inspired and expired PCO2 were significantly higher while using the OxyMask, whereas transcutaneous PCO2, heart rate and the ratio of nasal to oral breathing did not change significantly throughout the study. Following modification of the OxyMask, 13 additional patients, aged 18 to 79 years, were studied using the same protocol. The modified OxyMask provided a higher inspired PO2 at a lower flow rate, without evidence of carbon dioxide retention. CONCLUSIONS: Oxygen is delivered safely and more efficiently by the OxyMask than by the Venturi mask in stable oxygen-dependent patients. PMID:16896425

  4. A masking index for quantifying hidden glitches

    OpenAIRE

    Berti-Equille, Laure; Loh, J. M.; Dasu, T.

    2015-01-01

    Data glitches are errors in a dataset. They are complex entities that often span multiple attributes and records. When they co-occur in data, the presence of one type of glitch can hinder the detection of another type of glitch. This phenomenon is called masking. In this paper, we define two important types of masking and propose a novel, statistically rigorous indicator called masking index for quantifying the hidden glitches. We outline four cases of masking: outliers masked by missing valu...

  5. Shadows Alter Facial Expressions of Noh Masks

    Science.gov (United States)

    Kawai, Nobuyuki; Miyata, Hiromitsu; Nishimura, Ritsuko; Okanoya, Kazuo

    2013-01-01

    Background A Noh mask, worn by expert actors during performance on the Japanese traditional Noh drama, conveys various emotional expressions despite its fixed physical properties. How does the mask change its expressions? Shadows change subtly during the actual Noh drama, which plays a key role in creating elusive artistic enchantment. We here describe evidence from two experiments regarding how attached shadows of the Noh masks influence the observers’ recognition of the emotional expressions. Methodology/Principal Findings In Experiment 1, neutral-faced Noh masks having the attached shadows of the happy/sad masks were recognized as bearing happy/sad expressions, respectively. This was true for all four types of masks each of which represented a character differing in sex and age, even though the original characteristics of the masks also greatly influenced the evaluation of emotions. Experiment 2 further revealed that frontal Noh mask images having shadows of upward/downward tilted masks were evaluated as sad/happy, respectively. This was consistent with outcomes from preceding studies using actually tilted Noh mask images. Conclusions/Significance Results from the two experiments concur that purely manipulating attached shadows of the different types of Noh masks significantly alters the emotion recognition. These findings go in line with the mysterious facial expressions observed in Western paintings, such as the elusive qualities of Mona Lisa’s smile. They also agree with the aesthetic principle of Japanese traditional art “yugen (profound grace and subtlety)”, which highly appreciates subtle emotional expressions in the darkness. PMID:23940748

  6. Hot-carrier effects on irradiated deep submicron NMOSFET

    International Nuclear Information System (INIS)

    Cui Jiangwei; Zheng Qiwen; Yu Xuefeng; Cong Zhongchao; Zhou Hang; Guo Qi; Wen Lin; Wei Ying; Ren Diyuan

    2014-01-01

    We investigate how γ exposure impacts the hot-carrier degradation in deep submicron NMOSFET with different technologies and device geometries for the first time. The results show that hot-carrier degradations on irradiated devices are greater than those without irradiation, especially for narrow channel device. The reason is attributed to charge traps in STI, which then induce different electric field and impact ionization rates during hot-carrier stress. (semiconductor devices)

  7. 21 CFR 868.5570 - Nonrebreathing mask.

    Science.gov (United States)

    2010-04-01

    ... 21 Food and Drugs 8 2010-04-01 2010-04-01 false Nonrebreathing mask. 868.5570 Section 868.5570...) MEDICAL DEVICES ANESTHESIOLOGY DEVICES Therapeutic Devices § 868.5570 Nonrebreathing mask. (a) Identification. A nonrebreathing mask is a device fitting over a patient's face to administer oxygen. It utilizes...

  8. 37 CFR 211.3 - Mask work fees.

    Science.gov (United States)

    2010-07-01

    ... 37 Patents, Trademarks, and Copyrights 1 2010-07-01 2010-07-01 false Mask work fees. 211.3 Section... PROCEDURES MASK WORK PROTECTION § 211.3 Mask work fees. (a) Section 201.3 of this chapter prescribes the fees or charges established by the Register of Copyrights for services relating to mask works. (b) Section...

  9. Assessment of Sub-Micron Particles by Exploiting Charge Differences with Dielectrophoresis

    Directory of Open Access Journals (Sweden)

    Maria F. Romero-Creel

    2017-08-01

    Full Text Available The analysis, separation, and enrichment of submicron particles are critical steps in many applications, ranging from bio-sensing to disease diagnostics. Microfluidic electrokinetic techniques, such as dielectrophoresis (DEP have proved to be excellent platforms for assessment of submicron particles. DEP is the motion of polarizable particles under the presence of a non-uniform electric field. In this work, the polarization and dielectrophoretic behavior of polystyrene particles with diameters ranging for 100 nm to 1 μm were studied employing microchannels for insulator based DEP (iDEP and low frequency (<1000 Hz AC and DC electric potentials. In particular, the effects of particle surface charge, in terms of magnitude and type of functionalization, were examined. It was found that the magnitude of particle surface charge has a significant impact on the polarization and dielectrophoretic response of the particles, allowing for successful particle assessment. Traditionally, charge differences are exploited employing electrophoretic techniques and particle separation is achieved by differential migration. The present study demonstrates that differences in the particle’s surface charge can also be exploited by means of iDEP; and that distinct types of nanoparticles can be identified by their polarization and dielectrophoretic behavior. These findings open the possibility for iDEP to be employed as a technique for the analysis of submicron biological particles, where subtle differences in surface charge could allow for rapid particle identification and separation.

  10. Orientation tuning of contrast masking caused by motion streaks.

    Science.gov (United States)

    Apthorp, Deborah; Cass, John; Alais, David

    2010-08-01

    We investigated whether the oriented trails of blur left by fast-moving dots (i.e., "motion streaks") effectively mask grating targets. Using a classic overlay masking paradigm, we varied mask contrast and target orientation to reveal underlying tuning. Fast-moving Gaussian blob arrays elevated thresholds for detection of static gratings, both monoptically and dichoptically. Monoptic masking at high mask (i.e., streak) contrasts is tuned for orientation and exhibits a similar bandwidth to masking functions obtained with grating stimuli (∼30 degrees). Dichoptic masking fails to show reliable orientation-tuned masking, but dichoptic masks at very low contrast produce a narrowly tuned facilitation (∼17 degrees). For iso-oriented streak masks and grating targets, we also explored masking as a function of mask contrast. Interestingly, dichoptic masking shows a classic "dipper"-like TVC function, whereas monoptic masking shows no dip and a steeper "handle". There is a very strong unoriented component to the masking, which we attribute to transiently biased temporal frequency masking. Fourier analysis of "motion streak" images shows interesting differences between dichoptic and monoptic functions and the information in the stimulus. Our data add weight to the growing body of evidence that the oriented blur of motion streaks contributes to the processing of fast motion signals.

  11. 21 CFR 868.5590 - Scavenging mask.

    Science.gov (United States)

    2010-04-01

    ... 21 Food and Drugs 8 2010-04-01 2010-04-01 false Scavenging mask. 868.5590 Section 868.5590 Food... DEVICES ANESTHESIOLOGY DEVICES Therapeutic Devices § 868.5590 Scavenging mask. (a) Identification. A scavenging mask is a device positioned over a patient's nose to deliver anesthetic or analgesic gases to the...

  12. 21 CFR 868.5600 - Venturi mask.

    Science.gov (United States)

    2010-04-01

    ... 21 Food and Drugs 8 2010-04-01 2010-04-01 false Venturi mask. 868.5600 Section 868.5600 Food and Drugs FOOD AND DRUG ADMINISTRATION, DEPARTMENT OF HEALTH AND HUMAN SERVICES (CONTINUED) MEDICAL DEVICES ANESTHESIOLOGY DEVICES Therapeutic Devices § 868.5600 Venturi mask. (a) Identification. A venturi mask is a...

  13. Optimisation of microencapsulation of turmeric extract for masking flavour.

    Science.gov (United States)

    Laokuldilok, Natcha; Thakeow, Prodpran; Kopermsub, Phikunthong; Utama-ang, Niramon

    2016-03-01

    The aim of this study was to evaluate the odour masking property, encapsulation efficiency and physicochemical properties of turmeric extract prepared by a binary blend of wall materials, i.e. brown rice flour (BRF) and beta-cyclodextrin (β-CD). Response surface methodology was applied to investigate the effect of encapsulation processing variables, including core loading mass (5-25%) and β-CD (5-20%) concentration on product recovery, moisture content, hygroscopicity, curcuminoids encapsulation and volatile release. To investigate odour masking properties of a wall material combination, volatiles in headspace were monitored by GC-MS using ar-turmerone and 2-methyl-4-vinylguaiacol as marker compounds to represent turmeric extract. The obtained results revealed an optimal encapsulation process was 5% of core loading mass with addition 20g/L of β-CD, since it enabled high curcuminoids encapsulation with low volatile release, moisture content and hygroscopicity. Turmeric powder with reduced odour can be used as a nutrient supplement or natural colorant for food products. Copyright © 2015 Elsevier Ltd. All rights reserved.

  14. Fast mask writers: technology options and considerations

    Science.gov (United States)

    Litt, Lloyd C.; Groves, Timothy; Hughes, Greg

    2011-04-01

    The semiconductor industry is under constant pressure to reduce production costs even as the complexity of technology increases. Lithography represents the most expensive process due to its high capital equipment costs and the implementation of low-k1 lithographic processes, which have added to the complexity of making masks because of the greater use of optical proximity correction, pixelated masks, and double or triple patterning. Each of these mask technologies allows the production of semiconductors at future nodes while extending the utility of current immersion tools. Low-k1 patterning complexity combined with increased data due to smaller feature sizes is driving extremely long mask write times. While a majority of the industry is willing to accept times of up to 24 hours, evidence suggests that the write times for many masks at the 22 nm node and beyond will be significantly longer. It has been estimated that funding on the order of 50M to 90M for non-recurring engineering (NRE) costs will be required to develop a multiple beam mask writer system, yet the business case to recover this kind of investment is not strong. Moreover, funding such a development poses a high risk for an individual supplier. The structure of the mask fabrication marketplace separates the mask writer equipment customer (the mask supplier) from the final customer (wafer manufacturer) that will be most effected by the increase in mask cost that will result if a high speed mask writer is not available. Since no individual company will likely risk entering this market, some type of industry-wide funding model will be needed.

  15. 21 CFR 868.5580 - Oxygen mask.

    Science.gov (United States)

    2010-04-01

    ... 21 Food and Drugs 8 2010-04-01 2010-04-01 false Oxygen mask. 868.5580 Section 868.5580 Food and Drugs FOOD AND DRUG ADMINISTRATION, DEPARTMENT OF HEALTH AND HUMAN SERVICES (CONTINUED) MEDICAL DEVICES ANESTHESIOLOGY DEVICES Therapeutic Devices § 868.5580 Oxygen mask. (a) Identification. An oxygen mask is a device...

  16. Migration from full‐head mask to “open‐face” mask for immobilization of patients with head and neck cancer

    Science.gov (United States)

    Lovelock, D. Michael; Mechalakos, James; Rao, Shyam; Della‐Biancia, Cesar; Amols, Howard; Lee, Nancy

    2013-01-01

    To provide an alternative device for immobilization of the head while easing claustrophobia and improving comfort, an “open‐face” thermoplastic mask was evaluated using video‐based optical surface imaging (OSI) and kilovoltage (kV) X‐ray radiography. A three‐point thermoplastic head mask with a precut opening and reinforced strips was developed. After molding, it provided sufficient visible facial area as the region of interest for OSI. Using real‐time OSI, the head motion of ten volunteers in the new mask was evaluated during mask locking and 15 minutes lying on the treatment couch. Using a nose mark with reference to room lasers, forced head movement in open‐face and full‐head masks (with a nose hole) was compared. Five patients with claustrophobia were immobilized with open‐face masks, set up using OSI and kV, and treated in 121 fractions, in which 61 fractions were monitored during treatment using real‐time OSI. With the open‐face mask, head motion was found to be 1.0 ± 0.6 mm and 0.4° ± 0.2° in volunteers during the experiment, and 0.8 ± 0.3 mm and 0.4° ± 0.2° in patients during treatment. These agree with patient motion calculated from pre‐/post‐treatment OSI and kV data using different anatomical landmarks. In volunteers, the head shift induced by mask‐locking was 2.3 ± 1.7 mm and 1.8° ± 0.6°, and the range of forced movements in the open‐face and full‐head masks were found to be similar. Most (80%) of the volunteers preferred the open‐face mask to the full‐head mask, while claustrophobic patients could only tolerate the open‐face mask. The open‐face mask is characterized for its immobilization capability and can immobilize patients sufficiently (face mask is readily adopted in radiotherapy clinic as a superior alternative to the standard full‐head mask. PACS numbers: 87.19.xj, 87.63.L‐, 87.59.‐e, 87.55.tg, 87.55.‐x PMID:24036878

  17. Simulation-based MDP verification for leading-edge masks

    Science.gov (United States)

    Su, Bo; Syrel, Oleg; Pomerantsev, Michael; Hagiwara, Kazuyuki; Pearman, Ryan; Pang, Leo; Fujimara, Aki

    2017-07-01

    For IC design starts below the 20nm technology node, the assist features on photomasks shrink well below 60nm and the printed patterns of those features on masks written by VSB eBeam writers start to show a large deviation from the mask designs. Traditional geometry-based fracturing starts to show large errors for those small features. As a result, other mask data preparation (MDP) methods have become available and adopted, such as rule-based Mask Process Correction (MPC), model-based MPC and eventually model-based MDP. The new MDP methods may place shot edges slightly differently from target to compensate for mask process effects, so that the final patterns on a mask are much closer to the design (which can be viewed as the ideal mask), especially for those assist features. Such an alteration generally produces better masks that are closer to the intended mask design. Traditional XOR-based MDP verification cannot detect problems caused by eBeam effects. Much like model-based OPC verification which became a necessity for OPC a decade ago, we see the same trend in MDP today. Simulation-based MDP verification solution requires a GPU-accelerated computational geometry engine with simulation capabilities. To have a meaningful simulation-based mask check, a good mask process model is needed. The TrueModel® system is a field tested physical mask model developed by D2S. The GPU-accelerated D2S Computational Design Platform (CDP) is used to run simulation-based mask check, as well as model-based MDP. In addition to simulation-based checks such as mask EPE or dose margin, geometry-based rules are also available to detect quality issues such as slivers or CD splits. Dose margin related hotspots can also be detected by setting a correct detection threshold. In this paper, we will demonstrate GPU-acceleration for geometry processing, and give examples of mask check results and performance data. GPU-acceleration is necessary to make simulation-based mask MDP verification

  18. Individuals and Their Masks

    Directory of Open Access Journals (Sweden)

    Belén Altuna

    2009-08-01

    Full Text Available This essay works on the opposition between face and mask, where ‘face’ is understood as that which makes every human being singular, and makes visible her or his unique worth, while ‘mask’ is understood as whatever hides that singularity, and refers to a category, stereotype or cliché. The etymological history that relates face and mask to the concept of person, and the history of modern portrait painting, which alternates representations of face and mask, both lead to a discussion with authors who diagnose a contemporary “defeat of the face” as a result of the crisis of humanism and of ethical individualism, which give meaning and dignity to that face.

  19. Deep sub-micron FD-SOI for front-end application

    International Nuclear Information System (INIS)

    Ikeda, H.; Arai, Y.; Hara, K.; Hayakawa, H.; Hirose, K.; Ikegami, Y.; Ishino, H.; Kasaba, Y.; Kawasaki, T.; Kohriki, T.; Martin, E.; Miyake, H.; Mochizuki, A.; Tajima, H.; Tajima, O.; Takahashi, T.; Takashima, T.; Terada, S.; Tomita, H.; Tsuboyama, T.

    2007-01-01

    In order to confirm benefits of a deep sub-micron FD-SOI and to identify possible issues concerning front-end circuits with the FD-SOI, we have submitted a small design to Oki Electric Industry Co., Ltd. via the multi-chip project service of VDEC, the University of Tokyo. The initial test results and future plans for development are presented

  20. Studies of nitride- and oxide-based materials as absorptive shifters for embedded attenuated phase-shifting mask in 193 nm

    Science.gov (United States)

    Lin, Cheng-ming; Chang, Keh-wen; Lee, Ming-der; Loong, Wen-An

    1999-07-01

    Abstract-Five materials which are PdSixOy, CrAlxOy, SiNx, TiSixNy, and TiSixOyNz as absorptive shifters for attenuated phase-shifting mask in 193 nm wavelength lithography are presented. PdSixOy films were deposited by dual e-gun evaporation. CrAlxOy, TiSixNy and TiSixOyNz films were formed by plasma sputtering and SiNx films were formed with LPCVD. All of these materials are shown to be capable of achieving 4 percent - 15 percent transmittance in 193 nm with thickness that produce a 180 degrees phase shift. Under BCl3:Cl2 equals 14:70 sccm; chamber pressure 5 mtorr and RF power 1900W, the dry etching selectivity of TiSixNy over DQN positive resist and fused silica, were found to be 2:1 and 4,8:1 respectively. An embedded layer TiSixNy with 0.5 micrometers line/space was successfully patterned.

  1. The development of biopolymer-based nanostructured materials : plastics, gels, IPNs and nanofoams

    NARCIS (Netherlands)

    Soest, van J.J.G.

    2006-01-01

    The ability to design products with structural features on a nanometric scale is a major technology driver in materials research Nanostructured materials are defined as materials with structural features on a sub-micron scale determining specific properties They consist of materials such as metals,

  2. Evaluation of a new pediatric positive airway pressure mask.

    Science.gov (United States)

    Kushida, Clete A; Halbower, Ann C; Kryger, Meir H; Pelayo, Rafael; Assalone, Valerie; Cardell, Chia-Yu; Huston, Stephanie; Willes, Leslee; Wimms, Alison J; Mendoza, June

    2014-09-15

    The choice and variety of pediatric masks for continuous positive airway pressure (CPAP) is limited in the US. Therefore, clinicians often prescribe modified adult masks. Until recently a mask for children aged mask for children aged 2-7 years (Pixi; ResMed Ltd, Sydney, Australia). Patients aged 2-7 years were enrolled and underwent in-lab baseline polysomnography (PSG) using their previous mask, then used their previous mask and the VPAP III ST-A flow generator for ≥ 10 nights at home. Thereafter, patients switched to the Pixi mask for ≥ 2 nights before returning for a PSG during PAP therapy via the Pixi mask. Patients then used the Pixi mask at home for ≥ 21 nights. Patients and their parents/guardians returned to the clinic for follow-up and provided feedback on the Pixi mask versus their previous mask. AHI with the Pixi mask was 1.1 ± 1.5/h vs 2.6 ± 5.4/h with the previous mask (p = 0.3538). Parents rated the Pixi mask positively for: restfulness of the child's sleep, trouble in getting the child to sleep, and trouble in having the child stay asleep. The Pixi mask was also rated highly for leaving fewer or no marks on the upper lip and under the child's ears, and being easy to remove. The Pixi mask is suitable for children aged 2-7 years and provides an alternative to other masks available for PAP therapy in this age group. © 2014 American Academy of Sleep Medicine.

  3. Submicron Features in Higher Manganese Silicide

    Directory of Open Access Journals (Sweden)

    Yatir Sadia

    2013-01-01

    Full Text Available The world energy crisis had increased the demand for alternative energy sources and as such is one of the topics at the forefront of research. One way for reducing energy consumption is by thermoelectricity. Thermoelectric effects enable direct conversion of thermal into electrical energy. Higher manganese silicide (HMS, MnSi1.75 is one of the promising materials for applications in the field of thermoelectricity. The abundance and low cost of the elements, combined with good thermoelectric properties and high mechanical and chemical stability at high temperatures, make it very attractive for thermoelectric applications. Recent studies have shown that Si-rich HMS has improved thermoelectric properties. The most interesting of which is the unusual reduction in thermal conductivity. In the current research, transmission (TEM and scanning (SEM electron microscopy as well as X-ray diffraction methods were applied for investigation of the govern mechanisms resulting in very low thermal conductivity values of an Si-rich HMS composition, following arc melting and hot-pressing procedures. In this paper, it is shown that there is a presence of sub-micron dislocations walls, stacking faults, and silicon and HMS precipitates inside each other apparent in the matrix, following a high temperature (0.9 Tm hot pressing for an hour. These are not just responsible for the low thermal conductivity values observed but also indicate the ability to create complicate nano-structures that will last during the production process and possibly during the application.

  4. Sinusoidal masks for single channel speech separation

    DEFF Research Database (Denmark)

    Mowlaee, Pejman; Christensen, Mads Græsbøll; Jensen, Søren Holdt

    2010-01-01

    In this paper we present a new approach for binary and soft masks used in single-channel speech separation. We present a novel approach called the sinusoidal mask (binary mask and Wiener filter) in a sinusoidal space. Theoretical analysis is presented for the proposed method, and we show...... that the proposed method is able to minimize the target speech distortion while suppressing the crosstalk to a predetermined threshold. It is observed that compared to the STFTbased masks, the proposed sinusoidal masks improve the separation performance in terms of objective measures (SSNR and PESQ) and are mostly...

  5. Predicting masking release of lateralized speech

    DEFF Research Database (Denmark)

    Chabot-Leclerc, Alexandre; MacDonald, Ewen; Dau, Torsten

    2016-01-01

    . The largest masking release (MR) was observed when all maskers were on the opposite side of the target. The data in the conditions containing only energetic masking and modulation masking could be accounted for using a binaural extension of the speech-based envelope power spectrum model [sEPSM; Jørgensen et...... al., 2013, J. Acoust. Soc. Am. 130], which uses a short-term equalization-cancellation process to model binaural unmasking. In the conditions where informational masking (IM) was involved, the predicted SRTs were lower than the measured values because the model is blind to confusions experienced...

  6. Submicron-bubble-enhanced focused ultrasound for blood-brain barrier disruption and improved CNS drug delivery.

    Directory of Open Access Journals (Sweden)

    Ching-Hsiang Fan

    Full Text Available The use of focused ultrasound (FUS with microbubbles has been proven to induce transient blood-brain barrier opening (BBB-opening. However, FUS-induced inertial cavitation of microbubbles can also result in erythrocyte extravasations. Here we investigated whether induction of submicron bubbles to oscillate at their resonant frequency would reduce inertial cavitation during BBB-opening and thereby eliminate erythrocyte extravasations in a rat brain model. FUS was delivered with acoustic pressures of 0.1-4.5 MPa using either in-house manufactured submicron bubbles or standard SonoVue microbubbles. Wideband and subharmonic emissions from bubbles were used to quantify inertial and stable cavitation, respectively. Erythrocyte extravasations were evaluated by in vivo post-treatment magnetic resonance susceptibility-weighted imaging, and finally by histological confirmation. We found that excitation of submicron bubbles with resonant frequency-matched FUS (10 MHz can greatly limit inertial cavitation while enhancing stable cavitation. The BBB-opening was mainly caused by stable cavitation, whereas the erythrocyte extravasation was closely correlated with inertial cavitation. Our technique allows extensive reduction of inertial cavitation to induce safe BBB-opening. Furthermore, the safety issue of BBB-opening was not compromised by prolonging FUS exposure time, and the local drug concentrations in the brain tissues were significantly improved to 60 times (BCNU; 18.6 µg versus 0.3 µg by using chemotherapeutic agent-loaded submicron bubbles with FUS. This study provides important information towards the goal of successfully translating FUS brain drug delivery into clinical use.

  7. Influence of geometrical and electrical parameters of masking layers on the electrochemical etching of silicon for single trench formation

    International Nuclear Information System (INIS)

    Gautier, G; Ventura, L; Jerisian, R

    2005-01-01

    Deep single trenches can be produced at the edge of apertures of protective films masking the surface of silicon samples. This macropore formation, from polarized HF based solutions, is electrically activated depending on the mask geometrical and physical parameters whatever the silicon type or the electrolyte composition. The mask thickness increase is known to induce deeper trenches. In this paper, we show that we can predict and localize this phenomenon by simulating two dimensional hole current distributions below the mask. We demonstrate also the influence of the material permittivity on trench depth. These 2D simulation results are correlated with experimental results

  8. Fabrication of magnetic and fluorescent chitin and dibutyrylchitin sub-micron particles by oil-in-water emulsification.

    Science.gov (United States)

    Blanco-Fernandez, Barbara; Chakravarty, Shatadru; Nkansah, Michael K; Shapiro, Erik M

    2016-11-01

    Chitin is a carbohydrate polymer with unique pharmacological and immunological properties, however, because of its unwieldy chemistry, the synthesis of discreet sized sub-micron particles has not been well reported. This work describes a facile and flexible method to fabricate biocompatible chitin and dibutyrylchitin sub-micron particles. This technique is based on an oil-in-water emulsification/evaporation method and involves the hydrophobization of chitin by the addition of labile butyryl groups onto chitin, disrupting intermolecular hydrogen bonds and enabling solubility in the organic solvent used as the oil phase during fabrication. The subsequent removal of butyryl groups post-fabrication through alkaline saponification regenerates native chitin while keeping particles morphology intact. Examples of encapsulation of hydrophobic dyes and nanocrystals are demonstrated, specifically using iron oxide nanocrystals and coumarin 6. The prepared particles had diameters between 300-400nm for dibutyrylchitin and 500-600nm for chitin and were highly cytocompatible. Moreover, they were able to encapsulate high amounts of iron oxide nanocrystals and were able to label mammalian cells. We describe a technique to prepare sub-micron particles of highly acetylated chitin (>90%) and dibutyrylchitin and demonstrate their utility as carriers for imaging. Chitin is a polysaccharide capable of stimulating the immune system, a property that depends on the acetamide groups, but its insolubility limits its use. No method for sub-micron particle preparation with highly acetylated chitins have been published. The only approach for the preparation of sub-micron particles uses low acetylation chitins. Dibutyrylchitin, a soluble chitin derivative, was used to prepare particles by oil in water emulsification. Butyryl groups were then removed, forming chitin particles. These particles could be suitable for encapsulation of hydrophobic payloads for drug delivery and cell imaging, as well as

  9. Characteristics of scandate-impregnated cathodes with sub-micron scandia-doped matrices

    International Nuclear Information System (INIS)

    Yuan Haiqing; Gu Xin; Pan Kexin; Wang Yiman; Liu Wei; Zhang Ke; Wang Jinshu; Zhou Meiling; Li Ji

    2005-01-01

    We describe in this paper scandate-impregnated cathodes with sub-micron scandia-doped tungsten matrices having an improved uniformity of the Sc distribution. The scandia-doped tungsten powders were made by both liquid-solid doping and liquid-liquid doping methods on the basis of previous research. By improving pressing, sintering and impregnating procedures, we have obtained scandate-impregnated cathodes with a good uniformity of the Sc 2 O 3 - distribution. The porosity of the sub-micron structure matrix and content of impregnants inside the matrix are similar to those of conventionally impregnated cathodes. Space charge limited current densities of more than 30 A/cm 2 at 850 deg. C b have been obtained in a reproducible way. The current density continuously increases during the first 2000 h life test at 950 deg. C b with a dc load of 2 A/cm 2 and are stable for at least 3000 h

  10. Rapid iconic erasure without masking.

    Science.gov (United States)

    Tijus, Charles Albert; Reeves, Adam

    2004-01-01

    We report on the erasure of the iconic memory of an array of 12 black letters flashed on a continuously- present white field. Erasure is accomplished by replacing the 16 ms letter array (frame 1) with a blank white frame for 16 ms (frame 2). The letter array returns in frame 3, with from one to six letters missing. Report of the missing letters is accurate without the blank white frame but is impoverished with it, as if interposing the blank erases the icon. Erasure occurs without any obvious luminance masking, 'mud splashes', pattern masking (backward, forward, or metacontrast), lateral masking, or masking by object substitution. Erasure is greatly decreased if the blank is presented one frame earlier or later. We speculate that erasure is due to a rapid reset of the icon produced by an informational mis-match.

  11. A nanohybrid system for taste masking of sildenafil

    Directory of Open Access Journals (Sweden)

    Lee JH

    2012-03-01

    Full Text Available Ji-Hee Lee1,*, Goeun Choi1,*, Yeon-Ji Oh1, Je Won Park1, Young Bin Choy3, Mung Chul Park1, Yeo Joon Yoon1, Hwa Jeong Lee2, Hee Chul Chang4, Jin-Ho Choy1 1Center for Intelligent Nano-Bio Materials (CINBM, Department of Bioinspired Science and Department of Chemistry and Nano Science, 2Division of Life and Pharmaceutical Sciences and College of Pharmacy, Ewha Womans University, Seoul, Korea; 3Department of Biomedical Engineering, College of Medicine and Institute of Medical and Biological Engineering, Medical Research Center, Seoul National University, Seoul, Korea; 4Global Strategy Center and Pharmaceutical Research Institute, Daewoong Pharmaceutical Co., Ltd., Seoul, Korea*These authors contributed equally to this workAbstract: A nanohybrid was prepared with an inorganic clay material, montmorillonite (MMT, for taste masking of sildenafil (SDN. To further improve the taste-masking efficiency and enhance the drug-release rate, we coated the nanohybrid of SDN–MMT with a basic polymer, polyvinylacetal diethylaminoacetate (AEA. Powder X-ray diffraction and Fourier transform infrared experiments showed that SDN was successfully intercalated into the interlayer space of MMT. The AEA-coated SDN–MMT nanohybrid showed drug release was much suppressed at neutral pH (release rate, 4.70 ± 0.53%, suggesting a potential for drug taste masking at the buccal cavity. We also performed in vitro drug release experiments in a simulated gastric fluid (pH = 1.2 and compared the drug-release profiles of AEA-coated SDN–MMT and Viagra®, an approved dosage form of SDN. As a result, about 90% of SDN was released from the AEA-coated SDN–MMT during the first 2 hours while almost 100% of drug was released from Viagra®. However, an in vivo experiment showed that the AEA-coated SDN–MMT exhibited higher drug exposure than Viagra®. For the AEA-coated SDN–MMT, the area under the plasma concentration–time curve from 0 hours to infinity (AUC0-∞ and maximum

  12. Sintering mantle mineral aggregates with submicron grains: examples of olivine and clinopyroxene

    Science.gov (United States)

    Tsubokawa, Y.; Ishikawa, M.

    2017-12-01

    Physical property of the major mantle minerals play an important role in the dynamic behavior of the Earth's mantle. Recently, it has been found that nano- to sub-micron scale frictional processes might control faulting processes and earthquake instability, and ultrafine-grained mineral aggregates thus have attracted the growing interest. Here we investigated a method for preparing polycrystalline clinoyproxene and polycrystalline olivine with grain size of sub-micron scale from natural crystals, two main constituents of the upper mantle. Nano-sized powders of both minerals are sintered under argon flow at temperatures ranging from 1130-1350 °C for 0.5-20 h. After sintering at 1180 °C and 1300 °C, we successfully fabricated polycrystalline clinopyroxene and polycrystalline olivine with grain size of physical properties of Earth's mantle.

  13. High quality mask storage in an advanced Logic-Fab

    Science.gov (United States)

    Jähnert, Carmen; Fritsche, Silvio

    2012-02-01

    High efficient mask logistics as well as safe and high quality mask storage are essential requirements within an advanced lithography area of a modern logic waferfab. Fast operational availability of the required masks at the exposure tool with excellent mask condition requires a safe mask handling, safeguarding of high mask quality over the whole mask usage time without any quality degradation and an intelligent mask logistics. One big challenge is the prevention of haze on high advanced phase shift masks used in a high volume production line for some thousands of 248nm or 193nm exposures. In 2008 Infineon Dresden qualified a customer specific developed semi-bare mask storage system from DMSDynamic Micro Systems in combination with a high advanced mask handling and an interconnected complex logistic system. This high-capacity mask storage system DMS M1900.22 for more than 3000 masks with fully automated mask and box handling as well as full-blown XCDA purge has been developed and adapted to the Infineon Lithotoollandscape using Nikon and SMIF reticle cases. Advanced features for ESD safety and mask security, mask tracking via RFID and interactions with the exposure tools were developed and implemented. The stocker is remote controlled by the iCADA-RSM system, ordering of the requested mask directly from the affected exposure tool allows fast access. This paper discusses the advantages and challenges for this approach as well as the practical experience gained during the implementation of the new system which improves the fab performance with respect to mask quality, security and throughput. Especially the realization of an extremely low and stable humidity level in addition with a well controlled air flow at each mask surface, preventing masks from haze degradation and particle contamination, turns out to be a notable technical achievement. The longterm stability of haze critical masks has been improved significantly. Relevant environmental parameters like

  14. Nanostructured Materials for Magnetoelectronics

    CERN Document Server

    Mikailzade, Faik

    2013-01-01

    This book provides an up-to-date review of nanometer-scale magnetism and focuses on the investigation of the basic properties of magnetic nanostructures. It describes a wide range of physical aspects together with theoretical and experimental methods. A broad overview of the latest developments in this emerging and fascinating field of nanostructured materials is given with emphasis on the practical understanding and operation of submicron devices based on nanostructured magnetic materials.

  15. Objective measures of binaural masking level differences and comodulation masking release based on late auditory evoked potentials

    DEFF Research Database (Denmark)

    Epp, Bastian; Yasin, Ifat; Verhey, Jesko L.

    2013-01-01

    at a fixed physical intensity is varied by introducing auditory cues of (i) interaural target signal phase disparity and (ii) coherent masker level fluctuations in different frequency regions. In agreement with previous studies, psychoacoustical experiments showed that both stimulus manipulations result......The audibility of important sounds is often hampered due to the presence of other masking sounds. The present study investigates if a correlate of the audibility of a tone masked by noise is found in late auditory evoked potentials measured from human listeners. The audibility of the target sound...... in a masking release (i: binaural masking level difference; ii: comodulation masking release) compared to a condition where those cues are not present. Late auditory evoked potentials (N1, P2) were recorded for the stimuli at a constant masker level, but different signal levels within the same set of listeners...

  16. Self-masking: Listening during vocalization. Normal hearing.

    Science.gov (United States)

    Borg, Erik; Bergkvist, Christina; Gustafsson, Dan

    2009-06-01

    What underlying mechanisms are involved in the ability to talk and listen simultaneously and what role does self-masking play under conditions of hearing impairment? The purpose of the present series of studies is to describe a technique for assessment of masked thresholds during vocalization, to describe normative data for males and females, and to focus on hearing impairment. The masking effect of vocalized [a:] on narrow-band noise pulses (250-8000 Hz) was studied using the maximum vocalization method. An amplitude-modulated series of sound pulses, which sounded like a steam engine, was masked until the criterion of halving the perceived pulse rate was reached. For masking of continuous reading, a just-follow-conversation criterion was applied. Intra-session test-retest reproducibility and inter-session variability were calculated. The results showed that female voices were more efficient in masking high frequency noise bursts than male voices and more efficient in masking both a male and a female test reading. The male had to vocalize 4 dBA louder than the female to produce the same masking effect on the test reading. It is concluded that the method is relatively simple to apply and has small intra-session and fair inter-session variability. Interesting gender differences were observed.

  17. New data on masking reagents in complexometry

    International Nuclear Information System (INIS)

    Yurist, I.M.; Talmud, M.M.; Zajtsev, P.M.

    1985-01-01

    Recent literature data on employing inorganic and organic oxygen-, nitrogen- and sulfur-containing substances as masking reagents (MR) in complexonometry of alkali earths, rare earths and transition elements are reviewed for the period of 1971-1983. Effectiveness of any type of MR is shown to be dependent on the electron configuration of a cation being masked. Sr, La, Th, V(6), Zr, Hf, V(5), Nb(5), Ta(5), Mo(6), W(6) a.o. are masked by oxygen-containing ligands. Zn, Cd, Fe(2, 3), Co(2, 3), Ni, etc. are masked by nitrogen- and sulfur-bearing ligands. Thiocompounds mask mainly In, Tl(3), Sn(2), Pb, Bi

  18. EUV mask defect inspection and defect review strategies for EUV pilot line and high volume manufacturing

    Science.gov (United States)

    Chan, Y. David; Rastegar, Abbas; Yun, Henry; Putna, E. Steve; Wurm, Stefan

    2010-04-01

    Reducing mask blank and patterned mask defects is the number one challenge for extreme ultraviolet lithography. If the industry succeeds in reducing mask blank defects at the required rate of 10X every year for the next 2-3 years to meet high volume manufacturing defect requirements, new inspection and review tool capabilities will soon be needed to support this goal. This paper outlines the defect inspection and review tool technical requirements and suggests development plans to achieve pilot line readiness in 2011/12 and high volume manufacturing readiness in 2013. The technical specifications, tooling scenarios, and development plans were produced by a SEMATECH-led technical working group with broad industry participation from material suppliers, tool suppliers, mask houses, integrated device manufacturers, and consortia. The paper summarizes this technical working group's assessment of existing blank and mask inspection/review infrastructure capabilities to support pilot line introduction and outlines infrastructure development requirements and tooling strategies to support high volume manufacturing.

  19. Identification performance of homeland security pocket and fixed devices for masking scenarios

    International Nuclear Information System (INIS)

    Schulcz, Francis; Gunnink, Ray; Giribaldi, Vincent; Ellenboegen, Michal

    2008-01-01

    Full text: The paper presents the principle and results of recent tests of the spectrometric pocket radiation detector PDS100GN/ID and of the spectrometric portal SPIR-Ident. We have first considered the masking scenarios listed in the relevant standards of illicit trafficking. Then we considered a larger choice of realistic masking scenarios in particular, popular medical isotopes mixed with special nuclear material. We estimated through direct measurements or by simulation based on recorded or synthesized spectra the limit of imbalance that can be detected. The consequences about the use and settings of the detection and identification devices are discussed. (author)

  20. Size-selective separation of submicron particles in suspensions with ultrasonic atomization.

    Science.gov (United States)

    Nii, Susumu; Oka, Naoyoshi

    2014-11-01

    Aqueous suspensions containing silica or polystyrene latex were ultrasonically atomized for separating particles of a specific size. With the help of a fog involving fine liquid droplets with a narrow size distribution, submicron particles in a limited size-range were successfully separated from suspensions. Performance of the separation was characterized by analyzing the size and the concentration of collected particles with a high resolution method. Irradiation of 2.4MHz ultrasound to sample suspensions allowed the separation of particles of specific size from 90 to 320nm without regarding the type of material. Addition of a small amount of nonionic surfactant, PONPE20 to SiO2 suspensions enhanced the collection of finer particles, and achieved a remarkable increase in the number of collected particles. Degassing of the sample suspension resulted in eliminating the separation performance. Dissolved air in suspensions plays an important role in this separation. Copyright © 2014 Elsevier B.V. All rights reserved.

  1. A Study of Submicron Grain Boundary Precipitates in Ultralow Carbon 316LN Steels

    Science.gov (United States)

    Downey, S.; Han, K.; Kalu, P. N.; Yang, K.; Du, Z. M.

    2010-04-01

    This article reports our efforts in characterization of an ultralow carbon 316LN-type stainless steel. The carbon content in the material is one-third that in a conventional 316LN, which further inhibits the formation of grain boundary carbides and therefore sensitizations. Our primary effort is focused on characterization of submicron size precipitates in the materials with the electron backscatter diffraction (EBSD) technique complemented by Auger electron spectroscopy (AES). Thermodynamic calculations suggested that several precipitates, such as M23C6, Chi, Sigma, and Cr2N, can form in a low carbon 316LN. In the steels heat treated at 973 K (700 °C) for 100 hours, a combination of EBSD and AES conclusively identified the grain boundary precipitates (≥100 nm) as Cr2N, which has a hexagonal closed-packed crystallographic structure. Increases of the nitrogen content promote formation of large size Cr2N precipitates. Therefore, prolonged heat treatment at relatively high temperatures of ultralow carbon 316LN steels may result in a sensitization.

  2. Reflective masks for extreme ultraviolet lithography

    Energy Technology Data Exchange (ETDEWEB)

    Nguyen, Khanh Bao [Univ. of California, Berkeley, CA (United States)

    1994-05-01

    Extreme ultraviolet lithographic masks are made by patterning multilayer reflective coatings with high normal incidence reflectivity. Masks can be patterned by depositing a patterned absorber layer above the coating or by etching the pattern directly into the coating itself. Electromagnetic simulations showed that absorber-overlayer masks have superior imaging characteristics over etched masks (less sensitive to incident angles and pattern profiles). In an EUVL absorber overlayer mask, defects can occur in the mask substrate, reflective coating, and absorber pattern. Electromagnetic simulations showed that substrate defects cause the most severe image degradation. A printability study of substrate defects for absorber overlayer masks showed that printability of 25 nm high substrate defects are comparable to defects in optical lithography. Simulations also indicated that the manner in which the defects are covered by multilayer reflective coatings can affect printability. Coverage profiles that result in large lateral spreading of defect geometries amplify the printability of the defects by increasing their effective sizes. Coverage profiles of Mo/Si coatings deposited above defects were studied by atomic force microscopy and TEM. Results showed that lateral spread of defect geometry is proportional to height. Undercut at defect also increases the lateral spread. Reductions in defect heights were observed for 0.15 μm wide defect lines. A long-term study of Mo/Si coating reflectivity revealed that Mo/Si coatings with Mo as the top layer suffer significant reductions in reflectivity over time due to oxidation.

  3. Kinetics of Sub-Micron Grain Size Refinement in 9310 Steel

    Science.gov (United States)

    Kozmel, Thomas; Chen, Edward Y.; Chen, Charlie C.; Tin, Sammy

    2014-05-01

    Recent efforts have focused on the development of novel manufacturing processes capable of producing microstructures dominated by sub-micron grains. For structural applications, grain refinement has been shown to enhance mechanical properties such as strength, fatigue resistance, and fracture toughness. Through control of the thermo-mechanical processing parameters, dynamic recrystallization mechanisms were used to produce microstructures consisting of sub-micron grains in 9310 steel. Starting with initial bainitic grain sizes of 40 to 50 μm, various levels of grain refinement were observed following hot deformation of 9310 steel samples at temperatures and strain rates ranging from 755 K to 922 K (482 °C and 649 °C) and 1 to 0.001/s, respectively. The resulting deformation microstructures were characterized using scanning electron microscopy and electron backscatter diffraction techniques to quantify the extent of carbide coarsening and grain refinement occurring during deformation. Microstructural models based on the Zener-Holloman parameter were developed and modified to include the effect of the ferrite/carbide interactions within the system. These models were shown to effectively correlate microstructural attributes to the thermal mechanical processing parameters.

  4. Comparison of the OxyMask and Venturi Mask in the Delivery of Supplemental Oxygen: Pilot Study in Oxygen-Dependent Patients

    Directory of Open Access Journals (Sweden)

    Jaime M Beecroft

    2006-01-01

    Full Text Available BACKGROUND: The OxyMask (Southmedic Inc, Canada is a new face mask for oxygen delivery that uses a small ‘diffuser’ to concentrate and direct oxygen toward the mouth and nose. The authors hypothesized that this unique design would enable the OxyMask to deliver oxygen more efficiently than a Venturi mask (Hudson RCI, USA in patients with chronic hypoxemia.

  5. Mitigating mask roughness via pupil filtering

    Science.gov (United States)

    Baylav, B.; Maloney, C.; Levinson, Z.; Bekaert, J.; Vaglio Pret, A.; Smith, B.

    2014-03-01

    The roughness present on the sidewalls of lithographically defined patterns imposes a very important challenge for advanced technology nodes. It can originate from the aerial image or the photoresist chemistry/processing [1]. The latter remains to be the dominant group in ArF and KrF lithography; however, the roughness originating from the mask transferred to the aerial image is gaining more attention [2-9], especially for the imaging conditions with large mask error enhancement factor (MEEF) values. The mask roughness contribution is usually in the low frequency range, which is particularly detrimental to the device performance by causing variations in electrical device parameters on the same chip [10-12]. This paper explains characteristic differences between pupil plane filtering in amplitude and in phase for the purpose of mitigating mask roughness transfer under interference-like lithography imaging conditions, where onedirectional periodic features are to be printed by partially coherent sources. A white noise edge roughness was used to perturbate the mask features for validating the mitigation.

  6. Selective masking and demasking for the stepwise complexometric determination of aluminium, lead and zinc from the same solution

    Directory of Open Access Journals (Sweden)

    Singh Nahar

    2008-03-01

    Full Text Available Abstract Background A complexometric method based on selective masking and de-masking has been developed for the rapid determination of aluminium, lead and zinc from the same solution in glass and glass frit samples. The determination is carried out using potassium cyanide to mask zinc, and excess disodium salt of EDTA to mask lead and aluminium. The excess EDTA was titrated with standard Mn(IISO4 solution using Erichrome Black-T as the indicator. Subsequently selective de-masking agents – triethanolamine, 2,3-dimercaptopropanol and a formaldehyde/acetone mixture – were used to determine quantities of aluminium, lead and zinc in a stepwise and selective manner. Results The accuracy of the method was established by analysing glass certified reference material NBS 1412. The standard deviation of the measurements, calculated by analysing five replicates of each sample, was found to be less than 1.5% for the method proposed. Conclusion The novelty of the method lies in its simplicity and accuracy afforded by there not being a need for a prior separation or instrumentation. The proposed method was found to be highly selective for the precise determination of aluminum, zinc and lead in the routine analysis of glass batch and allied materials.

  7. Ipsilateral masking between acoustic and electric stimulations.

    Science.gov (United States)

    Lin, Payton; Turner, Christopher W; Gantz, Bruce J; Djalilian, Hamid R; Zeng, Fan-Gang

    2011-08-01

    Residual acoustic hearing can be preserved in the same ear following cochlear implantation with minimally traumatic surgical techniques and short-electrode arrays. The combined electric-acoustic stimulation significantly improves cochlear implant performance, particularly speech recognition in noise. The present study measures simultaneous masking by electric pulses on acoustic pure tones, or vice versa, to investigate electric-acoustic interactions and their underlying psychophysical mechanisms. Six subjects, with acoustic hearing preserved at low frequencies in their implanted ear, participated in the study. One subject had a fully inserted 24 mm Nucleus Freedom array and five subjects had Iowa/Nucleus hybrid implants that were only 10 mm in length. Electric masking data of the long-electrode subject showed that stimulation from the most apical electrodes produced threshold elevations over 10 dB for 500, 625, and 750 Hz probe tones, but no elevation for 125 and 250 Hz tones. On the contrary, electric stimulation did not produce any electric masking in the short-electrode subjects. In the acoustic masking experiment, 125-750 Hz pure tones were used to acoustically mask electric stimulation. The acoustic masking results showed that, independent of pure tone frequency, both long- and short-electrode subjects showed threshold elevations at apical and basal electrodes. The present results can be interpreted in terms of underlying physiological mechanisms related to either place-dependent peripheral masking or place-independent central masking.

  8. The imaging performance of flash memory masks characterized with AIMS

    Science.gov (United States)

    van Setten, Eelco; Wismans, Onno; Grim, Kees; Finders, Jo; Dusa, Mircea; Birkner, Robert; Richter, Rigo; Scherübl, Thomas

    2009-04-01

    Flash memory is an important driver of the lithography roadmap, with its dramatic acceleration in dimensional shrink, pushing for ever smaller feature sizes. The introduction of hyper-NA immersion lithography has brought the 45nm node and below within reach for memory makers using single exposure. At these feature sizes mask topology and the material properties of the film stack on the mask play an important role on imaging performance. Furthermore, the break up of the array pitch regularity in the NAND-type flash memory cell by two thick wordlines and a central space, leads to feature-center placement (overlay) errors, that are inherent to the design. An integral optimization approach is needed to mitigate these effects and to control both the CD and placement errors tightly. In this paper we will show that aerial image measurements at mask-level are useful for characterizing the gate layer of a NAND-Flash design before exposure. The aerial image measurements are performed with the AIMSTM 45-193i. and compared to CD measurements on the wafer obtained with an XT:1900Gi hyper-NA immersion system. An excellent correlation is demonstrated for feature-center placement errors and CD variations across the mask (see Figure 1) for several features in the gate layer down to 40nm half pitch. This shows the potential to use aerial image measurements at mask-level in combination with correction techniques on the photomask, like the CDC200 tool in combination with exposure tool correction techniques, such as DoseMapperTM, to improve both across field and across wafer CD uniformity of critical layers.

  9. Contralateral tactile masking between forearms.

    Science.gov (United States)

    D'Amour, Sarah; Harris, Laurence R

    2014-03-01

    Masking effects have been demonstrated in which tactile sensitivity is affected when one touch is close to another on the body surface. Such effects are likely a result of local lateral inhibitory circuits that sharpen the spatial tuning of a given tactile receptor. Mutually inhibitory pathways have also been demonstrated between cortical tactile maps of the two halves of the body. Occasional reports have indicated that touches on one hand or forearm can affect tactile sensitivity at contralateral locations. Here, we measure the spatial tuning and effect of posture on this contralateral masking effect. Tactile sensitivity was measured on one forearm, while vibrotactile masking stimulation was applied to the opposite arm. Results were compared to sensitivity while vibrotactile stimulation was applied to a control site on the right shoulder. Sensitivity on the forearm was reduced by over 3 dB when the arms were touching and by 0.52 dB when they were held parallel. The masking effect depended on the position of the masking stimulus. Its effectiveness fell off by 1 STD when the stimulus was 29 % of arm length from the corresponding contralateral point. This long-range inhibitory effect in the tactile system suggests a surprisingly intimate relationship between the two sides of the body.

  10. Noninvasive CPAP with face mask: comparison among new air-entrainment masks and the Boussignac valve.

    Science.gov (United States)

    Mistraletti, Giovanni; Giacomini, Matteo; Sabbatini, Giovanni; Pinciroli, Riccardo; Mantovani, Elena S; Umbrello, Michele; Palmisano, Debora; Formenti, Paolo; Destrebecq, Anne L L; Iapichino, Gaetano

    2013-02-01

    The performances of 2 noninvasive CPAP systems (high flow and low flow air-entrainment masks) were compared to the Boussignac valve in 3 different scenarios. Scenario 1: pneumatic lung simulator with a tachypnea pattern (tidal volume 800 mL at 40 breaths/min). Scenario 2: Ten healthy subjects studied during tidal breaths and tachypnea. Scenario 3: Twenty ICU subjects enrolled for a noninvasive CPAP session. Differences between set and effective CPAP level and F(IO(2)), as well as the lowest airway pressure and the pressure swing around the imposed CPAP level, were analyzed. The lowest airway pressure and swing were correlated to the pressure-time product (area of the airway pressure curve below the CPAP level) measured with the simulator. P(aO(2)) was a subject's further performance index. Lung simulator: Boussignac F(IO(2)) was 0.54, even if supplied with pure oxygen. The air-entrainment masks had higher swing than the Boussignac (P = .007). Pressure-time product correlated better with pressure swing (Spearman correlation coefficient [ρ] = 0.97) than with lowest airway pressure (ρ = 0.92). In healthy subjects, the high-flow air-entrainment mask showed lower difference between set and effective F(IO(2)) (P mask had lower swing than the Boussignac valve (P = .03) with similar P(aO(2)) increase. High-flow air-entrainment mask showed the best performance in human subjects. During high flow demand, the Boussignac valve delivered lower than expected F(IO(2)) and showed higher dynamic hyper-pressurization than the air-entrainment masks. © 2013 Daedalus Enterprises.

  11. Submicron, soft x-ray fluorescence imaging

    International Nuclear Information System (INIS)

    La Fontaine, B.; MacDowell, A.A.; Tan, Z.; White, D.L.; Taylor, G.N.; Wood, O.R. II; Bjorkholm, J.E.; Tennant, D.M.; Hulbert, S.L.

    1995-01-01

    Submicron fluorescence imaging of soft x-ray aerial images, using a high resolution fluorescent crystal is reported. Features as small as 0.1 μm were observed using a commercially available single-crystal phosphor, STI-F10G (Star Tech Instruments Inc. P. O. Box 2536, Danbury, CT 06813-2536), excited with 139 A light. Its quantum efficiency was estimated to be 5--10 times that of sodium salicylate and to be constant over a broad spectral range from 30 to 400 A. A comparison with a terbium-activated yttrium orthosilicate fluorescent crystal is also presented. Several applications, such as the characterization of the aerial images produced by deep ultraviolet or extreme ultraviolet lithographic exposure tools, are envisaged

  12. Lift-off process for deep-submicron-size junctions using supercritical CO2

    International Nuclear Information System (INIS)

    Fukushima, A.; Kubota, H.; Yuasa, S.; Takahachi, T.; Kadoriku, S.; Miyake, K.

    2007-01-01

    Deep-submicron-size (∼100-nm-size) junctions are a key element to investigate spin-torque transfer phenomena such as current induced magnetization reversal or the spin-torque diode effect. In the fabrication of submicron-size junctions using an etching method, the lift-off process after the etching process tends to be difficult as the size of junctions shrinks. In this study, we present a new lift-off process using supercritical CO 2 . In this process, the samples were immersed in solvent (mixture of N-Methyl-2-pyrrolidone and isopropanol), and pressurized by CO 2 gas. The CO 2 gas then went into supercritical phase and the solvent was removed by a continuous flow of CO 2 . We obtained considerable yield rate (success ratio in lift-off process) of more than 50% for the samples down to 100-nm-size junctions

  13. Vibrotactile masking through the body.

    Science.gov (United States)

    D'Amour, Sarah; Harris, Laurence R

    2014-09-01

    Touches on one hand or forearm can affect tactile sensitivity at contralateral locations on the opposite side of the body. These interactions suggest an intimate connection between the two sides of the body. Here, we explore the effect of masking not across the body but through the body by measuring the effect of a masking stimulus on the back on the tactile sensitivity of the corresponding point on the front. Tactile sensitivity was measured on each side of the stomach, while vibrotactile masking stimulation was applied to one side of the front and to points on the back including the point directly behind the test point on the front. Results were compared to sensitivity, while vibrotactile stimulation was applied to a control site on the shoulder. A reduction in sensitivity of about .8 dB was found that required the masking stimulus to be within about 2 cm of the corresponding point on the back.

  14. Lung deposition of sub-micron aerosols calculated as a function of age and breathing rate

    International Nuclear Information System (INIS)

    James, A.C.

    1978-01-01

    Experimental measurements of lung deposition and especially of regional deposition, of aerosols in the sub-micron size range have been so few that it is worthwhile establishing a method of calculation. A computer routine has therefore been developed to calculate aerosol deposition in successive bronchial and bronchiolar generations of the Weibel 'A' model of human lung for the sub-micron size range where deposition occurs solely by diffusion. This model can be scaled to represent lungs at various ages and vital capacities. Some calculated results are presented here and compared with measurements of lung deposition made under carefully controlled conditions in humans. (author)

  15. Fabrication of submicron structures in nanoparticle/polymer composite by holographic lithography and reactive ion etching

    Science.gov (United States)

    Zhang, A. Ping; He, Sailing; Kim, Kyoung Tae; Yoon, Yong-Kyu; Burzynski, Ryszard; Samoc, Marek; Prasad, Paras N.

    2008-11-01

    We report on the fabrication of nanoparticle/polymer submicron structures by combining holographic lithography and reactive ion etching. Silica nanoparticles are uniformly dispersed in a (SU8) polymer matrix at a high concentration, and in situ polymerization (cross-linking) is used to form a nanoparticle/polymer composite. Another photosensitive SU8 layer cast upon the nanoparticle/SU8 composite layer is structured through holographic lithography, whose pattern is finally transferred to the nanoparticle/SU8 layer by the reactive ion etching process. Honeycomb structures in a submicron scale are experimentally realized in the nanoparticle/SU8 composite.

  16. 21 CFR 868.5560 - Gas mask head strap.

    Science.gov (United States)

    2010-04-01

    ... 21 Food and Drugs 8 2010-04-01 2010-04-01 false Gas mask head strap. 868.5560 Section 868.5560...) MEDICAL DEVICES ANESTHESIOLOGY DEVICES Therapeutic Devices § 868.5560 Gas mask head strap. (a) Identification. A gas mask head strap is a device used to hold an anesthetic gas mask in position on a patient's...

  17. International Space Station (ISS) Emergency Mask (EM) Development

    Science.gov (United States)

    Toon, Katherine P.; Hahn, Jeffrey; Fowler, Michael; Young, Kevin

    2011-01-01

    The Emergency Mask (EM) is considered a secondary response emergency Personal Protective Equipment (PPE) designed to provide respiratory protection to the International Space Station (ISS) crewmembers in response to a post-fire event or ammonia leak. The EM is planned to be delivered to ISS in 2012 to replace the current air purifying respirator (APR) onboard ISS called the Ammonia Respirator (AR). The EM is a one ]size ]fits ]all model designed to fit any size crewmember, unlike the APR on ISS, and uses either two Fire Cartridges (FCs) or two Commercial Off-the-Shelf (COTS) 3M(Trademark). Ammonia Cartridges (ACs) to provide the crew with a minimum of 8 hours of respiratory protection with appropriate cartridge swap ]out. The EM is designed for a single exposure event, for either post ]fire or ammonia, and is a passive device that cannot help crewmembers who cannot breathe on their own. The EM fs primary and only seal is around the wearer fs neck to prevent a crewmember from inhaling contaminants. During the development of the ISS Emergency Mask, several design challenges were faced that focused around manufacturing a leak free mask. The description of those challenges are broadly discussed but focuses on one key design challenge area: bonding EPDM gasket material to Gore(Registered Trademark) fabric hood.

  18. Submicron hollow spot generation by solid immersion lens and structured illumination

    NARCIS (Netherlands)

    Kim, M.S.; Assafrao, A.C.; Scharf, T.; Wachters, A.J.H.; Pereira, S.F.; Urbach, H.P.; Brun, M.; Olivier, S.; Nicoletti, S.; Herzig, H.P.

    2012-01-01

    We report on the experimental and numerical demonstration of immersed submicron-size hollow focused spots, generated by structuring the polarization state of an incident light beam impinging on a micro-size solid immersion lens (?-SIL) made of SiO2. Such structured focal spots are characterized by a

  19. Investigating neurophysiological correlates of metacontrast masking with magnetoencephalography

    Directory of Open Access Journals (Sweden)

    Jens Schwarzbach

    2006-01-01

    Full Text Available Early components of visual evoked potentials (VEP in EEG seem to be unaffected by target visibility in visual masking studies. Bridgeman's reanalysis of Jeffreys and Musselwhite's (1986 data suggests that a later visual component in the VEP, around 250 ms reflects the perceptual effect of masking. We challenge this view on the ground that temporal interactions between targets and masks unrelated to stimulus visibility could account for Bridgeman's observation of a U-shaped time course in VEP amplitudes for this later component. In an MEG experiment of metacontrast masking with variable stimulus onset asynchrony, we introduce a proper control, a pseudo mask. In contrast to an effective mask, the pseudomask should produce neither behavioral masking nor amplitude modulations of late VEPs. Our results show that effective masks produced a strong U-shaped perceptual effect of target visibility while performance remained virtually perfect when a pseudomask was used. The visual components around 250 ms after target onset did not show a distinction between mask and pseudomask conditions. The results indicate that these visual evoked potentials do not reveal neurophysiological correlates of stimulus visibility but rather reflect dynamic interactions between superimposed potentials elicited by stimuli in close temporal proximity. However, we observed a postperceptual component around 340 ms after target onset, located over temporal-parietal cortex, which shows a clear effect of visibility. Based on P300 ERP literature, this finding could indicate that working memory related processes contribute to metacontrast masking.

  20. Causal mechanisms of masked hypertension: socio-psychological aspects.

    Science.gov (United States)

    Ogedegbe, Gbenga

    2010-04-01

    The contribution of Dr Thomas Pickering's study to the measurement of blood pressure (BP) is the defining aspect of his academic career and achievement - narrowly defined. In this regard, two important areas characterized his study as it relates to masked hypertension. First, he introduced the term, masked hypertension, to replace the rather inappropriate term 'reverse white-coat hypertension' and 'white-coat normotension'; thus drawing attention to the fact that these patients are genuinely hypertensive by ambulatory BP but were missed by normal office BP. More importantly, he rightly maintained that masked hypertension is a true continuum of sustained hypertension rather than an aberrant measurement artifact. Second, is his pivotal study on the important role of psychosocial factors as a potential mechanism for the development of masked hypertension. In this regard, he explained masked hypertension as a conditioned response to anxiety in office settings, and highlighted the role that diagnostic labeling plays in its development. His view of masked hypertension is that of a continuum from prehypertension (based on office BP measurement) to masked hypertension (based on ambulatory BP) and finally to sustained hypertension (based on both office and ambulatory BP). He strongly believes that it is the prehypertensive patients who progress to masked hypertension. Subsequently, patients who are prehypertensive should be screened for masked hypertension and treated. In this manuscript, we summarize his study as it relates to the definition of masked hypertension, the psychosocial characteristics, mechanisms and its clinical relevance.

  1. Ergonomic evaluation of pilot oxygen mask designs

    NARCIS (Netherlands)

    Lee, W.; Yang, Xiaopeng; Jung, Daehan; Park, Seikwon; Kim, Heeeun; You, Heecheon

    2018-01-01

    A revised pilot oxygen mask design was developed for better fit to the Korean Air Force pilots’ faces. The present study compared an existing pilot oxygen mask and a prototype of the revised mask design with 88 Korean Air Force pilots in terms of subjective discomfort, facial contact pressure,

  2. 21 CFR 868.5550 - Anesthetic gas mask.

    Science.gov (United States)

    2010-04-01

    ... 21 Food and Drugs 8 2010-04-01 2010-04-01 false Anesthetic gas mask. 868.5550 Section 868.5550...) MEDICAL DEVICES ANESTHESIOLOGY DEVICES Therapeutic Devices § 868.5550 Anesthetic gas mask. (a) Identification. An anesthetic gas mask is a device, usually made of conductive rubber, that is positioned over a...

  3. Emergency Face-Mask Removal Effectiveness: A Comparison of Traditional and Nontraditional Football Helmet Face-Mask Attachment Systems

    Science.gov (United States)

    Swartz, Erik E.; Belmore, Keith; Decoster, Laura C.; Armstrong, Charles W.

    2010-01-01

    Abstract Context: Football helmet face-mask attachment design changes might affect the effectiveness of face-mask removal. Objective: To compare the efficiency of face-mask removal between newly designed and traditional football helmets. Design: Controlled laboratory study. Setting: Applied biomechanics laboratory. Participants: Twenty-five certified athletic trainers. Intervention(s): The independent variable was face-mask attachment system on 5 levels: (1) Revolution IQ with Quick Release (QR), (2) Revolution IQ with Quick Release hardware altered (QRAlt), (3) traditional (Trad), (4) traditional with hardware altered (TradAlt), and (5) ION 4D (ION). Participants removed face masks using a cordless screwdriver with a back-up cutting tool or only the cutting tool for the ION. Investigators altered face-mask hardware to unexpectedly challenge participants during removal for traditional and Revolution IQ helmets. Participants completed each condition twice in random order and were blinded to hardware alteration. Main Outcome Measure(s): Removal success, removal time, helmet motion, and rating of perceived exertion (RPE). Time and 3-dimensional helmet motion were recorded. If the face mask remained attached at 3 minutes, the trial was categorized as unsuccessful. Participants rated each trial for level of difficulty (RPE). We used repeated-measures analyses of variance (α  =  .05) with follow-up comparisons to test for differences. Results: Removal success was 100% (48 of 48) for QR, Trad, and ION; 97.9% (47 of 48) for TradAlt; and 72.9% (35 of 48) for QRAlt. Differences in time for face-mask removal were detected (F4,20  =  48.87, P  =  .001), with times ranging from 33.96 ± 14.14 seconds for QR to 99.22 ± 20.53 seconds for QRAlt. Differences were found in range of motion during face-mask removal (F4,20  =  16.25, P  =  .001), with range of motion from 10.10° ± 3.07° for QR to 16.91° ± 5.36° for TradAlt. Differences also were detected

  4. Emergency face-mask removal effectiveness: a comparison of traditional and nontraditional football helmet face-mask attachment systems.

    Science.gov (United States)

    Swartz, Erik E; Belmore, Keith; Decoster, Laura C; Armstrong, Charles W

    2010-01-01

    Football helmet face-mask attachment design changes might affect the effectiveness of face-mask removal. To compare the efficiency of face-mask removal between newly designed and traditional football helmets. Controlled laboratory study. Applied biomechanics laboratory. Twenty-five certified athletic trainers. The independent variable was face-mask attachment system on 5 levels: (1) Revolution IQ with Quick Release (QR), (2) Revolution IQ with Quick Release hardware altered (QRAlt), (3) traditional (Trad), (4) traditional with hardware altered (TradAlt), and (5) ION 4D (ION). Participants removed face masks using a cordless screwdriver with a back-up cutting tool or only the cutting tool for the ION. Investigators altered face-mask hardware to unexpectedly challenge participants during removal for traditional and Revolution IQ helmets. Participants completed each condition twice in random order and were blinded to hardware alteration. Removal success, removal time, helmet motion, and rating of perceived exertion (RPE). Time and 3-dimensional helmet motion were recorded. If the face mask remained attached at 3 minutes, the trial was categorized as unsuccessful. Participants rated each trial for level of difficulty (RPE). We used repeated-measures analyses of variance (α  =  .05) with follow-up comparisons to test for differences. Removal success was 100% (48 of 48) for QR, Trad, and ION; 97.9% (47 of 48) for TradAlt; and 72.9% (35 of 48) for QRAlt. Differences in time for face-mask removal were detected (F(4,20)  =  48.87, P  =  .001), with times ranging from 33.96 ± 14.14 seconds for QR to 99.22 ± 20.53 seconds for QRAlt. Differences were found in range of motion during face-mask removal (F(4,20)  =  16.25, P  =  .001), with range of motion from 10.10° ± 3.07° for QR to 16.91° ± 5.36° for TradAlt. Differences also were detected in RPE during face-mask removal (F(4,20)  =  43.20, P  =  .001), with participants reporting average

  5. Mask-induced aberration in EUV lithography

    Science.gov (United States)

    Nakajima, Yumi; Sato, Takashi; Inanami, Ryoichi; Nakasugi, Tetsuro; Higashiki, Tatsuhiko

    2009-04-01

    We estimated aberrations using Zernike sensitivity analysis. We found the difference of the tolerated aberration with line direction for illumination. The tolerated aberration of perpendicular line for illumination is much smaller than that of parallel line. We consider this difference to be attributable to the mask 3D effect. We call it mask-induced aberration. In the case of the perpendicular line for illumination, there was a difference in CD between right line and left line without aberration. In this report, we discuss the possibility of pattern formation in NA 0.25 generation EUV lithography tool. In perpendicular pattern for EUV light, the dominant part of aberration is mask-induced aberration. In EUV lithography, pattern correction based on the mask topography effect will be more important.

  6. Mechanical microencapsulation: The best technique in taste masking for the manufacturing scale - Effect of polymer encapsulation on drug targeting.

    Science.gov (United States)

    Al-Kasmi, Basheer; Alsirawan, Mhd Bashir; Bashimam, Mais; El-Zein, Hind

    2017-08-28

    Drug taste masking is a crucial process for the preparation of pediatric and geriatric formulations as well as fast dissolving tablets. Taste masking techniques aim to prevent drug release in saliva and at the same time to obtain the desired release profile in gastrointestinal tract. Several taste masking methods are reported, however this review has focused on a group of promising methods; complexation, encapsulation, and hot melting. The effects of each method on the physicochemical properties of the drug are described in details. Furthermore, a scoring system was established to evaluate each process using recent published data of selected factors. These include, input, process, and output factors that are related to each taste masking method. Input factors include the attributes of the materials used for taste masking. Process factors include equipment type and process parameters. Finally, output factors, include taste masking quality and yield. As a result, Mechanical microencapsulation obtained the highest score (5/8) along with complexation with cyclodextrin suggesting that these methods are the most preferable for drug taste masking. Copyright © 2017 Elsevier B.V. All rights reserved.

  7. The performances of standard and ResMed masks during bag-valve-mask ventilation.

    Science.gov (United States)

    Lee, Hyoung Youn; Jeung, Kyung Woon; Lee, Byung Kook; Lee, Seung Joon; Jung, Yong Hun; Lee, Geo Sung; Min, Yong Il; Heo, Tag

    2013-01-01

    A tight mask seal is frequently difficult to obtain and maintain during single-rescuer bag-valve-mask (BVM) ventilation. The ResMed mask (Bella Vista, NSW, Australia) is a continuous-positive-airway-pressure mask (CM) designed for noninvasive ventilation. In this study, we compared the ventilation performances of a standard mask (SM) and a ResMed CM using a simulation manikin in an out-of-hospital single-rescuer BVM ventilation scenario. Thirty emergency medical technicians (EMTs) performed two 2-minute attempts to ventilate a simulation manikin using BVM ventilation, alternatively, with the SM or the ResMed CM in a randomized order. Ventilation parameters including tidal volume and peak airway pressure were measured using computer analysis software connected to the simulation manikin. Successful volume delivery was defined as delivery of 440-540 mL of tidal volume in accord with present cardiopulmonary resuscitation guidelines. BVM ventilation using the ResMed CM produced higher mean (± standard deviation) tidal volumes (452 ± 50 mL vs. 394 ± 113 mL, p = 0.014) and had a higher proportion of successful volume deliveries (65.3% vs. 26.7%, p < 0.001) than that using the SM. Peak airway pressure was higher in BVM ventilation using the ResMed CM (p = 0.035). Stomach insufflation did not occur during either method. Twenty-nine of the participants (96.7%) preferred BVM ventilation using the ResMed CM. BVM ventilations using ResMed CM resulted in a significantly higher proportion of successful volume deliveries meeting the currently recommended range of tidal volume. Clinical studies are needed to determine the value of the ResMed CM for BVM ventilation.

  8. A nanohybrid system for taste masking of sildenafil

    Science.gov (United States)

    Lee, Ji-Hee; Choi, Goeun; Oh, Yeon-Ji; Park, Je Won; Choy, Young Bin; Park, Mung Chul; Yoon, Yeo Joon; Lee, Hwa Jeong; Chang, Hee Chul; Choy, Jin-Ho

    2012-01-01

    A nanohybrid was prepared with an inorganic clay material, montmorillonite (MMT), for taste masking of sildenafil (SDN). To further improve the taste-masking efficiency and enhance the drug-release rate, we coated the nanohybrid of SDN–MMT with a basic polymer, polyvinylacetal diethylaminoacetate (AEA). Powder X-ray diffraction and Fourier transform infrared experiments showed that SDN was successfully intercalated into the interlayer space of MMT. The AEA-coated SDN–MMT nanohybrid showed drug release was much suppressed at neutral pH (release rate, 4.70 ± 0.53%), suggesting a potential for drug taste masking at the buccal cavity. We also performed in vitro drug release experiments in a simulated gastric fluid (pH = 1.2) and compared the drug-release profiles of AEA-coated SDN–MMT and Viagra®, an approved dosage form of SDN. As a result, about 90% of SDN was released from the AEA-coated SDN–MMT during the first 2 hours while almost 100% of drug was released from Viagra®. However, an in vivo experiment showed that the AEA-coated SDN–MMT exhibited higher drug exposure than Viagra®. For the AEA-coated SDN–MMT, the area under the plasma concentration– time curve from 0 hours to infinity (AUC0-∞) and maximum concentration (Cmax) were 78.8 ± 2.32 μg · hour/mL and 12.4 ± 0.673 μg/mL, respectively, both of which were larger than those obtained with Viagra® (AUC0-∞ = 69.2 ± 3.19 μg · hour/mL; Cmax = 10.5 ± 0.641 μg/mL). Therefore, we concluded that the MMT-based nanohybrid is a promising delivery system for taste masking of SDN with possibly improved drug exposure. PMID:22619517

  9. Scatterometry on pelliclized masks: an option for wafer fabs

    Science.gov (United States)

    Gallagher, Emily; Benson, Craig; Higuchi, Masaru; Okumoto, Yasuhiro; Kwon, Michael; Yedur, Sanjay; Li, Shifang; Lee, Sangbong; Tabet, Milad

    2007-03-01

    Optical scatterometry-based metrology is now widely used in wafer fabs for lithography, etch, and CMP applications. This acceptance of a new metrology method occurred despite the abundance of wellestablished CD-SEM and AFM methods. It was driven by the desire to make measurements faster and with a lower cost of ownership. Over the last year, scatterometry has also been introduced in advanced mask shops for mask measurements. Binary and phase shift masks have been successfully measured at all desired points during photomask production before the pellicle is mounted. There is a significant benefit to measuring masks with the pellicle in place. From the wafer fab's perspective, through-pellicle metrology would verify mask effects on the same features that are characterized on wafer. On-site mask verification would enable quality control and trouble-shooting without returning the mask to a mask house. Another potential application is monitoring changes to mask films once the mask has been delivered to the fab (haze, oxide growth, etc.). Similar opportunities apply to the mask metrologist receiving line returns from a wafer fab. The ability to make line-return measurements without risking defect introduction is clearly attractive. This paper will evaluate the feasibility of collecting scatterometry data on pelliclized masks. We explore the effects of several different pellicle types on scatterometry measurements made with broadband light in the range of 320-780 nm. The complexity introduced by the pellicles' optical behavior will be studied.

  10. Phase mask coronagraphy at JPL and Palomar

    Directory of Open Access Journals (Sweden)

    Serabyn E.

    2011-07-01

    Full Text Available For the imaging of faint companions, phase mask coronagraphy has the dual advantages of a small inner working angle and high throughput. This paper summarizes our recent work in developing phase masks and in demonstrating their capabilities at JPL. Four-quadrant phase masks have been manufactured at JPL by means of both evaporation and etching, and we have been developing liquid crystal vortex phase masks in partnership with a commercial vendor. Both types of mask have been used with our extreme adaptive optics well-corrected subaperture at Palomar to detect known brown dwarf companions as close as ~ 2.5 λ/D to stars. Moreover, our recent vortex masks perform very well in laboratory tests, with a demonstrated infrared contrast of about 10−6 at 3 λ/D, and contrasts of a few 10−7 with an initial optical wavelength device. The demonstrated performance already meets the needs of ground-based extreme adaptive optics coronagraphy, and further planned improvements are aimed at reaching the 10−10 contrast needed for terrestrial exoplanet detection with a space-based coronagraph.

  11. Actinic inspection of multilayer defects on EUV masks

    International Nuclear Information System (INIS)

    Barty, A; Liu, Y; Gullikson, E; Taylor, J S; Wood, O

    2005-01-01

    The production of defect-free mask blanks, and the development of techniques for inspecting and qualifying EUV mask blanks, remains a key challenge for EUV lithography. In order to ensure a reliable supply of defect-free mask blanks, it is necessary to develop techniques to reliably and accurately detect defects on un-patterned mask blanks. These inspection tools must be able to accurately detect all critical defects whilst simultaneously having the minimum possible false-positive detection rate. There continues to be improvement in high-speed non-actinic mask blank inspection tools, and it is anticipated that these tools can and will be used by industry to qualify EUV mask blanks. However, the outstanding question remains one of validating that non-actinic inspection techniques are capable of detecting all printable EUV defects. To qualify the performance of non-actinic inspection tools, a unique dual-mode EUV mask inspection system has been installed at the Advanced Light Source (ALS) synchrotron at Lawrence Berkeley National Laboratory. In high-speed inspection mode, whole mask blanks are scanned for defects using 13.5-nm wavelength light to identify and map all locations on the mask that scatter a significant amount of EUV light. In imaging, or defect review mode, a zone plate is placed in the reflected beam path to image a region of interest onto a CCD detector with an effective resolution on the mask of 100-nm or better. Combining the capabilities of the two inspection tools into one system provides the unique capability to determine the coordinates of native defects that can be used to compare actinic defect inspection with visible light defect inspection tools under commercial development, and to provide data for comparing scattering models for EUV mask defects

  12. High-Q submicron-diameter quantum-dot microcavity pillars for cavity QED experiments

    DEFF Research Database (Denmark)

    Gregersen, Niels; Lermer, Matthias; Dunzer, Florian

    As/AlAs micropillar design where Bloch-wave engineering is employed to significally enhance the cavity mode confinement in the submicron diameter regime. We demonstrate a record-high vacuum Rabi splitting of 85 µeV of the strong coupling for pillars incorporating quantum dots with modest oscillator strength f ≈ 10....... It is well-known that light-matter interaction depends on the photonic environment, and thus proper engineering of the optical mode in microcavity systems is central to obtaining the desired functionality. In the strong coupling regime, the visibility of the Rabi splitting is described by the light...... coupling in micropillars relied on quantum dots with high oscillator strengths f > 50, our advanced design allows for the observation of strong coupling for submicron diameter quantum dot-pillars with standard f ≈ 10 oscillator strength. A quality factor of 13600 and a vacuum Rabi splitting of 85 µe...

  13. Attentional capture by masked colour singletons.

    Science.gov (United States)

    Ansorge, Ulrich; Horstmann, Gernot; Worschech, Franziska

    2010-09-15

    We tested under which conditions a colour singleton of which an observer is unaware captures attention. To prevent visual awareness of the colour singleton, we used backward masking. We find that a masked colour singleton cue captures attention if it matches the observer's goal to search for target colours but not if it is task-irrelevant. This is also reflected in event-related potentials to the visible target: the masked goal-matching cue elicits an attentional potential (N2pc) in a target search task. By contrast, a non-matching but equally strong masked colour singleton cue failed to elicit a capture effect and an N2pc. Results are discussed with regard to currently pertaining conceptions of attentional capture by colour singletons. Copyright 2010 Elsevier Ltd. All rights reserved.

  14. Properties of submicron particles in Atmospheric Brown Clouds

    Science.gov (United States)

    Adushkin, V. V.; Chen, B. B.; Dubovskoi, A. N.; Friedrich, F.; Pernik, L. M.; Popel, S. I.; Weidler, P. G.

    2010-05-01

    The Atmospheric Brown Clouds (ABC) is an important problem of this century. Investigations of last years and satellite data show that the ABC (or brown gas, smog, fog) cover extensive territories including the whole continents and oceans. The brown gas consists of a mixture of particles of anthropogenic sulfates, nitrates, organic origin, black carbon, dust, ashes, and also natural aerosols such as sea salt and mineral dust. The brown color is a result of absorption and scattering of solar radiation by the anthropogenic black carbon, ashes, the particles of salt dust, and nitrogen dioxide. The investigation of the ABC is a fundamental problem for prevention of degradation of the environment. At present in the CIS in-situ investigations of the ABC are carried out on Lidar Station Teplokluchenka (Kyrgyz Republic). Here, we present the results of experimental investigation of submicron (nanoscale) particles originating from the ABC and the properties of the particles. Samples of dust precipitating from the ABC were obtained at the area of Lidar Station Teplokluchenka as well as scientific station of the Russian Academy of Sciences near Bishkek. The data for determination of the grain composition were obtained with the aid of the scanning electron microscopes JEOL 6460 LV and Philips XL 30 FEG. Analysis of the properties of the particles was performed by means of the X-ray diffraction using diffractometer Siemens D5000. The images of the grains were mapped. The investigation allows us to get (after the image processing) the grain composition within the dust particle size range of 60 nm to 700 μm. Distributions of nano- and microscale particles in sizes were constructed using Rozin-Rammler coordinates. Analysis of the distributions shows that the ABC contain submicron (nanoscale) particles; 2) at higher altitudes the concentration of the submicron (nanoscale) particles in the ABC is higher than at lower altitudes. The chemical compositions of the particles are shown to

  15. Objective measures of binaural masking level differences and comodulation masking release based on late auditory evoked potentials.

    Science.gov (United States)

    Epp, Bastian; Yasin, Ifat; Verhey, Jesko L

    2013-12-01

    The audibility of important sounds is often hampered due to the presence of other masking sounds. The present study investigates if a correlate of the audibility of a tone masked by noise is found in late auditory evoked potentials measured from human listeners. The audibility of the target sound at a fixed physical intensity is varied by introducing auditory cues of (i) interaural target signal phase disparity and (ii) coherent masker level fluctuations in different frequency regions. In agreement with previous studies, psychoacoustical experiments showed that both stimulus manipulations result in a masking release (i: binaural masking level difference; ii: comodulation masking release) compared to a condition where those cues are not present. Late auditory evoked potentials (N1, P2) were recorded for the stimuli at a constant masker level, but different signal levels within the same set of listeners who participated in the psychoacoustical experiment. The data indicate differences in N1 and P2 between stimuli with and without interaural phase disparities. However, differences for stimuli with and without coherent masker modulation were only found for P2, i.e., only P2 is sensitive to the increase in audibility, irrespective of the cue that caused the masking release. The amplitude of P2 is consistent with the psychoacoustical finding of an addition of the masking releases when both cues are present. Even though it cannot be concluded where along the auditory pathway the audibility is represented, the P2 component of auditory evoked potentials is a candidate for an objective measure of audibility in the human auditory system. Copyright © 2013 Elsevier B.V. All rights reserved.

  16. Effect of Ibuprofen on masking endodontic diagnosis.

    Science.gov (United States)

    Read, Jason K; McClanahan, Scott B; Khan, Asma A; Lunos, Scott; Bowles, Walter R

    2014-08-01

    An accurate diagnosis is of upmost importance before initiating endodontic treatment; yet, there are occasions when the practitioner cannot reproduce the patient's chief complaint because the patient has become asymptomatic. Ibuprofen taken beforehand may "mask" or eliminate the patient's symptoms. In fact, 64%-83% of patients with dental pain take analgesics before seeing a dentist. The purpose of this study was to examine the possible "masking" effect of ibuprofen on endodontic diagnostic tests. Forty-two patients with endodontic pain underwent testing (cold, percussion, palpation, and bite force measurement) and then received either placebo or 800 mg ibuprofen. Both patients and operators were blinded to the medication received. One hour later, diagnostic testing was repeated and compared with pretreatment testing. Ibuprofen affected testing values for vital teeth by masking palpation 40%, percussion 25%, and cold 25% on affected teeth with symptomatic irreversible pulpitis and symptomatic apical periodontitis. There was no observed masking effect in the placebo group on palpation, percussion, or cold values. When nonvital teeth were included, the masking effect of ibuprofen was decreased. However, little masking occurred with the bite force measurement differences. Analgesics taken before the dental appointment can affect endodontic diagnostic testing results. Bite force measurements can assist in identifying the offending tooth in cases in which analgesics "mask" the endodontic diagnosis. Copyright © 2014 American Association of Endodontists. Published by Elsevier Inc. All rights reserved.

  17. Fabless company mask technology approach: fabless but not fab-careless

    Science.gov (United States)

    Hisamura, Toshiyuki; Wu, Xin

    2009-10-01

    There are two different foundry-fabless working models in the aspect of mask. Some foundries have in-house mask facility while others contract with merchant mask vendors. Significant progress has been made in both kinds of situations. Xilinx as one of the pioneers of fabless semiconductor companies has been continually working very closely with both merchant mask vendors and mask facilities of foundries in past many years, contributed well in both technology development and benefited from corporations. Our involvement in manufacturing is driven by the following three elements: The first element is to understand the new fabrication and mask technologies and then find a suitable design / layout style to better utilize these new technologies and avoid potential risks. Because Xilinx has always been involved in early stage of advanced technology nodes, this early understanding and adoption is especially important. The second element is time to market. Reduction in mask and wafer manufacturing cycle-time can ensure faster time to market. The third element is quality. Commitment to quality is our highest priority for our customers. We have enough visibility on any manufacturing issues affecting the device functionality. Good correlation has consistently been observed between FPGA speed uniformity and the poly mask Critical Dimension (CD) uniformity performance. To achieve FPGA speed uniformity requirement, the manufacturing process as well as the mask and wafer CD uniformity has to be monitored. Xilinx works closely with the wafer foundries and mask suppliers to improve productivity and the yield from initial development stage of mask making operations. As an example, defect density reduction is one of the biggest challenges for mask supplier in development stage to meet the yield target satisfying the mask cost and mask turn-around-time (TAT) requirement. Historically, masks were considered to be defect free but at these advanced process nodes, that assumption no longer

  18. Submicron beam X-ray diffraction of nanoheteroepitaxily grown GaN: Experimental challenges and calibration procedures

    Energy Technology Data Exchange (ETDEWEB)

    Bonanno, P.L., E-mail: PeterBonanno@gatech.ed [Georgia Institute of Technology/GTL, UMI 2958 Georgia Tech-CNRS, 57070 Metz (France); Gautier, S. [Laboratoire Materiaux Optiques, Photonique et Micro-Nano Systemes, UMR CNRS 7132, Universite de Metz et SUPELEC, 2 rue E. Belin, 57070 Metz (France); Sirenko, A.A. [Department of Physics, New Jersey Institute of Technology, Newark, NJ 07102 (United States); Kazimirov, A. [Cornell High Energy Synchrotron Source (CHESS), Cornell University, Ithaca, New York 14853 (United States); Cai, Z.-H. [Advanced Photon Source, 9700 S. Cass Avenue, Argonne, IL 60439 (United States); Goh, W.H. [Georgia Institute of Technology/GTL, UMI 2958 Georgia Tech-CNRS, 57070 Metz (France); Martin, J. [Laboratoire Materiaux Optiques, Photonique et Micro-Nano Systemes, UMR CNRS 7132, Universite de Metz et SUPELEC, 2 rue E. Belin, 57070 Metz (France); Martinez, A. [Laboratoire de Photonique et de Nanostructures, UPR CNRS 20, Route de Nozay, 91460 Marcoussis (France); Moudakir, T. [Laboratoire Materiaux Optiques, Photonique et Micro-Nano Systemes, UMR CNRS 7132, Universite de Metz et SUPELEC, 2 rue E. Belin, 57070 Metz (France); Maloufi, N. [Laboratoire d' Etude des Textures et Application aux Materiaux, UMR CNRS 7078, Ile du Saulcy, 57045 Metz Cedex 1 (France); Assouar, M.B. [Laboratoire de Physique des Milieux Ionises et Applications, Nancy University, CNRS, BP 239, F-54506 Vandoeuvre-les-Nancy Cedex (France); Ramdane, A.; Gratiet, L. Le [Laboratoire de Photonique et de Nanostructures, UPR CNRS 20, Route de Nozay, 91460 Marcoussis (France); Ougazzaden, A. [Georgia Institute of Technology/GTL, UMI 2958 Georgia Tech-CNRS, 57070 Metz (France)

    2010-02-15

    Highly relaxed GaN nanodots and submicron ridges have been selectively grown in the NSAG regime using MOVPE on lattice mismatched 6H-SiC and AlN substrates. 2D real space and 3D reciprocal space mapping was performed with a CCD detector using 10.4 keV synchrotron X-ray radiation at the 2-ID-D micro-diffraction beamline at Advanced Photon Source (APS). Calibration procedures have been developed to overcome the unique challenges of analyzing NSAG structures grown on highly mismatched substrates. We studied crystallographic planar bending on the submicron scale and found its correlation with strain relaxation in the NSAG ridges.

  19. Is tinnitus an early voice of masked hypertension? High masked hypertension rate in patients with tinnitus.

    Science.gov (United States)

    Gun, Taylan; Özkan, Selçuk; Yavuz, Bunyamin

    2018-04-23

    Tinnitus is hearing a sound without any external acoustic stimulus. There are some clues of hypertension can cause tinnitus in different ways. The aim of the study was to evaluate the relationship between tinnitus and masked hypertension including echocardiographic parameters and severity of tinnitus. This study included 88 patients with tinnitus of at least 3 months duration and 85 age and gender-matched control subjects. Tinnitus severity index was used to classify the patients with tinnitus. After a complete medical history, all subjects underwent routine laboratory examination, office blood pressure measurement, hearing tests and ambulatory blood pressure monitoring. Masked hypertension is defined as normal office blood pressure measurement and high ambulatory blood pressure level. Baseline characteristics in patients and controls were similar. Prevalence of masked hypertension was significantly higher in patients with tinnitus than controls (18.2% vs 3.5%, p = 0.002). Office diastolic BP (76 ± 8.1 vs. 72.74 ± 8.68, p = 0.01), ambulatory 24-H diastolic BP (70.2 ± 9.6 vs. 66.9 ± 6.1, p = 0.07) and ambulatory daytime diastolic BP (73.7 ± 9.5 vs. 71.1 ± 6.2, p = 0.03) was significantly higher in patients with tinnitus than control group. Tinnitus severity index in patients without masked hypertension was 0 and tinnitus severity index in patients with masked hypertension were 2 (1-5). This study demonstrated that masked hypertension must be kept in mind if there is a complaint of tinnitus without any other obvious reason.

  20. Alignment and Use of Self-Assembled Peptide Nanotubes as Dry-Etching Mask

    DEFF Research Database (Denmark)

    Andersen, Karsten Brandt; Castillo, Jaime; Bakmand, Tanya

    2012-01-01

    candidate for controlled nanofabrication without organic solvents. The present work demonstrates how this unique structure can be aligned, manipulated and used as both an etching mask in a dry etching procedure and as a lift-off material. As a further demonstration of the potential of this technique...

  1. Individual domain wall resistance in submicron ferromagnetic structures.

    Science.gov (United States)

    Danneau, R; Warin, P; Attané, J P; Petej, I; Beigné, C; Fermon, C; Klein, O; Marty, A; Ott, F; Samson, Y; Viret, M

    2002-04-15

    The resistance generated by individual domain walls is measured in a FePd nanostructure. Combining transport and magnetic imaging measurements, the intrinsic domain wall resistance is quantified. It is found positive and of a magnitude consistent with that predicted by models based on spin scattering effects within the walls. This magnetoresistance at a nanometer scale allows a direct counting of the number of walls inside the nanostructure. The effect is then used to measure changes in the magnetic configuration of submicron stripes under application of a magnetic field.

  2. Incorporation of soft shaped hydrogel sheets into microfluidic systems using a simple adhesion masking process

    Science.gov (United States)

    Young, Dylan C.; Newsome, Isabel G.; Scrimgeour, Jan

    2017-12-01

    We report the use of simple adhesion masking in fabricating shaped, photo-polymerizable hydrogel sheets with very small elastic moduli on glass substrates. Direct ink masking of surface crosslinking groups allows for low cost hydrogel patterning that is compatible with materials where crosslinking is both photo- and chemically initiated. Mechanical removal of the unwanted polymerized material reveals the shaped hydrogel. The mechanical properties of the shaped hydrogels were characterized by exposure to well-defined shear flow inside the microfluidic device. We show that hydrogel sheets with elastic moduli down to 7.5 Pa can be shaped with millimeter feature sizes using this approach. The shaped hydrogels are suitable for applications such as the detection of shear flow, cell culture, and traction force microscopy.

  3. Characterization of in-situ annealed sub-micron thick Cu(In,Ga)Se{sub 2} thin films

    Energy Technology Data Exchange (ETDEWEB)

    Ko, Byoung-Soo; Sung, Shi-Joon; Hwang, Dae-Kue, E-mail: dkhwang@dgist.ac.kr

    2015-09-01

    Sub-micron thick Cu(In,Ga)Se{sub 2} (CIGS) thin films were deposited on Mo-coated soda-lime glass substrates under various conditions by single-stage co-evaporation. Generally, the short circuit current (J{sub sc}) decreased with the decreasing thickness of the absorber layer. However, in this study, J{sub sc} was nearly unchanged with decreasing thickness, while the open circuit voltage (V{sub oc}) and fill factor (FF) decreased by 31.9 and 31.1%, respectively. We believe that the remarkable change of V{sub oc} and FF can be attributed to the difference in the total amount of injected thermal energy. Using scanning electron microscopy, we confirmed that the surface morphology becomes smooth and the grain size increased after the annealing process. In the X-ray diffraction patterns, the CIGS thin film also showed an improved crystal quality. We observed that the electric properties were improved by the in-situ annealing of CIGS thin films. The reverse saturation current density of the annealed CIGS solar cell was 100 times smaller than that of reference solar cell. Thus, sub-micron CIGS thin films annealed under a constant Se rate showed a 64.7% improvement in efficiency. - Highlights: • The effects of in-situ annealing the sub-micron CIGS film have been investigated. • The surface morphology and the grain size were improved by in-situ annealing. • The V{sub oc} and FF of the films were increased by about 30% after in-situ annealing. • In-situ annealing of sub-micron thick CIGS films can be improved an efficiency.

  4. 42 CFR 84.117 - Gas mask containers; minimum requirements.

    Science.gov (United States)

    2010-10-01

    ... 42 Public Health 1 2010-10-01 2010-10-01 false Gas mask containers; minimum requirements. 84.117... SAFETY AND HEALTH RESEARCH AND RELATED ACTIVITIES APPROVAL OF RESPIRATORY PROTECTIVE DEVICES Gas Masks § 84.117 Gas mask containers; minimum requirements. (a) Gas masks shall be equipped with a substantial...

  5. Simulation based mask defect repair verification and disposition

    Science.gov (United States)

    Guo, Eric; Zhao, Shirley; Zhang, Skin; Qian, Sandy; Cheng, Guojie; Vikram, Abhishek; Li, Ling; Chen, Ye; Hsiang, Chingyun; Zhang, Gary; Su, Bo

    2009-10-01

    As the industry moves towards sub-65nm technology nodes, the mask inspection, with increased sensitivity and shrinking critical defect size, catches more and more nuisance and false defects. Increased defect counts pose great challenges in the post inspection defect classification and disposition: which defect is real defect, and among the real defects, which defect should be repaired and how to verify the post-repair defects. In this paper, we address the challenges in mask defect verification and disposition, in particular, in post repair defect verification by an efficient methodology, using SEM mask defect images, and optical inspection mask defects images (only for verification of phase and transmission related defects). We will demonstrate the flow using programmed mask defects in sub-65nm technology node design. In total 20 types of defects were designed including defects found in typical real circuit environments with 30 different sizes designed for each type. The SEM image was taken for each programmed defect after the test mask was made. Selected defects were repaired and SEM images from the test mask were taken again. Wafers were printed with the test mask before and after repair as defect printability references. A software tool SMDD-Simulation based Mask Defect Disposition-has been used in this study. The software is used to extract edges from the mask SEM images and convert them into polygons to save in GDSII format. Then, the converted polygons from the SEM images were filled with the correct tone to form mask patterns and were merged back into the original GDSII design file. This merge is for the purpose of contour simulation-since normally the SEM images cover only small area (~1 μm) and accurate simulation requires including larger area of optical proximity effect. With lithography process model, the resist contour of area of interest (AOI-the area surrounding a mask defect) can be simulated. If such complicated model is not available, a simple

  6. Fabrication of submicron proteinaceous structures by direct laser writing

    Energy Technology Data Exchange (ETDEWEB)

    Serien, Daniela [Center for International Research on Integrative Biomedical Systems, Institute of Industrial Science, The University of Tokyo, 4-6-1 Komaba, Meguro-ku, 153-8505 Tokyo (Japan); Takeuchi, Shoji, E-mail: takeuchi@iis.u-tokyo.ac.jp [Center for International Research on Integrative Biomedical Systems, Institute of Industrial Science, The University of Tokyo, 4-6-1 Komaba, Meguro-ku, 153-8505 Tokyo (Japan); ERATO Takeuchi Biohybrid Innovation Project, Japan Science and Technology Agency, 4-6-1 Komaba, Meguro-ku, 153-8505 Tokyo (Japan)

    2015-07-06

    In this paper, we provide a characterization of truly free-standing proteinaceous structures with submicron feature sizes depending on the fabrication conditions by model-based analysis. Protein cross-linking of bovine serum albumin is performed by direct laser writing and two-photon excitation of flavin adenine dinucleotide. We analyze the obtainable fabrication resolution and required threshold energy for polymerization. The applied polymerization model allows prediction of fabrication conditions and resulting fabrication size, alleviating the application of proteinaceous structure fabrication.

  7. Neonatal mannequin comparison of the Upright self-inflating bag and snap-fit mask versus standard resuscitators and masks: leak, applied load and tidal volumes.

    Science.gov (United States)

    Rafferty, Anthony Richard; Johnson, Lucy; Davis, Peter G; Dawson, Jennifer Anne; Thio, Marta; Owen, Louise S

    2017-11-30

    Neonatal mask ventilation is a difficult skill to acquire and maintain. Mask leak is common and can lead to ineffective ventilation. The aim of this study was to determine whether newly available neonatal self-inflating bags and masks could reduce mask leak without additional load being applied to the face. Forty operators delivered 1 min episodes of mask ventilation to a mannequin using the Laerdal Upright Resuscitator, a standard Laerdal infant resuscitator (Laerdal Medical) and a T-Piece Resuscitator (Neopuff), using both the Laerdal snap-fit face mask and the standard Laerdal size 0/1 face mask (equivalent sizes). Participants were asked to use pressure sufficient to achieve 'appropriate' chest rise. Leak, applied load, airway pressure and tidal volume were measured continuously. Participants were unaware that load was being recorded. There was no difference in mask leak between resuscitation devices. Leak was significantly lower when the snap-fit mask was used with all resuscitation devices, compared with the standard mask (14% vs 37% leak, Pmask was preferred by 83% of participants. The device-mask combinations had no significant effect on applied load. The Laerdal Upright Resuscitator resulted in similar leak to the other resuscitation devices studied, and did not exert additional load to the face and head. The snap-fit mask significantly reduced overall leak with all resuscitation devices and was the mask preferred by participants. © Article author(s) (or their employer(s) unless otherwise stated in the text of the article) 2017. All rights reserved. No commercial use is permitted unless otherwise expressly granted.

  8. Beschrijving van een verdampings-condensatie aerosol generator voor de produktie van submicron aerosol

    NARCIS (Netherlands)

    Feijt; A.*; Meulen; A.van der

    1985-01-01

    Dit rapport is een handleiding voor een bedrijfszeker, routinematig gebruik van een zgn. Evaporation-Condensation aerosol Conditioner. Met deze aerosol generatie apparatuur kunnen op stabiele, reproduceerbare manier zeer hoge concentraties (tot 1 miljoen deeltjes per cc) monodispers submicron

  9. Analysis and test of laws for backward (metacontrast) masking

    NARCIS (Netherlands)

    Francis, G.; Rothmayer, M.; Hermens, F.

    2004-01-01

    In backward visual masking, it is common to find that the mask has its biggest effect when it follows the target by several tens of milliseconds. Research in the 1960s and 1970s suggested that masking effects were best characterized by the stimulus onset asynchrony (SOA) between the target and mask.

  10. Extension of optical lithography by mask-litho integration with computational lithography

    Science.gov (United States)

    Takigawa, T.; Gronlund, K.; Wiley, J.

    2010-05-01

    Wafer lithography process windows can be enlarged by using source mask co-optimization (SMO). Recently, SMO including freeform wafer scanner illumination sources has been developed. Freeform sources are generated by a programmable illumination system using a micro-mirror array or by custom Diffractive Optical Elements (DOE). The combination of freeform sources and complex masks generated by SMO show increased wafer lithography process window and reduced MEEF. Full-chip mask optimization using source optimized by SMO can generate complex masks with small variable feature size sub-resolution assist features (SRAF). These complex masks create challenges for accurate mask pattern writing and low false-defect inspection. The accuracy of the small variable-sized mask SRAF patterns is degraded by short range mask process proximity effects. To address the accuracy needed for these complex masks, we developed a highly accurate mask process correction (MPC) capability. It is also difficult to achieve low false-defect inspections of complex masks with conventional mask defect inspection systems. A printability check system, Mask Lithography Manufacturability Check (M-LMC), is developed and integrated with 199-nm high NA inspection system, NPI. M-LMC successfully identifies printable defects from all of the masses of raw defect images collected during the inspection of a complex mask. Long range mask CD uniformity errors are compensated by scanner dose control. A mask CD uniformity error map obtained by mask metrology system is used as input data to the scanner. Using this method, wafer CD uniformity is improved. As reviewed above, mask-litho integration technology with computational lithography is becoming increasingly important.

  11. Individual differences in metacontrast masking regarding sensitivity and response bias.

    Science.gov (United States)

    Albrecht, Thorsten; Mattler, Uwe

    2012-09-01

    In metacontrast masking target visibility is modulated by the time until a masking stimulus appears. The effect of this temporal delay differs across participants in such a way that individual human observers' performance shows distinguishable types of masking functions which remain largely unchanged for months. Here we examined whether individual differences in masking functions depend on different response criteria in addition to differences in discrimination sensitivity. To this end we reanalyzed previously published data and conducted a new experiment for further data analyses. Our analyses demonstrate that a distinction of masking functions based on the type of masking stimulus is superior to a distinction based on the target-mask congruency. Individually different masking functions are based on individual differences in discrimination sensitivities and in response criteria. Results suggest that individual differences in metacontrast masking result from individually different criterion contents. Copyright © 2012 Elsevier Inc. All rights reserved.

  12. Face mask ventilation--the dos and don'ts.

    Science.gov (United States)

    Wood, Fiona E; Morley, Colin J

    2013-12-01

    Face mask ventilation provides respiratory support to newly born or sick infants. It is a challenging technique and difficult to ensure that an appropriate tidal volume is delivered because large and variable leaks occur between the mask and face; airway obstruction may also occur. Technique is more important than the mask shape although the size must appropriately fit the face. The essence of the technique is to roll the mask on to the face from the chin while avoiding the eyes, with a finger and thumb apply a strong even downward pressure to the top of the mask, away from the stem and sloped sides or skirt of the mask, place the other fingers under the jaw and apply a similar upward pressure. Preterm infants require continuous end-expiratory pressure to facilitate lung aeration and maintain lung volume. This is best done with a T-piece device, not a self-inflating or flow-inflating bag. Copyright © 2013 Elsevier Ltd. All rights reserved.

  13. CNTs in situ attached to α-Fe2O3 submicron spheres for enhancing lithium storage capacity.

    Science.gov (United States)

    Gao, Guo; Zhang, Qiang; Cheng, Xin-Bing; Qiu, Peiyu; Sun, Rongjin; Yin, Ting; Cui, Daxiang

    2015-01-14

    In this work, we developed a facile hydrothermal method for synthesis of hybrid α-Fe2O3-carbon nanotubes (CNTs) architectures (α-Fe2O3-CNTs-1 and α-Fe2O3-CNTs-2). The CNTs are in situ attached to the α-Fe2O3 submicron spheres and form three-dimensional network robust architectures. The increase in the amount of CNTs in the network α-Fe2O3-CNTs architectures will significantly enhance the cycling and rate performance, as the flexible and robust CNTs could ensure the fast electron transport pathways, enhance the electronic conductivity, and improve the structural stability of the electrode. As for pure α-Fe2O3 submicron spheres, the capacity decreased significantly and retained at 377.4 mAh g(-1) after 11 cycles, and the capacity has a slightly increasing trend at the following cycling. In contrast, the network α-Fe2O3-CNTs-2 electrode shows the most remarkable performance. At the 60th cycle, the capacity of network α-Fe2O3-CNTs-2 (764.5 mAh g(-1)) is 1.78 times than that of α-Fe2O3 submicron spheres (428.3 mAh g(-1)). The long-term cycling performance (1000 cycles) of samples at a high current density of 5 C showed that the capacity of α-Fe2O3 submicron spheres fade to ∼37.3 mAh g(-1) at the 400th cycle and gradually increased to ∼116.7 mAh g(-1) at the 1000th cycle. The capacity of network α-Fe2O3-CNTs-2 maintained at ∼220.2 mAh g(-1) before the 400th cycle, arrived at ∼326.5 mAh g(-1) in the 615th, cycle and retained this value until 1000th cycle. The network α-Fe2O3-CNTs-2 composite could significantly enhance the cycling and rate performance than pure α-Fe2O3 submicron spheres composite.

  14. Practical Considerations for Detection and Characterization of Sub-Micron Particles in Protein Solutions by Nanoparticle Tracking Analysis.

    Science.gov (United States)

    Gruia, Flaviu; Parupudi, Arun; Polozova, Alla

    2015-01-01

    Nanoparticle Tracking Analysis (NTA) is an emerging analytical technique developed for detection, sizing, and counting of sub-micron particles in liquid media. Its feasibility for use in biopharmaceutical development was evaluated with particle standards and recombinant protein solutions. Measurements of aqueous suspensions of NIST-traceable polystyrene particle standards showed accurate particle concentration detection between 2 × 10(7) and 5 × 10(9) particles/mL. Sizing was accurate for particle standards up to 200 nm. Smaller than nominal value sizes were detected by NTA for the 300-900 nm particles. Measurements of protein solutions showed that NTA performance is solution-specific. Reduced sensitivity, especially in opalescent solutions, was observed. Measurements in such solutions may require sample dilution; however, common sample manipulations, such as dilution and filtration, may result in particle formation. Dilution and filtration case studies are presented to further illustrate such behavior. To benchmark general performance, NTA was compared against asymmetric flow field flow fractionation coupled with multi-angle light scattering (aF4-MALS) and dynamic light scattering, which are other techniques for sub-micron particles. Data shows that all three methods have limitations and may not work equally well under certain conditions. Nevertheless, the ability of NTA to directly detect and count sub-micron particles is a feature not matched by aF4-MALS or dynamic light scattering. Thorough characterization of particulate matter present in protein therapeutics is limited by the lack of analytical methods for particles in the sub-micron size range. Emerging techniques are being developed to bridge this analytical gap. In this study, Nanoparticle Tracking Analysis is evaluated as a potential tool for biologics development. Our results indicate that method performance is molecule-specific and may not work as well under all solution conditions, especially when

  15. A respiratory mask for resting and exercising dogs.

    Science.gov (United States)

    Stavert, D M; Reischl, P; O'Loughlin, B J

    1982-02-01

    A respiratory face mask has been developed for use with unsedated beagles trained to run on a treadmill. The latex rubber mask, shaped to fit the animal's muzzle, incorporates two modified, commercially available, pulmonary valves for separating inspiratory and expiratory flows. The mask has a dead space of 30 cm3 and a flow resistance below 1 cmH2O . 1(-1) . s. The flexible mask is used to measure breath-by-breath respiratory variables over extended periods of time during rest and exercise.

  16. Communication masking in marine mammals: A review and research strategy.

    Science.gov (United States)

    Erbe, Christine; Reichmuth, Colleen; Cunningham, Kane; Lucke, Klaus; Dooling, Robert

    2016-02-15

    Underwater noise, whether of natural or anthropogenic origin, has the ability to interfere with the way in which marine mammals receive acoustic signals (i.e., for communication, social interaction, foraging, navigation, etc.). This phenomenon, termed auditory masking, has been well studied in humans and terrestrial vertebrates (in particular birds), but less so in marine mammals. Anthropogenic underwater noise seems to be increasing in parts of the world's oceans and concerns about associated bioacoustic effects, including masking, are growing. In this article, we review our understanding of masking in marine mammals, summarise data on marine mammal hearing as they relate to masking (including audiograms, critical ratios, critical bandwidths, and auditory integration times), discuss masking release processes of receivers (including comodulation masking release and spatial release from masking) and anti-masking strategies of signalers (e.g. Lombard effect), and set a research framework for improved assessment of potential masking in marine mammals. Copyright © 2015 The Authors. Published by Elsevier Ltd.. All rights reserved.

  17. Micropatterning on cylindrical surfaces via electrochemical etching using laser masking

    International Nuclear Information System (INIS)

    Cho, Chull Hee; Shin, Hong Shik; Chu, Chong Nam

    2014-01-01

    Highlights: • Various micropatterns were fabricated on the cylindrical surface of a stainless steel shaft. • Selective electrochemical dissolution was achieved via a series process of laser masking and electrochemical etching. • Laser masking characteristics on the non-planar surface were investigated. • A uniform mask layer was formed on the cylindrical surface via synchronized laser line scanning with a rotary system. • The characteristics of electrochemical etching on the non-planar surface were investigated. - Abstract: This paper proposes a method of selective electrochemical dissolution on the cylindrical surfaces of stainless steel shafts. Selective electrochemical dissolution was achieved via electrochemical etching using laser masking. A micropatterned recast layer was formed on the surface via ytterbium-doped pulsed fiber laser irradiation. The micropatterned recast layer could be used as a mask layer during the electrochemical etching process. Laser masking condition to form adequate mask layer on the planar surface for etching cannot be used directly on the non-planar surface. Laser masking condition changes depending on the morphological surface. The laser masking characteristics were investigated in order to form a uniform mask layer on the cylindrical surface. To minimize factors causing non-uniformity in the mask layer on the cylindrical surface, synchronized laser line scanning with a rotary system was applied during the laser masking process. Electrochemical etching characteristics were also investigated to achieve deeper etched depth, without collapsing the recast layer. Consequently, through a series process of laser masking and electrochemical etching, various micropatternings were successfully performed on the cylindrical surfaces

  18. Effects of mask imperfections on InP etching profiles

    International Nuclear Information System (INIS)

    Huo, D.T.C.; Yan, M.F.; Wynn, J.D.; Wilt, D.P.

    1990-01-01

    The authors have demonstrated that the quality of etch masks has a significant effect on the InP etching profiles. In particular, the authors have shown that mask imperfections can cause defective etching profiles, such as vertical sidewalls and extra mask undercutting in InP. The authors also discovered that the geometry of these defective profiles is determined by the orientation of the substrate relative to the direction of the mask imperfections. Along a left-angle 110 right-angle line mask defect, the downward etching process changes the left-angle 110 right-angle v-grooves to vertical sidewalls without extra undercutting. For v-grooves aligned along the left-angle 110 right-angle direction, defects on the mask give a significant extra undercutting without changing the etching profile

  19. Evaluating model parameterizations of submicron aerosol scattering and absorption with in situ data from ARCTAS 2008

    Directory of Open Access Journals (Sweden)

    M. J. Alvarado

    2016-07-01

    Full Text Available Accurate modeling of the scattering and absorption of ultraviolet and visible radiation by aerosols is essential for accurate simulations of atmospheric chemistry and climate. Closure studies using in situ measurements of aerosol scattering and absorption can be used to evaluate and improve models of aerosol optical properties without interference from model errors in aerosol emissions, transport, chemistry, or deposition rates. Here we evaluate the ability of four externally mixed, fixed size distribution parameterizations used in global models to simulate submicron aerosol scattering and absorption at three wavelengths using in situ data gathered during the 2008 Arctic Research of the Composition of the Troposphere from Aircraft and Satellites (ARCTAS campaign. The four models are the NASA Global Modeling Initiative (GMI Combo model, GEOS-Chem v9-02, the baseline configuration of a version of GEOS-Chem with online radiative transfer calculations (called GC-RT, and the Optical Properties of Aerosol and Clouds (OPAC v3.1 package. We also use the ARCTAS data to perform the first evaluation of the ability of the Aerosol Simulation Program (ASP v2.1 to simulate submicron aerosol scattering and absorption when in situ data on the aerosol size distribution are used, and examine the impact of different mixing rules for black carbon (BC on the results. We find that the GMI model tends to overestimate submicron scattering and absorption at shorter wavelengths by 10–23 %, and that GMI has smaller absolute mean biases for submicron absorption than OPAC v3.1, GEOS-Chem v9-02, or GC-RT. However, the changes to the density and refractive index of BC in GC-RT improve the simulation of submicron aerosol absorption at all wavelengths relative to GEOS-Chem v9-02. Adding a variable size distribution, as in ASP v2.1, improves model performance for scattering but not for absorption, likely due to the assumption in ASP v2.1 that BC is present at a constant mass

  20. The effects of surface-charged submicron polystyrene particles on the structure and performance of PSF forward osmosis membrane

    Science.gov (United States)

    Zuo, Hao-Ran; Fu, Jia-Bei; Cao, Gui-Ping; Hu, Nian; Lu, Hui; Liu, Hui-Qing; Chen, Peng-Peng; Yu, Jie

    2018-04-01

    Monodisperse surface-charged submicron polystyrene particles were designed, synthesized, and blended into polysulfone (PSF) support layer to prepare forward osmosis (FO) membrane with high performance. The membrane incorporated with particles were characterized with respect to morphology, porosity, and internal osmotic pressure (IOP). Results showed that the polymer particles not only increased the hydrophilicity and porosity of support layer, but also generated considerable IOP, which helped markedly decreasing the structure parameter from 1550 to 670 μm. The measured mass transfer parameters further confirmed the beneficial effects of the surface-charged submicron polymer particles on the performance of FO membrane. For instance, the water permeability coefficient (5.37 L m-2 h-1 bar-1) and water flux (49.7 L m-2 h-1) of the FO membrane incorporated with 5 wt% particles were almost twice as much as that of FO membrane without incorporation. This study suggests that monodisperse surface-charged submicron polymer particles are potential modifiers for improving the performance of FO membranes.

  1. [Rare problem with the insertion of a Supreme™ laryngeal mask airway device. Case of the trimester].

    Science.gov (United States)

    2014-03-01

    A breast tumor was resected under general anesthesia. After induction, the airway was managed with a Supreme™ laryngeal mask airway device. The insertion of the laryngeal mask airway device, the insertion of the orogastric tube through the drain tube, as well as the mechanical ventilation, were very difficult from the beginning. On removing the laryngeal mask airway device to solve the problem, it was observed that the drain tube was broken, and the orogastric tube had passed into the anterior, laryngeal part of the device through the split. It was later found out that the laryngeal mask airway device, as well as the whole manufacturing batch, had suffered a design modification: the cuff was constructed with a softer material without reinforcement in the tip, and the drain tube had a heat-sealing defect that facilitated the break. The incident was reported to the local supplier and the manufacturer, and the defective batch of laryngeal mask airway devices was recalled. The incident was also reported to other hospitals via SENSAR, to warn other users of the potential dangers of the design modification in the Supreme™ laryngeal mask airway. Copyright © 2013 Sociedad Española de Anestesiología, Reanimación y Terapéutica del Dolor. Published by Elsevier España. All rights reserved.

  2. The fastest saccadic responses escape visual masking

    DEFF Research Database (Denmark)

    Crouzet, Sébastien M.; Overgaard, Morten; Busch, Niko A.

    2014-01-01

    Object-substitution masking (OSM) occurs when a briefly presented target in a search array is surrounded by small dots that remain visible after the target disappears. The reduction of target visibility occurring after OSM has been suggested to result from a specific interference with reentrant......, which gives access to very early stages of visual processing, target visibility was reduced either by OSM, conventional backward masking, or low stimulus contrast. A general reduction of performance was observed in all three conditions. However, the fastest saccades did not show any sign of interference...... under either OSM or backward masking, as they did under the low-contrast condition. This finding supports the hypothesis that masking interferes mostly with reentrant processing at later stages, while leaving early feedforward processing largely intact....

  3. Electrical measurements on submicronic synthetic conductors : carbon nanotubes

    Energy Technology Data Exchange (ETDEWEB)

    Langer, L [Unite de Physico-Chimie et de Physique des Materiaux, Univ. Catholique de Louvain, Louvain-la-Neuve (Belgium); Stockman, L [Lab. voor Vaste Stof-Fysika en Magnetisme, Katholieke Univ. Leuven (Belgium); Heremans, J P [Physics Dept., General Motors Research, Warren, MI (United States); Bayot, V [Unite de Physico-Chimie et de Physique des Materiaux, Univ. Catholique de Louvain, Louvain-la-Neuve (Belgium); Olk, C H [Physics Dept., General Motors Research, Warren, MI (United States); Haesendonck, C van [Lab. voor Vaste Stof-Fysika en Magnetisme, Katholieke Univ. Leuven (Belgium); Bruynseraede, Y [Lab. voor Vaste Stof-Fysika en Magnetisme, Katholieke Univ. Leuven (Belgium); Issi, J P [Unite de Physico-Chimie et de Physique des Materiaux, Univ. Catholique de Louvain, Louvain-la-Neuve (Belgium)

    1995-03-15

    The synthesis of very small samples has raised the need for a drastic miniaturization of the classical four-probe technique in order to realize electrical resistance measurements. Two methods to realize electrical contacts on very small fibers are described here. Using classical photolithography the electrical resistivity of a submicronic catalytic chemical vapour deposited filament is estimated. Scanning tunneling microscopy (STM) lithography allowed to attach small gold contacts to a small bundle (diameter 50 nm) of carbon nanotubes. This bundle is found to exhibit a semimetallic behavior at higher temperature and an unexpected drop of the electrical resistivity at lower temperature. (orig.)

  4. New method of contour-based mask-shape compiler

    Science.gov (United States)

    Matsuoka, Ryoichi; Sugiyama, Akiyuki; Onizawa, Akira; Sato, Hidetoshi; Toyoda, Yasutaka

    2007-10-01

    We have developed a new method of accurately profiling a mask shape by utilizing a Mask CD-SEM. The method is intended to realize high accuracy, stability and reproducibility of the Mask CD-SEM adopting an edge detection algorithm as the key technology used in CD-SEM for high accuracy CD measurement. In comparison with a conventional image processing method for contour profiling, it is possible to create the profiles with much higher accuracy which is comparable with CD-SEM for semiconductor device CD measurement. In this report, we will introduce the algorithm in general, the experimental results and the application in practice. As shrinkage of design rule for semiconductor device has further advanced, an aggressive OPC (Optical Proximity Correction) is indispensable in RET (Resolution Enhancement Technology). From the view point of DFM (Design for Manufacturability), a dramatic increase of data processing cost for advanced MDP (Mask Data Preparation) for instance and surge of mask making cost have become a big concern to the device manufacturers. In a sense, it is a trade-off between the high accuracy RET and the mask production cost, while it gives a significant impact on the semiconductor market centered around the mask business. To cope with the problem, we propose the best method for a DFM solution in which two dimensional data are extracted for an error free practical simulation by precise reproduction of a real mask shape in addition to the mask data simulation. The flow centering around the design data is fully automated and provides an environment where optimization and verification for fully automated model calibration with much less error is available. It also allows complete consolidation of input and output functions with an EDA system by constructing a design data oriented system structure. This method therefore is regarded as a strategic DFM approach in the semiconductor metrology.

  5. Mask design and fabrication in coded aperture imaging

    International Nuclear Information System (INIS)

    Shutler, Paul M.E.; Springham, Stuart V.; Talebitaher, Alireza

    2013-01-01

    We introduce the new concept of a row-spaced mask, where a number of blank rows are interposed between every pair of adjacent rows of holes of a conventional cyclic difference set based coded mask. At the cost of a small loss in signal-to-noise ratio, this can substantially reduce the number of holes required to image extended sources, at the same time increasing mask strength uniformly across the aperture, as well as making the mask automatically self-supporting. We also show that the Finger and Prince construction can be used to wrap any cyclic difference set onto a two-dimensional mask, regardless of the number of its pixels. We use this construction to validate by means of numerical simulations not only the performance of row-spaced masks, but also the pixel padding technique introduced by in ’t Zand. Finally, we provide a computer program CDSGEN.EXE which, on a fast modern computer and for any Singer set of practical size and open fraction, generates the corresponding pattern of holes in seconds

  6. GLEBUS SAINCIUC’S PAPIER-MÂCHÉ PORTRAIT MASKS

    Directory of Open Access Journals (Sweden)

    MARIAN ANA

    2016-12-01

    Full Text Available The portrait masks created by Glebus Sainciuc (1919-2012 portray painters, sculptors, musicians, writers, actors, playwrights,filmmakers etc., and they are a proof of the fact that the individual contribution of these persons to the artistic development of our country has not been forgotten. Morphologically, the papier-mâché portrait masks of master Glebus Sainciuc are situated at the limit of painting, graphic drawing and sculpture; the masks were created by the master using the papier-mâché techniques. We should mention that the author’s predilection for the fauvist and naïve techniques in portrait representation, together with the style of his caricatures and small size drawings, infl uenced the caricatures style of his masks. The first portrait masks were created by Glebus Sainciuc in 1957, and by the end of his artistic activity his collection consisted of 350 masks – all depicting different persons, but all sharing the same portrait techniques and showing the inner charm of these persons.

  7. Comparison of Cloud Detection Using the CERES-MODIS Ed4 and LaRC AVHRR Cloud Masks and CALIPSO Vertical Feature Mask

    Science.gov (United States)

    Trepte, Q. Z.; Minnis, P.; Palikonda, R.; Bedka, K. M.; Sun-Mack, S.

    2011-12-01

    Accurate detection of cloud amount and distribution using satellite observations is crucial in determining cloud radiative forcing and earth energy budget. The CERES-MODIS (CM) Edition 4 cloud mask is a global cloud detection algorithm for application to Terra and Aqua MODIS data with the aid of other ancillary data sets. It is used operationally for the NASA's Cloud and Earth's Radiant Energy System (CERES) project. The LaRC AVHRR cloud mask, which uses only five spectral channels, is based on a subset of the CM cloud mask which employs twelve MODIS channels. The LaRC mask is applied to AVHRR data for the NOAA Climate Data Record Program. Comparisons among the CM Ed4, and LaRC AVHRR cloud masks and the CALIPSO Vertical Feature Mask (VFM) constitute a powerful means for validating and improving cloud detection globally. They also help us understand the strengths and limitations of the various cloud retrievals which use either active and passive satellite sensors. In this paper, individual comparisons will be presented for different types of clouds over various surfaces, including daytime and nighttime, and polar and non-polar regions. Additionally, the statistics of the global, regional, and zonal cloud occurrence and amount from the CERES Ed4, AVHRR cloud masks and CALIPSO VFM will be discussed.

  8. Polymer Masks for nanostructuring of graphene

    DEFF Research Database (Denmark)

    Shvets, Violetta

    This PhD project is a part of Center for Nanostructured Graphene (CNG) activities. The aim of the project is to develop a new lithography method for creation of highly ordered nanostructures with as small as possible feature and period sizes. The method should be applicable for graphene nanostruc...... demonstrated the opening of what could be interpreted as a band gap....... polymer masks is developed. Mask fabrication is realized by microtoming of 30-60 nm thin sections from pre-aligned polymer monoliths with different morphologies. The resulting polymer masks are then transferred to both silicon and graphene substrates. Hexagonally packed hole patterns with 10 nm hole...

  9. Atomic-absorption spectrometric determination of cobalt, nickel, and copper in geological materials with matrix masking and chelation-extraction

    Science.gov (United States)

    Sanzolone, R.F.; Chao, T.T.; Crenshaw, G.L.

    1979-01-01

    An atomic-absorption spectrometric method is reported for the determination of cobalt, nickel, and copper in a variety of geological materials including iron- and manganese-rich, and calcareous samples. The sample is decomposed with HP-HNO3 and the residue is dissolved in hydrochloric acid. Ammonium fluoride is added to mask iron and 'aluminum. After adjustment to pH 6, cobalt, nickel, and copper are chelated with sodium diethyl-dithiocarbamate and extracted into methyl isobutyl ketone. The sample is set aside for 24 h before analysis to remove interferences from manganese. For a 0.200-g sample, the limits of determination are 5-1000 ppm for Co, Ni, and Cu. As much as 50% Fe, 25% Mn or Ca, 20% Al and 10% Na, K, or Mg in the sample either individually or in various combinations do not interfere. Results obtained on five U.S. Geological Survey rock standards are in general agreement with values reported in the literature. ?? 1979.

  10. Conceptual Masking: How One Picture Captures Attention from Another Picture.

    Science.gov (United States)

    Loftus, Geoffrey R.; And Others

    1988-01-01

    Five experiments studied operations of conceptual masking--the reduction of conceptual memory performance for an initial stimulus when it is followed by a masking picture process. The subjects were 337 undergraduates at the University of Washington (Seattle). Conceptual masking is distinguished from perceptual masking. (TJH)

  11. 1995 mask industry quality assessment

    Science.gov (United States)

    Bishop, Chris; Strott, Al

    1995-12-01

    The third annual mask industry assessment will again survey various industry companies for key performance measurements in the areas of quality and delivery. This year's assessment is enhanced to include the area of safety and further breakdown of the data into 5-inch vs. 6- inch. The data compiled includes shipments, customer return rate, customer return reason, performance to schedule, plate survival yield, and throughput time (TPT) from 1988 through Q2, 1995. Contributor identities remain protected by utilizing Arthur Andersen & Company to ensure participant confidentiality. Participation in the past included representation of over 75% of the total merchant and captive mask volume in the United States. This year's assessment is expected to result in expanded participation by again inviting all mask suppliers domestically to participate as well as an impact from inviting international suppliers to participate.

  12. Endogenous cueing attenuates object substitution masking.

    Science.gov (United States)

    Germeys, Filip; Pomianowska, I; De Graef, P; Zaenen, P; Verfaillie, K

    2010-07-01

    Object substitution masking (OSM) is a form of visual masking in which a briefly presented target surrounded by four small dots is masked by the continuing presence of the four dots after target offset. A major parameter in the prediction of OSM is the time required for attention to be directed to the target following its onset. Object substitution theory (Di Lollo et al. in J Exp Psychol Gen 129:481-507, 2000) predicts that the sooner attention can be focused at the target's location, the less masking will ensue. However, recently Luiga and Bachmann (Psychol Res 71:634-640, 2007) presented evidence that precueing of attention to the target location prior to target-plus-mask onset by means of a central (endogenous) arrow cue does not reduce OSM. When attention was cued exogenously, OSM was attenuated. Based on these results, Luiga and Bachmann argued that object substitution theory should be adapted by differentiating the ways of directing attention to the target location. The goal of the present study was to further examine the dissociation between the effects of endogenous and exogenous precueing on OSM. Contrary to Luiga and Bachmann, our results show that prior shifts of attention to the target location initiated by both exogenous and endogenous cues reduce OSM as predicted by object substitution theory and its computational model CMOS.

  13. Development of movable mask system to cope with high beam current

    International Nuclear Information System (INIS)

    Suetsugu, Y.; Shibata, K.; Sanami, T.; Kageyama, T.; Takeuchi, Y.

    2003-01-01

    The KEK B factory (KEKB), a high current electron-positron collider, has a movable mask (or collimator) system to reduce the background noise in the BELLE detector coming from spent particles. The early movable masks, however, had severe problems of heating, arcing, and vacuum leaks over the stored beam current of several hundred mA. The cause is intense trapped higher order modes (HOMs) excited at the mask head, where the cross section of the beam chamber changed drastically. The mask head, made of copper-tungsten alloy or pure copper, was frequently damaged by hitting of the high energy beam at the same time. Since the problems of the mask were revealed, several kinds of improved masks have been designed employing rf technologies in dealing with the HOM and installed to the ring step by step. Much progress has come from adopting a trapped-mode free structure, where the mask was a bent chamber itself. Recently the further improved mask with a reduced HOM design or HOM dampers was developed to suppress the heating of vacuum components near the mask due to the HOM traveling from the mask. To avoid damage to the mask head, on the other hand, a titanium mask head was tried. The latest masks are working as expected now at the stored beam current of 1.5 A. Presented are the problems and experiences on the movable mask system for the KEKB, which are characteristic of and common in a high intensity accelerator

  14. Self-masking subtraction tomosynthesis

    International Nuclear Information System (INIS)

    Chakraborty, D.P.; Yester, M.V.; Barnes, G.T.; Lakshminarayanan, A.V.

    1984-01-01

    The authors tested the image quality and dose savings of self-masking subtraction tomosynthesis (SST), which combines digital tomosynthesis with subtraction of a blurred self-mask. High-quality images of the inner ear of a head phantom were obtained at moderate dose savings. Although they were taken with linear motion, they did not exhibit the streaking due to off-fulcrum objects that is characteristic of conventional linear tomography. SST could reduce patient dose by a factor of at least 12 in examinations of the inner ear, and the mechanical aspects can be implemented with moderate modifications of existing instrumentation

  15. The role of jet and film drops in controlling the mixing state of submicron sea spray aerosol particles

    Energy Technology Data Exchange (ETDEWEB)

    Wang, Xiaofei; Deane, Grant B.; Moore, Kathryn A.; Ryder, Olivia S.; Stokes, M. Dale; Beall, Charlotte M.; Collins, Douglas B.; Santander, Mitchell V.; Burrows, Susannah M.; Sultana, Camille M.; Prather, Kimberly A.

    2017-06-19

    Covering 71% of the Earth’s surface, oceans represent a significant global source of atmospheric aerosols. The size and composition of sea spray aerosols (SSA) affect their ability to serve as cloud seeds and thus understanding the factors controlling their composition is critical to predicting their impact on clouds and climate. SSA particles have been shown to be an external mixture of particles with different compositions. Film and jet drop production mechanisms ultimately determine the individual particle compositions which are comprised of an array of salt/organic mixtures ranging from pure sea salt to nearly pure organic particles. It is often assumed that the majority of submicron SSA are formed by film drops produced from bursting hydrophobic organic-rich bubble film caps at the sea surface, and in contrast, jet drops are postulated to produce larger supermicron particles from underlying seawater comprised largely of salts and water soluble organic species. However, here we show that jet drops produced by bursting sub-100 m bubbles account for up to 40 % of all submicron particles. They have distinct chemical compositions, organic volume fractions and ice nucleating activities from submicron film drops. Thus a substantial fraction of submicron particles will not necessarily be controlled by the composition of the sea surface microlayer as has been assumed in many studies. This finding has significant ramifications for the size-resolved mixing states of SSA particles which must be taken into consideration when accessing SSA impacts on clouds.

  16. Characterization of porous materials by small-angle scattering

    Indian Academy of Sciences (India)

    With the availability of ultra small-angle scattering instruments, one can investigate porous materials in the sub-micron length scale. Because of the increased accessible length scale vis-a-vis the multiple scattering effect, conventional data analysis procedures based on single scattering approximation quite often fail.

  17. Evaluation of Criteria to Detect Masked Hypertension

    Science.gov (United States)

    Booth, John N.; Muntner, Paul; Diaz, Keith M.; Viera, Anthony J.; Bello, Natalie A.; Schwartz, Joseph E.; Shimbo, Daichi

    2016-01-01

    The prevalence of masked hypertension, out-of-clinic daytime systolic/diastolic blood pressure (SBP/DBP)≥135/85 mmHg on ambulatory blood pressure monitoring (ABPM) among adults with clinic SBP/DBPABPM testing criterion. In a derivation cohort (n=695), the index was clinic SBP+1.3*clinic DBP. In an external validation cohort (n=675), the sensitivity for masked hypertension using an index ≥190 mmHg and ≥217 mmHg and prehypertension status was 98.5%, 71.5% and 82.5%, respectively. Using NHANES data (n=11,778), we estimated that these thresholds would refer 118.6, 44.4 and 59.3 million US adults, respectively, to ABPM screening for masked hypertension. In conclusion, the CBP index provides a useful approach to identify candidates for masked hypertension screening using ABPM. PMID:27126770

  18. Reusable High Aspect Ratio 3-D Nickel Shadow Mask

    Science.gov (United States)

    Shandhi, M.M.H.; Leber, M.; Hogan, A.; Warren, D.J.; Bhandari, R.; Negi, S.

    2017-01-01

    Shadow Mask technology has been used over the years for resistless patterning and to pattern on unconventional surfaces, fragile substrate and biomaterial. In this work, we are presenting a novel method to fabricate high aspect ratio (15:1) three-dimensional (3D) Nickel (Ni) shadow mask with vertical pattern length and width of 1.2 mm and 40 μm respectively. The Ni shadow mask is 1.5 mm tall and 100 μm wide at the base. The aspect ratio of the shadow mask is 15. Ni shadow mask is mechanically robust and hence easy to handle. It is also reusable and used to pattern the sidewalls of unconventional and complex 3D geometries such as microneedles or neural electrodes (such as the Utah array). The standard Utah array has 100 active sites at the tip of the shaft. Using the proposed high aspect ratio Ni shadow mask, the Utah array can accommodate 300 active sites, 200 of which will be along and around the shaft. The robust Ni shadow mask is fabricated using laser patterning and electroplating techniques. The use of Ni 3D shadow mask will lower the fabrication cost, complexity and time for patterning out-of-plane structures. PMID:29056835

  19. Airborne studies of submicron aerosol in the troposphere over West Siberia

    Energy Technology Data Exchange (ETDEWEB)

    Panchenko, M.V.; Zuev, V.E.; Belan, B.D.; Terpugova, S.A. [Institute of Atmospheric Optics, Tomsk (Russian Federation)

    1996-04-01

    Submicron fraction particles that have the longest lifespan and are included in almost all atmospheric processes are of special importance among the great variety of sizes of particles present in the atmosphere. Submicron particles mainly determine the opticle state of the atmosphere in the visible spectral range, essentially cause the absorption of infrared radiation and, since they are the products and participants in all aerosol-to-gas transformations, accumulate of a lot of various chemical compounds and transfer them to large distances. Investigation of the processes of the spatial-temporal variability of aerosol particles for different climatic zones of the earth is the experimental base for studying their effect on climatically and ecologically significant factors and estimating their unfavorable tendencies. The increasing anthropogenic loading of the earth`s atmosphere is creating an urgency for aerosol research. Regardless of how perfect the analytical and numerical methods of solving radiation problems may be, success in forecasting climatic change is mainly determined by the reliability of the experimental data on optical parameters of the atmosphere and of the description of their variability under the effect of external factors.

  20. [Patients' reaction to pharmacists wearing a mask during their consultations].

    Science.gov (United States)

    Tamura, Eri; Kishimoto, Keiko; Fukushima, Noriko

    2013-01-01

      This study sought to determine the effect of pharmacists wearing a mask on the consultation intention of patients who do not have a trusting relationship with the pharmacists. We conducted a questionnaire survey of customers at a Tokyo drugstore in August 2012. Subjects answered a questionnaire after watching two medical teaching videos, one in which the pharmacist was wearing a mask and the other in which the pharmacist was not wearing a mask. Data analysis was performed using a paired t-test and multiple logistic regression. The paired t-test revealed a significant difference in 'Maintenance Problem' between the two pharmacist situations. After excluding factors not associated with wearing a mask, multiple logistic regression analysis identified three independent variables with a significant effect on participants not wanting to consult with a pharmacist wearing a mask. Positive factors were 'active-inactive' and 'frequency mask use', a negative factor was 'age'. Our study has shown that pharmacists wearing a mask may be a factor that prevents patients from consulting with pharmacist. Those patients whose intention to consult might be affected by the pharmacists wearing a mask tended to be younger, to have no habit of wearing masks preventively themselves, and to form a negative opinion of such pharmacists. Therefore, it was estimated that pharmacists who wear masks need to provide medical education by asking questions more positively than when they do not wear a mask in order to prevent the patient worrying about oneself.

  1. Achromatic Focal Plane Mask for Exoplanet Imaging Coronagraphy

    Science.gov (United States)

    Newman, Kevin Edward; Belikov, Ruslan; Guyon, Olivier; Balasubramanian, Kunjithapatham; Wilson, Dan

    2013-01-01

    Recent advances in coronagraph technologies for exoplanet imaging have achieved contrasts close to 1e10 at 4 lambda/D and 1e-9 at 2 lambda/D in monochromatic light. A remaining technological challenge is to achieve high contrast in broadband light; a challenge that is largely limited by chromaticity of the focal plane mask. The size of a star image scales linearly with wavelength. Focal plane masks are typically the same size at all wavelengths, and must be sized for the longest wavelength in the observational band to avoid starlight leakage. However, this oversized mask blocks useful discovery space from the shorter wavelengths. We present here the design, development, and testing of an achromatic focal plane mask based on the concept of optical filtering by a diffractive optical element (DOE). The mask consists of an array of DOE cells, the combination of which functions as a wavelength filter with any desired amplitude and phase transmission. The effective size of the mask scales nearly linearly with wavelength, and allows significant improvement in the inner working angle of the coronagraph at shorter wavelengths. The design is applicable to almost any coronagraph configuration, and enables operation in a wider band of wavelengths than would otherwise be possible. We include initial results from a laboratory demonstration of the mask with the Phase Induced Amplitude Apodization coronagraph.

  2. The effect of masking in the attentional dwell time paradigm

    DEFF Research Database (Denmark)

    Petersen, Anders

    2009-01-01

    , 1994). In most studies of attentional dwell time, two masked targets have been used. Moore et al. (1996) have criticised the masking of the first target when measuring the attentional dwell time, finding a shorter attentional dwell time when the first mask was omitted. In the presented work, the effect...... of the first mask is further investigated by including a condition where the first mask is presented without a target. The results from individual subjects show that the findings of Moore et al. can be replicated. The results also suggest that presenting the first mask without a target is enough to produce...... an impairment of the second target. Hence, the attentional dwell time may be a combined effect arising from attending to both the first target and its mask....

  3. Quality of patient positioning during cerebral tomotherapy irradiation using different mask systems

    Energy Technology Data Exchange (ETDEWEB)

    Leitzen, C.; Wilhelm-Buchstab, T.; Garbe, S.; Luetter, C.; Muedder, T.; Simon, B.; Schild, H.H.; Schueller, H. [Universitaetsklinik Bonn, Radiologische Klinik, FE Strahlentherapie, Bonn (Germany)

    2014-04-15

    Patient immobilization during brain tumor radiotherapy is achieved by employing different mask systems. Two innovative mask systems were developed to minimize the problems of claustrophobic patients. Our aim was to evaluate whether the quality of patient immobilization using the new mask systems was equivalent to the standard mask system currently in use. Thirty-three patients with cerebral target volumes were irradiated using the Hi-Art II tomotherapy system between 2010 and 2012. Each group of 11 patients was fitted with one of the two new mask systems (Crystal {sup registered} or Open Face {sup registered} mask, Orfit) or the standard three-point mask (Raycast {sup registered} -HP, Orfit) and a total of 557 radiotherapy fractions were evaluated. After positioning was checked by MV-CT, the necessary table adjustments were noted. Data were analyzed by comparing the groups, and safety margins were calculated for nonimage-guided irradiation. The mean values of the table adjustments were: (a) lateral (mm): -0.22 (mask 1, standard deviation (σ): 2.15); 1.1 (mask 2, σ: 2.4); -0.64 (mask 3, σ: 2.9); (b) longitudinal (mm): -1 (mask 1, σ: 2.57); -0.5 (mask 2, σ: 4.7); -1.22 (mask 3, σ: 2.52); (c) vertical (mm): 0.62 (mask 1, σ: 0.63); 1.2 (mask 2, σ: 1.0); 0.57 (mask 3, σ: 0.28); (d) roll: 0.35 (mask 1, σ: 0.75); 0 (mask 2, σ: 0.8); 0.02 (mask 3, σ: 1.12). The outcomes suggest necessary safety margins of 5.49-7.38 mm (lateral), 5.4-6.56 mm (longitudinal), 0.82-3.9 mm (vertical), and 1.93-4.5 (roll). There were no significant differences between the groups. The new mask systems improve patient comfort while providing consistent patient positioning. (orig.)

  4. Quality of patient positioning during cerebral tomotherapy irradiation using different mask systems

    International Nuclear Information System (INIS)

    Leitzen, C.; Wilhelm-Buchstab, T.; Garbe, S.; Luetter, C.; Muedder, T.; Simon, B.; Schild, H.H.; Schueller, H.

    2014-01-01

    Patient immobilization during brain tumor radiotherapy is achieved by employing different mask systems. Two innovative mask systems were developed to minimize the problems of claustrophobic patients. Our aim was to evaluate whether the quality of patient immobilization using the new mask systems was equivalent to the standard mask system currently in use. Thirty-three patients with cerebral target volumes were irradiated using the Hi-Art II tomotherapy system between 2010 and 2012. Each group of 11 patients was fitted with one of the two new mask systems (Crystal registered or Open Face registered mask, Orfit) or the standard three-point mask (Raycast registered -HP, Orfit) and a total of 557 radiotherapy fractions were evaluated. After positioning was checked by MV-CT, the necessary table adjustments were noted. Data were analyzed by comparing the groups, and safety margins were calculated for nonimage-guided irradiation. The mean values of the table adjustments were: (a) lateral (mm): -0.22 (mask 1, standard deviation (σ): 2.15); 1.1 (mask 2, σ: 2.4); -0.64 (mask 3, σ: 2.9); (b) longitudinal (mm): -1 (mask 1, σ: 2.57); -0.5 (mask 2, σ: 4.7); -1.22 (mask 3, σ: 2.52); (c) vertical (mm): 0.62 (mask 1, σ: 0.63); 1.2 (mask 2, σ: 1.0); 0.57 (mask 3, σ: 0.28); (d) roll: 0.35 (mask 1, σ: 0.75); 0 (mask 2, σ: 0.8); 0.02 (mask 3, σ: 1.12). The outcomes suggest necessary safety margins of 5.49-7.38 mm (lateral), 5.4-6.56 mm (longitudinal), 0.82-3.9 mm (vertical), and 1.93-4.5 (roll). There were no significant differences between the groups. The new mask systems improve patient comfort while providing consistent patient positioning. (orig.)

  5. Multiple-height microstructure fabricated by deep reactive ion etching and soft resist masks combined with UV curing

    International Nuclear Information System (INIS)

    Sato, R; Sawada, T; Kumagai, S; Sasaki, M

    2014-01-01

    Multiple-height microstructures are realized by deep reactive ion etching and UV-cured photoresist used in the embedded mask process. Although the UV-cured photoresist is a soft mask, its material property becomes stable against resist thinner and UV exposure. A layered resist pattern can be realized by stacking normal photoresist on the UV-cured photoresist. The normal photoresist can be selectively removed by the flush exposure and developing after the first Si etching. This technique is applied to two MEMS devices

  6. Counteracting Power Analysis Attacks by Masking

    Science.gov (United States)

    Oswald, Elisabeth; Mangard, Stefan

    The publication of power analysis attacks [12] has triggered a lot of research activities. On the one hand these activities have been dedicated toward the development of secure and efficient countermeasures. On the other hand also new and improved attacks have been developed. In fact, there has been a continuous arms race between designers of countermeasures and attackers. This chapter provides a brief overview of the state-of-the art in the arms race in the context of a countermeasure called masking. Masking is a popular countermeasure that has been extensively discussed in the scientific community. Numerous articles have been published that explain different types of masking and that analyze weaknesses of this countermeasure.

  7. Differential effect of visual masking in perceptual categorization.

    Science.gov (United States)

    Hélie, Sébastien; Cousineau, Denis

    2015-06-01

    This article explores the visual information used to categorize stimuli drawn from a common stimulus space into verbal and nonverbal categories using 2 experiments. Experiment 1 explores the effect of target duration on verbal and nonverbal categorization using backward masking to interrupt visual processing. With categories equated for difficulty for long and short target durations, intermediate target duration shows an advantage for verbal categorization over nonverbal categorization. Experiment 2 tests whether the results of Experiment 1 can be explained by shorter target duration resulting in a smaller signal-to-noise ratio of the categorization stimulus. To test for this possibility, Experiment 2 used integration masking with the same stimuli, categories, and masks as Experiment 1 with a varying level of mask opacity. As predicted, low mask opacity yielded similar results to long target duration while high mask opacity yielded similar results to short target duration. Importantly, intermediate mask opacity produced an advantage for verbal categorization over nonverbal categorization, similar to intermediate target duration. These results suggest that verbal and nonverbal categorization are affected differently by manipulations affecting the signal-to-noise ratio of the stimulus, consistent with multiple-system theories of categorizations. The results further suggest that verbal categorization may be more digital (and more robust to low signal-to-noise ratio) while the information used in nonverbal categorization may be more analog (and less robust to lower signal-to-noise ratio). This article concludes with a discussion of how these new results affect the use of masking in perceptual categorization and multiple-system theories of perceptual category learning. (c) 2015 APA, all rights reserved).

  8. Propagation of resist heating mask error to wafer level

    Science.gov (United States)

    Babin, S. V.; Karklin, Linard

    2006-10-01

    As technology is approaching 45 nm and below the IC industry is experiencing a severe product yield hit due to rapidly shrinking process windows and unavoidable manufacturing process variations. Current EDA tools are unable by their nature to deliver optimized and process-centered designs that call for 'post design' localized layout optimization DFM tools. To evaluate the impact of different manufacturing process variations on final product it is important to trace and evaluate all errors through design to manufacturing flow. Photo mask is one of the critical parts of this flow, and special attention should be paid to photo mask manufacturing process and especially to mask tight CD control. Electron beam lithography (EBL) is a major technique which is used for fabrication of high-end photo masks. During the writing process, resist heating is one of the sources for mask CD variations. Electron energy is released in the mask body mainly as heat, leading to significant temperature fluctuations in local areas. The temperature fluctuations cause changes in resist sensitivity, which in turn leads to CD variations. These CD variations depend on mask writing speed, order of exposure, pattern density and its distribution. Recent measurements revealed up to 45 nm CD variation on the mask when using ZEP resist. The resist heating problem with CAR resists is significantly smaller compared to other types of resists. This is partially due to higher resist sensitivity and the lower exposure dose required. However, there is no data yet showing CD errors on the wafer induced by CAR resist heating on the mask. This effect can be amplified by high MEEF values and should be carefully evaluated at 45nm and below technology nodes where tight CD control is required. In this paper, we simulated CD variation on the mask due to resist heating; then a mask pattern with the heating error was transferred onto the wafer. So, a CD error on the wafer was evaluated subject to only one term of the

  9. Photolithography-free laser-patterned HF acid-resistant chromium-polyimide mask for rapid fabrication of microfluidic systems in glass

    International Nuclear Information System (INIS)

    Zamuruyev, Konstantin O; Zrodnikov, Yuriy; Davis, Cristina E

    2017-01-01

    Excellent chemical and physical properties of glass, over a range of operating conditions, make it a preferred material for chemical detection systems in analytical chemistry, biology, and the environmental sciences. However, it is often compromised with SU8, PDMS, or Parylene materials due to the sophisticated mask preparation requirements for wet etching of glass. Here, we report our efforts toward developing a photolithography-free laser-patterned hydrofluoric acid-resistant chromium-polyimide tape mask for rapid prototyping of microfluidic systems in glass. The patterns are defined in masking layer with a diode-pumped solid-state laser. Minimum feature size is limited to the diameter of the laser beam, 30 µ m; minimum spacing between features is limited by the thermal shrinkage and adhesive contact of the polyimide tape to 40 µ m. The patterned glass substrates are etched in 49% hydrofluoric acid at ambient temperature with soft agitation (in time increments, up to 60 min duration). In spite of the simplicity, our method demonstrates comparable results to the other current more sophisticated masking methods in terms of the etched depth (up to 300 µ m in borosilicate glass), feature under etch ratio in isotropic etch (∼1.36), and low mask hole density. The method demonstrates high yield and reliability. To our knowledge, this method is the first proposed technique for rapid prototyping of microfluidic systems in glass with such high performance parameters. The proposed method of fabrication can potentially be implemented in research institutions without access to a standard clean-room facility. (paper)

  10. Nanostructuring of Mo/Si multilayers by means of reactive ion etching using a three-level mask

    International Nuclear Information System (INIS)

    Dreeskornfeld, L.; Haindl, G.; Kleineberg, U.; Heinzmann, U.; Shi, F.; Volland, B.; Rangelow, I.W.; Majkova, E.; Luby, S.; Kostic,; Matay, L.; Hrkut, P.; Hudek, P.; Lee, H.-Y.

    2004-01-01

    Recently, Mo/Si multilayer reflectors have been gaining industry interest as a promising choice for the next generation extreme ultraviolet mask material for printing sub 70 nm feature size devices. A reactive ion etching system with optimized hardware using CHF 3 /Ar process regime shows the capability for highly anisotropic etching of sub congruent with 400 nm feature sizes in Mo/Si test multilayers with ten periods and a bilayer thickness of 7.8 nm which were prepared by e-beam evaporation. A three-level-mask technique consisting of a top resist mask layer poly-methyl-meth-acrylate, a middle hard amorphous Si mask layer and a bottom-level polyimide layer is used to create the etch mask. The etch characteristics of the polyimide film is shown to be one of the major factors determining the success of the described multilayer etching process. The developed etching technology demonstrates superior process performance without facets, excellent uniformity and good profile control. No contamination, degeneration or defect generation in the unetched multilayer structure could be detected. This non-conventional process results in minimum deposition during the etching thus eliminating the need for a dry or wet cleaning. Sidewall angles in Mo/Si multilayers of 85 deg. , without undercut, bowing and ripples resulting in smooth sidewalls are achieved

  11. A conceptual approach to the masking effect of measures of disproportionality.

    Science.gov (United States)

    Maignen, Francois; Hauben, Manfred; Hung, Eric; Holle, Lionel Van; Dogne, Jean-Michel

    2014-02-01

    Masking is a statistical issue by which true signals of disproportionate reporting are hidden by the presence of other products in the database. Masking is currently not perfectly understood. There is no algorithm to identify the potential masking drugs to remove them for subsequent analyses of disproportionality. The primary objective of our study is to develop a mathematical framework for assessing the extent and impact of the masking effect of measures of disproportionality. We have developed a masking ratio that quantifies the masking effect of a given product. We have conducted a simulation study to validate our algorithm. The masking ratio is a measure of the strength of the masking effect whether the analysis is performed at the report or event level, and the manner in which reports are allocated to cells in the contingency table significantly impact the masking mechanisms. The reports containing both the product of interest and the masking product need to be handled appropriately. The proposed algorithm can use simplified masking provided that underlying assumptions (in particular the size of the database) are verified. For any event, the strongest masking effect is associated with the drug with the highest number of records (reports excluding the product of interest). Our study provides significant insights with practical implications for real-world pharmacovigilance that are supported by both real and simulated data. The public health impact of masking is still unknown. Copyright © 2013 John Wiley & Sons, Ltd.

  12. X-ray face mask and chest shield device

    International Nuclear Information System (INIS)

    Moti, S.

    1981-01-01

    A protective face mask is designed to shield an x-ray technician or machine operator primarily from random secondary or scatter x-rays deflected towards his face, head and neck by the table, walls, equipment and other reflecting elements in an x-ray room or chamber. The face mask and chest shield device can be mounted on a patient's shoulders in reverse attitude to protect the back of a patient's head and neck from the x-ray beam. The face mask is relatively or substantially transparent and contains lead in combination with a plastic ionomer or comonomer, which to a degree absorbs or resists penetration of the random deflected secondary or scatter x-rays or the x-ray beam through the mask. The face mask is removably attachable to the chest shield for easy application of the device to and support upon the shoulders of the technician or the patient. (author)

  13. Random mask optimization for fast neutron coded aperture imaging

    Energy Technology Data Exchange (ETDEWEB)

    McMillan, Kyle [Sandia National Lab. (SNL-CA), Livermore, CA (United States); Univ. of California, Los Angeles, CA (United States); Marleau, Peter [Sandia National Lab. (SNL-CA), Livermore, CA (United States); Brubaker, Erik [Sandia National Lab. (SNL-CA), Livermore, CA (United States)

    2015-05-01

    In coded aperture imaging, one of the most important factors determining the quality of reconstructed images is the choice of mask/aperture pattern. In many applications, uniformly redundant arrays (URAs) are widely accepted as the optimal mask pattern. Under ideal conditions, thin and highly opaque masks, URA patterns are mathematically constructed to provide artifact-free reconstruction however, the number of URAs for a chosen number of mask elements is limited and when highly penetrating particles such as fast neutrons and high-energy gamma-rays are being imaged, the optimum is seldom achieved. In this case more robust mask patterns that provide better reconstructed image quality may exist. Through the use of heuristic optimization methods and maximum likelihood expectation maximization (MLEM) image reconstruction, we show that for both point and extended neutron sources a random mask pattern can be optimized to provide better image quality than that of a URA.

  14. Perception of Scary Halloween Masks by Zoo Animals and Humans

    OpenAIRE

    Sinnott, Joan M.; Speaker, H. Anton; Powell, Laura A.; Mosteller, Kelly W.

    2012-01-01

    Zoo animals were tested to see if they perceived the scary nature of Halloween masks, using a procedure that measured the avoidance response latency to take food from a masked human experimenter. Human perception of the masks was also assessed using a rating scale, with results showing that a Bill Clinton mask was rated not scary, while a Vampire mask was rated very scary. Animal results showed that primate latencies correlated significantly with the human ratings, while non-primate latencies...

  15. New method of 2-dimensional metrology using mask contouring

    Science.gov (United States)

    Matsuoka, Ryoichi; Yamagata, Yoshikazu; Sugiyama, Akiyuki; Toyoda, Yasutaka

    2008-10-01

    We have developed a new method of accurately profiling and measuring of a mask shape by utilizing a Mask CD-SEM. The method is intended to realize high accuracy, stability and reproducibility of the Mask CD-SEM adopting an edge detection algorithm as the key technology used in CD-SEM for high accuracy CD measurement. In comparison with a conventional image processing method for contour profiling, this edge detection method is possible to create the profiles with much higher accuracy which is comparable with CD-SEM for semiconductor device CD measurement. This method realizes two-dimensional metrology for refined pattern that had been difficult to measure conventionally by utilizing high precision contour profile. In this report, we will introduce the algorithm in general, the experimental results and the application in practice. As shrinkage of design rule for semiconductor device has further advanced, an aggressive OPC (Optical Proximity Correction) is indispensable in RET (Resolution Enhancement Technology). From the view point of DFM (Design for Manufacturability), a dramatic increase of data processing cost for advanced MDP (Mask Data Preparation) for instance and surge of mask making cost have become a big concern to the device manufacturers. This is to say, demands for quality is becoming strenuous because of enormous quantity of data growth with increasing of refined pattern on photo mask manufacture. In the result, massive amount of simulated error occurs on mask inspection that causes lengthening of mask production and inspection period, cost increasing, and long delivery time. In a sense, it is a trade-off between the high accuracy RET and the mask production cost, while it gives a significant impact on the semiconductor market centered around the mask business. To cope with the problem, we propose the best method of a DFM solution using two-dimensional metrology for refined pattern.

  16. Masking interrupts figure-ground signals in V1.

    Science.gov (United States)

    Lamme, Victor A F; Zipser, Karl; Spekreijse, Henk

    2002-10-01

    In a backward masking paradigm, a target stimulus is rapidly (figure-ground segregation can be recorded. Here, we recorded from awake macaque monkeys, engaged in a task where they had to detect figures from background in a pattern backward masking paradigm. We show that the V1 figure-ground signals are selectively and fully suppressed at target-mask intervals that psychophysically result in the target being invisible. Initial response transients, signalling the features that make up the scene, are not affected. As figure-ground modulations depend on feedback from extrastriate areas, these results suggest that masking selectively interrupts the recurrent interactions between V1 and higher visual areas.

  17. Mechanical and thermal modeling of the SCALPEL mask

    International Nuclear Information System (INIS)

    Martin, C. J.; Semke, W. H.; Dicks, G. A.; Engelstad, R. L.; Lovell, E. G.; Liddle, J. A.; Novembre, A. E.

    1999-01-01

    Scattering with angular limitation projection electron-beam lithography (SCALPEL) is being developed by Lucent Technologies for sub-130 nm lithography. The mask fabrication and exposure processes produce mask distortions that result in pattern placement errors. In order to understand these distortions, and determine how to reduce them to levels consistent with the error budget, structural and heat transfer finite element models have been generated to simulate the mechanical and thermal response of the mask. In addition, sensitivity studies of the distortions due to key design parameters that may be used to refine the SCALPEL mask configuration have been conducted. (c) 1999 American Vacuum Society

  18. Active mask segmentation of fluorescence microscope images.

    Science.gov (United States)

    Srinivasa, Gowri; Fickus, Matthew C; Guo, Yusong; Linstedt, Adam D; Kovacević, Jelena

    2009-08-01

    We propose a new active mask algorithm for the segmentation of fluorescence microscope images of punctate patterns. It combines the (a) flexibility offered by active-contour methods, (b) speed offered by multiresolution methods, (c) smoothing offered by multiscale methods, and (d) statistical modeling offered by region-growing methods into a fast and accurate segmentation tool. The framework moves from the idea of the "contour" to that of "inside and outside," or masks, allowing for easy multidimensional segmentation. It adapts to the topology of the image through the use of multiple masks. The algorithm is almost invariant under initialization, allowing for random initialization, and uses a few easily tunable parameters. Experiments show that the active mask algorithm matches the ground truth well and outperforms the algorithm widely used in fluorescence microscopy, seeded watershed, both qualitatively, as well as quantitatively.

  19. Improvement of dissolution behavior of poorly water soluble drugs by biodegradable polymeric submicron carriers containing sparingly methylated β-cyclodextrin.

    Science.gov (United States)

    Singhavi, Dilesh J; Khan, Shagufta; Yeole, Pramod G

    2013-04-01

    The objective of this study was to develop submicron carriers of two drugs that are practically insoluble in water, i.e. meloxicam and aceclofenac, to improve their dissolution behavior. The phase solubility of the drugs was studied using different concentrations of sparingly methylated β-cyclodextrin, Kleptose(®) Crysmeβ (Crysmeb), in the presence and absence of 0.2 % w/v water-soluble chitosan. Drug-loaded submicron particles (SMPs) were prepared using chitosan chlorhydrate and Crysmeb by the ionotropic gelation method. The SMPs were characterized in terms of powder X-ray diffraction, Fourier transforms infrared spectroscopy, size determination, process yield, drug loading, encapsulation efficiency, surface morphology and in vitro release. The drug loading in the SMPs was enhanced in the presence of Crysmeb. The in vitro drug release was found to be enhanced with SMPs prepared using higher concentrations of Crysmeb. These results indicate that SMPs formed from chitosan chlorhydrate and Crysmeb are promising submicron carriers for enhancing the dissolution of meloxicam and aceclofenac.

  20. Dead space variability of face masks for valved holding chambers.

    Science.gov (United States)

    Amirav, Israel; Newhouse, Michael T

    2008-03-01

    Valved holding chambers with masks are commonly used to deliver inhaled medications to young children with asthma. Optimal mask properties such as their dead space volume have received little attention. The smaller the mask the more likely it is that a greater proportion of the dose in the VHC will be inhaled with each breath, thus speeding VHC emptying and improving overall aerosol delivery efficiency and dose. Masks may have different DSV and thus different performance. To compare both physical dead space and functional dead space of different face masks under various applied pressures. The DSV of three commonly used face masks of VHCs was measured by water displacement both under various pressures (to simulate real-life application, dynamic DSV) and under no pressure (static DSV). There was a great variability of both static and dynamic dead space among various face mask for VHCs, which is probably related to their flexibility. Different masks have different DSV characteristics. This variability should be taken into account when comparing the clinical efficacy of various VHCs.

  1. Sub-micron resolution selected area electron channeling patterns.

    Science.gov (United States)

    Guyon, J; Mansour, H; Gey, N; Crimp, M A; Chalal, S; Maloufi, N

    2015-02-01

    Collection of selected area channeling patterns (SACPs) on a high resolution FEG-SEM is essential to carry out quantitative electron channeling contrast imaging (ECCI) studies, as it facilitates accurate determination of the crystal plane normal with respect to the incident beam direction and thus allows control the electron channeling conditions. Unfortunately commercial SACP modes developed in the past were limited in spatial resolution and are often no longer offered. In this contribution we present a novel approach for collecting high resolution SACPs (HR-SACPs) developed on a Gemini column. This HR-SACP technique combines the first demonstrated sub-micron spatial resolution with high angular accuracy of about 0.1°, at a convenient working distance of 10mm. This innovative approach integrates the use of aperture alignment coils to rock the beam with a digitally calibrated beam shift procedure to ensure the rocking beam is maintained on a point of interest. Moreover a new methodology to accurately measure SACP spatial resolution is proposed. While column considerations limit the rocking angle to 4°, this range is adequate to index the HR-SACP in conjunction with the pattern simulated from the approximate orientation deduced by EBSD. This new technique facilitates Accurate ECCI (A-ECCI) studies from very fine grained and/or highly strained materials. It offers also new insights for developing HR-SACP modes on new generation high-resolution electron columns. Copyright © 2014 Elsevier B.V. All rights reserved.

  2. CT simulation in stereotactic brain radiotherapy - analysis of isocenter reproducibility with mask fixation

    International Nuclear Information System (INIS)

    Willner, Jochen; Flentje, Michael; Bratengeier, Klaus

    1997-01-01

    Background and purpose: CT verification and measurement of isocenter deviation using repeated mask fixation in linac-based stereotactic high dose radiotherapy of brain metastases were performed in this study. Materials and methods: For stereotactic radiotherapy of brain metastases a commercial head mask fixation device based on thermoplastic materials (BrainLAB) was used. A two-step planning-treatment procedure was performed. Immediately before treatment the patient was relocated in the mask and a verification CT scan of the radiopaque marked isocenter was performed and if necessary its position was corrected. The verification procedure is described in detail. Twenty-two CT verifications in 16 patients were analyzed. Deviations were measured separately for each direction. A 3D-deviation vector was calculated. Additionally the average amount of deviation in each of the three dimensions was calculated. Results: The mean deviation and standard deviation (SD) of the isocenter was 0.4 mm (SD 1.5 mm) in the longitudinal direction, -0.1 mm (SD 1.8 mm) in the lateral direction and 0.1 mm (SD 1.2 mm) in the anterior-posterior direction. The mean three-dimensional distance (3D-vector) between the verified and the corrected isocenter was 2.4 mm (SD 1.3 mm). The average deviation (without consideration of direction) was 1.1 mm (SD 1.1 mm), 1.3 mm (SD 1.3 mm) and 0.8 mm (SD 0.9 mm) in the longitudinal, lateral and sagittal directions, respectively. No correlation was found between 3D-deviation and the distance of the isocenter from the reference plane nor between deviation and the position of metastases in the brain (central versus peripheral or between different lobes), or the date of treatment. Conclusion: Reproducibility of the isocenter using the presented mask fixation is in the range of positioning reproducibility reported for other non-invasive fixation devices for stereotactic brain treatment. Our results underline the importance of CT verification as a quality

  3. Neurotoxicity of low-dose repeatedly intranasal instillation of nano- and submicron-sized ferric oxide particles in mice

    Energy Technology Data Exchange (ETDEWEB)

    Wang Bing; Feng Weiyue, E-mail: fengwy@mail.ihep.ac.cn; Zhu Motao; Wang Yun; Wang Meng [Chinese Academy of Sciences, Laboratory for Bio-Environmental Effects of Nanomaterials and Nanosafety and Key Laboratory of Nuclear Analytical Techniques, Institute of High Energy Physics (China); Gu Yiqun [Maternity Hospital of Haidian District (China); Ouyang Hong; Wang Huajian; Li Ming; Zhao Yuliang, E-mail: zhaoyuliang@mail.ihep.ac.cn; Chai Zhifang [Chinese Academy of Sciences, Laboratory for Bio-Environmental Effects of Nanomaterials and Nanosafety and Key Laboratory of Nuclear Analytical Techniques, Institute of High Energy Physics (China); Wang Haifang [Peking University, College of Chemistry and Molecular Engineering (China)

    2009-01-15

    Olfactory tract has been demonstrated to be an important portal for inhaled solid nanoparticle transportation into the central nervous system (CNS). We have previously demonstrated that intranasally instilled Fe{sub 2}O{sub 3} nanoparticles could transport into the CNS via olfactory pathway. In this study, we investigated the neurotoxicity and size effect of repeatedly low-dose (130 {mu}g) intranasal exposure of nano- and submicron-sized Fe{sub 2}O{sub 3} particles (21 nm and 280 nm) to mice. The biomarkers of oxidative stress, activity of nitric oxide synthases and release of monoamine neurotransmitter in the brain were studied. Our results showed that significant oxidative stress was induced by the two sizes of Fe{sub 2}O{sub 3} particles. The activities of GSH-Px, Cu,Zn-SOD, and cNOS significantly elevated and the total GSH and GSH/GSSG ratio significantly decreased in the olfactory bulb and hippocampus after the nano- and submicron-sized Fe{sub 2}O{sub 3} particle treatment (p < 0.05). The nano-sized Fe{sub 2}O{sub 3} generally induced greater alteration and more significant dose-effect response than the submicron-sized particle did. Some slight perturbation of monoamine neurotransmitters were found in the hippocampus after exposure to the two sizes of Fe{sub 2}O{sub 3} particle. The TEM image showed that some ultrastructural alterations in nerve cells, including neurodendron degeneration, membranous structure disruption and lysosome increase in the olfactory bulb, slight dilation in the rough endoplasmic reticulum and lysosome increase in the hippocampus were induced by the nano-sized Fe{sub 2}O{sub 3} treatment. In contrast, in the submicron-sized Fe{sub 2}O{sub 3} treated mice, slightly swollen mitochondria and some vacuoles were observed in the olfactory bulb and hippocampus, respectively. These results indicate that intranasal exposure of Fe{sub 2}O{sub 3} nanoparticles could induce more severe oxidative stress and nerve cell damage in the brain than the

  4. Integration of mask and silicon metrology in DFM

    Science.gov (United States)

    Matsuoka, Ryoichi; Mito, Hiroaki; Sugiyama, Akiyuki; Toyoda, Yasutaka

    2009-03-01

    We have developed a highly integrated method of mask and silicon metrology. The method adopts a metrology management system based on DBM (Design Based Metrology). This is the high accurate contouring created by an edge detection algorithm used in mask CD-SEM and silicon CD-SEM. We have inspected the high accuracy, stability and reproducibility in the experiments of integration. The accuracy is comparable with that of the mask and silicon CD-SEM metrology. In this report, we introduce the experimental results and the application. As shrinkage of design rule for semiconductor device advances, OPC (Optical Proximity Correction) goes aggressively dense in RET (Resolution Enhancement Technology). However, from the view point of DFM (Design for Manufacturability), the cost of data process for advanced MDP (Mask Data Preparation) and mask producing is a problem. Such trade-off between RET and mask producing is a big issue in semiconductor market especially in mask business. Seeing silicon device production process, information sharing is not completely organized between design section and production section. Design data created with OPC and MDP should be linked to process control on production. But design data and process control data are optimized independently. Thus, we provided a solution of DFM: advanced integration of mask metrology and silicon metrology. The system we propose here is composed of followings. 1) Design based recipe creation: Specify patterns on the design data for metrology. This step is fully automated since they are interfaced with hot spot coordinate information detected by various verification methods. 2) Design based image acquisition: Acquire the images of mask and silicon automatically by a recipe based on the pattern design of CD-SEM.It is a robust automated step because a wide range of design data is used for the image acquisition. 3) Contour profiling and GDS data generation: An image profiling process is applied to the acquired image based

  5. Negative ion source improvement by introduction of a shutter mask

    International Nuclear Information System (INIS)

    Belchenko, Yu.I.; Oka, Y.; Kaneko, O.; Takeiri, Y.; Tsumori, K.; Osakabe, M.; Ikeda, K.; Asano, E.; Kawamoto, T.

    2004-01-01

    Studies of a multicusp source were recently done at the National Institute for Fusion Science by plasma grid masking. The maximal H - ion yield is ∼1.4 times greater for the shutter mask case than that for the standard source. Negative ion current evolution during the cesium feed to the masked plasma grid evidenced that about 60% of negative ions are produced on the shutter mask surface, while about 30% are formed on the plasma grid emission hole edges, exposed by cesium with the mask open

  6. Airflow-Restricting Mask Reduces Acute Performance in Resistance Exercise

    Directory of Open Access Journals (Sweden)

    Yuri L. Motoyama

    2016-09-01

    Full Text Available Background: The aim of this study was to compare the number of repetitions to volitional failure, the blood lactate concentration, and the perceived exertion to resistance training with and without an airflow-restricting mask. Methods: Eight participants participated in a randomized, counterbalanced, crossover study. Participants were assigned to an airflow-restricting mask group (MASK or a control group (CONT and completed five sets of chest presses and parallel squats until failure at 75% one-repetition-maximum test (1RM with 60 s of rest between sets. Ratings of perceived exertion (RPEs, blood lactate concentrations (Lac−, and total repetitions were taken after the training session. Results: MASK total repetitions were lower than those of the CONT, and (Lac− and MASK RPEs were higher than those of the CONT in both exercises. Conclusions: We conclude that an airflow-restricting mask in combination with resistance training increase perceptions of exertion and decrease muscular performance and lactate concentrations when compared to resistance training without this accessory. This evidence shows that the airflow-restricting mask may change the central nervous system and stop the exercise beforehand to prevent some biological damage.

  7. Neopuff T-piece resuscitator mask ventilation: Does mask leak vary with different peak inspiratory pressures in a manikin model?

    Science.gov (United States)

    Maheshwari, Rajesh; Tracy, Mark; Hinder, Murray; Wright, Audrey

    2017-08-01

    The aim of this study was to compare mask leak with three different peak inspiratory pressure (PIP) settings during T-piece resuscitator (TPR; Neopuff) mask ventilation on a neonatal manikin model. Participants were neonatal unit staff members. They were instructed to provide mask ventilation with a TPR with three PIP settings (20, 30, 40 cm H 2 O) chosen in a random order. Each episode was for 2 min with 2-min rest period. Flow rate and positive end-expiratory pressure (PEEP) were kept constant. Airway pressure, inspiratory and expiratory tidal volumes, mask leak, respiratory rate and inspiratory time were recorded. Repeated measures analysis of variance was used for statistical analysis. A total of 12 749 inflations delivered by 40 participants were analysed. There were no statistically significant differences (P > 0.05) in the mask leak with the three PIP settings. No statistically significant differences were seen in respiratory rate and inspiratory time with the three PIP settings. There was a significant rise in PEEP as the PIP increased. Failure to achieve the desired PIP was observed especially at the higher settings. In a neonatal manikin model, the mask leak does not vary as a function of the PIP when the flow rate is constant. With a fixed rate and inspiratory time, there seems to be a rise in PEEP with increasing PIP. © 2017 Paediatrics and Child Health Division (The Royal Australasian College of Physicians).

  8. Entrapment of dye molecules within submicron silver particles

    Energy Technology Data Exchange (ETDEWEB)

    Yosef, Itzik; Avnir, David, E-mail: david@chem.ch.huji.ac.il [Hebrew University of Jerusalem, Institute of Chemistry (Israel)

    2011-09-15

    We describe a method for the preparation of metal-organic composites submicron particles. Specifically, the preparation of silver particle-clusters 150-200 nm in size, doped with an organic dye Congo-red, is reported. The use of sodium citrate coupled with sodium hypophosphite facilitated the formation of these particle-clusters, which were fully characterized by TEM analysis, Zeta potential and size measurements, scanning electron microscopy, UV-Vis measurements, and thermogravimetric analysis. The latter reveals a catalytic action of the metal on the thermal oxidative decomposition of the entrapped dye. The use of these particles to obtain dense thin metallic films was demonstrated by the coating of ITO glass.

  9. Optical performances of the FM JEM-X masks

    Science.gov (United States)

    Reglero, V.; Rodrigo, J.; Velasco, T.; Gasent, J. L.; Chato, R.; Alamo, J.; Suso, J.; Blay, P.; Martínez, S.; Doñate, M.; Reina, M.; Sabau, D.; Ruiz-Urien, I.; Santos, I.; Zarauz, J.; Vázquez, J.

    2001-09-01

    The JEM-X Signal Multiplexing Systems are large HURA codes "written" in a pure tungsten plate 0.5 mm thick. 24.247 hexagonal pixels (25% open) are spread over a total area of 535 mm diameter. The tungsten plate is embedded in a mechanical structure formed by a Ti ring, a pretensioning system (Cu-Be) and an exoskeleton structure that provides the required stiffness. The JEM-X masks differ from the SPI and IBIS masks on the absence of a code support structure covering the mask assembly. Open pixels are fully transparent to X-rays. The scope of this paper is to report the optical performances of the FM JEM-X masks defined by uncertainties on the pixel location (centroid) and size coming from the manufacturing and assembly processes. Stability of the code elements under thermoelastic deformations is also discussed. As a general statement, JEM-X Mask optical properties are nearly one order of magnitude better than specified in 1994 during the ESA instrument selection.

  10. Comodulation masking release in bit-rate reduction systems

    DEFF Research Database (Denmark)

    Vestergaard, Martin David; Rasmussen, Karsten Bo; Poulsen, Torben

    1999-01-01

    It has been suggested that the level dependence of the upper masking slope be utilized in perceptual models in bit-rate reduction systems. However, comodulation masking release (CMR) phenomena lead to a reduction of the masking effect when a masker and a probe signal are amplitude modulated...... with the same frequency. In bit-rate reduction systems the masker would be the audio signal and the probe signal would represent the quantization noise. Masking curves have been determined for sinusoids and 1-Bark-wide noise maskers in order to investigate the risk of CMR, when quantizing depths are fixed...... in accordance with psycho-acoustical principles. Masker frequencies of 500 Hz, 1 kHz, and 2 kHz have been investigated, and the masking of pure tone probes has been determined in the first four 1/3 octaves above the masker. Modulation frequencies between 6 and 20 Hz were used with a modulation depth of 0...

  11. Masking responses to light in period mutant mice.

    Science.gov (United States)

    Pendergast, Julie S; Yamazaki, Shin

    2011-10-01

    Masking is an acute effect of an external signal on an overt rhythm and is distinct from the process of entrainment. In the current study, we investigated the phase dependence and molecular mechanisms regulating masking effects of light pulses on spontaneous locomotor activity in mice. The circadian genes, Period1 (Per1) and Per2, are necessary components of the timekeeping machinery and entrainment by light appears to involve the induction of the expression of Per1 and Per2 mRNAs in the suprachiasmatic nuclei (SCN). We assessed the roles of the Per genes in regulating masking by assessing the effects of light pulses on nocturnal locomotor activity in C57BL/6J Per mutant mice. We found that Per1(-/-) and Per2(-/-) mice had robust negative masking responses to light. In addition, the locomotor activity of Per1(-/-)/Per2(-/-) mice appeared to be rhythmic in the light-dark (LD) cycle, and the phase of activity onset was advanced (but varied among individual mice) relative to lights off. This rhythm persisted for 1 to 2 days in constant darkness in some Per1(-/-)/Per2(-/-) mice. Furthermore, Per1(-/-)/Per2(-/-) mice exhibited robust negative masking responses to light. Negative masking was phase dependent in wild-type mice such that maximal suppression was induced by light pulses at zeitgeber time 14 (ZT14) and gradually weaker suppression occurred during light pulses at ZT16 and ZT18. By measuring the phase shifts induced by the masking protocol (light pulses were administered to mice maintained in the LD cycle), we found that the phase responsiveness of Per mutant mice was altered compared to wild-types. Together, our data suggest that negative masking responses to light are robust in Per mutant mice and that the Per1(-/-)/Per2(-/-) SCN may be a light-driven, weak/damping oscillator.

  12. Electrostatic mask for active targets

    International Nuclear Information System (INIS)

    Pancin, J; Gangnant, P; Libin, J-F; Raabe, R; Roger, T; Roussel-Chomaz, P; Gibelin, J; Goth, M

    2012-01-01

    Active gas targets have been used in nuclear physics since 30 years. They are promising systems in view of the new exotic beams soon available at facilities like SPIRAL2 or FAIR, but the system can still be improved. One of the main limitation is the dynamic range in energy deposition. The energy deposited per unit length can be 3 decades higher for the beam than for the light reaction products and the risk to saturate the electronics or that the detector spark are not negligible. A simple solution using a wire plane to mask partially the beam is presented here. Some simulation has been realized and some experimental results are shown confirming the feasibility of this wire tunable mask. The mask can be used from full transparency to full opacity without degrading neither the drift electric field of the chamber nor the performances of detection of the beam or the light products.

  13. Filter penetration and breathing resistance evaluation of respirators and dust masks.

    Science.gov (United States)

    Ramirez, Joel; O'Shaughnessy, Patrick

    2017-02-01

    The primary objective of this study was to compare the filter performance of a representative selection of uncertified dust masks relative to the filter performance of a set of NIOSH-approved N95 filtering face-piece respirators (FFRs). Five different models of commercially available dust masks were selected for this study. Filter penetration of new dust masks was evaluated against a sodium chloride aerosol. Breathing resistance (BR) of new dust masks and FFRs was then measured for 120 min while challenging the dust masks and FFRs with Arizona road dust (ARD) at 25°C and 30% relative humidity. Results demonstrated that a wide range of maximum filter penetration was observed among the dust masks tested in this study (3-75% at the most penetrating particle size (p masks did not vary greatly (8-13 mm H 2 O) but were significantly different (p mask. Microscopic analysis of the external layer of each dust mask and FFR suggests that different collection media in the external layer influences the development of the dust layer and therefore affects the increase in BR differently between the tested models. Two of the dust masks had penetration values masks, those with penetration > 15%, had quality factors ranging between 0.04-0.15 primarily because their initial BR remained relatively high. These results indicate that some dust masks analysed during this research did not have an expected very low BR to compensate for their high penetration.

  14. Mask manufacturing improvement through capability definition and bottleneck line management

    Science.gov (United States)

    Strott, Al

    1994-02-01

    In 1989, Intel's internal mask operation limited itself to research and development activities and re-inspection and pellicle application of externally manufactured masks. Recognizing the rising capital cost of mask manufacturing at the leading edge, Intel's Mask Operation management decided to offset some of these costs by manufacturing more masks internally. This was the beginning of the challenge they set to manufacture at least 50% of Intel's mask volume internally, at world class performance levels. The first step in responding to this challenge was the completion of a comprehensive operation capability analysis. A series of bottleneck improvements by focus teams resulted in an average cycle time improvement to less than five days on all product and less than two days on critical products.

  15. Joint optimization of source, mask, and pupil in optical lithography

    Science.gov (United States)

    Li, Jia; Lam, Edmund Y.

    2014-03-01

    Mask topography effects need to be taken into consideration for more advanced resolution enhancement techniques in optical lithography. However, rigorous 3D mask model achieves high accuracy at a large computational cost. This work develops a combined source, mask and pupil optimization (SMPO) approach by taking advantage of the fact that pupil phase manipulation is capable of partially compensating for mask topography effects. We first design the pupil wavefront function by incorporating primary and secondary spherical aberration through the coefficients of the Zernike polynomials, and achieve optimal source-mask pair under the condition of aberrated pupil. Evaluations against conventional source mask optimization (SMO) without incorporating pupil aberrations show that SMPO provides improved performance in terms of pattern fidelity and process window sizes.

  16. Maximizing noise energy for noise-masking studies.

    Science.gov (United States)

    Jules Étienne, Cédric; Arleo, Angelo; Allard, Rémy

    2017-08-01

    Noise-masking experiments are widely used to investigate visual functions. To be useful, noise generally needs to be strong enough to noticeably impair performance, but under some conditions, noise does not impair performance even when its contrast approaches the maximal displayable limit of 100 %. To extend the usefulness of noise-masking paradigms over a wider range of conditions, the present study developed a noise with great masking strength. There are two typical ways of increasing masking strength without exceeding the limited contrast range: use binary noise instead of Gaussian noise or filter out frequencies that are not relevant to the task (i.e., which can be removed without affecting performance). The present study combined these two approaches to further increase masking strength. We show that binarizing the noise after the filtering process substantially increases the energy at frequencies within the pass-band of the filter given equated total contrast ranges. A validation experiment showed that similar performances were obtained using binarized-filtered noise and filtered noise (given equated noise energy at the frequencies within the pass-band) suggesting that the binarization operation, which substantially reduced the contrast range, had no significant impact on performance. We conclude that binarized-filtered noise (and more generally, truncated-filtered noise) can substantially increase the energy of the noise at frequencies within the pass-band. Thus, given a limited contrast range, binarized-filtered noise can display higher energy levels than Gaussian noise and thereby widen the range of conditions over which noise-masking paradigms can be useful.

  17. Breaking Frontiers: Submicron Structures in Physics and Biology - 52 Zakopane School of Physics

    International Nuclear Information System (INIS)

    2008-01-01

    The 52 Zakopane School of Physics held in Zakopane from 19 to 24 May 2008. The main task of the symposium was to present the newest results of research in field of submicron structures in physics, biology and medicine. Some new technologies as well as their applications are also presented

  18. Breaking Frontiers: Submicron Structures in Physics and Biology - 52 Zakopane School of Physics

    Energy Technology Data Exchange (ETDEWEB)

    NONE

    2008-07-01

    The 52 Zakopane School of Physics held in Zakopane from 19 to 24 May 2008. The main task of the symposium was to present the newest results of research in field of submicron structures in physics, biology and medicine. Some new technologies as well as their applications are also presented.

  19. Long-range tactile masking occurs in the postural body schema.

    Science.gov (United States)

    D'Amour, Sarah; Harris, Laurence R

    2016-02-01

    Long-range tactile masking has been reported between mirror symmetric body locations. This suggests a general principle of contralateral inhibition between corresponding points on each side of the body that may serve to enhance distinguishing touches on the two halves of the body. Do such effects occur before or after posture is added to the body schema? Here, we address this question by exploring the effect of arm position on long-range tactile masking. The influence of arm position was investigated using different positions of both the test and masking arms. Tactile sensitivity was measured on one forearm, while vibrotactile-masking stimulation was applied to the opposite arm or to a control site on the shoulder. No difference was found in sensitivity when test arm position was varied. Physical contact between the arms significantly increased the effectiveness of a masking stimulus applied to the other arm. Long-range masking between the arms was strongest when the arms were held parallel to each other and was abolished if the position of either the test arm or the masking arm was moved from this position. Modulation of the effectiveness of masking by the position of both the test and masking arms suggests that these effects occur after posture information is added to the body's representation in the brain.

  20. Estimation of the Ideal Binary Mask using Directional Systems

    DEFF Research Database (Denmark)

    Boldt, Jesper; Kjems, Ulrik; Pedersen, Michael Syskind

    2008-01-01

    The ideal binary mask is often seen as a goal for time-frequency masking algorithms trying to increase speech intelligibility, but the required availability of the unmixed signals makes it difficult to calculate the ideal binary mask in any real-life applications. In this paper we derive the theory...... and the requirements to enable calculations of the ideal binary mask using a directional system without the availability of the unmixed signals. The proposed method has a low complexity and is verified using computer simulation in both ideal and non-ideal setups showing promising results....

  1. A procedure and program to calculate shuttle mask advantage

    Science.gov (United States)

    Balasinski, A.; Cetin, J.; Kahng, A.; Xu, X.

    2006-10-01

    A well-known recipe for reducing mask cost component in product development is to place non-redundant elements of layout databases related to multiple products on one reticle plate [1,2]. Such reticles are known as multi-product, multi-layer, or, in general, multi-IP masks. The composition of the mask set should minimize not only the layout placement cost, but also the cost of the manufacturing process, design flow setup, and product design and introduction to market. An important factor is the quality check which should be expeditious and enable thorough visual verification to avoid costly modifications once the data is transferred to the mask shop. In this work, in order to enable the layer placement and quality check procedure, we proposed an algorithm where mask layers are first lined up according to the price and field tone [3]. Then, depending on the product die size, expected fab throughput, and scribeline requirements, the subsequent product layers are placed on the masks with different grades. The actual reduction of this concept to practice allowed us to understand the tradeoffs between the automation of layer placement and setup related constraints. For example, the limited options of the numbers of layer per plate dictated by the die size and other design feedback, made us consider layer pairing based not only on the final price of the mask set, but also on the cost of mask design and fab-friendliness. We showed that it may be advantageous to introduce manual layer pairing to ensure that, e.g., all interconnect layers would be placed on the same plate, allowing for easy and simultaneous design fixes. Another enhancement was to allow some flexibility in mixing and matching of the layers such that non-critical ones requiring low mask grade would be placed in a less restrictive way, to reduce the count of orphan layers. In summary, we created a program to automatically propose and visualize shuttle mask architecture for design verification, with

  2. Effects of temporal integration on the shape of visual backward masking functions.

    Science.gov (United States)

    Francis, Gregory; Cho, Yang Seok

    2008-10-01

    Many studies of cognition and perception use a visual mask to explore the dynamics of information processing of a target. Especially important in these applications is the time between the target and mask stimuli. A plot of some measure of target visibility against stimulus onset asynchrony is called a masking function, which can sometimes be monotonic increasing but other times is U-shaped. Theories of backward masking have long hypothesized that temporal integration of the target and mask influences properties of masking but have not connected the influence of integration with the shape of the masking function. With two experiments that vary the spatial properties of the target and mask, the authors provide evidence that temporal integration of the stimuli plays a critical role in determining the shape of the masking function. The resulting data both challenge current theories of backward masking and indicate what changes to the theories are needed to account for the new data. The authors further discuss the implication of the findings for uses of backward masking to explore other aspects of cognition.

  3. Submicron and ultrafine grained hardmetals for microdrills and metal cutting inserts

    International Nuclear Information System (INIS)

    Gille, G.; Szesny, B.; Dreyer, K.; Berg, H. van den; Schidt, J.; Gestrich, T.; Leitner, G.

    2001-01-01

    Although round tools as carbide drills and mills are dominating by far the application of submicron and ultrafine hardmetals the consumption for PCB microdrills had the strongest growth rate over the last decade. This paper deals with the latest developments of ultrafine hardmetals and their application for PCB microdrills and metal cutting inserts. Based on optimized processing and properties such as hardness, hot hardness, toughness, strength and wear resistance a new generation of microdrills is presented. In particular the failure probability of the microdrills could be considerably reduced and the number of drilling strokes was nearly doubled. Combining improved pressing behavior with proper doping and optimized processing new applications of submicron and ultrafine hardmetals could be obtained by using complex shaped metal cutting inserts. Apart from these application examples the paper gives some insight into fundamental investigations an sintering and properties of ultrafine hardmetals and shows in particular the influence of milling, doping and sintering an the properties of ultrafine hardmetals. The paper also presents a new ultrafine WC grade showing a 0.1 μm WC intercept of a sintered WC - 10 wt % Co structure and a hardness of HV 30 = 2050 for a 1 wt % mixed VC/Cr 3 C 2 doping. (author)

  4. Software error masking effect on hardware faults

    International Nuclear Information System (INIS)

    Choi, Jong Gyun; Seong, Poong Hyun

    1999-01-01

    Based on the Very High Speed Integrated Circuit (VHSIC) Hardware Description Language (VHDL), in this work, a simulation model for fault injection is developed to estimate the dependability of the digital system in operational phase. We investigated the software masking effect on hardware faults through the single bit-flip and stuck-at-x fault injection into the internal registers of the processor and memory cells. The fault location reaches all registers and memory cells. Fault distribution over locations is randomly chosen based on a uniform probability distribution. Using this model, we have predicted the reliability and masking effect of an application software in a digital system-Interposing Logic System (ILS) in a nuclear power plant. We have considered four the software operational profiles. From the results it was found that the software masking effect on hardware faults should be properly considered for predicting the system dependability accurately in operation phase. It is because the masking effect was formed to have different values according to the operational profile

  5. Design and characterization of submicron formulation for a poorly soluble drug: the effect of Vitamin E TPGS and other solubilizers on skin permeability enhancement.

    Science.gov (United States)

    Ghosh, Indrajit; Michniak-Kohn, Bozena

    2012-09-15

    In transdermal drug delivery systems (TDDS), it is a challenge to achieve stable and prolonged high permeation rates across the skin since the concentrations of the drug dissolved in the matrix have to be high in order to maintain zero order release kinetics. Several attempts have been reported to improve the permeability of poorly soluble drug compounds using supersaturated systems, however, due to thermodynamic challenges, there was a high tendency for the drug to nucleate immediately after formulating or even during storage. The present study focuses on the efficiency of drug crystals at the submicron/nano range in presence of different solubilizers to improve the permeation rate. Effect of several solubilizers, e.g. Pluronic F-127, Vitamin E TPGS, propylene glycol were studied on the submicron suspension systems of ibuprofen as a model drug. Various stabilizers such as hydroxylpropyl methylcellulose (HPMC) and polyvinylpyrrolidone (PVP) were examined to evaluate their crystal inhibitory effects on particle growth of the drug compound at submicron range. The overall permeation enhancement process through the skin seems to be influenced by the presence of solubilizers and also the presence of submicron drug crystal. The most promising stable formulation was developed with Vitamin E TPGS+HPMC submicron suspension, which produced higher permeation rate compared to other vehicles. Copyright © 2012 Elsevier B.V. All rights reserved.

  6. UNMASKING MASKED HYPERTENSION: PREVALENCE, CLINICAL IMPLICATIONS, DIAGNOSIS, CORRELATES, AND FUTURE DIRECTIONS

    Science.gov (United States)

    Peacock, James; Diaz, Keith M.; Viera, Anthony J.; Schwartz, Joseph E.; Shimbo, Daichi

    2014-01-01

    Masked hypertension’ is defined as having non-elevated clinic blood pressure (BP) with elevated out-of-clinic average BP, typically determined by ambulatory BP monitoring. Approximately 15–30% of adults with non-elevated clinic BP have masked hypertension. Masked hypertension is associated with increased risks of cardiovascular morbidity and mortality compared to sustained normotension (non-elevated clinic and ambulatory BP), which is similar to or approaching the risk associated with sustained hypertension (elevated clinic and ambulatory BP). The confluence of increased cardiovascular risk and a failure to be diagnosed by the conventional approach of clinic BP measurement makes masked hypertension a significant public health concern. However, many important questions remain. First, the definition of masked hypertension varies across studies. Further, the best approach in the clinical setting to exclude masked hypertension also remains unknown. It is unclear whether home BP monitoring is an adequate substitute for ambulatory BP monitoring in identifying masked hypertension. Few studies have examined the mechanistic pathways that may explain masked hypertension. Finally, scarce data are available on the best approach to treating individuals with masked hypertension. Herein, we review the current literature on masked hypertension including definition, prevalence, clinical implications, special patient populations, correlates, issues related to diagnosis, treatment, and areas for future research. PMID:24573133

  7. Submicron InP DHBT technology for high-speed high-swing mixed-signal ICs

    DEFF Research Database (Denmark)

    Godin, Jean; Nodjiadjim, V.; Riet, Muriel

    2008-01-01

    We report on the development of a submicron InP DHBT technology, optimized for the fabrication of 50-GHz-clock mixed signal ICs. In-depth study of device geometry and structure has allowed to get the needed performances and yield. Special attention has been paid to critical thermal behavior. Vari...... applications of interest....

  8. Synthesis of functional nanomaterials via colloidal mask templating and glancing angle deposition (GLAD)”

    DEFF Research Database (Denmark)

    Dolatshahi-Pirouz, Alireza; Jensen, Thomas Bo; Vorup-Jensen, Thomas

    2010-01-01

    We present a simple method for the fabrication of separated brush-like networks with both improved optical and biological properties. The brush networks were fabricated by combing the glancing angle deposition (GLAD) technique with colloidal mask templating. By changing the deposition angle durin...... of multifunctional nanomaterials which could have potential impact on devices where both controlled optical properties and cell surface interactions are needed (for instance controlled cell adhesion on optical biosensor materials).......We present a simple method for the fabrication of separated brush-like networks with both improved optical and biological properties. The brush networks were fabricated by combing the glancing angle deposition (GLAD) technique with colloidal mask templating. By changing the deposition angle during...

  9. Selective spatial attention modulates bottom-up informational masking of speech

    OpenAIRE

    Carlile, Simon; Corkhill, Caitlin

    2015-01-01

    To hear out a conversation against other talkers listeners overcome energetic and informational masking. Largely attributed to top-down processes, information masking has also been demonstrated using unintelligible speech and amplitude-modulated maskers suggesting bottom-up processes. We examined the role of speech-like amplitude modulations in information masking using a spatial masking release paradigm. Separating a target talker from two masker talkers produced a 20?dB improvement in speec...

  10. Masked hypertension, a review of the literature.

    NARCIS (Netherlands)

    Verberk, W.J.; Thien, Th.; Leeuw, P.W. de

    2007-01-01

    Masked hypertension (blood pressure that is normal in the physicians' office but elevated elsewhere) is a common phenomenon as prevalence among studies varies from 8 to 45% and is seen at all ages. large discrepancies, however, exist between studies that have dealt with masked hypertension. It is of

  11. Comparison of monaural (CMR) and binaural (BMLD) masking release

    NARCIS (Netherlands)

    Par, van de S.L.J.D.E.; Kohlrausch, A.G.

    1998-01-01

    Release of masking for a sinusoidal signal of 5 kHz masked by a 25-Hz-wide noise band centered around 5 kHz was measured. The masking release was provided by a second noise band that was comodulated with the on-frequency masker band. For CMR configurations the second noise band was centered at 3 kHz

  12. Selective spatial attention modulates bottom-up informational masking of speech.

    Science.gov (United States)

    Carlile, Simon; Corkhill, Caitlin

    2015-03-02

    To hear out a conversation against other talkers listeners overcome energetic and informational masking. Largely attributed to top-down processes, information masking has also been demonstrated using unintelligible speech and amplitude-modulated maskers suggesting bottom-up processes. We examined the role of speech-like amplitude modulations in information masking using a spatial masking release paradigm. Separating a target talker from two masker talkers produced a 20 dB improvement in speech reception threshold; 40% of which was attributed to a release from informational masking. When across frequency temporal modulations in the masker talkers are decorrelated the speech is unintelligible, although the within frequency modulation characteristics remains identical. Used as a masker as above, the information masking accounted for 37% of the spatial unmasking seen with this masker. This unintelligible and highly differentiable masker is unlikely to involve top-down processes. These data provides strong evidence of bottom-up masking involving speech-like, within-frequency modulations and that this, presumably low level process, can be modulated by selective spatial attention.

  13. The time-course of visual masking effects on saccadic responses indicates that masking interferes with reentrant processing

    DEFF Research Database (Denmark)

    Crouzet, S.; Pin, Simon Hviid Del; Overgaard, Morten

    2013-01-01

    Object substitution masking (OSM) occurs when a briefly presented target in a search array is surrounded by small dots that remain visible after the target disappears. Here, we tested the widespread assumption that OSM selectively impairs reentrant processing. If OSM interferes selectively...... with reentrant processing, then the first feedforward sweep should be left relatively intact. Using a standard OSM paradigm in combination with a saccadic choice task, giving access to an early phase of visual processing (the fastest saccades occurring only 100 ms after target onset), we compared the masking....... Interestingly, the same result was observed using backward masking. In a follow-up experiment, where we assessed observer’s visual awareness using single-trial visibility ratings, we demonstrated that these ultra-fast responses were actually linked to subsequent reported visibility. Taken together...

  14. The efficacy of three different mask styles on a PAP titration night.

    Science.gov (United States)

    Ebben, Matthew R; Oyegbile, Temitayo; Pollak, Charles P

    2012-06-01

    This study compared the efficacy of three different masks, nasal pillows, nasal masks and full face (oronasal) masks, during a single night of titration with continuous positive airway pressure (CPAP). Fifty five subjects that included men (n=33) and women (n=22) were randomly assigned to one of three masks and underwent a routine titration with incremental CPAP applied through the different masks. CPAP applied through the nasal pillows and nasal mask was equally effective in treating mild, moderate, and severe sleep apnea. However, CPAP applied through the oronasal mask required a significantly higher pressure compared to nasal masks to treat moderately severe (2.8 cm of H(2)O ± 2.1 SD) and severe (6.0 cm of H(2)O ± 3.2 SD) obstructive sleep apnea. CPAP applied with either nasal mask was effective in treating mild, moderate, and severe sleep apnea. The oronasal mask required significantly higher pressures in subjects with moderate to severe disease. Therefore, when changing from a nasal to an oronasal mask, a repeat titration is required to ensure effective treatment of sleep apnea, especially in patients with moderate to severe disease. Copyright © 2012 Elsevier B.V. All rights reserved.

  15. Masking Responses to Light in Period Mutant Mice

    Science.gov (United States)

    Pendergast, Julie S.; Yamazaki, Shin

    2013-01-01

    Masking is an acute effect of an external signal on an overt rhythm and is distinct from the process of entrainment. In the current study, we investigated the phase dependence and molecular mechanisms regulating masking effects of light pulses on spontaneous locomotor activity in mice. The circadian genes, Period1 (Per1) and Per2, are necessary components of the timekeeping machinery and entrainment by light appears to involve the induction of the expression of Per1 and Per2 mRNAs in the suprachiasmatic nuclei (SCN). We assessed the roles of the Per genes in regulating masking by assessing the effects of light pulses on nocturnal locomotor activity in C57BL/6J Per mutant mice. We found that Per1−/− and Per2−/− mice had robust negative masking responses to light. In addition, the locomotor activity of Per1−/−/Per2−/− mice appeared to be rhythmic in the light-dark (LD) cycle, and the phase of activity onset was advanced (but varied among individual mice) relative to lights off. This rhythm persisted for 1 to 2 days in constant darkness in some Per1−/−/Per2−/− mice. Furthermore, Per1−/−/Per2−/− mice exhibited robust negative masking responses to light. Negative masking was phase dependent in wild-type mice such that maximal suppression was induced by light pulses at zeitgeber time 14 (ZT14) and gradually weaker suppression occurred during light pulses at ZT16 and ZT18. By measuring the phase shifts induced by the masking protocol (light pulses were administered to mice maintained in the LD cycle), we found that the phase responsiveness of Per mutant mice was altered compared to wild-types. Together, our data suggest that negative masking responses to light are robust in Per mutant mice and that the Per1−/−/Per2−/− SCN may be a light-driven, weak/damping oscillator. PMID:21793695

  16. Laser micromachined wax-covered plastic paper as both sputter deposition shadow masks and deep-ultraviolet patterning masks for polymethylmethacrylate-based microfluidic systems

    KAUST Repository

    Fan, Yiqiang

    2013-12-16

    We report a technically innovative method of fabricating masks for both deep-ultraviolet (UV) patterning and metal sputtering on polymethylmethacrylate (PMMA) for microfluidic systems. We used a CO2 laser system to cut the required patterns on wax-covered plastic paper; the laser-patterned wax paper will either work as a mask for deep-UV patterning or as a mask for metal sputtering. A microfluidic device was also fabricated to demonstrate the feasibility of this method. The device has two layers: the first layer is a 1-mm thick PMMA substrate that was patterned by deep-UV exposure to create microchannels. The mask used in this process was the laser-cut wax paper. The second layer, also a 1-mm thick PMMA layer, was gold sputtered with patterned wax paper as the shadow mask. These two pieces of PMMA were then bonded to form microchannels with exposed electrodes. This process is a simple and rapid method for creating integrated microfluidic systems that do not require cleanroom facilities.

  17. Masking properties of ceramics for veneer restorations.

    Science.gov (United States)

    Skyllouriotis, Andreas L; Yamamoto, Hideo L; Nathanson, Dan

    2017-10-01

    The translucency and opacity of ceramics play a significant role in emulating the natural color of teeth, but studies of the masking properties and limitations of dental ceramics when used as monolayer restorations are lacking. The purpose of this in vitro study was to determine the translucency of 6 materials used for veneer restorations by assessing their translucency parameters (TPs), contrast ratios (CRs), and potential to mask dark tooth colors. Ten square- or disk-shaped specimens (0.5-mm thickness, shade A2) were fabricated from Vitablocks Mark II (VMII; Vita Zahnfabrik), IPS e.max CAD LT (EMXC LT; Ivoclar Vivadent AG), IPS e.max CAD HT (EMXC HT; Ivoclar Vivadent AG), IPS Empress CAD LT (EMP LT; Ivoclar Vivadent AG), IPS e.max Press LT (EMXP LT; Ivoclar Vivadent AG), and CZR (CZR; Kuraray Noritake Dental Inc). Their luminance (Y) values over black and over white tiles were measured, followed by their color (CIELab) over black tiles and white tiles and shaded A2 (control group), A3.5, A4, and B4 acrylic resin blocks. All measurements were performed using a spectrophotometer in 2 different areas on each specimen. Then CRs, TPs, and color differences (over shaded backgrounds) were determined. Data were subjected to 1-way and 2-way ANOVA (α=.05) for analysis. Mean CR values of EMXP LT were significantly higher than those of the other tested materials, whereas VMII and EMXC HT had the lowest values (Pmasking properties against the A4 background. The color differences of most tested ceramics were more acceptable when tested against the B4 background (ΔE*≤3.3). Copyright © 2016 Editorial Council for the Journal of Prosthetic Dentistry. Published by Elsevier Inc. All rights reserved.

  18. Testing Tactile Masking between the Forearms.

    Science.gov (United States)

    D'Amour, Sarah; Harris, Laurence R

    2016-02-10

    Masking, in which one stimulus affects the detection of another, is a classic technique that has been used in visual, auditory, and tactile research, usually using stimuli that are close together to reveal local interactions. Masking effects have also been demonstrated in which a tactile stimulus alters the perception of a touch at a distant location. Such effects can provide insight into how components of the body's representations in the brain may be linked. Occasional reports have indicated that touches on one hand or forearm can affect tactile sensitivity at corresponding contralateral locations. To explore the matching of corresponding points across the body, we can measure the spatial tuning and effect of posture on contralateral masking. Careful controls are required to rule out direct effects of the remote stimulus, for example by mechanical transmission, and also attention effects in which thresholds may be altered by the participant's attention being drawn away from the stimulus of interest. The use of this technique is beneficial as a behavioural measure for exploring which parts of the body are functionally connected and whether the two sides of the body interact in a somatotopic representation. This manuscript describes a behavioural protocol that can be used for studying contralateral tactile masking.

  19. Mask effects on cosmological studies with weak-lensing peak statistics

    International Nuclear Information System (INIS)

    Liu, Xiangkun; Pan, Chuzhong; Fan, Zuhui; Wang, Qiao

    2014-01-01

    With numerical simulations, we analyze in detail how the bad data removal, i.e., the mask effect, can influence the peak statistics of the weak-lensing convergence field reconstructed from the shear measurement of background galaxies. It is found that high peak fractions are systematically enhanced because of the presence of masks; the larger the masked area is, the higher the enhancement is. In the case where the total masked area is about 13% of the survey area, the fraction of peaks with signal-to-noise ratio ν ≥ 3 is ∼11% of the total number of peaks, compared with ∼7% of the mask-free case in our considered cosmological model. This can have significant effects on cosmological studies with weak-lensing convergence peak statistics, inducing a large bias in the parameter constraints if the effects are not taken into account properly. Even for a survey area of 9 deg 2 , the bias in (Ω m , σ 8 ) is already intolerably large and close to 3σ. It is noted that most of the affected peaks are close to the masked regions. Therefore, excluding peaks in those regions in the peak statistics can reduce the bias effect but at the expense of losing usable survey areas. Further investigations find that the enhancement of the number of high peaks around the masked regions can be largely attributed to the smaller number of galaxies usable in the weak-lensing convergence reconstruction, leading to higher noise than that of the areas away from the masks. We thus develop a model in which we exclude only those very large masks with radius larger than 3' but keep all the other masked regions in peak counting statistics. For the remaining part, we treat the areas close to and away from the masked regions separately with different noise levels. It is shown that this two-noise-level model can account for the mask effect on peak statistics very well, and the bias in cosmological parameters is significantly reduced if this model is applied in the parameter fitting.

  20. Regular Nanoscale Protein Patterns via Directed Adsorption through Self-Assembled DNA Origami Masks.

    Science.gov (United States)

    Ramakrishnan, Saminathan; Subramaniam, Sivaraman; Stewart, A Francis; Grundmeier, Guido; Keller, Adrian

    2016-11-16

    DNA origami has become a widely used method for synthesizing well-defined nanostructures with promising applications in various areas of nanotechnology, biophysics, and medicine. Recently, the possibility to transfer the shape of single DNA origami nanostructures into different materials via molecular lithography approaches has received growing interest due to the great structural control provided by the DNA origami technique. Here, we use ordered monolayers of DNA origami nanostructures with internal cavities on mica surfaces as molecular lithography masks for the fabrication of regular protein patterns over large surface areas. Exposure of the masked sample surface to negatively charged proteins results in the directed adsorption of the proteins onto the exposed surface areas in the holes of the mask. By controlling the buffer and adsorption conditions, the protein coverage of the exposed areas can be varied from single proteins to densely packed monolayers. To demonstrate the versatility of this approach, regular nanopatterns of four different proteins are fabricated: the single-strand annealing proteins Redβ and Sak, the iron-storage protein ferritin, and the blood protein bovine serum albumin (BSA). We furthermore demonstrate the desorption of the DNA origami mask after directed protein adsorption, which may enable the fabrication of hierarchical patterns composed of different protein species. Because selectivity in adsorption is achieved by electrostatic interactions between the proteins and the exposed surface areas, this approach may enable also the large-scale patterning of other charged molecular species or even nanoparticles.

  1. Masking with faces in central visual field under a variety of temporal schedules.

    Science.gov (United States)

    Daar, Marwan; Wilson, Hugh R

    2015-11-01

    With a few exceptions, previous studies have explored masking using either a backward mask or a common onset trailing mask, but not both. In a series of experiments, we demonstrate the use of faces in central visual field as a viable method to study the relationship between these two types of mask schedule. We tested observers in a two alternative forced choice face identification task, where both target and mask comprised synthetic faces, and show that a simple model can successfully predict masking across a variety of masking schedules ranging from a backward mask to a common onset trailing mask and a number of intermediate variations. Our data are well accounted for by a window of sensitivity to mask interference that is centered at around 100 ms. Copyright © 2015 Elsevier Ltd. All rights reserved.

  2. Hyper-realistic face masks: a new challenge in person identification.

    Science.gov (United States)

    Sanders, Jet Gabrielle; Ueda, Yoshiyuki; Minemoto, Kazusa; Noyes, Eilidh; Yoshikawa, Sakiko; Jenkins, Rob

    2017-01-01

    We often identify people using face images. This is true in occupational settings such as passport control as well as in everyday social environments. Mapping between images and identities assumes that facial appearance is stable within certain bounds. For example, a person's apparent age, gender and ethnicity change slowly, if at all. It also assumes that deliberate changes beyond these bounds (i.e., disguises) would be easy to spot. Hyper-realistic face masks overturn these assumptions by allowing the wearer to look like an entirely different person. If unnoticed, these masks break the link between facial appearance and personal identity, with clear implications for applied face recognition. However, to date, no one has assessed the realism of these masks, or specified conditions under which they may be accepted as real faces. Herein, we examined incidental detection of unexpected but attended hyper-realistic masks in both photographic and live presentations. Experiment 1 (UK; n = 60) revealed no evidence for overt detection of hyper-realistic masks among real face photos, and little evidence of covert detection. Experiment 2 (Japan; n = 60) extended these findings to different masks, mask-wearers and participant pools. In Experiment 3 (UK and Japan; n = 407), passers-by failed to notice that a live confederate was wearing a hyper-realistic mask and showed limited evidence of covert detection, even at close viewing distance (5 vs. 20 m). Across all of these studies, viewers accepted hyper-realistic masks as real faces. Specific countermeasures will be required if detection rates are to be improved.

  3. Unsharp masking technique as a preprocessing filter for improvement of 3D-CT image of bony structure in the maxillofacial region

    International Nuclear Information System (INIS)

    Harada, Takuya; Nishikawa, Keiichi; Kuroyanagi, Kinya

    1998-01-01

    We evaluated the usefulness of the unsharp masking technique as a preprocessing filter to improve 3D-CT images of bony structure in the maxillofacial region. The effect of the unsharp masking technique with several combinations of mask size and weighting factor on image resolution was investigated using a spatial frequency phantom made of bone-equivalent material. The 3D-CT images were obtained with scans perpendicular to and parallel to the phantom plates. The contrast transfer function (CTF) and the full width at half maximum (FWHM) of each spatial frequency component were measured. The FWHM was expressed as a ratio against the actual thickness of phantom plate. The effect on pseudoforamina was assessed using sliced CT images obtained in clinical bony 3D-CT examinations. The effect of the unsharp masking technique on image quality was also visually evaluated using five clinical fracture cases. CTFs did not change. FWHM ratios of original 3D-CT images were smaller than 1.0, regardless of the scanning direction. Those in scans perpendicular to the phantom plates were not changed by the unsharp masking technique. Those in parallel scanning were increased by mask size and weighting factor. The area of pseudoforamina decreased with increases in mask size and weighting factor. The combination of mask size 3 x 3 pixels and weighting factor 5 was optimal. Visual evaluation indicated that preprocessing with the unsharp masking technique improved the image quality of the 3D-CT images. The unsharp masking technique is useful as a preprocessing filter to improve the 3D-CT image of bony structure in the maxillofacial region. (author)

  4. SU-E-T-71: A Radiochromic Film Based Quantitative Assessment of Thermoplastic Mask Bolus Effect in Head and Neck IMRT/VMAT

    Energy Technology Data Exchange (ETDEWEB)

    Kalavagunta, C; Lin, M; Snider, J; Xu, H; Schrum, A; Vadnais, P; Marter, K; Suntharalingam, M; Prado, K [University of Maryland School of Medicine, Baltimore, MD (United States)

    2015-06-15

    Purpose: To quantify the factors leading to thermoplastic mask bolus-associated-increased skin dose in head and neck IMRT/VMAT using EBT2 film. Methods: EBT2 film placed beneath a dual layer 3-point ORFIT head, neck and shoulder mask was used to test the effect of mask thickness, beam modulation, air gap, and beam obliquity on bolus effect. Mask thickness was varied based on the distribution of 1.6mm Orfilight layer on top of 2 mm Efficast layer. Beam modulation was varied by irradiating the film with an open field (no beam modulation) and a step and shoot field (beam modulation). Air gap between mask and film was varied from 0 to 5mm. Beam obliquity was varied by irradiating the film at gantry angles of 0°, 35°, and 70°.Finally, film strips placed on a Rando phantom under an Orfit mask, in regions of expected high dose, were irradiated using 5 IMRT and 5 VMAT plans with various modulation levels (modulation factor 2 to 5) and the results were compared with those obtained placing OSLDs at the same locations. Results: An 18–34% increase in mask bolus effect was observed for three factors where the effect of beam obliquity ≥ beam modulation > mask thickness. No increase in mask bolus effect was observed for change in air gap. A 6–13% increase in dose due to mask bolus effect was observed on film strips. Conclusion: This work underlines the role of beam obliquity and beam modulation combined with thermoplastic mask thickness in increasing mask bolus-associated skin dose in head and neck IMRT/VMAT. One possible method of dose reduction, based on knowledge gained from this work, is inclusion of skin as an avoidance structure in treatment planning. Another approach is to design a mask with the least amount of thermoplastic material necessary for immobilization.

  5. SU-E-T-71: A Radiochromic Film Based Quantitative Assessment of Thermoplastic Mask Bolus Effect in Head and Neck IMRT/VMAT

    International Nuclear Information System (INIS)

    Kalavagunta, C; Lin, M; Snider, J; Xu, H; Schrum, A; Vadnais, P; Marter, K; Suntharalingam, M; Prado, K

    2015-01-01

    Purpose: To quantify the factors leading to thermoplastic mask bolus-associated-increased skin dose in head and neck IMRT/VMAT using EBT2 film. Methods: EBT2 film placed beneath a dual layer 3-point ORFIT head, neck and shoulder mask was used to test the effect of mask thickness, beam modulation, air gap, and beam obliquity on bolus effect. Mask thickness was varied based on the distribution of 1.6mm Orfilight layer on top of 2 mm Efficast layer. Beam modulation was varied by irradiating the film with an open field (no beam modulation) and a step and shoot field (beam modulation). Air gap between mask and film was varied from 0 to 5mm. Beam obliquity was varied by irradiating the film at gantry angles of 0°, 35°, and 70°.Finally, film strips placed on a Rando phantom under an Orfit mask, in regions of expected high dose, were irradiated using 5 IMRT and 5 VMAT plans with various modulation levels (modulation factor 2 to 5) and the results were compared with those obtained placing OSLDs at the same locations. Results: An 18–34% increase in mask bolus effect was observed for three factors where the effect of beam obliquity ≥ beam modulation > mask thickness. No increase in mask bolus effect was observed for change in air gap. A 6–13% increase in dose due to mask bolus effect was observed on film strips. Conclusion: This work underlines the role of beam obliquity and beam modulation combined with thermoplastic mask thickness in increasing mask bolus-associated skin dose in head and neck IMRT/VMAT. One possible method of dose reduction, based on knowledge gained from this work, is inclusion of skin as an avoidance structure in treatment planning. Another approach is to design a mask with the least amount of thermoplastic material necessary for immobilization

  6. Barriers to mask wearing for influenza-like illnesses among urban Hispanic households.

    Science.gov (United States)

    Ferng, Yu-hui; Wong-McLoughlin, Jennifer; Barrett, Angela; Currie, Leanne; Larson, Elaine

    2011-01-01

    To identify barriers to mask wearing and to examine the factors associated with the willingness to wear masks among households. We used data sources from a study assessing the impact of 3 nonpharmaceutical interventions on the rates of influenza: exit interviews; home visits with a subset of the mask group; and a focus group. Risk perception score, univariate analysis, and logistic regression were conducted to identify the characteristics and predictors of mask use. Thematic barriers to mask wearing were identified from qualitative data obtained at home visits and focus group. Respondents from the mask group, when compared with the nonmask group, demonstrated higher risk perception scores concerning influenza (maximum score: 60, means: 37.6 and 30.2, pmask wearing (maximum score: 10, means: 7.8 and 7.3, p=.043). There was no significant association between demographic, attitudinal, or knowledge variables and adherence to wearing masks. Thematic barriers were identified such as social acceptability of mask use, comfort and fit, and perception of the risk/need for masks. Face masks may not be an effective intervention for seasonal or pandemic influenza unless the risk perception of influenza is high. Dissemination of culturally appropriate mask use information by health authorities and providers must be emphasized when educating the public. © 2010 Wiley Periodicals, Inc.

  7. Advantages, Disadvantages, Indications, Contraindications and Surgical Technique of Laryngeal Airway Mask.

    Science.gov (United States)

    Jannu, Anubhav; Shekar, Ashim; Balakrishna, Ramdas; Sudarshan, H; Veena, G C; Bhuvaneshwari, S

    2017-12-01

    The beauty of the laryngeal mask is that it forms an air tight seal enclosing the larynx rather than plugging the pharynx, and avoid airway obstruction in the oropharynx. The goal of its development was to create an intermediate form of airway management face mask and endotracheal tube. Indication for its use includes any procedure that would normally involve the use of a face mask. The laryngeal mask airway was designed as a new concept in airway management and has been gaining a firm position in anesthetic practice. Despite wide spread use the definitive role of the laryngeal mask airway is yet to be established. In some situations, such as after failed tracheal intubation or in oral surgery its use is controversial. There are several unresolved issues, for example the effect of the laryngeal mask on regurgitation and whether or not cricoids pressure prevents placement of mask. We review the techniques of insertion, details of misplacement, and complications associated with use of the laryngeal mask. We then attempt to clarify the role of laryngeal mask in air way management during anesthesia, discussing the advantages and disadvantages as well as indications and contraindications of its use in oral and maxillofacial surgery.

  8. Automatic circuit analysis based on mask information

    International Nuclear Information System (INIS)

    Preas, B.T.; Lindsay, B.W.; Gwyn, C.W.

    1976-01-01

    The Circuit Mask Translator (CMAT) code has been developed which converts integrated circuit mask information into a circuit schematic. Logical operations, pattern recognition, and special functions are used to identify and interconnect diodes, transistors, capacitors, and resistances. The circuit topology provided by the translator is compatible with the input required for a circuit analysis program

  9. Design criteria for small coded aperture masks in gamma-ray astronomy

    International Nuclear Information System (INIS)

    Sembay, S.; Gehrels, N.

    1990-01-01

    Most theoretical work on coded aperture masks in X-ray and low-energy γ-ray astronomy has concentrated on masks with large numbers of elements. For γ-ray spectrometers in the MeV range, the detector plane usually has only a few discrete elements, so that masks with small numbers of elements are called for. For this case it is feasible to analyse by computer all the possible mask patterns of given dimension to find the ones that best satisfy the desired performance criteria. In this paper we develop a particular set of performance criteria for comparing the flux sensitivities, source positioning accuracies and transparencies of different mask patterns. We then present the results of such a computer analysis for masks up to dimension 5x5 unit cell and conclude that there is a great deal of flexibility in one's choice of mask pattern for each dimension. (orig.)

  10. Mechanically and electrically robust metal-mask design for organic CMOS circuits

    Science.gov (United States)

    Shintani, Michihiro; Qin, Zhaoxing; Kuribara, Kazunori; Ogasahara, Yasuhiro; Hiromoto, Masayuki; Sato, Takashi

    2018-04-01

    The design of metal masks for fabricating organic CMOS circuits requires the consideration of not only the electrical property of the circuits, but also the mechanical strength of the masks. In this paper, we propose a new design flow for metal masks that realizes coanalysis of the mechanical and electrical properties and enables design exploration considering the trade-off between the two properties. As a case study, we apply a “stitching technique” to the mask design of a ring oscillator and explore the best design. With this technique, mask patterns are divided into separate parts using multiple mask layers to improve the mechanical strength at the cost of high resistance of the vias. By a numerical experiment, the design trade-off of the stitching technique is quantitatively analyzed, and it is demonstrated that the proposed flow is useful for the exploration of the designs of metal masks.

  11. Imaging x-ray sources at a finite distance in coded-mask instruments

    International Nuclear Information System (INIS)

    Donnarumma, Immacolata; Pacciani, Luigi; Lapshov, Igor; Evangelista, Yuri

    2008-01-01

    We present a method for the correction of beam divergence in finite distance sources imaging through coded-mask instruments. We discuss the defocusing artifacts induced by the finite distance showing two different approaches to remove such spurious effects. We applied our method to one-dimensional (1D) coded-mask systems, although it is also applicable in two-dimensional systems. We provide a detailed mathematical description of the adopted method and of the systematics introduced in the reconstructed image (e.g., the fraction of source flux collected in the reconstructed peak counts). The accuracy of this method was tested by simulating pointlike and extended sources at a finite distance with the instrumental setup of the SuperAGILE experiment, the 1D coded-mask x-ray imager onboard the AGILE (Astro-rivelatore Gamma a Immagini Leggero) mission. We obtained reconstructed images of good quality and high source location accuracy. Finally we show the results obtained by applying this method to real data collected during the calibration campaign of SuperAGILE. Our method was demonstrated to be a powerful tool to investigate the imaging response of the experiment, particularly the absorption due to the materials intercepting the line of sight of the instrument and the conversion between detector pixel and sky direction

  12. Limitation and suppression of hot electron fluctuations in submicron semiconductor structures

    International Nuclear Information System (INIS)

    Kochelap, V.A.; Zahleniuk, N.A.; Sokolov, V.N.

    1992-09-01

    We present theoretical investigations of fluctuations of hot electrons in submicron active regions, where the dimensions 2 d of the region is comparable to the electron energy relaxation length L ε . The new physical phenomenon is reported; the fluctuations depend on the sample thickness, with 2d ε a suppression of fluctuations arises in the range of fluctuation frequencies ω much less than T -1 ε , T ε is the electron energy relaxation time. (author). 12 refs, 7 figs

  13. A novel multi-level IC-compatible surface microfabrication technology for MEMS with independently controlled lateral and vertical submicron transduction gaps

    Science.gov (United States)

    Cicek, Paul-Vahe; Elsayed, Mohannad; Nabki, Frederic; El-Gamal, Mourad

    2017-11-01

    An above-IC compatible multi-level MEMS surface microfabrication technology based on a silicon carbide structural layer is presented. The fabrication process flow provides optimal electrostatic transduction by allowing the creation of independently controlled submicron vertical and lateral gaps without the need for high resolution lithography. Adopting silicon carbide as the structural material, the technology ensures material, chemical and thermal compatibility with modern semiconductor nodes, reporting the lowest peak processing temperature (i.e. 200 °C) of all comparable works. This makes this process ideally suited for integrating capacitive-based MEMS directly above standard CMOS substrates. Process flow design and optimization are presented in the context of bulk-mode disk resonators, devices that are shown to exhibit improved performance with respect to previous generation flexural beam resonators, and that represent relatively complex MEMS structures. The impact of impending improvements to the fabrication technology is discussed.

  14. A novel multi-level IC-compatible surface microfabrication technology for MEMS with independently controlled lateral and vertical submicron transduction gaps

    International Nuclear Information System (INIS)

    Cicek, Paul-Vahe; Elsayed, Mohannad; Nabki, Frederic; El-Gamal, Mourad

    2017-01-01

    An above-IC compatible multi-level MEMS surface microfabrication technology based on a silicon carbide structural layer is presented. The fabrication process flow provides optimal electrostatic transduction by allowing the creation of independently controlled submicron vertical and lateral gaps without the need for high resolution lithography. Adopting silicon carbide as the structural material, the technology ensures material, chemical and thermal compatibility with modern semiconductor nodes, reporting the lowest peak processing temperature (i.e. 200 °C) of all comparable works. This makes this process ideally suited for integrating capacitive-based MEMS directly above standard CMOS substrates. Process flow design and optimization are presented in the context of bulk-mode disk resonators, devices that are shown to exhibit improved performance with respect to previous generation flexural beam resonators, and that represent relatively complex MEMS structures. The impact of impending improvements to the fabrication technology is discussed. (paper)

  15. Electron acceleration via high contrast laser interacting with submicron clusters

    International Nuclear Information System (INIS)

    Zhang Lu; Chen Liming; Wang Weiming; Yan Wenchao; Yuan Dawei; Mao Jingyi; Wang Zhaohua; Liu Cheng; Shen Zhongwei; Li Yutong; Dong Quanli; Lu Xin; Ma Jinglong; Wei Zhiyi; Faenov, Anatoly; Pikuz, Tatiana; Li Dazhang; Sheng Zhengming; Zhang Jie

    2012-01-01

    We experimentally investigated electron acceleration from submicron size argon clusters-gas target irradiated by a 100 fs, 10 TW laser pulses having a high-contrast. Electron beams are observed in the longitudinal and transverse directions to the laser propagation. The measured energy of the longitudinal electron reaches 600 MeV and the charge of the electron beam in the transverse direction is more than 3 nC. A two-dimensional particle-in-cell simulation of the interaction has been performed and it shows an enhancement of electron charge by using the cluster-gas target.

  16. My Other Half Manifested in Mask-Making

    Science.gov (United States)

    Abel, Xanthippi

    2010-01-01

    Every fall season, each grade level of Rowland Hall St. Mark's Lower School in Salt Lake City, Utah, completes a mask-making project to be featured in a schoolwide parade. This sparked an opportunity to incorporate the fourth-grade unit of realistic and observational drawing with mask making. In this article, the author describes how her students…

  17. X ray reflection masks: Manufacturing, characterization and first tests

    Science.gov (United States)

    Rahn, Stephen

    1992-09-01

    SXPL (Soft X-ray Projection Lithography) multilayer mirrors are characterized, laterally structured and then used as reflection masks in a projecting lithography procedure. Mo/Si-multilayer mirrors with a 2d in the region of 14 nm were characterized by Cu-k(alpha) grazing incidence as well as soft X-ray normal incidence reflectivity measurements. The multilayer mirrors were patterned by reactive ion etching with CF4 using a photoresist as etch mask, thus producing X-ray reflection masks. The masks were tested at the synchrotron radiation laboratory of the electron accelerator ELSA. A double crystal X-ray monochromator was modified so as to allow about 0.5 sq cm of the reflection mask to be illuminated by white synchrotron radiation. The reflected patterns were projected (with an energy of 100 eV) onto a resist and structure sizes down to 8 micrometers were nicely reproduced. Smaller structures were distorted by Fresnel-diffraction. The theoretically calculated diffraction images agree very well with the observed images.

  18. Masked hypertension: evidence of the need to treat

    NARCIS (Netherlands)

    Ogedegbe, Gbenga; Agyemang, Charles; Ravenell, Joseph E.

    2010-01-01

    The diagnosis of masked hypertension has been made easier with the widespread availability of home blood pressure monitoring devices with levels of accuracy comparable to ambulatory blood pressure monitoring. The negative impact of masked hypertension on cardiovascular morbidity and mortality is

  19. Beyond a Mask and Against the Bottleneck: Retroactive Dual-Task Interference During Working Memory Consolidation of a Masked Visual Target

    NARCIS (Netherlands)

    Nieuwenstein, Mark; Wyble, Brad

    While studies on visual memory commonly assume that the consolidation of a visual stimulus into working memory is interrupted by a trailing mask, studies on dual-task interference suggest that the consolidation of a stimulus can continue for several hundred milliseconds after a mask. As a result,

  20. A Particle-In-Cell approach to particle flux shaping with a surface mask

    Directory of Open Access Journals (Sweden)

    G. Kawamura

    2017-08-01

    Full Text Available The Particle-In-Cell simulation code PICS has been developed to study plasma in front of a surface with two types of masks, step-type and roof-type. Parameter scans with regard to magnetic field angle, electron density, and mask height were carried out to understand their influence on ion particle flux distribution on a surface. A roof-type mask with a small mask height yields short decay length in the flux distribution which is consistent with that estimated experimentally. A roof-type mask with a large height yields very long decay length and the flux value does not depend on a mask height or an electron density, but rather on a mask length and a biasing voltage of the surface. Mask height also changes the flux distribution apart from the mask because of the shading effect of the mask. Electron density changes the distribution near the mask edge according to the Debye length. Dependence of distribution on parameters are complicated especially for a roof-type mask, and simulation study with various parameters are useful to understand the physical reasons of dependence and also is useful as a tool for experiment studies.

  1. Characterizing the monaural and binaural processes underlying reflection masking

    DEFF Research Database (Denmark)

    Buchholz, Jörg

    2007-01-01

    for the two RMTs, it is shown that forward masking effects only have a significant effect on reflection masking for delays above 7–10 ms. Moreover, binaural mechanisms were revealed which deteriorate auditory detection of test reflections for delays below 7–10 ms and enhance detection for larger delays....... The monaural and binaural processes that may underlie reflection masking are discussed in terms of auditory-modelling concepts....

  2. Pressure Ulcer Incidence in Patients Wearing Nasal-Oral Versus Full-Face Noninvasive Ventilation Masks.

    Science.gov (United States)

    Schallom, Marilyn; Cracchiolo, Lisa; Falker, Antoinette; Foster, Jennifer; Hager, JoAnn; Morehouse, Tamara; Watts, Peggy; Weems, Linda; Kollef, Marin

    2015-07-01

    Device-related pressure ulcers from noninvasive ventilation masks alter skin integrity and cause patients discomfort. To examine the incidence, location, and stage of pressure ulcers and patients' comfort with a nasal-oral mask compared with a full-face mask. A before-after study of a convenience sample of patients with noninvasive ventilation orders in 5 intensive care units was conducted. Two groups of 100 patients each received either the nasal-oral mask or the full-face mask. Skin was assessed before the mask was applied and every 12 hours after that or upon mask removal. Comfort levels were assessed every 12 hours on a Likert scale of 1 to 5 (1, most comfortable). A pressure ulcer developed in 20% of patients in the nasal-oral mask group and 2% of patients in the full-face mask group (P face mask (mean [SD], 1.9 [1.1]) than with the nasal-oral mask (mean [SD], 2.7 [1.2], P face mask and 25 (SD, 20.7) and 92% for nasal-oral mask. No patients who had a pressure ulcer develop with the nasal-oral mask had a pressure ulcer develop with the full-face mask. The full-face mask resulted in significantly fewer pressure ulcers and was more comfortable for patients. The full-face mask is a reasonable alternative to traditional nasal-oral masks for patients receiving noninvasive ventilation. ©2015 American Association of Critical-Care Nurses.

  3. Inter- and intra-observer reliability of masking in plantar pressure measurement analysis.

    Science.gov (United States)

    Deschamps, K; Birch, I; Mc Innes, J; Desloovere, K; Matricali, G A

    2009-10-01

    Plantar pressure measurement is an important tool in gait analysis. Manual placement of small masks (masking) is increasingly used to calculate plantar pressure characteristics. Little is known concerning the reliability of manual masking. The aim of this study was to determine the reliability of masking on 2D plantar pressure footprints, in a population with forefoot deformity (i.e. hallux valgus). Using a random repeated-measure design, four observers identified the third metatarsal head on a peak-pressure barefoot footprint, using a small mask. Subsequently, the location of all five metatarsal heads was identified, using the same size of masks and the same protocol. The 2D positional variation of the masks and the peak pressure (PP) and pressure time integral (PTI) values of each mask were calculated. For single-masking the lowest inter-observer reliability was found for the distal-proximal direction, causing a clear, adverse impact on the reliability of the pressure characteristics (PP and PTI). In the medial-lateral direction the inter-observer reliability could be scored as high. Intra-observer reliability was better and could be scored as high or good for both directions, with a correlated improved reliability of the pressure characteristics. Reliability of multi-masking showed a similar pattern, but overall values tended to be lower. Therefore, small sized masking in order to define pressure characteristics in the forefoot should be done with care.

  4. Mask pressure effects on the nasal bridge during short-term noninvasive ventilation

    Science.gov (United States)

    Brill, Anne-Kathrin; Pickersgill, Rachel; Moghal, Mohammad; Morrell, Mary J.; Simonds, Anita K.

    2018-01-01

    The aim of this study was to assess the influence of different masks, ventilator settings and body positions on the pressure exerted on the nasal bridge by the mask and subjective comfort during noninvasive ventilation (NIV). We measured the pressure over the nasal bridge in 20 healthy participants receiving NIV via four different NIV masks (three oronasal masks, one nasal mask) at three different ventilator settings and in the seated or supine position. Objective pressure measurements were obtained with an I-Scan pressure-mapping system. Subjective comfort of the mask fit was assessed with a visual analogue scale. The masks exerted mean pressures between 47.6±29 mmHg and 91.9±42.4 mmHg on the nasal bridge. In the supine position, the pressure was lower in all masks (57.1±31.9 mmHg supine, 63.9±37.3 mmHg seated; pmasks, a change of inspiratory positive airway pressure (IPAP) did not influence the objective pressure over the nasal bridge. Subjective discomfort was associated with higher IPAP and positively correlated with the pressure on the skin. Objective measurement of pressure on the skin during mask fitting might be helpful for mask selection. Mask fitting in the supine position should be considered in the clinical routine. PMID:29637077

  5. EUV mask process specifics and development challenges

    Science.gov (United States)

    Nesladek, Pavel

    2014-07-01

    EUV lithography is currently the favorite and most promising candidate among the next generation lithography (NGL) technologies. Decade ago the NGL was supposed to be used for 45 nm technology node. Due to introduction of immersion 193nm lithography, double/triple patterning and further techniques, the 193 nm lithography capabilities was greatly improved, so it is expected to be used successfully depending on business decision of the end user down to 10 nm logic. Subsequent technology node will require EUV or DSA alternative technology. Manufacturing and especially process development for EUV technology requires significant number of unique processes, in several cases performed at dedicated tools. Currently several of these tools as e.g. EUV AIMS or actinic reflectometer are not available on site yet. The process development is done using external services /tools with impact on the single unit process development timeline and the uncertainty of the process performance estimation, therefore compromises in process development, caused by assumption about similarities between optical and EUV mask made in experiment planning and omitting of tests are further reasons for challenges to unit process development. Increased defect risk and uncertainty in process qualification are just two examples, which can impact mask quality / process development. The aim of this paper is to identify critical aspects of the EUV mask manufacturing with respect to defects on the mask with focus on mask cleaning and defect repair and discuss the impact of the EUV specific requirements on the experiments needed.

  6. A new suction mask to reduce leak during neonatal resuscitation: a manikin study.

    Science.gov (United States)

    Lorenz, Laila; Maxfield, Dominic A; Dawson, Jennifer A; Kamlin, C Omar F; McGrory, Lorraine; Thio, Marta; Donath, Susan M; Davis, Peter G

    2016-09-01

    Leak around the face mask is a common problem during neonatal resuscitation. A newly designed face mask using a suction system to enhance contact between the mask and the infant's face might reduce leak and improve neonatal resuscitation. The aim of the study is to determine whether leak is reduced using the suction mask (Resusi-sure mask) compared with a conventional mask (Laerdal Silicone mask) in a manikin model. Sixty participants from different professional categories (neonatal consultants, fellows, registrars, nurses, midwives and students) used each face mask in a random order to deliver 2 min of positive pressure ventilation to a manikin. Delivered airway pressures were measured using a pressure line. Inspiratory and expiratory flows were measured using a flow sensor, and expiratory tidal volumes and mask leaks were derived from these values. A median (IQR) leak of 12.1 (0.6-39.0)% was found with the conventional mask compared with 0.7 (0.2-4.6)% using the suction mask (p=0.002). 50% of the participants preferred to use the suction mask and 38% preferred to use the conventional mask. There was no correlation between leak and operator experience. A new neonatal face mask based on the suction system reduced leak in a manikin model. Clinical studies to test the safety and effectiveness of this mask are needed. Published by the BMJ Publishing Group Limited. For permission to use (where not already granted under a licence) please go to http://www.bmj.com/company/products-services/rights-and-licensing/

  7. Velocity overshoot decay mechanisms in compound semiconductor field-effect transistors with a submicron characteristic length

    International Nuclear Information System (INIS)

    Jyegal, Jang

    2015-01-01

    Velocity overshoot is a critically important nonstationary effect utilized for the enhanced performance of submicron field-effect devices fabricated with high-electron-mobility compound semiconductors. However, the physical mechanisms of velocity overshoot decay dynamics in the devices are not known in detail. Therefore, a numerical analysis is conducted typically for a submicron GaAs metal-semiconductor field-effect transistor in order to elucidate the physical mechanisms. It is found that there exist three different mechanisms, depending on device bias conditions. Specifically, at large drain biases corresponding to the saturation drain current (dc) region, the velocity overshoot suddenly begins to drop very sensitively due to the onset of a rapid decrease of the momentum relaxation time, not the mobility, arising from the effect of velocity-randomizing intervalley scattering. It then continues to drop rapidly and decays completely by severe mobility reduction due to intervalley scattering. On the other hand, at small drain biases corresponding to the linear dc region, the velocity overshoot suddenly begins to drop very sensitively due to the onset of a rapid increase of thermal energy diffusion by electrons in the channel of the gate. It then continues to drop rapidly for a certain channel distance due to the increasing thermal energy diffusion effect, and later completely decays by a sharply decreasing electric field. Moreover, at drain biases close to a dc saturation voltage, the mechanism is a mixture of the above two bias conditions. It is suggested that a large secondary-valley energy separation is essential to increase the performance of submicron devices

  8. Large area sub-micron chemical imaging of magnesium in sea urchin teeth.

    Science.gov (United States)

    Masic, Admir; Weaver, James C

    2015-03-01

    The heterogeneous and site-specific incorporation of inorganic ions can profoundly influence the local mechanical properties of damage tolerant biological composites. Using the sea urchin tooth as a research model, we describe a multi-technique approach to spatially map the distribution of magnesium in this complex multiphase system. Through the combined use of 16-bit backscattered scanning electron microscopy, multi-channel energy dispersive spectroscopy elemental mapping, and diffraction-limited confocal Raman spectroscopy, we demonstrate a new set of high throughput, multi-spectral, high resolution methods for the large scale characterization of mineralized biological materials. In addition, instrument hardware and data collection protocols can be modified such that several of these measurements can be performed on irregularly shaped samples with complex surface geometries and without the need for extensive sample preparation. Using these approaches, in conjunction with whole animal micro-computed tomography studies, we have been able to spatially resolve micron and sub-micron structural features across macroscopic length scales on entire urchin tooth cross-sections and correlate these complex morphological features with local variability in elemental composition. Copyright © 2015 Elsevier Inc. All rights reserved.

  9. Investigation and modeling of CPL mask profiles using OCD

    Science.gov (United States)

    Chen, Hsuan-Chen; Lin, Ren-Hao; Chen, Chien-Cheng; Huang, Cheng-Hsuan; Lien, Ta-Cheng; Chen, Chia-Jen; Lee, Gaston; Lee, Hsin-Chang; Yen, Anthony

    2016-05-01

    Mask profile of chromeless phase-shifting lithography (CPL) defined by OCD has been investigated. In CPL masks, unbalanced bombardments caused by different ion accelerations lead to the formation of micro-notch structures. A better understanding of micro-notch structures is essential for quality gating of mask processes to improve of CPL mask profiles. By measuring 12 of 16 elements of Mueller matrix, we are able to set up a model to simulate the depth of micro-notch structure profile which shows good correlation with TEM images. Moreover, values of CD, quartz etching depth and side wall angle acquired by OCD are presented and compared with those obtained by SEM, TEM and AFM, respectively.

  10. Formation of multiple focal spots using a high NA lens with a complex spiral phase mask

    Science.gov (United States)

    Lalithambigai, K.; Anbarasan, P. M.; Rajesh, K. B.

    2014-07-01

    The formation of a transversally polarized beam by transmitting a tightly focused double-ring-shaped azimuthally polarized beam through a complex spiral phase mask and high numerical aperture lens is presented based on vector diffraction theory. The generation of transversally polarized focal spot segment splitting and multiple focal spots is illustrated numerically. Moreover, we found that a properly designed complex spiral phase mask can move the focal spots along the optical axis in the z direction. Therefore, one can achieve a focal segment of two, three or multiple completely transversely polarized focal spots, which finds applications in optical trapping and in material processing technologies.

  11. The effect of betel nut chewing on contour and object masking.

    Science.gov (United States)

    Ho, Ming-Chou; Wang, Chin-Kun

    2011-11-01

    The betel nut is a common stimulant in many Asian countries. We employed the masking task developed by Enns and Di Lollo (Trends in Cognitive Sciences, 4, 345-352, 1997) to investigate the effects of betel nuts on sensory and attentional processing. In the masking task, participants needed to identify a target that was masked by either a contour mask or an object mask. Sensory processing was assessed by examining target identification in the contour mask condition when the target was presented only centrally, whereas attentional processing was assessed by examining target identification in the object mask condition when the target was presented randomly in either a central or a parafoveal location. The results showed that chewing betel nut and chewing gum produced significant contour masking with a large effect size, similar to the pure control condition, in which participants chewed nothing, and the placebo control condition, in which what participants chewed was disguised. This suggests that neither betel nut nor gum affects sensory processing. Alternatively, betel nut chewing could produce a reduction in object masking for the habitual chewers and the nonchewers, suggesting an effect of betel nut on attentional processing. This concentrated attention was also observed in the placebo control condition; thus, it cannot be exclusively driven by the expectation effect. Also, chewing per se reduced the attentional distribution foveally.

  12. Failed tracheal intubation using a laryngoscope and intubating laryngeal mask.

    Science.gov (United States)

    Asai, T; Hirose, T; Shingu, K

    2000-04-01

    To report unexpected failed tracheal intubation using a laryngoscope and an intubating laryngeal mask, and difficult ventilation via a facemask, laryngeal mask and intubating laryngeal mask, in a patient with an unrecognized lingual tonsillar hypertrophy. A 63-yr-old woman, who had undergone clipping of an aneurysm seven weeks previously, was scheduled for ventriculo-peritoneal shunt. At the previous surgery, there had been no difficulty in ventilation or in tracheal intubation. Her trachea remained intubated nasally for 11 days after surgery. Preoperatively, her consciousness was impaired. There were no restrictions in head and neck movements or mouth opening. The thyromental distance was 7 cm. After induction of anesthesia, manual ventilation via a facemask with a Guedel airway was suboptimal and the chest expanded insufficiently. At laryngoscopy using a Macintosh or McCoy device, only the tip of the epiglottis, but not the glottis, could be seen, and tracheal intubation failed. There was a partial obstruction during manual ventilation through either the intubating laryngeal mask or conventional laryngeal mask; intubation through each device failed. Digital examination of the pharynx, after removal of the laryngeal mask, indicated a mass occupying the vallecula. Lingual tonsillar hypertrophy (1 x 1 x 2 cm) was found to be the cause of the failure. Awake fibrescope-aided tracheal intubation was accomplished. Unexpected lingual tonsillar hypertrophy can cause both ventilation and tracheal intubation difficult, and neither the laryngeal mask nor intubating laryngeal mask may be helpful in the circumstances.

  13. Status of EUVL mask development in Europe (Invited Paper)

    Science.gov (United States)

    Peters, Jan H.

    2005-06-01

    EUV lithography is the prime candidate for the next generation lithography technology after 193 nm immersion lithography. The commercial onset for this technology is expected for the 45 nm half-pitch technology or below. Several European and national projects and quite a large number of companies and research institutions in Europe work on various aspects of the technological challenges to make EUV a commercially viable technology in the not so far future. Here the development of EUV sources, the development of an EUV exposure tools, metrology tools dedicated for characterization of mask, the production of EUV mask blanks and the mask structuring itself are the key areas in which major activities can be found. In this talk we will primarily focus on those activities, which are related to establish an EUV mask supply chain with all its ingredients from substrate production, polishing, deposition of EUV layers, blank characterization, mask patterning process and the consecutive metrology and defect inspection as well as shipping and handling from blank supply to usage in the wafer fab. The EUV mask related projects on the national level are primarily supported by the French Ministry of Economics and Finance (MinEFi) and the German Ministry of Education and Research (BMBF).

  14. Short range investigation of sub-micron zirconia particles

    Energy Technology Data Exchange (ETDEWEB)

    Caracoche, M C; Martinez, J A [Departamento de Fisica, IFLP, Facultad de Ciencias Exactas, CICPBA, Universidad Nacional de La Plata (Argentina); Rivas, P C [IFLP-CONICET, Facultad de Ciencias Agrarias y Forestales, Universidad Nacional de La Plata (Argentina); Bondioli, F; Cannillo, V [Dipartimento di Ingegniria dei Materiali e dell' Ambiente, Facolta di Ingegneria, Universita di Modena e Reggio Emilia (Italy); Ferrari, A M, E-mail: cristina@fisica.unlp.edu.a [Dipartimento di Scienza a Metodi dell' Ingegneria, Universita di Modena e Reggio Emilia (Italy)

    2009-05-01

    The Perturbed Angular Correlations technique was used to determine the configurations around Zirconium ions and their thermal behavior in non-aggregated sub-micron zirconia spherical particles. Three residues containing- Zr surroundings were determined for the non-crystalline starting particles, which were identified under the assumption of a certain chemical reactions sequence during synthesis. While the one made up mainly by hydroxyl groups was common to both samples, the two involving mainly organic residues were particle size dependent. Upon crystallization, both samples stabilized in the t'- and t- tetragonal forms and the Xc-cubic form but their amounts and temperatures of appearance were different. On heating, the structure of the smaller particles became gradually monoclinic achieving total degradation upon the subsequent cooling to RT.

  15. Surgical Face Masks Worn by Patients with Multidrug-Resistant Tuberculosis

    Science.gov (United States)

    Mphahlele, Matsie; Stoltz, Anton; Venter, Kobus; Mathebula, Rirhandzu; Masotla, Thabiso; Lubbe, Willem; Pagano, Marcello; First, Melvin; Jensen, Paul A.; van der Walt, Martie; Nardell, Edward A.

    2012-01-01

    Rationale: Drug-resistant tuberculosis transmission in hospitals threatens staff and patient health. Surgical face masks used by patients with tuberculosis (TB) are believed to reduce transmission but have not been rigorously tested. Objectives: We sought to quantify the efficacy of surgical face masks when worn by patients with multidrug-resistant TB (MDR-TB). Methods: Over 3 months, 17 patients with pulmonary MDR-TB occupied an MDR-TB ward in South Africa and wore face masks on alternate days. Ward air was exhausted to two identical chambers, each housing 90 pathogen-free guinea pigs that breathed ward air either when patients wore surgical face masks (intervention group) or when patients did not wear masks (control group). Efficacy was based on differences in guinea pig infections in each chamber. Measurements and Main Results: Sixty-nine of 90 control guinea pigs (76.6%; 95% confidence interval [CI], 68–85%) became infected, compared with 36 of 90 intervention guinea pigs (40%; 95% CI, 31–51%), representing a 56% (95% CI, 33–70.5%) decreased risk of TB transmission when patients used masks. Conclusions: Surgical face masks on patients with MDR-TB significantly reduced transmission and offer an adjunct measure for reducing TB transmission from infectious patients. PMID:22323300

  16. Simultaneous masking additivity for short Gaussian-shaped tones: spectral effects.

    Science.gov (United States)

    Laback, Bernhard; Necciari, Thibaud; Balazs, Peter; Savel, Sophie; Ystad, Sølvi

    2013-08-01

    Laback et al. [(2011). J. Acoust. Soc. Am. 129, 888-897] investigated the additivity of nonsimultaneous masking using short Gaussian-shaped tones as maskers and target. The present study involved Gaussian stimuli to measure the additivity of simultaneous masking for combinations of up to four spectrally separated maskers. According to most basilar membrane measurements, the maskers should be processed linearly at the characteristic frequency (CF) of the target. Assuming also compression of the target, all masker combinations should produce excess masking (exceeding linear additivity). The results for a pair of maskers flanking the target indeed showed excess masking. The amount of excess masking could be predicted by a model assuming summation of masker-evoked excitations in intensity units at the target CF and compression of the target, using compressive input/output functions derived from the nonsimultaneous masking study. However, the combinations of lower-frequency maskers showed much less excess masking than predicted by the model. This cannot easily be attributed to factors like off-frequency listening, combination tone perception, or between-masker suppression. It was better predicted, however, by assuming weighted intensity summation of masker excitations. The optimum weights for the lower-frequency maskers were smaller than one, consistent with partial masker compression as indicated by recent psychoacoustic data.

  17. Registration performance on EUV masks using high-resolution registration metrology

    Science.gov (United States)

    Steinert, Steffen; Solowan, Hans-Michael; Park, Jinback; Han, Hakseung; Beyer, Dirk; Scherübl, Thomas

    2016-10-01

    Next-generation lithography based on EUV continues to move forward to high-volume manufacturing. Given the technical challenges and the throughput concerns a hybrid approach with 193 nm immersion lithography is expected, at least in the initial state. Due to the increasing complexity at smaller nodes a multitude of different masks, both DUV (193 nm) and EUV (13.5 nm) reticles, will then be required in the lithography process-flow. The individual registration of each mask and the resulting overlay error are of crucial importance in order to ensure proper functionality of the chips. While registration and overlay metrology on DUV masks has been the standard for decades, this has yet to be demonstrated on EUV masks. Past generations of mask registration tools were not necessarily limited in their tool stability, but in their resolution capabilities. The scope of this work is an image placement investigation of high-end EUV masks together with a registration and resolution performance qualification. For this we employ a new generation registration metrology system embedded in a production environment for full-spec EUV masks. This paper presents excellent registration performance not only on standard overlay markers but also on more sophisticated e-beam calibration patterns.

  18. Pattern transfer with stabilized nanoparticle etch masks

    International Nuclear Information System (INIS)

    Hogg, Charles R; Majetich, Sara A; Picard, Yoosuf N; Narasimhan, Amrit; Bain, James A

    2013-01-01

    Self-assembled nanoparticle monolayer arrays are used as an etch mask for pattern transfer into Si and SiO x substrates. Crack formation within the array is prevented by electron beam curing to fix the nanoparticles to the substrate, followed by a brief oxygen plasma to remove excess carbon. This leaves a dot array of nanoparticle cores with a minimum gap of 2 nm. Deposition and liftoff can transform the dot array mask into an antidot mask, where the gap is determined by the nanoparticle core diameter. Reactive ion etching is used to transfer the dot and antidot patterns into the substrate. The effect of the gap size on the etching rate is modeled and compared with the experimental results. (paper)

  19. Demagnifying electron projection with grid masks

    International Nuclear Information System (INIS)

    Politycki, A.; Meyer, A.

    1978-01-01

    Tightly toleranced micro- and submicrostructures with smooth edges were realized by using transmission masks with an improved supporting grid (width of traverses 0.8 μm). Local edge shift due to the proximity effect is kept at a minimum. Supporting grids with stil narrower traverses (0.5 μm) were prepared by generating the grid pattern by electron beam writing. Masks of this kind allow projection at a demagnification ratio of 1:4, resulting in large image fields. (orig.) [de

  20. Correction: Inferior alveolar nerve injury with laryngeal mask airway: a case report.

    LENUS (Irish Health Repository)

    Hanumanthaiah, Deepak

    2011-11-30

    ABSTRACT: Following the publication of our article [Inferior alveolar nerve injury with laryngeal mask airway: a case report. Journal of Medical Case Reports 2011, 5:122] it was brought to our attention that we inadvertently used the registered trademark of the Laryngeal Mask Company Limited (LMA) as the abbreviation for laryngeal mask airway. A Portex(R) Soft Seal(R) Laryngeal Mask was used and not a device manufactured by the Laryngeal Mask Company.

  1. Optimum design of a coded mask X-ray telescope for rocket applications

    International Nuclear Information System (INIS)

    Gunson, J.; Polychronopulos, B.

    1976-01-01

    A review of the principles of current X-ray telescopes is made with particular emphasis on two-step imaging techniques involving coding masks. The merits and limitations of the various types of coding masks in use are examined in detail. The limitations are shown to arise from the finite nature of practical masks. By postulating periodicity, 'optimum masks' can be constructed with ideal imaging qualities. The theory for the design of such masks and the practical considerations involved in the design of a rocket-borne X-ray telescope system are discussed in full, with particular attention paid to resolution, field of view and image noise. The main emphasis throughout the paper is on one-dimensional masks but two-dimensional masks are also studied. It is concluded that optimum masks could prove very valuable in astronomical applications and also in other fields such as radiography, where high imaging quality coupled with high sensitivity and low cost are of utmost importance. (author)

  2. Bottom-up synthesis of up-converting submicron-sized Er3+-doped LiNbO3 particles

    International Nuclear Information System (INIS)

    Jardiel, T.; Caballero, A.C.; Marín-Dobrincic, M.; Cantelar, E.; Cussó, F.

    2012-01-01

    A new and simple wet chemical synthesis method is proposed to prepare submicron-sized Erbium-doped LiNbO 3 powders. The synthesis procedure comprises the co-precipitation of lithium and erbium ions from common precursors and their subsequent reaction with niobium ethoxide. A systematic characterization by means of X-Ray Diffraction (XRD), X-ray Fluorescence (XRF), Inductively Coupled Plasma (ICP-OES), Atomic Absorption (AAS), Field Emission Scanning Electron Microscopy (FE-SEM), as well as by the examination of the luminescence properties, evidence that with this method a congruent Er 3+ -doped LiNbO 3 single phase material can be obtained without using complex and time-consuming processing steps. The synthesized powders exhibit efficient IR to VIS up-conversion emissions under 974 nm pumping. -- Highlights: ► A novel chemical route to the preparation of LiNbO 3 powders has been developed. ► This process avoids complex and time-consuming processing steps. ► A congruent Er 3+ -doped LiNbO 3 single phase material can be obtained by this way. ► The luminescence properties are the expected for this composition.

  3. Exploiting Small Leakages in Masks to Turn a Second-Order Attack into a First-Order Attack and Improved Rotating Substitution Box Masking with Linear Code Cosets

    Science.gov (United States)

    DeTrano, Alexander; Karimi, Naghmeh; Karri, Ramesh; Guo, Xiaofei; Carlet, Claude; Guilley, Sylvain

    2015-01-01

    Masking countermeasures, used to thwart side-channel attacks, have been shown to be vulnerable to mask-extraction attacks. State-of-the-art mask-extraction attacks on the Advanced Encryption Standard (AES) algorithm target S-Box recomputation schemes but have not been applied to scenarios where S-Boxes are precomputed offline. We propose an attack targeting precomputed S-Boxes stored in nonvolatile memory. Our attack targets AES implemented in software protected by a low entropy masking scheme and recovers the masks with 91% success rate. Recovering the secret key requires fewer power traces (in fact, by at least two orders of magnitude) compared to a classical second-order attack. Moreover, we show that this attack remains viable in a noisy environment or with a reduced number of leakage points. Eventually, we specify a method to enhance the countermeasure by selecting a suitable coset of the masks set. PMID:26491717

  4. Comparison of Aerosol Delivery by Face Mask and Tracheostomy Collar.

    Science.gov (United States)

    Bugis, Alaa A; Sheard, Meryl M; Fink, James B; Harwood, Robert J; Ari, Arzu

    2015-09-01

    The purpose of this study was to compare the performance of a tracheostomy collar, Wright mask, and aerosol mask attached to a jet nebulizer in facilitating aerosolized medication delivery to the lungs. We also compared albuterol delivery with open versus closed fenestration and determined the effect of inspiratory-expiratory ratio (I:E) on aerosol delivery. Albuterol (2.5 mg/3 mL) was administered to an in vitro model consisting of an adult teaching mannequin extrathoracic and upper airway with stoma intubated with an 8-mm fenestrated tracheostomy tube. The cuff was deflated. A collecting filter at the level of the bronchi was connected to a breathing simulator at a tidal volume of 400 mL, breathing frequency of 20 breaths/min, and I:E of 2:1 and 1:2. A jet nebulizer was operated with O2 at 8 L/min. Each interface was tested in triplicate. The flow was discontinued at the end of nebulization. For each test, the nebulizer was attached to a tracheostomy collar with the fenestration open or closed, a Wright mask, or an aerosol mask. Drug was analyzed by spectrophotometry (276 nm). A paired t test and analysis of variance were performed (P mask (4.1 ± 0.6%) and aerosol mask (3.5 ± 0.04%) were both less than with the tracheostomy collar under either condition (P mask (7.2 ± 0.6%), and aerosol mask (6.1 ± 0.5%). In an adult tracheostomy model, the tracheostomy collar delivered more aerosol to the bronchi than the Wright or aerosol mask. An I:E of 2:1 caused greater aerosol deposition compared with an I:E of 1:2. During aerosol administration via a tracheostomy collar, closing the fenestration improved aerosol delivery. Copyright © 2015 by Daedalus Enterprises.

  5. An efficient venturi scrubber system to remove submicron particles in exhaust gas.

    Science.gov (United States)

    Tsai, Chuen-Jinn; Lin, Chia-Hung; Wang, Yu-Min; Hunag, Cheng-Hsiung; Li, Shou-Nan; Wu, Zong-Xue; Wang, Feng-Cai

    2005-03-01

    An efficient venturi scrubber system making use of heterogeneous nucleation and condensational growth of particles was designed and tested to remove fine particles from the exhaust of a local scrubber where residual SiH4 gas was abated and lots of fine SiO2 particles were generated. In front of the venturi scrubber, normal-temperature fine-water mist mixes with high-temperature exhaust gas to cool it to the saturation temperature, allowing submicron particles to grow into micron sizes. The grown particles are then scrubbed efficiently in the venturi scrubber. Test results show that the present venturi scrubber system is effective for removing submicron particles. For SiO2 particles greater than 0.1microm, the removal efficiency is greater than 80-90%, depending on particle concentration. The corresponding pressure drop is relatively low. For example, the pressure drop of the venturi scrubber is approximately 15.4 +/- 2.4 cm H2O when the liquid-to-gas ratio is 1.50 L/m3. A theoretical calculation has been conducted to simulate particle growth process and the removal efficiency of the venturi scrubber. The theoretical results agree with the experimental data reasonably well when SiO2 particle diameter is greater than 0.1 microm.

  6. [Thermoplastic mask in radiotherapy: a source of anxiety for the patient?].

    Science.gov (United States)

    Arino, C; Stadelmaier, N; Dupin, C; Kantor, G; Henriques de Figueiredo, B

    2014-12-01

    The thermoplastic mask often used to immobilize patients in radiotherapy can cause varying levels of stress and anxiety. This study aimed at evaluating the anxiety related to the use of radiotherapy masks and the coping strategies adopted by patients. Nineteen patients treated with radiotherapy mask for head and neck cancer, a brain tumour or a lymphoma, were met twice by a psychologist, either after the making of the mask and the first course of radiotherapy, or in the middle and at the end of treatment. Thirty-four semi-structured interviews were treated using a thematic content analysis and 13 patients answered to anxiety (STAI-YB) and coping (WCC) scales. The STAI-YB anxiety scores related to wearing the masks were low during the radiotherapy treatment period, and were confirmed by the remarks of patients recorded during the semi-structured interviews. Most patients had a positive perception of the mask, and considered it as a friend or protection. Twelve out of the 13 patients admitting to anxiety benefited from problem focused coping strategies. Thermoplastic mask-related anxiety is low and possibly lies in the positive representation patients have about the mask. The explanations provided by health professionals on the radiotherapy mask possibly have a very positive effect on this perception. Copyright © 2014 Société française de radiothérapie oncologique (SFRO). Published by Elsevier SAS. All rights reserved.

  7. Performance of an Achromatic Focal Plane Mask for Exoplanet Imaging Coronagraphy

    Science.gov (United States)

    Newman, Kevin; Belikov, Ruslan; Pluzhnik, Eugene; Balasubramanian, Kunjithapatham; Wilson, Dan

    2014-01-01

    Coronagraph technology combined with wavefront control is close to achieving the contrast and inner working angle requirements in the lab necessary to observe the faint signal of an Earth-like exoplanet in monochromatic light. An important remaining technological challenge is to achieve high contrast in broadband light. Coronagraph bandwidth is largely limited by chromaticity of the focal plane mask, which is responsible for blocking the stellar PSF. The size of a stellar PSF scales linearly with wavelength; ideally, the size of the focal plane mask would also scale with wavelength. A conventional hard-edge focal plane mask has a fixed size, normally sized for the longest wavelength in the observational band to avoid starlight leakage. The conventional mask is oversized for shorter wavelengths and blocks useful discovery space. Recently we presented a solution to the size chromaticity challenge with a focal plane mask designed to scale its effective size with wavelength. In this paper, we analyze performance of the achromatic size-scaling focal plane mask within a Phase Induced Amplitude Apodization (PIAA) coronagraph. We present results from wavefront control around the achromatic focal plane mask, and demonstrate the size-scaling effect of the mask with wavelength. The edge of the dark zone, and therefore the inner working angle of the coronagraph, scale with wavelength. The achromatic mask enables operation in a wider band of wavelengths compared with a conventional hard-edge occulter.

  8. Reflective Occultation Mask for Evaluation of Occulter Designs for Planet Finding

    Science.gov (United States)

    Hagopian, John; Lyon, Richard; Shiri, Shahram; Roman, Patrick

    2011-01-01

    Advanced formation flying occulter designs utilize a large occulter mask flying in formation with an imaging telescope to block and null starlight to allow imaging of faint planets in exosolar systems. A paper describes the utilization of subscale reflective occultation masks to evaluate formation flying occulter designs. The use of a reflective mask allows mounting of the occulter by conventional means and simplifies the test configuration. The innovation alters the test set-up to allow mounting of the mask using standard techniques to eliminate the problems associated with a standard configuration. The modified configuration uses a reflective set-up whereby the star simulator reflects off of a reflective occulting mask and into an evaluation telescope. Since the mask is sized to capture all rays required for the imaging test, it can be mounted directly to a supporting fixture without interfering with the beam. Functionally, the reflective occultation mask reflects light from the star simulator instead of transmitting it, with a highly absorptive carbon nanotube layer simulating the occulter blocking mask. A subscale telescope images the star source and companion dim source that represents a planet. The primary advantage of this is that the occulter can be mounted conventionally instead of using diffractive wires or magnetic levitation.

  9. High Contrast Internal and External Coronagraph Masks Produced by Various Techniques

    Science.gov (United States)

    Balasubramanian, Kunjithapatha; Wilson, Daniel; White, Victor; Muller, Richard; Dickie, Matthew; Yee, Karl; Ruiz, Ronald; Shaklan, Stuart; Cady, Eric; Kern, Brian; hide

    2013-01-01

    Masks for high contrast internal and external coronagraphic imaging require a variety of masks depending on different architectures to suppress star light. Various fabrication technologies are required to address a wide range of needs including gradient amplitude transmission, tunable phase profiles, ultra-low reflectivity, precise small scale features, and low-chromaticity. We present the approaches employed at JPL to produce pupil plane and image plane coronagraph masks, and lab-scale external occulter type masks by various techniques including electron beam, ion beam, deep reactive ion etching, and black silicon technologies with illustrative examples of each. Further development is in progress to produce circular masks of various kinds for obscured aperture telescopes.

  10. Backward masking, the suffix effect, and preperceptual storage.

    Science.gov (United States)

    Kallman, H J; Massaro, D W

    1983-04-01

    This article considers the use of auditory backward recognition masking (ABRM) and stimulus suffix experiments as indexes of preperceptual auditory storage. In the first part of the article, two ABRM experiments that failed to demonstrate a mask disinhibition effect found previously in stimulus suffix experiments are reported. The failure to demonstrate mask disinhibition is inconsistent with an explanation of ABRM in terms of lateral inhibition. In the second part of the article, evidence is presented to support the conclusion that the suffix effect involves the contributions of later processing stages and does not provide an uncontaminated index of preperceptual storage. In contrast, it is claimed that ABRM experiments provide the most direct index of the temporal course of perceptual recognition. Partial-report tasks and other paradigms are also evaluated in terms of their contributions to an understanding of preperceptual auditory storage. Differences between interruption and integration masking are discussed along with the role of preperceptual auditory storage in speech perception.

  11. 37 CFR 211.5 - Deposit of identifying material.

    Science.gov (United States)

    2010-07-01

    ... option, deposit four reproductions in the most complete form of the mask work as fixed in a semiconductor... 37 Patents, Trademarks, and Copyrights 1 2010-07-01 2010-07-01 false Deposit of identifying... COPYRIGHT OFFICE AND PROCEDURES MASK WORK PROTECTION § 211.5 Deposit of identifying material. (a) General...

  12. Unmasking the effects of masking on performance: The potential of multiple-voice masking in the office environment.

    Science.gov (United States)

    Keus van de Poll, Marijke; Carlsson, Johannes; Marsh, John E; Ljung, Robert; Odelius, Johan; Schlittmeier, Sabine J; Sundin, Gunilla; Sörqvist, Patrik

    2015-08-01

    Broadband noise is often used as a masking sound to combat the negative consequences of background speech on performance in open-plan offices. As office workers generally dislike broadband noise, it is important to find alternatives that are more appreciated while being at least not less effective. The purpose of experiment 1 was to compare broadband noise with two alternatives-multiple voices and water waves-in the context of a serial short-term memory task. A single voice impaired memory in comparison with silence, but when the single voice was masked with multiple voices, performance was on level with silence. Experiment 2 explored the benefits of multiple-voice masking in more detail (by comparing one voice, three voices, five voices, and seven voices) in the context of word processed writing (arguably a more office-relevant task). Performance (i.e., writing fluency) increased linearly from worst performance in the one-voice condition to best performance in the seven-voice condition. Psychological mechanisms underpinning these effects are discussed.

  13. MODELING SPECTRAL AND TEMPORAL MASKING IN THE HUMAN AUDITORY SYSTEM

    DEFF Research Database (Denmark)

    Dau, Torsten; Jepsen, Morten Løve; Ewert, Stephan D.

    2007-01-01

    An auditory signal processing model is presented that simulates psychoacoustical data from a large variety of experimental conditions related to spectral and temporal masking. The model is based on the modulation filterbank model by Dau et al. [J. Acoust. Soc. Am. 102, 2892-2905 (1997)] but inclu......An auditory signal processing model is presented that simulates psychoacoustical data from a large variety of experimental conditions related to spectral and temporal masking. The model is based on the modulation filterbank model by Dau et al. [J. Acoust. Soc. Am. 102, 2892-2905 (1997...... was tested in conditions of tone-in-noise masking, intensity discrimination, spectral masking with tones and narrowband noises, forward masking with (on- and off-frequency) noise- and pure-tone maskers, and amplitude modulation detection using different noise carrier bandwidths. One of the key properties...

  14. Source Separation via Spectral Masking for Speech Recognition Systems

    Directory of Open Access Journals (Sweden)

    Gustavo Fernandes Rodrigues

    2012-12-01

    Full Text Available In this paper we present an insight into the use of spectral masking techniques in time-frequency domain, as a preprocessing step for the speech signal recognition. Speech recognition systems have their performance negatively affected in noisy environments or in the presence of other speech signals. The limits of these masking techniques for different levels of the signal-to-noise ratio are discussed. We show the robustness of the spectral masking techniques against four types of noise: white, pink, brown and human speech noise (bubble noise. The main contribution of this work is to analyze the performance limits of recognition systems  using spectral masking. We obtain an increase of 18% on the speech hit rate, when the speech signals were corrupted by other speech signals or bubble noise, with different signal-to-noise ratio of approximately 1, 10 and 20 dB. On the other hand, applying the ideal binary masks to mixtures corrupted by white, pink and brown noise, results an average growth of 9% on the speech hit rate, with the same different signal-to-noise ratio. The experimental results suggest that the masking spectral techniques are more suitable for the case when it is applied a bubble noise, which is produced by human speech, than for the case of applying white, pink and brown noise.

  15. Development for 2D pattern quantification method on mask and wafer

    Science.gov (United States)

    Matsuoka, Ryoichi; Mito, Hiroaki; Toyoda, Yasutaka; Wang, Zhigang

    2010-03-01

    We have developed the effective method of mask and silicon 2-dimensional metrology. The aim of this method is evaluating the performance of the silicon corresponding to Hotspot on a mask. The method adopts a metrology management system based on DBM (Design Based Metrology). This is the high accurate contouring created by an edge detection algorithm used in mask CD-SEM and silicon CD-SEM. Currently, as semiconductor manufacture moves towards even smaller feature size, this necessitates more aggressive optical proximity correction (OPC) to drive the super-resolution technology (RET). In other words, there is a trade-off between highly precise RET and mask manufacture, and this has a big impact on the semiconductor market that centers on the mask business. 2-dimensional Shape quantification is important as optimal solution over these problems. Although 1-dimensional shape measurement has been performed by the conventional technique, 2-dimensional shape management is needed in the mass production line under the influence of RET. We developed the technique of analyzing distribution of shape edge performance as the shape management technique. On the other hand, there is roughness in the silicon shape made from a mass-production line. Moreover, there is variation in the silicon shape. For this reason, quantification of silicon shape is important, in order to estimate the performance of a pattern. In order to quantify, the same shape is equalized in two dimensions. And the method of evaluating based on the shape is popular. In this study, we conducted experiments for averaging method of the pattern (Measurement Based Contouring) as two-dimensional mask and silicon evaluation technique. That is, observation of the identical position of a mask and a silicon was considered. It is possible to analyze variability of the edge of the same position with high precision. The result proved its detection accuracy and reliability of variability on two-dimensional pattern (mask and

  16. MASKED AREAS IN SHEAR PEAK STATISTICS: A FORWARD MODELING APPROACH

    International Nuclear Information System (INIS)

    Bard, D.; Kratochvil, J. M.; Dawson, W.

    2016-01-01

    The statistics of shear peaks have been shown to provide valuable cosmological information beyond the power spectrum, and will be an important constraint of models of cosmology in forthcoming astronomical surveys. Surveys include masked areas due to bright stars, bad pixels etc., which must be accounted for in producing constraints on cosmology from shear maps. We advocate a forward-modeling approach, where the impacts of masking and other survey artifacts are accounted for in the theoretical prediction of cosmological parameters, rather than correcting survey data to remove them. We use masks based on the Deep Lens Survey, and explore the impact of up to 37% of the survey area being masked on LSST and DES-scale surveys. By reconstructing maps of aperture mass the masking effect is smoothed out, resulting in up to 14% smaller statistical uncertainties compared to simply reducing the survey area by the masked area. We show that, even in the presence of large survey masks, the bias in cosmological parameter estimation produced in the forward-modeling process is ≈1%, dominated by bias caused by limited simulation volume. We also explore how this potential bias scales with survey area and evaluate how much small survey areas are impacted by the differences in cosmological structure in the data and simulated volumes, due to cosmic variance

  17. Multiple beam mask writers: an industry solution to the write time crisis

    Science.gov (United States)

    Litt, Lloyd C.

    2010-09-01

    The semiconductor industry is under constant pressure to reduce production costs even as technology complexity increases. Lithography represents the most expensive process due to its high capital equipment costs and the implementation of low-k1 lithographic processes, which has added to the complexity of making masks through the greater use of optical proximity correction, pixelated masks, and double or triple patterning. Each of these mask technologies allows the production of semiconductors at future nodes while extending the utility of current immersion tools. Low k1 patterning complexity combined with increased data due to smaller feature sizes is driving extremely long mask write times. While a majority of the industry is willing to accept mask write times of up to 24 hours, evidence suggests that the write times for many masks at the 22 nm node and beyond will be significantly longer. It has been estimated that $50M+ in non-recurring engineering (NRE) costs will be required to develop a multiple beam mask writer system, yet the business case to recover this kind of investment is not strong. Moreover, funding such a development is a high risk for an individual supplier. The problem is compounded by a disconnect between the tool customer (the mask supplier) and the final mask customer that will bear the increased costs if a high speed writer is not available. Since no individual company will likely risk entering this market, some type of industry-wide funding model will be needed. Because SEMATECH's member companies strongly support a multiple beam technology for mask writers to reduce the write time and cost of 193 nm and EUV masks, SEMATECH plans to pursue an advanced mask writer program in 2011 and 2012. In 2010, efforts will focus on identifying a funding model to address the investment to develop such a technology.

  18. Etch bias inversion during EUV mask ARC etch

    Science.gov (United States)

    Lajn, Alexander; Rolff, Haiko; Wistrom, Richard

    2017-07-01

    The introduction of EUV lithography to high volume manufacturing is now within reach for 7nm technology node and beyond (1), at least for some steps. The scheduling is in transition from long to mid-term. Thus, all contributors need to focus their efforts on the production requirements. For the photo mask industry, these requirements include the control of defectivity, CD performance and lifetime of their masks. The mask CD performance including CD uniformity, CD targeting, and CD linearity/ resolution, is predominantly determined by the photo resist performance and by the litho and etch processes. State-of-the-art chemically amplified resists exhibit an asymmetric resolution for directly and indirectly written features, which usually results in a similarly asymmetric resolution performance on the mask. This resolution gap may reach as high as multiple tens of nanometers on the mask level in dependence of the chosen processes. Depending on the printing requirements of the wafer process, a reduction or even an increase of this gap may be required. A potential way of tuning via the etch process, is to control the lateral CD contribution during etch. Aside from process tuning knobs like pressure, RF powers and gases, which usually also affect CD linearity and CD uniformity, the simplest knob is the etch time itself. An increased over etch time results in an increased CD contribution in the normal case. , We found that the etch CD contribution of ARC layer etch on EUV photo masks is reduced by longer over etch times. Moreover, this effect can be demonstrated to be present for different etch chambers and photo resists.

  19. AIRWAY RETENTION OF MATERIALS OF DIFFERENT SOLUBILITY FOLLOWING LOCAL INTRABRONCHIAL DEPOSITION IN DOGS

    Science.gov (United States)

    We used a gamma camera to monitor the retention and clearance of radiolabeled human serum albumin (HSA), a water-soluble material with molecular weight of 66,000 Daltons, and radiolabeled sulfur colloid (SC), an insoluble submicron (0.22 microm) particle, following localized depo...

  20. The bright-star masks for the HSC-SSP survey

    Science.gov (United States)

    Coupon, Jean; Czakon, Nicole; Bosch, James; Komiyama, Yutaka; Medezinski, Elinor; Miyazaki, Satoshi; Oguri, Masamune

    2018-01-01

    We present the procedure to build and validate the bright-star masks for the Hyper-Suprime-Cam Strategic Subaru Proposal (HSC-SSP) survey. To identify and mask the saturated stars in the full HSC-SSP footprint, we rely on the Gaia and Tycho-2 star catalogues. We first assemble a pure star catalogue down to GGaia SSP, finding that our star catalogue is 99.2% pure down to GGaia SSP observations at "ftp://obsftp.unige.ch/pub/coupon/brightStarMasks/HSC-SSP/".

  1. A mask quality control tool for the OSIRIS multi-object spectrograph

    Science.gov (United States)

    López-Ruiz, J. C.; Vaz Cedillo, Jacinto Javier; Ederoclite, Alessandro; Bongiovanni, Ángel; González Escalera, Víctor

    2012-09-01

    OSIRIS multi object spectrograph uses a set of user-customised-masks, which are manufactured on-demand. The manufacturing process consists of drilling the specified slits on the mask with the required accuracy. Ensuring that slits are on the right place when observing is of vital importance. We present a tool for checking the quality of the process of manufacturing the masks which is based on analyzing the instrument images obtained with the manufactured masks on place. The tool extracts the slit information from these images, relates specifications with the extracted slit information, and finally communicates to the operator if the manufactured mask fulfills the expectations of the mask designer. The proposed tool has been built using scripting languages and using standard libraries such as opencv, pyraf and scipy. The software architecture, advantages and limits of this tool in the lifecycle of a multiobject acquisition are presented.

  2. Auditory Time-Frequency Masking for Spectrally and Temporally Maximally-Compact Stimuli.

    Science.gov (United States)

    Necciari, Thibaud; Laback, Bernhard; Savel, Sophie; Ystad, Sølvi; Balazs, Peter; Meunier, Sabine; Kronland-Martinet, Richard

    2016-01-01

    Many audio applications perform perception-based time-frequency (TF) analysis by decomposing sounds into a set of functions with good TF localization (i.e. with a small essential support in the TF domain) using TF transforms and applying psychoacoustic models of auditory masking to the transform coefficients. To accurately predict masking interactions between coefficients, the TF properties of the model should match those of the transform. This involves having masking data for stimuli with good TF localization. However, little is known about TF masking for mathematically well-localized signals. Most existing masking studies used stimuli that are broad in time and/or frequency and few studies involved TF conditions. Consequently, the present study had two goals. The first was to collect TF masking data for well-localized stimuli in humans. Masker and target were 10-ms Gaussian-shaped sinusoids with a bandwidth of approximately one critical band. The overall pattern of results is qualitatively similar to existing data for long maskers. To facilitate implementation in audio processing algorithms, a dataset provides the measured TF masking function. The second goal was to assess the potential effect of auditory efferents on TF masking using a modeling approach. The temporal window model of masking was used to predict present and existing data in two configurations: (1) with standard model parameters (i.e. without efferents), (2) with cochlear gain reduction to simulate the activation of efferents. The ability of the model to predict the present data was quite good with the standard configuration but highly degraded with gain reduction. Conversely, the ability of the model to predict existing data for long maskers was better with than without gain reduction. Overall, the model predictions suggest that TF masking can be affected by efferent (or other) effects that reduce cochlear gain. Such effects were avoided in the experiment of this study by using maximally

  3. Number Size Distributions and Seasonality of Submicron Particles in Europe 2008–2009

    Czech Academy of Sciences Publication Activity Database

    Asmi, A.; Wiedensohler, A.; Laj, P.; Fjaeraa, A.-M.; Sellegri, K.; Birmili, W.; Weingartner, E.; Baltensperger, U.; Ždímal, Vladimír; Zíková, Naděžda; Putaud, J.-P.; Marioni, A.; Tunved, P.; Hansson, H.-C.; Fiebig, M.; Kivekäs, N.; Lihavainen, H.; Asmi, E.; Ulevicius, V.; Aalto, P.P.; Swietlicki, E.; Kristensson, E.; Mihalopoulos, N.; Kalivitis, N.; Kalapov, I.; Kiss, G.; de Leeuw, G.; Henzig, B.; Harrison, R. M.; Beddows, D.; O´Dowd, C.; Jennings, S.G.; Flentje, H.; Weinhold, K.; Meinhardt, F.; Ries, L.; Kulmala, M.

    2011-01-01

    Roč. 11, - (2011), s. 5505-5538 ISSN 1680-7316 EU Projects: European Commission(XE) RII3-CT-2006-026140; European Commission(XE) 36833; European Commission(IT) Ev-K2-CNR Grant - others:AFCE(FI) 1118615 Program:FP6 Institutional research plan: CEZ:AV0Z40720504 Keywords : aerosol particle number * aerosol concentrations * european submicron Subject RIV: CF - Physical ; Theoretical Chemistry Impact factor: 5.520, year: 2011

  4. Silver nanowires as the current collector for a flexible in-plane micro-supercapacitor via a one-step, mask-free patterning strategy

    Science.gov (United States)

    Liu, Lang; Li, Han-Yu; Yu, Yao; Liu, Lin; Wu, Yue

    2018-02-01

    The fabrication of a current collector-contained in-plane micro-supercapacitor (MSC) usually requires the patterning of the current collector first and then subsequent patterning of the active material with the assistance of a photoresist and mask. However, this two-step patterning process is too complicated and the photoresist used is harmful to the properties of nanomaterials. Here, we demonstrate a one-step, mask-free strategy to pattern the current collector and the active material at the same time, for the fabrication of an all-solid-state flexible in-plane MSC. Silver nanowires (AgNWs) are used as the current collector. An atmospheric pressure pulsed cold micro-plasma-jet is used to realize the one-step, mask-free production of interdigitated multi-walled carbon nanotube (MWCNT)/AgNW electrodes. Remarkably, the fabricated MWCNT/AgNW-based MSC shows good flexibility and excellent rate capability. Moreover, the performance of properties including cyclic stability, equivalent series resistance, relaxation time and energy/power densities of the MWCNT/AgNW-based MSC are significantly enhanced by the presence of the AgNW current collector.

  5. Temperature rise of the mask-resist assembly during LIGA exposure

    International Nuclear Information System (INIS)

    Ting, Aili

    2004-01-01

    Deep X-ray lithography on PMMA resist is used in the LIGA process. The resist is exposed to synchrotron X-rays through a patterned mask and then is developed in a liquid developer to make high aspect ratio microstructures. The limitations in dimensional accuracies of the LIGA generated microstructure originate from many sources, including synchrotron and X-ray physics, thermal and mechanical properties of mask and resist, and from the kinetics of the developer. This work addresses the thermal analysis and temperature rise of the mask-resist assembly during exposure in air at the Advanced Light Source (ALS) synchrotron. The concern is that dimensional errors generated at the mask and the resist due to thermal expansion will lower the accuracy of the lithography. We have developed a three-dimensional finite-element model of the mask and resist assembly that includes a mask with absorber, a resist with substrate, three metal holders, and a water-cooling block. We employed the LIGA exposure-development software LEX-D to calculate volumetric heat sources generated in the assembly by X-ray absorption and the commercial software ABAQUS to calculate heat transfer including thermal conduction inside the assembly, natural and forced convection, and thermal radiation. at assembly outer and/or inner surfaces. The calculations of assembly maximum temperature. have been compared with temperature measurements conducted at ALS. In some of these experiments, additional cooling of the assembly was produced by forced nitrogen flow ('nitrogen jets') directed at the mask surface. The temperature rise in the silicon mask and the mask holder comes directly from the X-ray absorption, but nitrogen jets carry away a significant portion of heat energy from the mask surface, while natural convection carries away negligibly small amounts energy from the holder. The temperature rise in PMMA resist is mainly from heat conducted from the silicon substrate backward to the resist and from the inner

  6. Bifunctional NaYF4:Er3+/Yb3+ submicron rods, implemented in quantum dot sensitized solar cell(Conference Presentation)

    Science.gov (United States)

    Guerrero, J. Pablo; Cerdán Pasarán, Andrea; López-Luke, Tzarara; Ramachari, D.; Esparza, Diego; De la Rosa Cruz, Elder; Romero Arellano, Victor Hugo

    2016-09-01

    In this work are presented the results obtained with solar cells sensitized with quantum dots of cadmium sulphide (CdS) incorporating luminescent materials (NaYF4:Yb/Er). The study revealed that through using a bifunctional layer of NaYF4:Yb/Er submicron rods, the infrared radiation is absorbed in 980nm to generate luminescence in the visible region to 530nm, under the UP-conversion process, in the same way simultaneously, NaYF4:Yb/Er layer causes scattering toward the quantum dots, the emission and scattering generated by this material is reabsorbed by the QD-CdS, and these in turn are absorbing in its range of solar radiation absorption, Thus generates an increase in the electron injection into the semiconductor of TiO2. The results of a cell incorporating NaYF4: Yb/Er at 0.07M shown photoconversion efficiencies of 3.39% improving efficiency with respect to the reference solar cell without using NaYF4: Yb/Er of 1.99%. The obtained values of current and voltage showed a strong dependence of the percentage of NaYF4 Yb/Er, and the mechanism of incorporation of this material.

  7. Generation of Submicron Bubbles using Venturi Tube Method

    Science.gov (United States)

    Wiraputra, I. G. P. A. E.; Edikresnha, D.; Munir, M. M.; Khairurrijal

    2016-08-01

    In this experiment, submicron bubbles that have diameters less than 1 millimeter were generated by mixing water and gas by hydrodynamic cavitation method. The water was forced to pass through a venturi tube in which the speed of the water will increase in the narrow section, the throat, of the venturi. When the speed of water increased, the pressure would drop at the throat of the venturi causing the outside air to be absorbed via the gas inlet. The gas was then trapped inside the water producing bubbles. The effects of several physical parameters on the characteristics of the bubbles will be discussed thoroughly in this paper. It was found that larger amount of gas pressure during compression will increase the production rate of bubbles and increase the density of bubble within water.

  8. A continuous flow micro filtration device for plasma/blood separation using submicron vertical pillar gap structures

    International Nuclear Information System (INIS)

    Kang, Tae Goo; Ji, Hongmiao; Lim, Pei Yi; Chen, Yu; Yoon, Yong-Jin

    2014-01-01

    This work demonstrates a continuous flow plasma/blood separator using a vertical submicron pillar gap structure. The working principle of the proposed separator is based on size exclusion of cells through cross-flow filtration, in which only plasma is allowed to pass through submicron vertical pillars located tangential to the main flow path of the blood sample. The maximum filtration efficiency of 99.9% was recorded with a plasma collection rate of 0.67 µl min −1 for an input blood flow rate of 12.5 µl min −1 . The hemolysis phenomenon was observed for an input blood flow rate above 30 µl min −1 . Based on the experimental results, we can conclude that the proposed device shows potential for the application of on-chip plasma/blood separation as a part of integrated point-of-care (POC) diagnostics systems. (technical note)

  9. New Y2K problem for mask making (or, Surviving mask data problems after 2000)

    Science.gov (United States)

    Sturgeon, Roger

    1999-08-01

    The Y2K problem has analogies in the mask-making world. With the Y2K problem where a date field has just two bytes for the year, there are some cases of mask-making data in which the file size cannot exceed 2 gigabytes. Where a two-digit date field can only unambiguously use a limited range of values (00 to 99), design coordinates can only cover a range of about 4 billion values, which is getting a little uncomfortable for all of the new applications. In retrospect, with a degree of foresight and planning the Y2K date problem could have been easily solved if new encodings had been allowed in the two- digit field. Likewise, in the mask-making industry we currently have the opportunity to achieve far superior data compression if we allow some new forms of data encoding in our data. But this will require universal agreement. The correct way to look at the Y2K problem is that some information was left out of the data stream due to common understandings that made the additional information superfluous. But as the year 2000 approaches, it has become widely recognized that missing data needs to be stated explicitly, and any ambiguities in the representation of the data will need to be eliminated with precise specifications. In a similar way, old mask data generation methods have had numerous flaws that we have been able to ignore for a long time. But now is the time to fix theses flaws and provide extended capabilities. What is not yet clear is if the old data generation methods can be modified to meet these developing needs. Unilateral action is not likely to lead to much progress, so some united effort is required by all interested parties if success is to be achieved in the brief time that remains.

  10. Model-based MPC enables curvilinear ILT using either VSB or multi-beam mask writers

    Science.gov (United States)

    Pang, Linyong; Takatsukasa, Yutetsu; Hara, Daisuke; Pomerantsev, Michael; Su, Bo; Fujimura, Aki

    2017-07-01

    Inverse Lithography Technology (ILT) is becoming the choice for Optical Proximity Correction (OPC) of advanced technology nodes in IC design and production. Multi-beam mask writers promise significant mask writing time reduction for complex ILT style masks. Before multi-beam mask writers become the main stream working tools in mask production, VSB writers will continue to be the tool of choice to write both curvilinear ILT and Manhattanized ILT masks. To enable VSB mask writers for complex ILT style masks, model-based mask process correction (MB-MPC) is required to do the following: 1). Make reasonable corrections for complex edges for those features that exhibit relatively large deviations from both curvilinear ILT and Manhattanized ILT designs. 2). Control and manage both Edge Placement Errors (EPE) and shot count. 3. Assist in easing the migration to future multi-beam mask writer and serve as an effective backup solution during the transition. In this paper, a solution meeting all those requirements, MB-MPC with GPU acceleration, will be presented. One model calibration per process allows accurate correction regardless of the target mask writer.

  11. Voice-associated static face image releases speech from informational masking.

    Science.gov (United States)

    Gao, Yayue; Cao, Shuyang; Qu, Tianshu; Wu, Xihong; Li, Haifeng; Zhang, Jinsheng; Li, Liang

    2014-06-01

    In noisy, multipeople talking environments such as a cocktail party, listeners can use various perceptual and/or cognitive cues to improve recognition of target speech against masking, particularly informational masking. Previous studies have shown that temporally prepresented voice cues (voice primes) improve recognition of target speech against speech masking but not noise masking. This study investigated whether static face image primes that have become target-voice associated (i.e., facial images linked through associative learning with voices reciting the target speech) can be used by listeners to unmask speech. The results showed that in 32 normal-hearing younger adults, temporally prepresenting a voice-priming sentence with the same voice reciting the target sentence significantly improved the recognition of target speech that was masked by irrelevant two-talker speech. When a person's face photograph image became associated with the voice reciting the target speech by learning, temporally prepresenting the target-voice-associated face image significantly improved recognition of target speech against speech masking, particularly for the last two keywords in the target sentence. Moreover, speech-recognition performance under the voice-priming condition was significantly correlated to that under the face-priming condition. The results suggest that learned facial information on talker identity plays an important role in identifying the target-talker's voice and facilitating selective attention to the target-speech stream against the masking-speech stream. © 2014 The Institute of Psychology, Chinese Academy of Sciences and Wiley Publishing Asia Pty Ltd.

  12. A further test of the linearity of temporal summation in forward masking.

    Science.gov (United States)

    Plack, Christopher J; Carcagno, Samuele; Oxenham, Andrew J

    2007-10-01

    An experiment tested the hypothesis that the masking effects of two nonoverlapping forward maskers are summed linearly over time. First, the levels of individual noise maskers required to mask a brief 4-kHz signal presented at 10-, 20-, 30-, or 40-dB sensation level (SL) were found. The hypothesis predicts that a combination of the first masker presented at the level required to mask the 10-dB SL signal and the second masker presented at the level required to mask the 20-dB SL signal, should produce the same amount of masking as the converse situation (i.e., the first masker presented at the level required to mask the 20-dB SL signal and the second masker presented at the level required to mask the 10-dB SL signal), and similarly for the 30- and 40-dB SL signals. The results were consistent with the predictions.

  13. Measurements from preterm infants to guide face mask size.

    Science.gov (United States)

    O'Shea, Joyce E; Thio, Marta; Owen, Louise S; Wong, Connie; Dawson, Jennifer A; Davis, Peter G

    2016-07-01

    International guidelines recommend that an appropriately sized face mask for providing positive pressure ventilation should cover the mouth and nose but not the eyes and should not overlap the chin. This study aimed to measure the dimensions of preterm infants' faces and compare these with the size of the most commonly available face masks (external diameter 50 mm) and the smallest masks available (external diameters 35 and 42 mm). Infants 24-33 weeks' postmenstrual age (PMA) were photographed in a standardised manner. Images were analysed using ImageJ software (National Institute of Health, USA) to calculate the distance from the nasofrontal groove to the mental protuberance. This facial measurement corresponds to the external diameter of an optimally fitting mask. A cohort of 107 infants between 24 and 33 weeks' gestational age, including at least 10 infants per week of gestation, was photographed within 72 h after birth and weekly until 33 weeks' PMA. 347 photographs were analysed. Infants of 24, 26, 28, 30 and 32 weeks' PMA had mean (SD) facial measurements of 32 (2), 36 (3), 38 (4), 41 (2) and 43 (4) mm, respectively. There were no significant differences when examined by gender or when small for gestational age infants were excluded. The smallest size of some brands of mask is too large for many preterm infants. Masks of 35 mm diameter are suitable for infants Masks of 42 mm diameter are suitable for infants 27-33 weeks' PMA or 750-2500 g. Published by the BMJ Publishing Group Limited. For permission to use (where not already granted under a licence) please go to http://www.bmj.com/company/products-services/rights-and-licensing/

  14. Improved Mask Protected DES using RSA Algorithm

    Directory of Open Access Journals (Sweden)

    Asha Latha S.

    2016-01-01

    Full Text Available The data encryption standard is a pioneering and farsighted standard which helped to set a new paradigm for encryption standards. But now DES is considered to be insecure for some application. Asymmetric mask protected DES is an advanced encryption method for effectively protecting the advanced DES. There are still probabilities to improve its security. This paper propose a method, which introduce a RSA key generation scheme in mask protected DES instead of plain key, which result in enhancement in the security of present asymmetric mask protected DES. We further propose a Vedic mathematical method of RSA implementation which reduce the complexity of computation in RSA block thereby resulting in reduced delay (four timesthat improves the performance of overall system. The software implementation was performed using Xilinx 13.2 and Model-Sim was used for the simulation environment.

  15. A pattern-based method to automate mask inspection files

    Science.gov (United States)

    Kamal Baharin, Ezni Aznida Binti; Muhsain, Mohamad Fahmi Bin; Ahmad Ibrahim, Muhamad Asraf Bin; Ahmad Noorhani, Ahmad Nurul Ihsan Bin; Sweis, Jason; Lai, Ya-Chieh; Hurat, Philippe

    2017-03-01

    Mask inspection is a critical step in the mask manufacturing process in order to ensure all dimensions printed are within the needed tolerances. This becomes even more challenging as the device nodes shrink and the complexity of the tapeout increases. Thus, the amount of measurement points and their critical dimension (CD) types are increasing to ensure the quality of the mask. In addition to the mask quality, there is a significant amount of manpower needed when the preparation and debugging of this process are not automated. By utilizing a novel pattern search technology with the ability to measure and report match region scan-line (edge) measurements, we can create a flow to find, measure and mark all metrology locations of interest and provide this automated report to the mask shop for inspection. A digital library is created based on the technology product and node which contains the test patterns to be measured. This paper will discuss how these digital libraries will be generated and then utilized. As a time-critical part of the manufacturing process, this can also reduce the data preparation cycle time, minimize the amount of manual/human error in naming and measuring the various locations, reduce the risk of wrong/missing CD locations, and reduce the amount of manpower needed overall. We will also review an example pattern and how the reporting structure to the mask shop can be processed. This entire process can now be fully automated.

  16. Mask industry quality assessment

    Science.gov (United States)

    Strott, Al; Bassist, Larry

    1994-12-01

    Product quality and timely delivery are two of the most important parameters in determining the success of a mask manufacturing facility. Because of the sensitivity of this data, very little was known about industry performance in these areas until an assessment was authored and presented at the 1993 BACUS Symposium by Larry Regis of Intel Corporation, Neil Paulsen of Intel Corporation, and James A. Reynolds of Reynolds Consulting. This data has been updated and will be published and presented at this year's BACUS Symposium. Contributor identities will again remain protected by utilizing Arthur Andersen & Company to compile the submittals. Participation was consistent with last year's representation of over 75% of the total merchant and captive mask volume in the United States. The data compiled includes shipments, customer return rate, customer return reasons from 1988 through Q2, 1994, performance to schedule, plate survival yield, and throughput time (TPT).

  17. 37 CFR 211.2 - Recordation of documents pertaining to mask works.

    Science.gov (United States)

    2010-07-01

    ... pertaining to mask works. 211.2 Section 211.2 Patents, Trademarks, and Copyrights COPYRIGHT OFFICE, LIBRARY OF CONGRESS COPYRIGHT OFFICE AND PROCEDURES MASK WORK PROTECTION § 211.2 Recordation of documents pertaining to mask works. The conditions prescribed in § 201.4 of this chapter for recordation of transfers...

  18. A novel micromachined shadow mask system with self-alignment and gap control capability

    International Nuclear Information System (INIS)

    Hong, Jung Moo; Zou Jun

    2008-01-01

    We present a novel micromachined shadow mask system, which is capable of accurate self-alignment and mask-substrate gap control. The shadow mask system consists of a silicon shadow mask and a silicon carrier wafer with pyramidal cavities fabricated with bulk micromachining. Self-alignment and gap control of the shadow mask and the fabrication substrate can readily be achieved by using matching pairs of pyramidal cavities and steel spheres placed between. The layer-to-layer alignment accuracy of the new shadow mask system has been experimentally characterized and verified using both optical and atomic force microscopic measurements. As an application of this new shadow mask system, an organic thin-film transistor (OTFT) using pentacene as the semiconductor layer has been successfully fabricated and tested

  19. Serotonin dependent masking of hippocampal sharp wave ripples.

    Science.gov (United States)

    ul Haq, Rizwan; Anderson, Marlene L; Hollnagel, Jan-Oliver; Worschech, Franziska; Sherkheli, Muhammad Azahr; Behrens, Christoph J; Heinemann, Uwe

    2016-02-01

    Sharp wave ripples (SPW-Rs) are thought to play an important role in memory consolidation. By rapid replay of previously stored information during slow wave sleep and consummatory behavior, they result from the formation of neural ensembles during a learning period. Serotonin (5-HT), suggested to be able to modify SPW-Rs, can affect many neurons simultaneously by volume transmission and alter network functions in an orchestrated fashion. In acute slices from dorsal hippocampus, SPW-Rs can be induced by repeated high frequency stimulation that induces long-lasting LTP. We used this model to study SPW-R appearance and modulation by 5-HT. Although stimulation in presence of 5-HT permitted LTP induction, SPW-Rs were "masked"--but appeared after 5-HT wash-out. This SPW-R masking was dose dependent with 100 nM 5-HT being sufficient--if the 5-HT re-uptake inhibitor citalopram was present. Fenfluramine, a serotonin releaser, could also mask SPW-Rs. Masking was due to 5-HT1A and 5-HT2A/C receptor activation. Neither membrane potential nor membrane conductance changes in pyramidal cells caused SPW-R blockade since both remained unaffected by combining 5-HT and citalopram. Moreover, 10 and 30 μM 5-HT mediated SPW-R masking preceded neuronal hyperpolarization and involved reduced presynaptic transmitter release. 5-HT, as well as a 5-HT1A agonist, augmented paired pulse facilitation and affected the coefficient of variance. Spontaneous SPW-Rs in mice hippocampal slices were also masked by 5-HT and fenfluramine. While neuronal ensembles can acquire long lasting LTP during higher 5-HT levels, lower 5-HT levels enable neural ensembles to replay previously stored information and thereby permit memory consolidation memory. Copyright © 2015 Elsevier Ltd. All rights reserved.

  20. Respiratory source control using a surgical mask: An in vitro study.

    Science.gov (United States)

    Patel, Rajeev B; Skaria, Shaji D; Mansour, Mohamed M; Smaldone, Gerald C

    2016-07-01

    Cough etiquette and respiratory hygiene are forms of source control encouraged to prevent the spread of respiratory infection. The use of surgical masks as a means of source control has not been quantified in terms of reducing exposure to others. We designed an in vitro model using various facepieces to assess their contribution to exposure reduction when worn at the infectious source (Source) relative to facepieces worn for primary (Receiver) protection, and the factors that contribute to each. In a chamber with various airflows, radiolabeled aerosols were exhaled via a ventilated soft-face manikin head using tidal breathing and cough (Source). Another manikin, containing a filter, quantified recipient exposure (Receiver). The natural fit surgical mask, fitted (SecureFit) surgical mask and an N95-class filtering facepiece respirator (commonly known as an "N95 respirator") with and without a Vaseline-seal were tested. With cough, source control (mask or respirator on Source) was statistically superior to mask or unsealed respirator protection on the Receiver (Receiver protection) in all environments. To equal source control during coughing, the N95 respirator must be Vaseline-sealed. During tidal breathing, source control was comparable or superior to mask or respirator protection on the Receiver. Source control via surgical masks may be an important adjunct defense against the spread of respiratory infections. The fit of the mask or respirator, in combination with the airflow patterns in a given setting, are significant contributors to source control efficacy. Future clinical trials should include a surgical mask source control arm to assess the contribution of source control in overall protection against airborne infection.

  1. Benchmarking EUV mask inspection beyond 0.25 NA

    International Nuclear Information System (INIS)

    Goldberg, Kenneth A.; Mochi, Iacopo; Anderson, Erik H.; Rekawa, Seno B.; Kemp, Charles D.; Huh, S.; Han, H.-S.; Naulleau, P.; Gunion, R.F.

    2008-01-01

    The SEMATECH Berkeley Actinic Inspection Tool (AIT) is an EUV-wavelength mask inspection microscope designed for direct aerial image measurements, and pre-commercial EUV mask research. Operating on a synchrotron bending magnet beamline, the AIT uses an off-axis Fresnel zoneplate lens to project a high-magnification EUV image directly onto a CCD camera. We present the results of recent system upgrades that have improved the imaging resolution, illumination uniformity, and partial coherence. Benchmarking tests show image contrast above 75% for 100-nm mask features, and significant improvements and across the full range of measured sizes. The zoneplate lens has been replaced by an array of user-selectable zoneplates with higher magnification and NA values up to 0.0875, emulating the spatial resolution of a 0.35-NA 4 x EUV stepper. Illumination uniformity is above 90% for mask areas 2-(micro)m-wide and smaller. An angle-scanning mirror reduces the high coherence of the synchrotron beamline light source giving measured σ values of approximately 0.125 at 0.0875 NA

  2. Submicron-sized aerosol and radon progeny measurements in an uranium mine

    International Nuclear Information System (INIS)

    Boulaud, D.; Chouard, J.C.

    1992-01-01

    Submicron-sized aerosol was studied in an uranium mine using an Electrical Aerosol Analyzer and a Differential Mobility Particle Sizer. In addition radon progeny particle size distributions were measured using a prototype instrument developed by us (SDI 2000). With cascade impactor the number weighted mean electrical mobility diameters and the geometric standard deviations ranged respectively from 0.05 to 0.1 μm and 1.8 to 2. The gross alpha activity weighted mean thermodynamic diameters ranged typically from 0.1 to 0.2 μm. 6 refs., 3 figs

  3. Estimating individual listeners’ auditory-filter bandwidth in simultaneous and non-simultaneous masking

    DEFF Research Database (Denmark)

    Buchholz, Jörg; Caminade, Sabine; Strelcyk, Olaf

    2010-01-01

    Frequency selectivity in the human auditory system is often measured using simultaneous masking of tones presented in notched noise. Based on such masking data, the equivalent rectangular bandwidth (ERB) of the auditory filters can be derived by applying the power spectrum model of masking....... Considering bandwidth estimates from previous studies based on forward masking, only average data across a number of subjects have been considered. The present study is concerned with bandwidth estimates in simultaneous and forward masking in individual normal-hearing subjects. In order to investigate...... the reliability of the individual estimates, a statistical resampling method is applied. It is demonstrated that a rather large set of experimental data is required to reliably estimate auditory filter bandwidth, particularly in the case of simultaneous masking. The poor overall reliability of the filter...

  4. Synthesis and characterization of hollow α-Fe2O3 sub-micron spheres prepared by sol–gel

    International Nuclear Information System (INIS)

    León, Lizbet; Bustamante, Angel; Osorio, Ana; Olarte, G. S.; Santos Valladares, Luis De Los; Barnes, Crispin H. W.; Majima, Yutaka

    2011-01-01

    In this work we report the preparation of magnetic hematite hollow sub-micron spheres (α-Fe 2 O 3 ) by colloidal suspensions of ferric nitrate nine-hydrate (Fe(NO 3 ) 3 ·9H 2 O) particles in citric acid solution by following the sol–gel method. After the gel formation, the samples were annealed at different temperatures in an oxidizing atmosphere. Annealing at 180°C resulted in an amorphous phase, without iron oxide formation. Annealing at 250°C resulted in coexisting phases of hematite, maghemite and magnetite, whereas at 400°C, only hematite and maghemite were found. Pure hematite hollow sub-micron spheres with porous shells were formed after annealing at 600°C. The characterization was performed by X-ray diffraction (XRD), Mössbauer spectroscopy (MS) and scanning electron microscopy (SEM).

  5. Thermal stress analysis of the SLAC moveable mask. Addendum 2

    International Nuclear Information System (INIS)

    Johnson, G.L.

    1985-01-01

    X-ray beams emerging from the new SLAC electron-positron storage ring (PEP) can impinge on the walls of tangential divertor channels. A moveable mask made of 6061-T6 aluminum is installed in the channel to limit wall heating. The mask is cooled with water flowing axially at 30 0 C. Beam strikes on the mask cause highly localized heating in the channel structure. Analyses were completed to determine the temperatures and thermally-induced stresses due to this heating. The current design and operating conditions should result in the entrance to the moveable mask operating at a peak temperature of 88 0 C with a peak thermal stress at 19% of the yield of 6061-T6 aluminum

  6. Repositioning accuracy of two different mask systems-3D revisited: Comparison using true 3D/3D matching with cone-beam CT

    International Nuclear Information System (INIS)

    Boda-Heggemann, Judit; Walter, Cornelia; Rahn, Angelika; Wertz, Hansjoerg; Loeb, Iris; Lohr, Frank; Wenz, Frederik

    2006-01-01

    Purpose: The repositioning accuracy of mask-based fixation systems has been assessed with two-dimensional/two-dimensional or two-dimensional/three-dimensional (3D) matching. We analyzed the accuracy of commercially available head mask systems, using true 3D/3D matching, with X-ray volume imaging and cone-beam CT. Methods and Materials: Twenty-one patients receiving radiotherapy (intracranial/head-and-neck tumors) were evaluated (14 patients with rigid and 7 with thermoplastic masks). X-ray volume imaging was analyzed online and offline separately for the skull and neck regions. Translation/rotation errors of the target isocenter were analyzed. Four patients were treated to neck sites. For these patients, repositioning was aided by additional body tattoos. A separate analysis of the setup error on the basis of the registration of the cervical vertebra was performed. The residual error after correction and intrafractional motility were calculated. Results: The mean length of the displacement vector for rigid masks was 0.312 ± 0.152 cm (intracranial) and 0.586 ± 0.294 cm (neck). For the thermoplastic masks, the value was 0.472 ± 0.174 cm (intracranial) and 0.726 ± 0.445 cm (neck). Rigid masks with body tattoos had a displacement vector length in the neck region of 0.35 ± 0.197 cm. The intracranial residual error and intrafractional motility after X-ray volume imaging correction for rigid masks was 0.188 ± 0.074 cm, and was 0.134 ± 0.14 cm for thermoplastic masks. Conclusions: The results of our study have demonstrated that rigid masks have a high intracranial repositioning accuracy per se. Given the small residual error and intrafractional movement, thermoplastic masks may also be used for high-precision treatments when combined with cone-beam CT. The neck region repositioning accuracy was worse than the intracranial accuracy in both cases. However, body tattoos and image guidance improved the accuracy. Finally, the combination of both mask systems with 3D

  7. Auditory Time-Frequency Masking for Spectrally and Temporally Maximally-Compact Stimuli.

    Directory of Open Access Journals (Sweden)

    Thibaud Necciari

    Full Text Available Many audio applications perform perception-based time-frequency (TF analysis by decomposing sounds into a set of functions with good TF localization (i.e. with a small essential support in the TF domain using TF transforms and applying psychoacoustic models of auditory masking to the transform coefficients. To accurately predict masking interactions between coefficients, the TF properties of the model should match those of the transform. This involves having masking data for stimuli with good TF localization. However, little is known about TF masking for mathematically well-localized signals. Most existing masking studies used stimuli that are broad in time and/or frequency and few studies involved TF conditions. Consequently, the present study had two goals. The first was to collect TF masking data for well-localized stimuli in humans. Masker and target were 10-ms Gaussian-shaped sinusoids with a bandwidth of approximately one critical band. The overall pattern of results is qualitatively similar to existing data for long maskers. To facilitate implementation in audio processing algorithms, a dataset provides the measured TF masking function. The second goal was to assess the potential effect of auditory efferents on TF masking using a modeling approach. The temporal window model of masking was used to predict present and existing data in two configurations: (1 with standard model parameters (i.e. without efferents, (2 with cochlear gain reduction to simulate the activation of efferents. The ability of the model to predict the present data was quite good with the standard configuration but highly degraded with gain reduction. Conversely, the ability of the model to predict existing data for long maskers was better with than without gain reduction. Overall, the model predictions suggest that TF masking can be affected by efferent (or other effects that reduce cochlear gain. Such effects were avoided in the experiment of this study by using

  8. Adapting Mask-RCNN for Automatic Nucleus Segmentation

    OpenAIRE

    Johnson, Jeremiah W.

    2018-01-01

    Automatic segmentation of microscopy images is an important task in medical image processing and analysis. Nucleus detection is an important example of this task. Mask-RCNN is a recently proposed state-of-the-art algorithm for object detection, object localization, and object instance segmentation of natural images. In this paper we demonstrate that Mask-RCNN can be used to perform highly effective and efficient automatic segmentations of a wide range of microscopy images of cell nuclei, for ...

  9. Active Mask Segmentation of Fluorescence Microscope Images

    OpenAIRE

    Srinivasa, Gowri; Fickus, Matthew C.; Guo, Yusong; Linstedt, Adam D.; Kovačević, Jelena

    2009-01-01

    We propose a new active mask algorithm for the segmentation of fluorescence microscope images of punctate patterns. It combines the (a) flexibility offered by active-contour methods, (b) speed offered by multiresolution methods, (c) smoothing offered by multiscale methods, and (d) statistical modeling offered by region-growing methods into a fast and accurate segmentation tool. The framework moves from the idea of the “contour” to that of “inside and outside”, or, masks, allowing for easy mul...

  10. Using a novel spectroscopic reflectometer to optimize a radiation-hardened submicron silicon-on-sapphire CMOS process

    International Nuclear Information System (INIS)

    Do, N.T.; Zawaideh, E.; Vu, T.Q.; Warren, G.; Mead, D.; Do, N.T.; Li, G.P.; Tsai, C.S.

    1999-01-01

    A radiation-hardened sub-micron silicon-on-sapphire CMOS process is monitored and optimized using a novel optical technique based on spectroscopic reflectometry. Quantitative measurements of the crystal quality, surface roughness, and device radiation hardness show excellent correlation between this technique and the Atomic Force Microscopy. (authors)

  11. Beyond a mask and against the bottleneck: retroactive dual-task interference during working memory consolidation of a masked visual target.

    Science.gov (United States)

    Nieuwenstein, Mark; Wyble, Brad

    2014-06-01

    While studies on visual memory commonly assume that the consolidation of a visual stimulus into working memory is interrupted by a trailing mask, studies on dual-task interference suggest that the consolidation of a stimulus can continue for several hundred milliseconds after a mask. As a result, estimates of the time course of working memory consolidation differ more than an order of magnitude. Here, we contrasted these opposing views by examining if and for how long the processing of a masked display of visual stimuli can be disturbed by a trailing 2-alternative forced choice task (2-AFC; a color discrimination task or a visual or auditory parity judgment task). The results showed that the presence of the 2-AFC task produced a pronounced retroactive interference effect that dissipated across stimulus onset asynchronies of 250-1,000 ms, indicating that the processing elicited by the 2-AFC task interfered with the gradual consolidation of the earlier shown stimuli. Furthermore, this interference effect occurred regardless of whether the to-be-remembered stimuli comprised a string of letters or an unfamiliar complex visual shape, and it occurred regardless of whether these stimuli were masked. Conversely, the interference effect was reduced when the memory load for the 1st task was reduced, or when the 2nd task was a color detection task that did not require decision making. Taken together, these findings show that the formation of a durable and consciously accessible working memory trace for a briefly shown visual stimulus can be disturbed by a trailing 2-AFC task for up to several hundred milliseconds after the stimulus has been masked. By implication, the current findings challenge the common view that working memory consolidation involves an immutable central processing bottleneck, and they also make clear that consolidation does not stop when a stimulus is masked. PsycINFO Database Record (c) 2014 APA, all rights reserved.

  12. MC1R studies in dogs with melanistic mask or brindle patterns.

    Science.gov (United States)

    Schmutz, S M; Berryere, T G; Ellinwood, N M; Kerns, J A; Barsh, G S

    2003-01-01

    Black mask is a characteristic pattern in which red, yellow, tan, fawn, or brindle dogs exhibit a melanistic muzzle which may extend up onto the ears. Melanistic mask is inherited in several breeds as an autosomal dominant trait, and appears to be a fixed trait in a few breeds of dogs. A MC1R nonsense mutation, R306ter, has been shown to cause a completely red or yellow coat color in certain breeds such as Irish setters, yellow Labrador retrievers, and golden retrievers. The amino acid sequence for the melanocortin receptor 1 gene (MC1R) was examined in 17 dogs with melanistic masks from seven breeds, 19 dogs without melanistic masks, and 7 dogs in which their coat color made the mask difficult to distinguish. We also examined nine brindle dogs of four breeds, including three dogs who also had a black mask. No consistent amino acid change was observed in the brindle dogs. All dogs with a melanistic mask had at least one copy of a valine substitution for methionine at amino acid 264 (M264V) and none were homozygous for the premature stop codon (R306ter). These results suggest that black mask, but not brindle, is caused by a specific MC1R allele.

  13. Perceptual effects of noise reduction by time-frequency masking of noisy speech.

    Science.gov (United States)

    Brons, Inge; Houben, Rolph; Dreschler, Wouter A

    2012-10-01

    Time-frequency masking is a method for noise reduction that is based on the time-frequency representation of a speech in noise signal. Depending on the estimated signal-to-noise ratio (SNR), each time-frequency unit is either attenuated or not. A special type of a time-frequency mask is the ideal binary mask (IBM), which has access to the real SNR (ideal). The IBM either retains or removes each time-frequency unit (binary mask). The IBM provides large improvements in speech intelligibility and is a valuable tool for investigating how different factors influence intelligibility. This study extends the standard outcome measure (speech intelligibility) with additional perceptual measures relevant for noise reduction: listening effort, noise annoyance, speech naturalness, and overall preference. Four types of time-frequency masking were evaluated: the original IBM, a tempered version of the IBM (called ITM) which applies limited and non-binary attenuation, and non-ideal masking (also tempered) with two different types of noise-estimation algorithms. The results from ideal masking imply that there is a trade-off between intelligibility and sound quality, which depends on the attenuation strength. Additionally, the results for non-ideal masking suggest that subjective measures can show effects of noise reduction even if noise reduction does not lead to differences in intelligibility.

  14. Study of shape evaluation for mask and silicon using large field of view

    Science.gov (United States)

    Matsuoka, Ryoichi; Mito, Hiroaki; Shinoda, Shinichi; Toyoda, Yasutaka

    2010-09-01

    We have developed a highly integrated method of mask and silicon metrology. The aim of this integration is evaluating the performance of the silicon corresponding to Hotspot on a mask. It can use the mask shape of a large field, besides. The method adopts a metrology management system based on DBM (Design Based Metrology). This is the high accurate contouring created by an edge detection algorithm used in mask CD-SEM and silicon CD-SEM. Currently, as semiconductor manufacture moves towards even smaller feature size, this necessitates more aggressive optical proximity correction (OPC) to drive the super-resolution technology (RET). In other words, there is a trade-off between highly precise RET and mask manufacture, and this has a big impact on the semiconductor market that centers on the mask business. As an optimal solution to these issues, we provide a DFM solution that extracts 2-dimensional data for a more realistic and error-free simulation by reproducing accurately the contour of the actual mask, in addition to the simulation results from the mask data. On the other hand, there is roughness in the silicon form made from a mass-production line. Moreover, there is variation in the silicon form. For this reason, quantification of silicon form is important, in order to estimate the performance of a pattern. In order to quantify, the same form is equalized in two dimensions. And the method of evaluating based on the form is popular. In this study, we conducted experiments for averaging method of the pattern (Measurement Based Contouring) as two-dimensional mask and silicon evaluation technique. That is, observation of the identical position of a mask and a silicon was considered. The result proved its detection accuracy and reliability of variability on two-dimensional pattern (mask and silicon) and is adaptable to following fields of mask quality management. •Discrimination of nuisance defects for fine pattern. •Determination of two-dimensional variability of

  15. Fabrication of submicron conducting and chemically functionalized structures from poly(3-octylthiophene) by an electron beam

    International Nuclear Information System (INIS)

    Cai, S.X.; Kanskar, M.; Nabity, J.C.; Keana, J.F.W.; Wybourne, M.N.

    1992-01-01

    The authors present a novel method of using an electron beam to both functionalize and cross-link poly (3-octylthiophene) (P3OT) in a single step to produce submicron scale polymer structures carrying functionalized groups. P3OT is shown to be a negative electron-beam resist with a sensitivity of 15-30 μC cm -2 .The electrical conductivity of doped P3OT wire structures was measured at room temperature and was found to be in the range 4.0-5.9 Ω -1 cm -1 . Electron-beam exposure of P3OT films containing 7 wt % of N-hydroxysuccinimide (NHS) functionalized perfluorophenyl azide 2 resulted in the incorporation of the NHS functional groups in the polymer, as well as cross-linking. The functionalized submicron structures were found to be weakly fluorescent under fluorescein excitation (450-490 nm), but after treatment with a solution of 5-(aminoacetamido)fluorescein in ethanol the structures became strongly fluorescent. 27 refs., 3 figs

  16. Sub-micron silicon nitride waveguide fabrication using conventional optical lithography.

    Science.gov (United States)

    Huang, Yuewang; Zhao, Qiancheng; Kamyab, Lobna; Rostami, Ali; Capolino, Filippo; Boyraz, Ozdal

    2015-03-09

    We demonstrate a novel technique to fabricate sub-micron silicon nitride waveguides using conventional contact lithography with MEMS-grade photomasks. Potassium hydroxide anisotropic etching of silicon facilitates line reduction and roughness smoothing and is key to the technique. The fabricated waveguides is measured to have a propagation loss of 0.8dB/cm and nonlinear coefficient of γ = 0.3/W/m. A low anomalous dispersion of <100ps/nm/km is also predicted. This type of waveguide is highly suitable for nonlinear optics. The channels naturally formed on top of the waveguide also make it promising for plasmonics and quantum efficiency enhancement in sensing applications.

  17. Accuracy and performance of 3D mask models in optical projection lithography

    Science.gov (United States)

    Agudelo, Viviana; Evanschitzky, Peter; Erdmann, Andreas; Fühner, Tim; Shao, Feng; Limmer, Steffen; Fey, Dietmar

    2011-04-01

    Different mask models have been compared: rigorous electromagnetic field (EMF) modeling, rigorous EMF modeling with decomposition techniques and the thin mask approach (Kirchhoff approach) to simulate optical diffraction from different mask patterns in projection systems for lithography. In addition, each rigorous model was tested for two different formulations for partially coherent imaging: The Hopkins assumption and rigorous simulation of mask diffraction orders for multiple illumination angles. The aim of this work is to closely approximate results of the rigorous EMF method by the thin mask model enhanced with pupil filtering techniques. The validity of this approach for different feature sizes, shapes and illumination conditions is investigated.

  18. Microscopic methods in analysis of submicron phospholipid dispersions

    Directory of Open Access Journals (Sweden)

    Płaczek Marcin

    2016-03-01

    Full Text Available Microscopy belongs to the group of tests, used in pharmaceutical technology, that despite the lapse of time and the development of new analytical methods, still remain irreplaceable for the characterization of dispersed drug dosage forms (e.g., suspensions and emulsions. To obtain complete description of a specific drug formulation, such as parenteral colloidal products, a combination of different microscopic techniques is sometimes required. Electron microscopy methods are the most useful ones; however, even such basic methods as optical microscopy may be helpful for determination of some properties of a sample. The publication explicates the most popular microscopical techniques used nowadays for characterization of the morphology of nanoparticles suspended in pharmaceutical formulations; ad vantages and disadvantages of these methods are also discussed. Parenteral submicron formulations containing lecithin or a particular phospholipid were chosen as examples.

  19. "Slit Mask Design for the Giant Magellan Telescope Multi-object Astronomical and Cosmological Spectrograph"

    Science.gov (United States)

    Williams, Darius; Marshall, Jennifer L.; Schmidt, Luke M.; Prochaska, Travis; DePoy, Darren L.

    2018-01-01

    The Giant Magellan Telescope Multi-object Astronomical and Cosmological Spectrograph (GMACS) is currently in development for the Giant Magellan Telescope (GMT). GMACS will employ slit masks with a usable diameter of approximately 0.450 m for the purpose of multi-slit spectroscopy. Of significant importance are the design constraints and parameters of the multi-object slit masks themselves as well as the means for mapping astronomical targets to physical mask locations. Analytical methods are utilized to quantify deformation effects on a potential slit mask due to thermal expansion and vignetting of target light cones. Finite element analysis (FEA) is utilized to simulate mask flexure in changing gravity vectors. The alpha version of the mask creation program for GMACS, GMACS Mask Simulator (GMS), a derivative of the OSMOS Mask Simulator (OMS), is introduced.

  20. GABAA agonist reduces visual awareness : a masking-EEG experiment

    NARCIS (Netherlands)

    van Loon, Anouk M; Scholte, H Steven; van Gaal, Simon; van der Hoort, Björn J J; Lamme, Victor A F

    Consciousness can be manipulated in many ways. Here, we seek to understand whether two such ways, visual masking and pharmacological intervention, share a common pathway in manipulating visual consciousness. We recorded EEG from human participants who performed a backward-masking task in which they

  1. Prediction and outcomes of impossible mask ventilation: a review of 50,000 anesthetics.

    Science.gov (United States)

    Kheterpal, Sachin; Martin, Lizabeth; Shanks, Amy M; Tremper, Kevin K

    2009-04-01

    There are no existing data regarding risk factors for impossible mask ventilation and limited data regarding its incidence. The authors sought to determine the incidence, predictors, and outcomes associated with impossible mask ventilation. The authors performed an observational study over a 4-yr period. For each adult patient undergoing a general anesthetic, preoperative patient characteristics, detailed airway physical exam, and airway outcome data were collected. The primary outcome was impossible mask ventilation defined as the inability to exchange air during bag-mask ventilation attempts, despite multiple providers, airway adjuvants, or neuromuscular blockade. Secondary outcomes included the final, definitive airway management technique and direct laryngoscopy view. The incidence of impossible mask ventilation was calculated. Independent (P impossible mask ventilation were identified by performing a logistic regression full model fit. Over a 4-yr period from 2004 to 2008, 53,041 attempts at mask ventilation were recorded. A total of 77 cases of impossible mask ventilation (0.15%) were observed. Neck radiation changes, male sex, sleep apnea, Mallampati III or IV, and presence of beard were identified as independent predictors. The receiver-operating-characteristic area under the curve for this model was 0.80 +/- 0.03. Nineteen impossible mask ventilation patients (25%) also demonstrated difficult intubation, with 15 being intubated successfully. Twelve patients required an alternative intubation technique, including two surgical airways and two patients who were awakened and underwent successful fiberoptic intubation. Impossible mask ventilation is an infrequent airway event that is associated with difficult intubation. Neck radiation changes represent the most significant clinical predictor of impossible mask ventilation in the patient dataset.

  2. Central auditory masking by an illusory tone.

    Directory of Open Access Journals (Sweden)

    Christopher J Plack

    Full Text Available Many natural sounds fluctuate over time. The detectability of sounds in a sequence can be reduced by prior stimulation in a process known as forward masking. Forward masking is thought to reflect neural adaptation or neural persistence in the auditory nervous system, but it has been unclear where in the auditory pathway this processing occurs. To address this issue, the present study used a "Huggins pitch" stimulus, the perceptual effects of which depend on central auditory processing. Huggins pitch is an illusory tonal sensation produced when the same noise is presented to the two ears except for a narrow frequency band that is different (decorrelated between the ears. The pitch sensation depends on the combination of the inputs to the two ears, a process that first occurs at the level of the superior olivary complex in the brainstem. Here it is shown that a Huggins pitch stimulus produces more forward masking in the frequency region of the decorrelation than a noise stimulus identical to the Huggins-pitch stimulus except with perfect correlation between the ears. This stimulus has a peripheral neural representation that is identical to that of the Huggins-pitch stimulus. The results show that processing in, or central to, the superior olivary complex can contribute to forward masking in human listeners.

  3. Masked priming effect reflects evidence accumulated by the prime.

    Science.gov (United States)

    Kinoshita, Sachiko; Norris, Dennis

    2010-01-01

    In the same-different match task, masked priming is observed with the same responses but not different responses. Norris and Kinoshita's (2008) Bayesian reader account of masked priming explains this pattern based on the same principle as that explaining the absence of priming for nonwords in the lexical decision task. The pattern of priming follows from the way the model makes optimal decisions in the two tasks; priming does not depend on first activating the prime and then the target. An alternative explanation is in terms of a bias towards responding "same" that exactly counters the facilitatory effect of lexical access. The present study tested these two views by varying both the degree to which the prime predicts the response and the visibility of the prime. Unmasked primes produced effects expected from the view that priming is influenced by the degree to which the prime predicts the response. In contrast, with masked primes, the size of priming for the same response was completely unaffected by predictability. These results rule out response bias as an explanation of the absence of masked priming for different responses and, in turn, indicate that masked priming is not a consequence of automatic lexical access of the prime.

  4. Preparation and characterization of SiO2-coated submicron-sized L10 Fe-Pt particles

    Directory of Open Access Journals (Sweden)

    Yoshiaki Hayashi

    2018-05-01

    Full Text Available The development of magnets with higher performance is attracting increasing interest. The optimization of their microstructure is essential to enhance their properties, and a microstructure comprising magnetically isolated hard magnetic grains of a single-domain size has been proposed as an ideal structure for enhancing the coercivity of magnets. To obtain magnets with an ideal structure, we consider the fabrication of magnets by an approach based on core/shell nanoparticles with a hard magnetic core and a non-magnetic shell. In this study, to obtain particles for our proposed approach, we attempted to fabricate L10 Fe-Pt/SiO2-core/shell particles with submicron-sized cores less than the critical single-domain size. The fabrication of such core/shell particles was confirmed from morphology observations and XRD analysis of the particles. Although the formation of more desirable core/shell particles with submicron-sized single-crystal cores in the single-domain size range was not achieved, the fabricated core/shell particles showed a high coercivity of 25 kOe.

  5. Modelling of passive heating for replication of sub-micron patterns in optical disk substrates

    Energy Technology Data Exchange (ETDEWEB)

    Kim, Youngmin; Bae, Jaecheol; Kim, Hongmin; Kang, Shinill [School of Mechanical Engineering, Yonsei University, 134 Shinchon-dong, Seodaemoon-ku, Seoul (Korea, Republic of)

    2004-05-07

    The transcribability of pit or land groove structures in replicating an optical disk substrate greatly affects the performance of a high-density optical disk. However, a solidified layer generated during the polymer filling worsens transcribability because the solidified layer prevents the polymer melt from filling the sub-micron patterns. Therefore, the development of the solidified layer during the filling stage of injection moulding must be delayed. For this delay, passive heating through an insulation layer has been used. In the present study, to examine the development of the solidified layer, delayed by passive heating, the flow of the polymer melt with passive heating was analysed. Passive heating delayed markedly the development of the solidified layer, reduced the viscosity of the polymer melt, and increased the fluidity of the polymer melt in the vicinity of the stamper surface with the sub-micron patterns. As a result, we predict that passive heating can improve the transcribability of an optical disk substrate. To verify our prediction, we fabricated an optical disk substrate by using passive heating of a mould and measured the transcribability of an optical disk substrate.

  6. Modelling of passive heating for replication of sub-micron patterns in optical disk substrates

    International Nuclear Information System (INIS)

    Kim, Youngmin; Bae, Jaecheol; Kim, Hongmin; Kang, Shinill

    2004-01-01

    The transcribability of pit or land groove structures in replicating an optical disk substrate greatly affects the performance of a high-density optical disk. However, a solidified layer generated during the polymer filling worsens transcribability because the solidified layer prevents the polymer melt from filling the sub-micron patterns. Therefore, the development of the solidified layer during the filling stage of injection moulding must be delayed. For this delay, passive heating through an insulation layer has been used. In the present study, to examine the development of the solidified layer, delayed by passive heating, the flow of the polymer melt with passive heating was analysed. Passive heating delayed markedly the development of the solidified layer, reduced the viscosity of the polymer melt, and increased the fluidity of the polymer melt in the vicinity of the stamper surface with the sub-micron patterns. As a result, we predict that passive heating can improve the transcribability of an optical disk substrate. To verify our prediction, we fabricated an optical disk substrate by using passive heating of a mould and measured the transcribability of an optical disk substrate

  7. Optimized qualification protocol on particle cleanliness for EUV mask infrastructure

    Science.gov (United States)

    van der Donck, J. C. J.; Stortelder, J. K.; Derksen, G. B.

    2011-11-01

    With the market introduction of the NXE:3100, Extreme Ultra Violet Lithography (EUVL) enters a new stage. Now infrastructure in the wafer fabs must be prepared for new processes and new materials. Especially the infrastructure for masks poses a challenge. Because of the absence of a pellicle reticle front sides are exceptionally vulnerable to particles. It was also shown that particles on the backside of a reticle may cause tool down time. These effects set extreme requirements to the cleanliness level of the fab infrastructure for EUV masks. The cost of EUV masks justifies the use of equipment that is qualified on particle cleanliness. Until now equipment qualification on particle cleanliness have not been carried out with statistically based qualification procedures. Since we are dealing with extreme clean equipment the number of observed particles is expected to be very low. These particle levels can only be measured by repetitively cycling a mask substrate in the equipment. Recent work in the EUV AD-tool presents data on added particles during load/unload cycles, reported as number of Particles per Reticle Pass (PRP). In the interpretation of the data, variation by deposition statistics is not taken into account. In measurements with low numbers of added particles the standard deviation in PRP number can be large. An additional issue is that particles which are added in the routing outside the equipment may have a large impact on the testing result. The number mismatch between a single handling step outside the tool and the multiple cycling in the equipment makes accuracy of measurements rather complex. The low number of expected particles, the large variation in results and the combined effect of added particles inside and outside the equipment justifies putting good effort in making a test plan. Without a proper statistical background, tests may not be suitable for proving that equipment qualifies for the limiting cleanliness levels. Other risks are that a

  8. Refinement of the CALIOP cloud mask algorithm

    Science.gov (United States)

    Katagiri, Shuichiro; Sato, Kaori; Ohta, Kohei; Okamoto, Hajime

    2018-04-01

    A modified cloud mask algorithm was applied to the CALIOP data to have more ability to detect the clouds in the lower atmosphere. In this algorithm, we also adopt the fully attenuation discrimination and the remain noise estimation using the data obtained at an altitude of 40 km to avoid contamination of stratospheric aerosols. The new cloud mask shows an increase in the lower cloud fraction. Comparison of the results to the data observed with a PML ground observation was also made.

  9. Impact of thermoplastic mask on dosimetry of different radiotherapeutic beams

    International Nuclear Information System (INIS)

    Chen Lixin; Zhang Li; Qian Jianyang; Huang Xiaoyan; Lu Jie; Huang Shaomin

    2003-01-01

    Objective: To determine the influence of auxiliary thermoplastic mask on dose distribution of photon or electron beams. Methods: Using the PTW Marcus 23343 type fixed-separation parallel-plate ionization chamber in a special phantom(PMMA), the change of photon dose buildup region was measured with rectification of Bruce empirical formula. Using 3-D water phantom, the central axis percentage depth doses (PDD) of electron beams were measured with verification of the parallel-plate ionization chamber at several given depths. Results: When 8 MV X-ray was delivered through the added facial mask, the buildup region doses were increased obviously with a 25% relative increment beneath near the surface. When 8, 12, 15 MeV electron beams and mask were used, all PDD curves moved to the surface. Conclusions: The impact of thermoplastic mask on the dose increase in the X-ray buildup region, and on the PDD decrease in the electron beam target region should be paid much more attention. And the dose distribution, with an added mask, will have to be re-evaluated in 3-D conformal radiotherapy

  10. CVD tungsten metallization and electron beam lithography for fabricating submicron interconnects for advanced ULSI

    International Nuclear Information System (INIS)

    Wilson, S.R.; Mattox, R.J.

    1988-01-01

    CVD W (0.45μm thick) and CVD W (0.25μm thick) strapped by Al (0.5μm thick) have been used as metal 1 systems. Electrical and physical data are presented from experiments exploring the effects of processing issues with both e-beam and stepper lithography as well as dry etch chemistry on both metal systems. The special issues encountered with the thick tungsten processing were: (i) Significant e-beam proximity related problems as compared to the sandwich metal layers. The resultant e-beam proximity problem contributed to a high level of metal bridging and poor CD control. (ii) Multiple etch related problems due to mask failure and a lack of etch selectivity. The multilevel masks utilized, consisting of photoresist and plasma enhanced oxide (PEO), failed due to the poor etch selectivity. Poor etch selectivity with respect to the underlying oxide was also observed. These issues were addressed with thicker organic and PEO mask layers as well as changes in etch chemistry. These thick layers were successful in preventing the loss of the mask during etch., but caused problems in the e-beam CD control and did not prevent the degradation of the underlying glass. A higher selectivity etch was developed which greatly reduced the underlying dielectric damage and also allowed the use of the thinner organic and PEO hardmask layers without mask failure

  11. Extracting messages masked by chaos

    International Nuclear Information System (INIS)

    Perez, G.; Cerdeira, H.A.

    1995-01-01

    We show how to extract messages that are masked by a chaotic signal in a system of two Lorenz oscillators. This mask removal is done for two different modes of transmission, a digital one where a parameter of the sender is switched between two values, and an analog mode, where a small amplitude message is added to the carrier signal. We achieve this without using a second Lorenz oscillator as receiver, and without doing a full reconstruction of the dynamics. This method is robust with respect to transformations that impede the unmasking using a Lorenz receiver, and is not affected by the broad-band noise that is inherent to the synchronization process. We also discuss the limitations of this way of extraction for messages in high frequency bands. (author). 12 refs, 4 figs

  12. The Q Continuum: Encounter with the Cloud Mask

    Science.gov (United States)

    Ackerman, S. A.; Frey, R.; Holz, R.; Philips, C.; Dutcher, S.

    2017-12-01

    We are developing a common cloud mask for MODIS and VIIRS observations, referred to as the MODIS VIIRS Continuity Mask (MVCM). Our focus is on extending the MODIS-heritage cloud detection approach in order to generate appropriate climate data records for clouds and climate studies. The MVCM is based on heritage from the MODIS cloud mask (MOD35 and MYD35) and employs a series of tests on MODIS reflectances and brightness temperatures. Cloud detection is based on contrasts (i.e., cloud versus background surface) at pixel resolution. The MVCM follows the same approach. These cloud masks use multiple cloud detection tests to indicate the confidence level that the observation is of a clear-sky scene. The outcome of a test ranges from 0 (cloudy) to 1 (clear-sky scene). Because of overlap in the sensitivities of the various spectral tests to the type of cloud, each test is considered in one of several groups. The final cloud mask is determined from the product of the minimum confidence of each group and is referred to as the Q value as defined in Ackerman et al (1998). In MOD35 and MYD35 processing, the Q value is not output, rather predetermined Q values determine the result: If Q ≥ .99 the scene is clear; .95 ≤ Q laws of physics are followed, at least according to normal human notions. Using CALIOP as representing truth, a receiver operating characteristic curve (ROC) will be analyzed to determine the optimum Q for various scenes and seasons, thus providing a continuum of discriminating thresholds.

  13. Method for making a single-step etch mask for 3D monolithic nanostructures

    International Nuclear Information System (INIS)

    Grishina, D A; Harteveld, C A M; Vos, W L; Woldering, L A

    2015-01-01

    Current nanostructure fabrication by etching is usually limited to planar structures as they are defined by a planar mask. The realization of three-dimensional (3D) nanostructures by etching requires technologies beyond planar masks. We present a method for fabricating a 3D mask that allows one to etch three-dimensional monolithic nanostructures using only CMOS-compatible processes. The mask is written in a hard-mask layer that is deposited on two adjacent inclined surfaces of a Si wafer. By projecting in a single step two different 2D patterns within one 3D mask on the two inclined surfaces, the mutual alignment between the patterns is ensured. Thereby after the mask pattern is defined, the etching of deep pores in two oblique directions yields a three-dimensional structure in Si. As a proof of concept we demonstrate 3D mask fabrication for three-dimensional diamond-like photonic band gap crystals in silicon. The fabricated crystals reveal a broad stop gap in optical reflectivity measurements. We propose how 3D nanostructures with five different Bravais lattices can be realized, namely cubic, tetragonal, orthorhombic, monoclinic and hexagonal, and demonstrate a mask for a 3D hexagonal crystal. We also demonstrate the mask for a diamond-structure crystal with a 3D array of cavities. In general, the 2D patterns on the different surfaces can be completely independently structured and still be in perfect mutual alignment. Indeed, we observe an alignment accuracy of better than 3.0 nm between the 2D mask patterns on the inclined surfaces, which permits one to etch well-defined monolithic 3D nanostructures. (paper)

  14. Hybrid mask for deep etching

    KAUST Repository

    Ghoneim, Mohamed T.

    2017-01-01

    Deep reactive ion etching is essential for creating high aspect ratio micro-structures for microelectromechanical systems, sensors and actuators, and emerging flexible electronics. A novel hybrid dual soft/hard mask bilayer may be deposited during

  15. Low-defect reflective mask blanks for extreme ultraviolet lithography

    International Nuclear Information System (INIS)

    Burkhart, S C; Cerjarn, C; Kearney, P; Mirkarimi, P; Ray-Chaudhuri, A; Walton, C.

    1999-01-01

    Extreme Ultraviolet Lithgraphy (EUVL) is an emerging technology for fabrication of sub-100 nm feature sizes on silicon, following the SIA road map well into the 21st century. The specific EUVL system described is a scanned, projection lithography system with a 4:1 reduction, using a laser plasma EUV source. The mask and all of the system optics are reflective, multilayer mirrors which function in the extreme ultraviolet at 13.4 nm wavelength. Since the masks are imaged to the wafer exposure plane, mask defects greater than 80% of the exposure plane CD (for 4:1 reduction) will in many cases render the mask useless, whereas intervening optics can have defects which are not a printing problem. For the 100 nm node, we must reduce defects to less than 0.01/cm ampersand sup2; at sign 80nm or larger to obtain acceptable mask production yields. We have succeeded in reducing the defects to less than 0.1/cm ampersand sup2; for defects larger than 130 nm detected by visible light inspection tools, however our program goal is to achieve 0.01/cm ampersand sup2; in the near future. More importantly though, we plan to have a detailed understanding of defect origination and the effect on multilayer growth in order to mitigate defects below the 10 -2 /cm ampersand sup2; level on the next generation of mask blank deposition systems. In this paper we will discuss issues and results from the ion-beam multilayer deposition tool, details of the defect detection and characterization facility, and progress on defect printability modeling

  16. Error response test system and method using test mask variable

    Science.gov (United States)

    Gender, Thomas K. (Inventor)

    2006-01-01

    An error response test system and method with increased functionality and improved performance is provided. The error response test system provides the ability to inject errors into the application under test to test the error response of the application under test in an automated and efficient manner. The error response system injects errors into the application through a test mask variable. The test mask variable is added to the application under test. During normal operation, the test mask variable is set to allow the application under test to operate normally. During testing, the error response test system can change the test mask variable to introduce an error into the application under test. The error response system can then monitor the application under test to determine whether the application has the correct response to the error.

  17. Effectiveness of three just-in-time training modalities for N-95 mask fit testing.

    Science.gov (United States)

    Jones, David; Stoler, Genevieve; Suyama, Joe

    2013-01-01

    To compare and contrast three different training modalities for fit testing N-95 respirator face masks. Block randomized interventional study. Urban university. Two hundred eighty-nine medical students. Students were randomly assigned to video, lecture, or slide show to evaluate the effectiveness of the methods for fit testing large groups of people. Ease of fit and success of fit for each instructional technique. Mask 1 was a Kimberly-Clark duckbill N-95 respirator mask, and mask 2 was a 3M™ carpenters N-95 respirator mask. "Ease of fit" was defined as the ability to successfully don a mask in less than 30 seconds. "Success of fit" was defined as the ability to correctly don a mask in one try. There were no statistical differences by training modality for either mask regarding ease of fit or success of fit. There were no differences among video presentation, small group demonstration, and self-directed slide show just-in-time training modalities for ease of fit or success of fit N-95 respirator mask fitting. Further study is needed to explore more effective fit training modalities.

  18. Enabling inspection solutions for future mask technologies through the development of massively parallel E-Beam inspection

    Science.gov (United States)

    Malloy, Matt; Thiel, Brad; Bunday, Benjamin D.; Wurm, Stefan; Jindal, Vibhu; Mukhtar, Maseeh; Quoi, Kathy; Kemen, Thomas; Zeidler, Dirk; Eberle, Anna Lena; Garbowski, Tomasz; Dellemann, Gregor; Peters, Jan Hendrik

    2015-09-01

    The new device architectures and materials being introduced for sub-10nm manufacturing, combined with the complexity of multiple patterning and the need for improved hotspot detection strategies, have pushed current wafer inspection technologies to their limits. In parallel, gaps in mask inspection capability are growing as new generations of mask technologies are developed to support these sub-10nm wafer manufacturing requirements. In particular, the challenges associated with nanoimprint and extreme ultraviolet (EUV) mask inspection require new strategies that enable fast inspection at high sensitivity. The tradeoffs between sensitivity and throughput for optical and e-beam inspection are well understood. Optical inspection offers the highest throughput and is the current workhorse of the industry for both wafer and mask inspection. E-beam inspection offers the highest sensitivity but has historically lacked the throughput required for widespread adoption in the manufacturing environment. It is unlikely that continued incremental improvements to either technology will meet tomorrow's requirements, and therefore a new inspection technology approach is required; one that combines the high-throughput performance of optical with the high-sensitivity capabilities of e-beam inspection. To support the industry in meeting these challenges SUNY Poly SEMATECH has evaluated disruptive technologies that can meet the requirements for high volume manufacturing (HVM), for both the wafer fab [1] and the mask shop. Highspeed massively parallel e-beam defect inspection has been identified as the leading candidate for addressing the key gaps limiting today's patterned defect inspection techniques. As of late 2014 SUNY Poly SEMATECH completed a review, system analysis, and proof of concept evaluation of multiple e-beam technologies for defect inspection. A champion approach has been identified based on a multibeam technology from Carl Zeiss. This paper includes a discussion on the

  19. Hierarchical TiO{sub 2} submicron-sized spheres for enhanced power conversion efficiency in dye-sensitized solar cells

    Energy Technology Data Exchange (ETDEWEB)

    Wang, Hao [Hubei Collaborative Innovation Centre for Advanced Organic Chemical Materials and Ministry of Education Key Laboratory for the Green Preparation and Application of Functional Materials, Hubei University, Wuhan 430062 (China); State Key Laboratory of Solid Lubrication, Lanzhou Institute of Chemical Physics, Chinese Academy of Sciences, Lanzhou 730000 (China); Guo, Zhiguang, E-mail: zguo@licp.cas.cn [Hubei Collaborative Innovation Centre for Advanced Organic Chemical Materials and Ministry of Education Key Laboratory for the Green Preparation and Application of Functional Materials, Hubei University, Wuhan 430062 (China); State Key Laboratory of Solid Lubrication, Lanzhou Institute of Chemical Physics, Chinese Academy of Sciences, Lanzhou 730000 (China)

    2015-10-15

    Hierarchical TiO{sub 2} submicron-sized sphere scattering layer, with relatively large surface area and effective light scattering, shows enhanced power conversion efficiency in dye-sensitized solar cells. - Highlights: • Hierarchical TiO{sub 2} submicron-sized spheres (TiO{sub 2} HSSs) with diameters of 400–600 nm were synthesized. • The HSSs composed of nanoparticles of ∼14 nm have a relatively large surface area of ∼35 m{sup 2}/g. • DSC exhibited the highest cell efficiency (6.23%) compared with ones with pure P25 (5.50%) or HSS (2.00%) photoanodes. - Abstract: Hierarchical TiO{sub 2} submicron-sized spheres (TiO{sub 2} HSSs) with diameters of 400–600 nm were synthesized by a facile one-step solvothermal method in ethanol solvent. The HSSs composed of nanoparticles of ∼14 nm have a relatively large surface area of ∼35 m{sup 2}/g. When applied as the scattering overlayer in dye-sensitized solar cells (DSCs), such TiO{sub 2} HSSs effectively improved light harvesting and led to the increase of photocurrent in DSCs. Furthermore, bilayer-structured photoanode also provided fast electron transportation and long electron lifetime as confirmed by electrochemical impedance spectra. As a result, DSC based on P25 nanoparticle underlayer and HSS-2 overlayer exhibited the highest cell efficiency (6.23%) compared with ones with pure P25 (5.50%) or HSS-2 (2.00%) photoanodes.

  20. Improved crystallinity, spatial arrangement and monodispersity of submicron La{sub 0.7}Ba{sub 0.3}MnO{sub 3} powders: A citrate chelation approach

    Energy Technology Data Exchange (ETDEWEB)

    Rao, Ch. N. [Department of Applied Physics, Defence Institute of Advanced Technology, Girinagar, Pune 411025 (India); Samatham, S. Shanmukharao; Ganesan, V.; Sathe, V.G.; Phase, D.M. [UGC-DAE Consortium for Scientific Research, Khandwa Road, Indore 452 017 (India); Kale, S.N., E-mail: sangeetakale2004@gmail.com [Department of Applied Physics, Defence Institute of Advanced Technology, Girinagar, Pune 411025 (India)

    2012-11-15

    The perovskite manganite systems have been the materials of tremendous interest due to their strong correlation between structure, transport and magnetism. These materials in their single-crystal form show colossal magneto-resistance (CMR), but the applied fields are very high ({approx}1-5 T). The polycrystalline samples do show high low-field magneto-resistance (LFMR), but good amount of control over particle sizes and grain-boundary distribution is required, which is well known but less realized in practical approaches. In this context, we report on synthesis and manipulation of polycrystalline La{sub 0.7}Ba{sub 0.3}MnO{sub 3} (LBMO) submicron powders using citric acid chelation. The Citrate-gel route is used to synthesize poly-dispersed LBMO powders which are subjected to citrate chelation for a duration of 0 (LB0) to 4 h(LB4) . The samples show improved ordering in X-ray diffraction patterns. Raman spectroscopy scans indicate changed mode signatures due to the probable chelating process, which alters the surface morphology. X-ray photoelectron microscopy shows an evidence of fine citrate layer on the grain boundaries. Low temperature B-H curves exhibit fine hysteresis loops for all samples, while room temperature B-H curves shows paramagnetic response. Scanning electron microscopy images showed the formation of well arranged, connected, mono-dispersed grains of LB4 sample, as against polydispered LB0. The magneto-resistance (at H=100 kOe) is seen to enhance for LB4 at its transition temperature (75%, as compared to LB0, where it is 60%), which can be attributed to the well-controlled inter-grain tunneling phenomenon and thin insulating regions in between, created due to citrate chelation, which probably enhances the scattering phenomenon and its susceptibility to applied fields. As citric acid is known to chelate Mn ions, it probably chelates the smaller LB particulate structure and leaves behind citrate-connected submicron grains of LBMO, which are seen to be