WorldWideScience

Sample records for state ecr ion

  1. High Intensity High Charge State ECR Ion Sources

    CERN Document Server

    Leitner, Daniela

    2005-01-01

    The next-generation heavy ion beam accelerators such as the proposed Rare Isotope Accelerator (RIA), the Radioactive Ion Beam Factory at RIKEN, the GSI upgrade project, the LHC-upgrade, and IMP in Lanzhou require a great variety of high charge state ion beams with a magnitude higher beam intensity than currently achievable. High performance Electron Cyclotron Resonance (ECR) ion sources can provide the flexibility since they can routinely produce beams from hydrogen to uranium. Over the last three decades, ECR ion sources have continued improving the available ion beam intensities by increasing the magnetic fields and ECR heating frequencies to enhance the confinement and the plasma density. With advances in superconducting magnet technology, a new generation of high field superconducting sources is now emerging, designed to meet the requirements of these next generation accelerator projects. The talk will briefly review the field of high performance ECR ion sources and the latest developments for high intens...

  2. Future prospects for ECR ion sources with improved charge state distributions

    International Nuclear Information System (INIS)

    Alton, G.D.

    1995-01-01

    Despite the steady advance in the technology of the ECR ion source, present art forms have not yet reached their full potential in terms of charge state and intensity within a particular charge state, in part, because of the narrow band width. single-frequency microwave radiation used to heat the plasma electrons. This article identifies fundamentally important methods which may enhance the performances of ECR ion sources through the use of: (1) a tailored magnetic field configuration (spatial domain) in combination with single-frequency microwave radiation to create a large uniformly distributed ECR ''volume'' or (2) the use of broadband frequency domain techniques (variable-frequency, broad-band frequency, or multiple-discrete-frequency microwave radiation), derived from standard TWT technology, to transform the resonant plasma ''surfaces'' of traditional ECR ion sources into resonant plasma ''volume''. The creation of a large ECR plasma ''volume'' permits coupling of more power into the plasma, resulting in the heating of a much larger electron population to higher energies, thereby producing higher charge state ions and much higher intensities within a particular charge state than possible in present forms of' the source. The ECR ion source concepts described in this article offer exciting opportunities to significantly advance the-state-of-the-art of ECR technology and as a consequence, open new opportunities in fundamental and applied research and for a variety of industrial applications

  3. State of the Art ECR Ion Sources

    International Nuclear Information System (INIS)

    Xie, Z.Q.

    1997-01-01

    Electron Cyclotron Resonance (ECR) ion source which produces highly-charged ions is used in heavy ion accelerators worldwide. Applications also found in atomic physics research and industry ion implantation. ECR ion source performance continues to improve, especially in the last few years with new techniques, such as multiple-frequency plasma heating and better methods to provide extra cold electrons, combined with higher magnetic mirror fields. So far more than 1 emA of multiply-charged ions such as He 2+ and O 6+ , and 30 eμA of Au 32+ , 1.1 eμA of 238 U 48+ , and epA currents of very high charge states such as 86 Kr 35+ and 238 U 60+ have been produced

  4. Production of highly charged ion beams from ECR ion sources

    International Nuclear Information System (INIS)

    Xie, Z.Q.

    1997-09-01

    Electron Cyclotron Resonance (ECR) ion source development has progressed with multiple-frequency plasma heating, higher mirror magnetic fields and better technique to provide extra cold electrons. Such techniques greatly enhance the production of highly charged ions from ECR ion sources. So far at cw mode operation, up to 300 eμA of O 7+ and 1.15 emA of O 6+ , more than 100 eμA of intermediate heavy ions for charge states up to Ar 13+ , Ca 13+ , Fe 13+ , Co 14+ and Kr 18+ , and tens of eμA of heavy ions with charge states to Kr 26+ , Xe 28+ , Au 35+ , Bi 34+ and U 34+ have been produced from ECR ion sources. At an intensity of at least 1 eμA, the maximum charge state available for the heavy ions are Xe 36+ , Au 46+ , Bi 47+ and U 48+ . An order of magnitude enhancement for fully stripped argon ions (I ≥ 60 enA) also has been achieved. This article will review the ECR ion source progress and discuss key requirement for ECR ion sources to produce the highly charged ion beams

  5. Superconducting ECR ion source system

    International Nuclear Information System (INIS)

    Sharma, S.C.; Gore, J.A.; Gupta, A.K.; Saxena, A.

    2017-01-01

    In order to cover the entire mass range of the elements across the periodic table, an ECR based heavy ion accelerator programme, consisting of a superconducting ECR (Electron Cyclotron Resonance) source and a room temperature RFQ (Radio Frequency Quadrupole) followed by low and high beta superconducting resonator cavities has been proposed. The 18 GHz superconducting ECR ion source system has already been commissioned and being operated periodically at FOTIA beam hall. This source is capable of delivering ion beams right from proton to uranium with high currents and high charge states over a wide mass range (1/7 ≤ q/m ≤ 1/2) across the periodic table, including U"3"4"+ (q/m∼1/7) with 100 pna yield. The normalized transverse beam emittance from ECR source is expected to be <1.0 pi mm mrad. ECR ion sources are quite robust, making them suitable for operating for weeks continuously without any interruption

  6. Improvement of highly charged ion output from an ECR source

    International Nuclear Information System (INIS)

    Shirkov, G.D.

    1995-01-01

    The physical limitations of the highly charged ion production in the ECR source is analyzed in this report. General methods to increase the output ion current and the attainable charged states of heavy ions are discussed. Some new ways to improve the output of highly charged ions from the ECR source for heavy ions are proposed. A new library of computer codes for the mathematical simulation of heavy ion production in the ECR ion source is used for numerical experiments to test these ways for improving the operation of the ECR source. (orig.)

  7. Application of ECR ion source beams in atomic physics

    Energy Technology Data Exchange (ETDEWEB)

    Meyer, F.W.

    1987-01-01

    The availability of intense, high charge state ion beams from ECR ion sources has had significant impact not only on the upgrading of cyclotron and synchrotron facilities, but also on multicharged ion collision research, as evidenced by the increasing number of ECR source facilities used at least on a part time basis for atomic physics research. In this paper one such facility, located at the ORNL ECR source, and dedicated full time to the study of multicharged ion collisions, is described. Examples of applications of ECR ion source beams are given, based on multicharged ion collision physics studies performed at Oak Ridge over the last few years. 21 refs., 18 figs., 2 tabs.

  8. Ion mixing and numerical simulation of different ions produced in the ECR ion source

    International Nuclear Information System (INIS)

    Shirkov, G.D.

    1996-01-01

    This paper is to continue theoretical investigations and numerical simulations in the physics of ECR ion sources within the CERN program on heavy ion acceleration. The gas (ion) mixing effect in ECR sources is considered here. It is shown that the addition of light ions to the ECR plasma has three different mechanisms to improve highly charged ion production: the increase of confinement time and charge state of highly ions as the result of ion cooling; the concentration of highly charged ions in the central region of the source with high energy and density of electrons; the increase of electron production rate and density of plasma. The numerical simulations of lead ion production in the mixture with different light ions and different heavy and intermediate ions in the mixture with oxygen, are carried out to predict the principal ECR source possibilities for LHC applications. 18 refs., 23 refs

  9. Design of a 'two-ion-source' charge breeder with a dual frequency ECR ion source

    International Nuclear Information System (INIS)

    Naik, D.; Naik, V.; Chakrabarti, A.; Dechoudhury, S.; Nayak, S.K.; Pandey, H.K.; Nakagawa, T.

    2005-01-01

    A charge breeder, 'two-ion-source' has been designed which consists of a surface ionisation source followed by an ECR ion source working in two-frequency mode. In this system low charge state ion beam (1+)of radioactive atoms are obtained from the first ion source close to the target chamber and landed into the ECR where those are captured and become high charged state after undergoing a multi ionisation process. This beam dynamics design has been done to optimise the maximum possible transfer of 1 + beam from the first ion source into the ECR, its full capture within the ECR zone and design of an efficient dual frequency ECR. The results shows that 1 + beam of 100 nA and 1μA (A=100) are successfully transmitted and it's beam size at the centre of ECR zone are 12 mm and 21 mm respectively, which are very less than 65 mm width ECR zone of dual frequency ECR heating at 14 GHz and 10 GHz. (author)

  10. Broadband frequency ECR ion source concepts with large resonant plasma volumes

    International Nuclear Information System (INIS)

    Alton, G.D.

    1995-01-01

    New techniques are proposed for enhancing the performances of ECR ion sources. The techniques are based on the use of high-power, variable-frequency, multiple-discrete-frequency, or broadband microwave radiation, derived from standard TWT technology, to effect large resonant ''volume'' ECR sources. The creation of a large ECR plasma ''volume'' permits coupling of more power into the plasma, resulting in the heating of a much larger electron population to higher energies, the effect of which is to produce higher charge state distributions and much higher intensities within a particular charge state than possible in present forms of the ECR ion source. If successful, these developments could significantly impact future accelerator designs and accelerator-based, heavy-ion-research programs by providing multiply-charged ion beams with the energies and intensities required for nuclear physics research from existing ECR ion sources. The methods described in this article can be used to retrofit any ECR ion source predicated on B-minimum plasma confinement techniques

  11. 11th ECR ion source workshop

    International Nuclear Information System (INIS)

    1993-05-01

    This report contains four articles concerning the commissioning of the 14 GHz ECR at the new Unilac injector, the status of the PuMa-ECR, the redesigned 14 GHz ECR ion source and test bench, and the simulation of ion beam extraction from an ECR source. See hints under the relevant topics. (HSI)

  12. Present status of FLNR (JINR) ECR ion sources

    International Nuclear Information System (INIS)

    Bogomolov, S.; Efremov, A.; Loginov, V.; Lebedev, A.; Yazvitsy, N.; Bekhterev, V.; Kostukhov, Y.; Gulbekian, G.; Gikal, B.; Drobin, V.; Seleznev, V.; Seleznev, V.

    2012-01-01

    Six ECR ion sources have been operated in the Flerov Laboratory of Nuclear Reactions (JINR). Two 14 GHz ECR ion sources (ECR4M and DECRIS-2) supply various ion species for the U400 and U400M cyclotrons correspondingly for experiments on the synthesis of heavy and exotic nuclei using ion beams of stable and radioactive isotopes. The 18 GHz DECRIS-SC ion source with superconducting magnet system produces ions from Ar up to W for solid state physics experiments and polymer membrane fabrication at the IC-100 cyclotron. The third 14 GHz ion source DECRIS-4 with 'flat' minimum of the axial magnetic field is used as a stand alone machine for test experiments and also for experiments on ion modification of materials. The other two compact ECR ion sources with all permanent magnet configuration have been developed for the production of single charged ions and are used at the DRIBs installation and at the MASHA mass-spectrometer. In this paper, present status of the ion sources, recent developments and plans for modernization are reported. The paper is followed by the slides of the presentation. (authors)

  13. On-line measurement of microwave power in ECR ion source

    International Nuclear Information System (INIS)

    Zhou Changgeng; Kang Wu; Hu Yonghong; Li Yan; Lou Benchao; Zu Xiulan; Xiong Riheng; Chen Junguang; Li Xiaoyun

    2005-01-01

    It is a new technology to apply an ECR ion source to the neutron generator. Because of the structure limitation, working state of the ECR ion source could not be judged by the color of gas discharging in discharge chamber. Therefore, it was hard to estimate if the ECR ion source was working properly in the neutron generator. The method to resolve the problem was described in this paper. The microwave power was measured on-line by a directional coupler and a small microwave power meter. The ion beam current could be educed from the measured incidence microwave power, and discharge state in discharge chamber could be determined. (authors)

  14. Manufacture of an experimental platform with ECR ion source

    International Nuclear Information System (INIS)

    Zhou Changgeng; Hu Yonghong; Li Yan

    2007-12-01

    The working principle and basal configuration and fabricative process of ECR ion source are introdced. Regarding as an experimental and test device, the experimental platform of ECR ion source may expediently regulate every parameter of ion source, and achieve good character of beam current. Through improving on the components, ECR ion source can is modulated in best state. Above results may be used in the running and debugging of neutron generator. Therefore, the experimental platform of ECR ion source is the necessary equipment of large beam current neutron generator. Comparing the experimental platform of ECR ion source with domestic ones and the overseas ones, it mainly be used in the simulation experiments about neutron generator. It is compact and experimental platform mode in structure. It can focus the beam current and measure many parameters on line in function. The problem of lower beam current to discover is resolved in debugging of the device. The measurement results indicate that the technology character of the device have achieved design requirements. (authors)

  15. On-line measurement of the microwave power in ECR ion source

    International Nuclear Information System (INIS)

    Zhou Changgeng; Kang Wu; Hu Yonghong; Li Yan; Lou Benchao; Zu Xiulan; Xiong Riheng; Chen Junguang

    2005-01-01

    It is a new technology that ECR ion source is applied in the neutron generator. Because of effect of the structure, working state of ECR ion source could not be judged by the color of gas discharging in discharging chamber as doing in high frequency ion source. Therefore, state adjusting of ECR ion source was difficult in running of the neutron generator. The method to resolve the question is described in this paper. The micro-wave power was measured in case of running by using the method of directional coupler adding small microwave power meter. Because both were in the direct proportion, the ion beam current could be educed from microwave incidence power measured, and discharge state in discharge chamber could be judged. Finally, the neutron generator might be operated in best running state. (authors)

  16. Development of 16.5 GHz ECR ion source in KEK

    International Nuclear Information System (INIS)

    Mori, Yoshiharu; Kinsho, Michikazu; Ikegami, Kiyoshi; Takagi, Akira

    1992-01-01

    An electron cyclotron resonance (ECR) ion source is useful for generating not only highly charged heavy ions but intense protons. We have developed the 16.5 GHz ECR ion source for the optically pumped polarized ion source (OPPIS). Recently, we have modified it to extract highly charged heavy ions and succeeded in producting highly charged argon ions of which charge-states were from 2 to 8. When we introduced electrons into the plasma with a LaB 6 filament, the argon ion beam whose charge-state up to 11 could be extracted. The intensity was also enhanced in factor 2 to 6 for each charge-state ions. (author)

  17. Commissioning of the superconducting ECR ion source VENUS

    International Nuclear Information System (INIS)

    Leitner, Daniela; Abbott, Steve R.; Dwinell, Roger D.; Leitner, Matthaeus; Taylor, Clyde; Lyneis, Claude M.

    2003-01-01

    VENUS (Versatile ECR ion source for NUclear Science) is a next generation superconducting ECR ion source, designed to produce high current, high charge state ions for the 88-Inch Cyclotron at the Lawrence Berkeley National Laboratory. VENUS also serves as the prototype ion source for the RIA (Rare Isotope Accelerator) front end. The magnetic confinement configuration consists of three superconducting axial coils and six superconducting radial coils in a sextupole configuration. The nominal design fields of the axial magnets are 4T at injection and 3T at extraction; the nominal radial design field strength at the plasma chamber wall is 2T, making VENUS the world most powerful ECR plasma confinement structure. The magnetic field strength has been designed for optimum operation at 28 GHz. The four-year VENUS project has recently achieved two major milestones: The first plasma was ignited in June, the first mass-analyzed high charge state ion beam was extracted in September of 2002. The pa per describes the ongoing commissioning. Initial results including first emittance measurements are presented

  18. The ECR heavy-ion source for ATLAS

    International Nuclear Information System (INIS)

    Pardo, R.C.; Billquist, P.J.

    1989-01-01

    The ATLAS PII-ECR ion source is the first ECR ion source to be designed for operation in a high voltage platform. The source system is required to provide beams of heavy ions with a velocity of 0.01c for subsequent acceleration by the superconducting ATLAS Positive Ion Injector Linac. At present, the ability of the system to provide high charge state ions with velocities up to .01c is probably unique and as such has generated significant interest in the atomic physics community. A beamline for atomic physics has been installed and is now in use. The source began operation in October, 1987. The source capabilities and operating experiences to date will be discussed. 6 refs., 3 figs., 3 tabs

  19. Proceedings of the 'INS workshop on ECR ion sources for multiply-charged heavy ions'

    International Nuclear Information System (INIS)

    1995-02-01

    This workshop was held on December 1 and 2, 1994 at the Institute for Nuclear Study, University of Tokyo. The performance of ion sources is crucial for all researches and applications that use ion beam. The performance of ECR ion sources is strongly dependent on heuristic knowledge and innovation. From these viewpoints, it is useful to exchange information on the status of the existing sources, the performance of the new sources, and the design of the future sources between the source builders and the users. There were unexpected more than 70 participants and 20 contributions. The lectures were given on the present status of NIRS-ECR, SF-ECR, INS ISOL-ECR, RCNP ECR and EBIS ion sources, the production of multiply charged metallic ions with Hyper ECR or by plasma cathode method, the processing of ceramic rods and the ion production with OCTOPUS, the modeling of multi-charged ion production, the design of an advanced minimum B for ECR multi-charged ion source, the design, construction and operation of 18 GHz HiECR ion source, the construction and test operation of JAERI 18 GHz ion source, the design of an ECR ion source for the HIMAC, a 14.5 GHz ECR ion source at RIKEN, TMU 14 GHz ECR ion source, ''NANOGAN'' ECR ion source and its irradiation system, the optimization of the ECR ion source for optically pumped polarized ion source and so on. (K.I.)

  20. Proceedings of the `INS workshop on ECR ion sources for multiply-charged heavy ions`

    Energy Technology Data Exchange (ETDEWEB)

    NONE

    1995-02-01

    This workshop was held on December 1 and 2, 1994 at the Institute for Nuclear Study, University of Tokyo. The performance of ion sources is crucial for all researches and applications that use ion beam. The performance of ECR ion sources is strongly dependent on heuristic knowledge and innovation. From these viewpoints, it is useful to exchange information on the status of the existing sources, the performance of the new sources, and the design of the future sources between the source builders and the users. There were unexpected more than 70 participants and 20 contributions. The lectures were given on the present status of NIRS-ECR, SF-ECR, INS ISOL-ECR, RCNP ECR and EBIS ion sources, the production of multiply charged metallic ions with Hyper ECR or by plasma cathode method, the processing of ceramic rods and the ion production with OCTOPUS, the modeling of multi-charged ion production, the design of an advanced minimum B for ECR multi-charged ion source, the design, construction and operation of 18 GHz HiECR ion source, the construction and test operation of JAERI 18 GHz ion source, the design of an ECR ion source for the HIMAC, a 14.5 GHz ECR ion source at RIKEN, TMU 14 GHz ECR ion source, ``NANOGAN`` ECR ion source and its irradiation system, the optimization of the ECR ion source for optically pumped polarized ion source and so on. (K.I.).

  1. Proceedings of the 10th international workshop on ECR ion sources

    Energy Technology Data Exchange (ETDEWEB)

    Meyer, F W; Kirkpatrick, M I [eds.

    1991-01-01

    This report contains papers on the following topics: Recent Developments and Future Projects on ECR Ion Sources; Operation of the New KVI ECR Ion Source at 10 GHz; Operational Experience and Status of the INS SF-ECR Ion Source; Results of the New ECR4'' 14.5 GHz ECRIS; Preliminary Performance of the AECR; Experimental Study of the Parallel and Perpendicular Particle Losses from an ECRIS Plasma; Plasma Instability in Electron Cyclotron Resonance Heated Ion Sources; The Hyperbolic Energy Analyzer; Status of ECR Source Development; The New 10 GHz CAPRICE Source; First Operation of the Texas A M ECR Ion Source; Recent Developments of the RIKEN ECR Ion Sources; The 14 GHz CAPRICE Source; Characteristics and Potential Applications of an ORNL Microwave ECR Multicusp Plasma Ion Source; ECRIPAC: The Production and Acceleration of Multiply Charged Ions Using an ECR Plasma; ECR Source for the HHIRF Tandem Accelerator; Feasibility Studies for an ECR-Generated Plasma Stripper; Production of Ion Beams by using the ECR Plasmas Cathode; A Single Stage ECR Source for Efficient Production of Radioactive Ion Beams; The Single Staged ECR Source at the TRIUMF Isotope Separator TISOL; The Continuous Wave, Optically Pumped H{sup {minus}} Source; The H{sup +} ECR Source for the LAMPF Optically Pumped Polarized Ion Source; Present Status of the Warsaw CUSP ECR Ion Source; An ECR Source for Negative Ion Production; GYRAC-D: A Device for a 200 keV ECR Plasma Production and Accumulation; Status Report of the 14.4 GHZ ECR in Legnaro; Status of JYFL-ECRIS; Report on the Uppsala ECRIS Facility and Its Planned Use for Atomic Physics; A 10 GHz ECR Ion Source for Ion-Electron and Ion-Atom Collision Studies; and Status of the ORNL ECR Source Facility for Multicharged Ion Collision Research.

  2. Proceedings of the 10th international workshop on ECR ion sources

    International Nuclear Information System (INIS)

    Meyer, F.W.; Kirkpatrick, M.I.

    1991-01-01

    This report contains papers on the following topics: Recent Developments and Future Projects on ECR Ion Sources; Operation of the New KVI ECR Ion Source at 10 GHz; Operational Experience and Status of the INS SF-ECR Ion Source; Results of the New ''ECR4'' 14.5 GHz ECRIS; Preliminary Performance of the AECR; Experimental Study of the Parallel and Perpendicular Particle Losses from an ECRIS Plasma; Plasma Instability in Electron Cyclotron Resonance Heated Ion Sources; The Hyperbolic Energy Analyzer; Status of ECR Source Development; The New 10 GHz CAPRICE Source; First Operation of the Texas A ampersand M ECR Ion Source; Recent Developments of the RIKEN ECR Ion Sources; The 14 GHz CAPRICE Source; Characteristics and Potential Applications of an ORNL Microwave ECR Multicusp Plasma Ion Source; ECRIPAC: The Production and Acceleration of Multiply Charged Ions Using an ECR Plasma; ECR Source for the HHIRF Tandem Accelerator; Feasibility Studies for an ECR-Generated Plasma Stripper; Production of Ion Beams by using the ECR Plasmas Cathode; A Single Stage ECR Source for Efficient Production of Radioactive Ion Beams; The Single Staged ECR Source at the TRIUMF Isotope Separator TISOL; The Continuous Wave, Optically Pumped H - Source; The H + ECR Source for the LAMPF Optically Pumped Polarized Ion Source; Present Status of the Warsaw CUSP ECR Ion Source; An ECR Source for Negative Ion Production; GYRAC-D: A Device for a 200 keV ECR Plasma Production and Accumulation; Status Report of the 14.4 GHZ ECR in Legnaro; Status of JYFL-ECRIS; Report on the Uppsala ECRIS Facility and Its Planned Use for Atomic Physics; A 10 GHz ECR Ion Source for Ion-Electron and Ion-Atom Collision Studies; and Status of the ORNL ECR Source Facility for Multicharged Ion Collision Research

  3. Status of ECR ion sources at JAERI

    CERN Document Server

    Yokota, W; Nara, T; Ishi, Y; Arakawa, K; Ohkoshi, K

    1999-01-01

    At the Takasaki site of Japan Atomic Energy Research Institute, four ECR ion sources were purchased or developed so far. This paper will report their performance, modification and status. The outlines for each source are as follows; 1. OCTOPUS purchased from IBA s.a. has been in use with a cyclotron since 1990. The gas feed system was modified to change gas species within 10 minutes to avoid impurity ions in the cocktail beam acceleration technique of the cyclotron. 2. ECR-18 with 18-GHz microwave has a solenoid coil between a pair of mirror coils to change mirror ratio in a wide range. A bump between mirror peaks in the original axial field distribution was removed by halving the solenoid length. The performance in generating high charge state ions was significantly improved as a result. 3. HYPERNANOGAN was purchased from PANTECHNIK s.a. and installed in the cyclotron system this year. Test operation was successfully made with generation of Ar, Pb and Ta ions. 4. MINI ECR is a full permanent magnet source wi...

  4. Simulation study on ion extraction from ECR ion sources

    International Nuclear Information System (INIS)

    Fu, S.; Kitagawa, A.; Yamada, S.

    1993-07-01

    In order to study beam optics of NIRS-ECR ion source used in HIMAC, EGUN code has been modified to make it capable of modeling ion extraction from a plasma. Two versions of the modified code are worked out with two different methods in which 1-D and 2-D sheath theories are used respectively. Convergence problem of the strong nonlinear self-consistent equations is investigated. Simulations on NIRS-ECR ion source and HYPER-ECR ion source (in INS, Univ. of Tokyo) are presented in this paper, exhibiting an agreement with the experimental results. Some preliminary suggestions on the upgrading the extraction systems of these sources are also proposed. (author)

  5. Simulation study on ion extraction from ECR ion sources

    Energy Technology Data Exchange (ETDEWEB)

    Fu, S.; Kitagawa, A.; Yamada, S.

    1993-07-01

    In order to study beam optics of NIRS-ECR ion source used in HIMAC, EGUN code has been modified to make it capable of modeling ion extraction from a plasma. Two versions of the modified code are worked out with two different methods in which 1-D and 2-D sheath theories are used respectively. Convergence problem of the strong nonlinear self-consistent equations is investigated. Simulations on NIRS-ECR ion source and HYPER-ECR ion source (in INS, Univ. of Tokyo) are presented in this paper, exhibiting an agreement with the experimental results. Some preliminary suggestions on the upgrading the extraction systems of these sources are also proposed. (author).

  6. Improvement of highly charged ion production in the ECR source of heavy ions

    International Nuclear Information System (INIS)

    Shirkov, G.D.

    1996-01-01

    Some physical limitations of the highly charged ion production in the ECR source are analyzed in this report. A few possible ways to improve the output of highly charged ions from the ECR source for heavy ions are proposed. A new library of computer codes for the numerical simulation of heavy ion production in the ECR ion source is used to examine these ways to improve the ECR source operation according to the CERN program of heavy ion acceleration. copyright 1996 American Institute of Physics

  7. An enhanced production of highly charged ions in the ECR ion sources

    International Nuclear Information System (INIS)

    Schaechter, L.; Dobrescu, S.; Badescu- Singureanu, Al.I.; Stiebing, K.E.; Runkel, S.; Hohn, O.; Schmidt, L.; Schempp, A.; Schmidt - Boecking, H.

    2000-01-01

    The electron cyclotron resonance (ECR) ion source (ECRIS) are the ideal sources of highly charged heavy ions. Highly charged heavy ions are widely used in atomic physics research where they constitute a very efficient tool due to their very high electric potential of collision. The highly charged ions are also used in fusion plasma physics studies, in solid state surface physics investigations and are very efficient when injected in particle accelerators. More than 50 ECR ion sources are presently working in the whole world. Stable and intense highly charged heavy ions beams are extracted from ECR ion sources, in a wide range of ion species. RECRIS, the Romanian 14 GHz ECR Ion Source, developed in IFIN-HH, designed as a facility for atomic physics and materials studies, has been recently completed. The research field concerning the development of advanced ECRIS and the study of the physical processes of the ECR plasma are presently very dynamical , a fact well proved by the great number of scientific published works and the numerous dedicated international conferences and workshops. It is well established that the performance of ECRIS can substantially be enhanced if special techniques like a 'biased disk' or a special wall coating of the plasma chamber are employed. In the frame of a cooperation project between IFIN-HH ,Bucharest, Romania and the Institut fuer Kernphysik of the J. W. Goethe University, Frankfurt/Main, Germany we developed, on the basis of previous research carried out in IFIN-HH, a new method to strongly increase the intensity of the ion beams extracted from the 14.4 GHz ECRIS in Frankfurt. In our method a special metal-dielectric structure (MD cylinder) was introduced in the ECRIS plasma chamber. In the experiment analyzed beams of Ar 16+ ions were increased in intensity by a factor of 50 as compared to the standard set up with stainless steel chamber. These results have been communicated at the International Conference on Ion Sources held at

  8. 1+-n+ ECR ION SOURCE DEVELOPMENT TEST STAND

    International Nuclear Information System (INIS)

    Donald P. May

    2006-01-01

    A test stand for the investigation of 1+-n+ charge boosting using an ECR ion sources is currently being assembled at the Texas A and M Cyclotron Institute. The ultimate goal is to relate the charge-boosting of ions of stable species to possible charge-boosting of ions of radioactive species extracted from the diverse, low-charge-state ion sources developed for radioactive ion beams

  9. Commissioning of the superconducting ECR ion source VENUS at 18 GHz

    International Nuclear Information System (INIS)

    Leitner, Daniela; Abbott, Steven R.; Dwinell, Roger D.; Leitner, Matthaeus; Taylor, Clyde E.; Lyneis, Claude M.

    2004-01-01

    During the last year, the VENUS ECR ion source was commissioned at 18 GHz and preparations for 28 GHz operation are now underway. During the commissioning phase with 18 GHz, tests with various gases and metals have been performed with up to 2000 W RF power. The ion source performance is very promising [1,2]. VENUS (Versatile ECR ion source for Nuclear Science) is a next generation superconducting ECR ion source, designed to produce high current, high charge state ions for the 88-Inch Cyclotron at the Lawrence Berkeley National Laboratory. VENUS also serves as the prototype ion source for the RIA (Rare Isotope Accelerator) front end. The goal of the VENUS ECR ion source project as the RIA R and D injector is the production of 240e(micro)A of U 30+ , a high current medium charge state beam. On the other hand, as an injector ion source for the 88-Inch Cyclotron the design objective is the production of 5e(micro)A of U 48+ , a low current, very high charge state beam. To meet these ambitious goals, VENUS has been designed for optimum operation at 28 GHz. This frequency choice has several design consequences. To achieve the required magnetic confinement, superconducting magnets have to be used. The size of the superconducting magnet structure implies a relatively large plasma volume. Consequently, high power microwave coupling becomes necessary to achieve sufficient plasma heating power densities. The 28 GHz power supply has been delivered in April 2004

  10. Superconducting ECR ion source: From 24-28 GHz SECRAL to 45 GHz fourth generation ECR

    Science.gov (United States)

    Zhao, H. W.; Sun, L. T.; Guo, J. W.; Zhang, W. H.; Lu, W.; Wu, W.; Wu, B. M.; Sabbi, G.; Juchno, M.; Hafalia, A.; Ravaioli, E.; Xie, D. Z.

    2018-05-01

    The development of superconducting ECR source with higher magnetic fields and higher microwave frequency is the most straight forward path to achieve higher beam intensity and higher charge state performance. SECRAL, a superconducting third generation ECR ion source, is designed for 24-28 GHz microwave frequency operation with an innovative magnet configuration of sextupole coils located outside the three solenoids. SECRAL at 24 GHz has already produced a number of record beam intensities, such as 40Ar12+ 1.4 emA, 129Xe26+ 1.1 emA, 129Xe30+ 0.36 emA, and 209Bi31+ 0.68 emA. SECRAL-II, an upgraded version of SECRAL, was built successfully in less than 3 years and has recently been commissioned at full power of a 28 GHz gyrotron and three-frequency heating (28 + 45 + 18 GHz). New record beam intensities for highly charged ion production have been achieved, such as 620 eμA 40Ar16+, 15 eμA 40Ar18+, 146 eμA 86Kr28+, 0.5 eμA 86Kr33+, 53 eμA 129Xe38+, and 17 eμA 129Xe42+. Recent beam test results at SECRAL and SECRAL II have demonstrated that the production of more intense highly charged heavy ion beams needs higher microwave power and higher frequency, as the scaling law predicted. A 45 GHz superconducting ECR ion source FECR (a first fourth generation ECR ion source) is being built at IMP. FECR will be the world's first Nb3Sn superconducting-magnet-based ECR ion source with 6.5 T axial mirror field, 3.5 T sextupole field on the plasma chamber inner wall, and 20 kW at a 45 GHz microwave coupling system. This paper will focus on SECRAL performance studies at 24-28 GHz and technical design of 45 GHz FECR, which demonstrates a technical path for highly charged ion beam production from 24 to 28 GHz SECRAL to 45 GHz FECR.

  11. A study on the design of hexapole in an 18-GHz ECR ion source for heavy ion accelerators

    Energy Technology Data Exchange (ETDEWEB)

    Zhang, Zhan; Wei, Shaoqing; Lee, Sang Jin [Uiduk University, Gyeongju (Korea, Republic of); Choi, Suk Jin [Rare Isotope Science Project, Institute for Basic Science, Daejeon (Korea, Republic of)

    2016-06-15

    High charge state electron cyclotron resonance (ECR) ion source is important on the performance of heavy ion accelerators. In this paper, a low temperature superconductor (LTS) was used to make a hexapole coil for an 18-GHz ECR ion source. Several hexapole structures, including racetrack, graded racetrack, and saddle were implemented and analyzed for the hexapole-in-solenoid ECR ion source system. Under the appropriate radial confinement field, the smaller outer radius of hexapole can be better for the solenoid design. Saddle hexapole was selected by comparing the wire length, maximum outer radius of the hexapole, the Lorentz force at the end part of the hexapole and the maximum magnetic field in the coil. Based on saddle hexapole, a new design for hexapoles, the snake hexapole, was developed in this paper. By comparative analysis of the Lorentz force at the end part of the saddle and snake hexapoles, the snake hexapole is much better in the ECR ion source system. The suggested design for the ECR ion source with the snake hexapole is presented in this paper.

  12. Design of a 4D emittance measurement device for high charge state ECR ion sources

    International Nuclear Information System (INIS)

    Zhao Yangyang; Yang Yao; Zhao Hongwei; Sun Liangting; Cao Yun; Wang Yun

    2013-01-01

    For the purpose of on-line beam quality diagnostics and transverse emittance coupling investigation of the ion beams delivered by an Electron Cyclotron Resonance (ECR) ion source, a real-time 4D Pepper Pot type emittance scanner is under development at IMP (Institute of Moden Physics, Chinese Academy of Sciences). The high charge state ECR ion source at IMP could produce CW or pulsed heavy ion beam intensities in the range of 1 eμA∼1 emA with the kinetic energy of 10∼35 keV/q, which needs the design of the Pepper Pot scanner to be optimized accordingly. The Pepper Pot scanner has many features, such as very short response time and wide dynamic working range that the device could be applied. Since intense heavy ion beam bombardment is expected for this device, the structure and the material selection for the device is specially considered during the design, and a feasible solution to analyze the pictures acquired after the data acquisition is also made. (authors)

  13. Development of the balance equations model for calculation of ion charge-state distribution in ECR ion sources

    International Nuclear Information System (INIS)

    Filippov, A.V.; Shirkov, G.D.; Consoli, F.; Gammino, S.; Ciavola, G.; Celona, L.; Barbarino, S.

    2008-01-01

    The investigation of the widespread model for the calculation of ion charge-state distributions (CSD) in electron cyclotron-resonance ion source based on the set of balance equations is given. The modification of this model that allows one to describe the confinement and accumulation processes of highly charged ions in ECR plasma for gas mixing case more precisely is discussed. The new approach for the time confinement calculation (ions and electrons) based on the theory of Pastukhov is offered, viz. - calculation of confinement times during two step minimization of special type functionals. The results obtained by this approach have been compared with available experimental data

  14. Electron cyclotron resonance (ECR) ion sources

    International Nuclear Information System (INIS)

    Jongen, Y.

    1984-05-01

    Starting with the pioneering work of R. Geller and his group in Grenoble (France), at least 14 ECR sources have been built and tested during the last five years. Most of those sources have been extremely successful, providing intense, stable and reliable beams of highly charged ions for cyclotron injection or atomic physics research. However, some of the operational features of those sources disagreed with commonly accepted theories on ECR source operation. To explain the observed behavior of actual sources, it was found necessary to refine some of the crude ideas we had about ECR sources. Some of those new propositions are explained, and used to make some extrapolations on the possible future developments in ECR sources

  15. Characteristics of 6.5 GHz ECR ion source for polarized H- ion source

    International Nuclear Information System (INIS)

    Ikegami, Kiyoshi; Mori, Yoshiharu; Takagi, Akira; Fukumoto, Sadayoshi.

    1983-04-01

    A 6.5 GHz ECR (electron cyclotron resonance) ion source has been developed for optically pumped polarized H - ion source at KEK. The properties of this ECR ion source such as beam intensities, proton ratios, plasma electron temperatures and beam emittances were measured. (author)

  16. Electron cyclotron resonance (E.C.R.) multiply charged ion sources

    International Nuclear Information System (INIS)

    Geller, R.

    1978-01-01

    High charge state ions can be produced by electron bombardment inside targets when the target electron density n (cm -3 ) multiplied by the ion transit time through the target tau (sec) is: n tau > 5.10 9 cm -3 sec. The relative velocity between electrons and ions determines the balance between stripping and capture i.e. the final ion charge state. (In a stripper foil fast ions interact with slow electrons involving typically n approximately 10 24 cm -3 , tau approximately 10 -14 sec). In the E.C.R. source a cold ion plasma created in a first stage diffuses slowly through a second stage containing a hot E.C.R. plasma with n > 3.10 11 cm -3 and tau > 10 -2 sec. Continuous beams of several μA of C 6+ N 7+ Ne 9+ A 11+ are extracted from the second stage with normalized emittances of approximately 0.5 π mm mrad. The absence of cathodes and plasma arcs makes the source very robust, reliable and well-fitted for cyclotron injection. A super conducting source is under development

  17. Development of the 3rd Generation ECR ion source

    International Nuclear Information System (INIS)

    Lyneis, C.M.; Xie, Z.Q.; Taylor, C.E.

    1997-09-01

    The LBNL 3rd Generation ECR ion source has progressed from a concept to the fabrication of a full scale prototype superconducting magnet structure. This new ECR ion source will combine the recent ECR ion source techniques that significantly enhance the production of high charge state ions. The design includes a plasma chamber made from aluminum to provide additional cold electrons, three separate microwave feeds to allow multiple-frequency plasma heating (at 10, 14 and 18 GHz or at 6, 10 and 14 GHz) and very high magnetic mirror fields. The design calls for mirror fields of 4 T at injection and 3 T at extraction and for a radial field strength at the wall of 2.4 T. The prototype superconducting magnet structure which consists of three solenoid coils and six race track coils with iron poles forming the sextupole has been tested in a vertical dewar. After training, the sextupole magnet reached 105% of its design current with the solenoids off. With the solenoids operating at approximately 70% of their full design field, the sextuple coils operated at 95% of the design value which corresponds to a sextupole field strength at the plasma wall of more than 2.1 T

  18. 14 MV pelletron accelerator and superconducting ECR ion source

    International Nuclear Information System (INIS)

    Gupta, A.K.

    2015-01-01

    The BARC-TIFR 14UD Pelletron Accelerator at Mumbai has completed more than two and a half decade of successful operation. The accelerator is primarily used for basic research in the fields of nuclear, atomic and molecular, condensed matter physics and material science. The application areas include accelerator mass spectrometry, production of track-etch membranes, radioisotopes production, radiation damage studies and secondary neutron production for cross section measurement etc. Over the years, numerous developmental activities have been carried out in-house that have resulted in improving the overall performance and uptime of the accelerator and has also made possible to initiate variety of application oriented programmes. Since the SF 6 pressure vessels have been in operation for about 29 years, a comprehensive refurbishment and retrofitting work is carried out to comply with the safety recommendations. Recently, the beam trials were conducted with 18 GHz superconducting ECR (Electron Cyclotron Resonance) Ion Source system at Van-de-Graaff as per BARC Safety Council permission. Various ion beams with different charge states were extracted and mass analyzed and the beam quality was measured by recording their transverse emittance in situ. Experimental measurements pertaining to projectile X-rays Spectroscopy were carried out using variety of ion beams at variable energies. The superconducting Linac booster provides additional acceleration to the ions from Pelletron injector up to A ∼60 region with E∼5 MeV/A. In order to cover the entire mass range of the elements across the periodic table, an ECR based heavy ion accelerator was initiated under plan project. This heavy ion accelerator essentially comprises of a superconducting ECR ion source, room temperature RFQ (Radio Frequency Quadrupole) followed by superconducting Niobium resonators as accelerating elements. This talk will provide an overview of the developmental activities and the safety features

  19. A new approach for calculation of volume confined by ECR surface and its area in ECR ion source

    International Nuclear Information System (INIS)

    Filippov, A.V.

    2007-01-01

    The volume confined by the resonance surface and its area are important parameters of the balance equations model for calculation of ion charge-state distribution (CSD) in the electron-cyclotron resonance (ECR) ion source. A new approach for calculation of these parameters is given. This approach allows one to reduce the number of parameters in the balance equations model

  20. Development of ECR ion source for the HIMAC medical accelerator

    International Nuclear Information System (INIS)

    Kitagawa, A.; Yamada, S.; Sekiguchi, M.

    1992-01-01

    The development of the ECR ion source for the HIMAC injector is reported. The HIMAC facility has two types of the ion source, one is the PIG ion source and the other is the ECR ion source. The ECR ion source is especially expected long lifetime, easy operation, and easy maintenance for the medical use. Now, the system of the ion source is under construction. However, the tests of fundamental performances have been started. In the present tests, the output electrical currents of Ions are 1300 eμA of He 1+ , 210 eμA of Ne 3+ , and 100 eμA of Ar 6+ . And the good stability of the extracted beam is acquired. These performances satisfied the requirements for the radiotherapy. (author)

  1. Preliminary Ionization Efficiencies of 11C and 14O with the LBNL ECR Ion Sources

    International Nuclear Information System (INIS)

    Xie, Z.Q.; Cerny, J.; Guo, F.Q.; Joosten, R.; Larimer, R.M.; Lyneis, C.M.; McMahan, P.; Norman, E.B.; O'Neil, J.P.; Powell, J.; Rowe, M.W.; VanBrocklin, H.F.; Wutte, D.; Xu, X.J.; Haustein, P.

    1998-01-01

    High charge states, up to fully stripped 11 C and 14 O ion, beams have been produced with the electron cyclotron resonance ion sources (LBNL, ECR and AECR-U) at Lawrence Berkeley National Laboratory. The radioactive atoms of 11 C and 14 O were collected in batch mode with an LN 2 trap and then bled into the ECR ion sources. Ionization efficiency as high as 11% for 11 C 4+ was achieved

  2. Electron Cyclotron Resonance (ECR) Ion Source Development at the Holified Radioactive Ion Beam Facility

    Science.gov (United States)

    Bilheux, Hassina; Liu, Yuan; Alton, Gerald; Cole, John; Williams, Cecil; Reed, Charles

    2004-11-01

    Performance of ECR ion sources can be significantly enhanced by increasing the physical size of their ECR zones in relation to the size of their plasma volumes (spatial and frequency domain methods).^3-5 A 6 GHz, all-permanent magnet ECR ion source with a large resonant plasma volume has been tested at ORNL.^6 The magnetic circuit can be configured for creating both flat-β (volume) and conventional minimum-β (surface) resonance conditions. Direct comparisons of the performance of the two source types can be made under similar operating conditions. In this paper, we clearly demonstrate that the flat-β source outperforms its minimum-β counterpart in terms of charge state distribution and intensity within a particular charge state. ^1bilheuxhn@ornl.gov ^2Managed by UT-Battelle, LLC, for the U.S. Department of Energy under contract DE-AC05-00OR22725. ^3G.D. Alton, D.N. Smithe, Rev. Sci. Instrum. 65 (1994) 775. ^4G.D. Alton et al., Rev. Sci. Instrum. 69 (1998) 2305. ^5Z.Q. Xie, C.M. Lyneis, Rev. Sci. Instrum. 66 (1995) 4218. ^6Y. Liu et al., Rev. Sci. Instrum. 69 (1998) 1311.

  3. Kinetic plasma simulation of ion beam extraction from an ECR ion source

    International Nuclear Information System (INIS)

    Elliott, S.M.; White, E.K.; Simkin, J.

    2012-01-01

    Designing optimized ECR (electron cyclotron resonance) ion beam sources can be streamlined by the accurate simulation of beam optical properties in order to predict ion extraction behavior. The complexity of these models, however, can make PIC-based simulations time-consuming. In this paper, we first describe a simple kinetic plasma finite element simulation of extraction of a proton beam from a permanent magnet hexapole ECR ion source. Second, we analyze the influence of secondary electrons generated by ion collisions in the residual gas on the space charge of a proton beam of a dual-solenoid ECR ion source. The finite element method (FEM) offers a fast modeling environment, allowing analysis of ion beam behavior under conditions of varying current density, electrode potential, and gas pressure. The new version of SCALA/TOSCA v14 permits the making of simulations in tens of minutes to a few hours on standard computer platforms without the need of particle-in-cell methods. The paper is followed by the slides of the presentation. (authors)

  4. 400 kV injector compact ECR ion source

    International Nuclear Information System (INIS)

    Constantin, F.; Catana, D.; Macovei, M.; Ivanov, E.

    1997-01-01

    Obtaining multiple ionised ions is a fundamental problem for some applications and research. Multiple ionised ions can be produced from electronic bombardment, when n·τ≥5·10 9 cm -3 · s, where n is the density of electrons (in cm -3 ) and τ is the time of interaction between electrons and ions . The relative speed of electrons and ions determines the equilibrium between the stripping process of the atom's electrons and their capture. An ion source with high ionisation efficiency and large output current is the ECR source (Electron Cyclotron Resonance). Using an ECR source with permanent magnets as ion source for the injector will lead to following advantages: - the possibility to obtain multiple ionised particles; - an increase of ion beam intensities; - the expanding of accelerator activities; - a longer working time, due to magnetron lifetime. The ECR ion source is robust, compact and capable of high intensities of extracted ion current. The large functional domain for the residual gas pressure allows the production of multiple charged ions. The source can be easily integrated in the TRILAC's injection structure. We realised a compact microwave ion source which has an axial magnetic field generated by a permanent magnet of Co-Sm. 1200 G magnetic field is greater than the 875 G magnetic field corresponding to the electron-cyclotron frequency of 2.45 GHz. The microwave generator is a magnetron (2.45 GHz and 200 W in continuos wave). The microwave is fed through a coaxial connector on the top of flange. The test was made on He gas at a pressure between 8· 10 -4 and 5·10 -2 torr. The ion beam current was measured vs. extracted potential from 3 kV to 10 kV and has a dependence according to U 3/2 law. A maximal ion current of 300 μA at 10 kV extraction potential was measured. Dimension of ECR ion source, including Einzel lens are φ=12 cm and h=16 cm. (authors)

  5. Design studies for an advanced ECR ion source for multiply charged ion beam generation

    International Nuclear Information System (INIS)

    Alton, G.D.

    1994-01-01

    An innovative technique: for increasing ion source intensity is described which, in principle, could lead to significant advances in ECR ion source technology for multiply charged ion beam formation. The advanced concept design uses a minimum-B magnetic mirror geometry which consists of a multi-cusp, magnetic field, to assist in confining the plasma radially, a flat central field for tuning to the ECR resonant condition, and specially tailored min-or fields in the end zones to confine the plasma in the axial direction. The magnetic field is designed to achieve an axially symmetric plasma ''volume'' with constant mod-B, which extends over the length of the central field region. This design, which strongly contrasts w h the ECR ''surfaces'' characteristic of conventional ECR ion sources, results in dramatic increases in the absorption of RF power, thereby increasing the electron temperature and ''hot'' electron population within the ionization volume of the source

  6. Design of the compact ECR ion source for heavy-ion therapy

    International Nuclear Information System (INIS)

    Muramatsu, M.; Kitagawa, A.; Sato, S.; Sato, Y.; Yamada, S.; Hattori, T.; Shibuya, S.

    1999-01-01

    Heavy ion cancer treatment is successfully being done at the Heavy Ion Medical Accelerator in Chiba (HIMAC). Design philosophy for the ion sources for medical facilities are as follows: sufficient beam intensity, a few hundred eμA; long lifetime with good stability; easy operation and easy maintenance; and compactness. In order to develop such source for future heavy-ion facilities, we have tested compact electron cyclotron resonance (ECR) ion sources using permanent magnets both for axial and radial confinement of hot electrons. Since the yield of C 2+ ion in the firstly-developed source (2.45 GHz ECR) was 15 eμA and far below the medical requirement (-150 eμA for the HIMAC), a new source has been proposed, having the frequency of 10 GHz. The extracted intensity of C 4+ (and C 2+ ) ions is expected to be higher than 200 eμA. (author)

  7. Development of superconducting magnets for RAON 28 GHz ECR ion source.

    Science.gov (United States)

    Heo, Jeongil; Choi, Sukjin; Kim, Yonghwan; Hong, In-Seok

    2016-02-01

    RAON, a 28 GHz electron cyclotron resonance ion source (ECR IS), was designed and tested as a Rare Isotope Science Project. It is expected that RAON would provide not only rare-isotope beams but also stable heavy ions ranging from protons to uranium. In order to obtain the steady heavy-ion beam required for ECR IS, we must use a 28 GHz microwave source as well as a high magnetic field. A superconducting magnet using a NbTi wire was designed and manufactured for producing the ECR IS and a test was conducted. In this paper, the design and fabrication of the superconducting magnet for the ECR IS are presented. Experimental results show that the quench current increases whenever quenching occurs, but it has not yet reached the designed current. The experiment is expected to reveal the ideal conditions required to reach the designed current.

  8. ECR ion source for variable energy cyclotron

    Energy Technology Data Exchange (ETDEWEB)

    Bose, D K; Taki, G S; Nabhiraj, P Y; Pal, G; Dasgupta, B; Mallik, C; Das, S K; Bandopadhaya, D K; Bhandari, R K [Variable Energy Cyclotron Centre, Calcutta (India)

    1995-09-01

    Some performance characteristics of 6.4 GHz two stage ECR ion source which was under development at this centre is presented. The present ion source will facilitate acceleration of light heavy ions with the existing k=130 variable energy cyclotron. Multiply charged heavy ion (MCHI) beam from the source will also be utilized for atomic physics studies. Oxygen beam has already been used for ion implantation studies. The external injection system under development is nearing completion. Heavy ion beam from cyclotron is expected by end of 1995. (author).

  9. Design of the compact permanent-magnet ECR ion source

    International Nuclear Information System (INIS)

    Park, J. Y.; Ahn, J. K.; Lee, H. S.; Won, M. S.; Lee, B. S.; Bae, J. S.; Bang, J. K.

    2009-01-01

    The Electron Cyclotron Resonance Ion Sources (ECRIS) for multiply charged ion beams keep regularly improving and expanding since the pioneer time of R. Geller and his coworkers about twenty years age. It has been widely utilized in a variety of research areas ranging from atomic and nuclear physics to material sciences. Because of the unique capability of producing highly charged ion beams, the ECR ion source has become increasingly popular in heavy-ion accelerators where the principle of acceleration sensitively depends on the charge-to-mass ratio (q=M) of the injected positive ion beam. The potential usages of beam based research development is still developing and there are plenty of rooms to be part of it. On the basis of ECR ion source technology, we will explore possible applications in the field of plasma technology, radiation technology, plastic deformation, adding more and new functionality by implantation, MEMS applications, developing new generation mass analysis system, fast neutron radiography system, etc

  10. Compact permanent magnet H⁺ ECR ion source with pulse gas valve.

    Science.gov (United States)

    Iwashita, Y; Tongu, H; Fuwa, Y; Ichikawa, M

    2016-02-01

    Compact H(+) ECR ion source using permanent magnets is under development. Switching the hydrogen gas flow in pulse operations can reduce the gas loads to vacuum evacuation systems. A specially designed piezo gas valve chops the gas flow quickly. A 6 GHz ECR ion source equipped with the piezo gas valve is tested. The gas flow was measured by a fast ion gauge and a few ms response time is obtained.

  11. Status report of pelletron accelerator and ECR based heavy ion accelerator programme

    International Nuclear Information System (INIS)

    Gupta, A.K.

    2015-01-01

    The BARC-TIFR Pelletron Accelerator is completing twenty seven years of round-the-clock operation, serving diverse users from institutions within and outside DAE. Over the years, various developmental activities and application oriented programs have been initiated at Pelletron Accelerator Facility, resulting into enhanced utilization of the accelerator. We have also been pursuing an ECR based heavy ion accelerator programme under XII th Plan, consisting of an 18 GHz superconducting ECR (Electron Cyclotron Resonance) ion source and a room temperature RFQ (Radio Frequency Quadrupole) followed by low and high beta superconducting niobium resonator cavities. This talk will provide the current status of Pelletron Accelerator and the progress made towards the ECR based heavy ion accelerator program at BARC. (author)

  12. Measurements of bremsstrahlung spectra of Lanzhou ECR Ion Source No. 3 (LECR3)

    International Nuclear Information System (INIS)

    Zhao, H.Y.; Zhao, H.W.; Ma, X.W.; Zhang, S.F.; Feng, W.T.; Zhu, X.L.; Zhang, Z.M.; He, W.; Sun, L.T.; Feng, Y.C.; Cao, Y.; Li, J.Y.; Li, X.X.; Wang, H.; Ma, B.H.

    2006-01-01

    In order to diagnose the electron cyclotron resonance (ECR) plasma, electron bremsstrahlung spectra were measured by a HPGe detector on Lanzhou ECR Ion Source No. 3 at IMP. The ion source was operated with argon under various working conditions, including different microwave power, mixing gas, extraction high voltage (HV), and so on. Some of the measured spectra are presented in this article. The dependence of energetic electron population on mixing gas and extraction HV is also described. Additionally, we are looking forward to further measurements on SECRAL (Superconducting ECR Ion Source with Advanced design at Lanzhou)

  13. Extraction of space-charge-dominated ion beams from an ECR ion source: Theory and simulation

    Science.gov (United States)

    Alton, G. D.; Bilheux, H.

    2004-05-01

    Extraction of high quality space-charge-dominated ion beams from plasma ion sources constitutes an optimization problem centered about finding an optimal concave plasma emission boundary that minimizes half-angular divergence for a given charge state, independent of the presence or lack thereof of a magnetic field in the extraction region. The curvature of the emission boundary acts to converge/diverge the low velocity beam during extraction. Beams of highest quality are extracted whenever the half-angular divergence, ω, is minimized. Under minimum half-angular divergence conditions, the plasma emission boundary has an optimum curvature and the perveance, P, current density, j+ext, and extraction gap, d, have optimum values for a given charge state, q. Optimum values for each of the independent variables (P, j+ext and d) are found to be in close agreement with those derived from elementary analytical theory for extraction with a simple two-electrode extraction system, independent of the presence of a magnetic field. The magnetic field only increases the emittances of beams through additional aberrational effects caused by increased angular divergences through coupling of the longitudinal to the transverse velocity components of particles as they pass though the mirror region of the electron cyclotron resonance (ECR) ion source. This article reviews the underlying theory of elementary extraction optics and presents results derived from simulation studies of extraction of space-charge dominated heavy-ion beams of varying mass, charge state, and intensity from an ECR ion source with emphasis on magnetic field induced effects.

  14. Extraction of space-charge-dominated ion beams from an ECR ion source: Theory and simulation

    International Nuclear Information System (INIS)

    Alton, G.D.; Bilheux, H.

    2004-01-01

    Extraction of high quality space-charge-dominated ion beams from plasma ion sources constitutes an optimization problem centered about finding an optimal concave plasma emission boundary that minimizes half-angular divergence for a given charge state, independent of the presence or lack thereof of a magnetic field in the extraction region. The curvature of the emission boundary acts to converge/diverge the low velocity beam during extraction. Beams of highest quality are extracted whenever the half-angular divergence, ω, is minimized. Under minimum half-angular divergence conditions, the plasma emission boundary has an optimum curvature and the perveance, P, current density, j +ext , and extraction gap, d, have optimum values for a given charge state, q. Optimum values for each of the independent variables (P, j +ext and d) are found to be in close agreement with those derived from elementary analytical theory for extraction with a simple two-electrode extraction system, independent of the presence of a magnetic field. The magnetic field only increases the emittances of beams through additional aberrational effects caused by increased angular divergences through coupling of the longitudinal to the transverse velocity components of particles as they pass though the mirror region of the electron cyclotron resonance (ECR) ion source. This article reviews the underlying theory of elementary extraction optics and presents results derived from simulation studies of extraction of space-charge dominated heavy-ion beams of varying mass, charge state, and intensity from an ECR ion source with emphasis on magnetic field induced effects

  15. Present status of the NIRS-ECR ion source for the HIMAC

    International Nuclear Information System (INIS)

    Kitagawa, A.; Matsushita, H.; Shibuya, S.

    1995-01-01

    The present status of NIRS-ECR ion source for the Heavy Ion Medical Accelerator in Chiba (HIMAC) at National Institute of Radiological Sciences (NIRS) is reported. The beam intensity of the NIRS-ECR was increased by modifications on the magnetic field structure, chamber cooling system, vacuum conductance and the extraction configuration. The output current of Ar 6+ reached 365 eμA after improvements. The good stability, easy operation, and good reproducibility were realized. (author)

  16. Development of ECR ion source and LEBT technology for RIA

    International Nuclear Information System (INIS)

    Leitner, Daniela; Lyneis, Claude M.; Abbott, Steven R.; Dwinell, Roger D.; Leitner, Matthaeus; Silver, Charles S.; Taylor, Clyde E.

    2004-01-01

    The Rare Isotope Accelerator (RIA) Linac driver requires a great variety of high charge state ion beams with up to a magnitude higher intensity than currently achievable for the heaviest masses. The goal of the RIA injector R and D program for VENUS is the reliable production of intense medium charge state ion beams, e.g., 8 puA (particle mu A) of U29+. Therefore, the superconducting ECR ion source VENUS has been designed from the beginning for optimum operation at 28 GHz at high power (10 kW). In addition, a high intensity Low Energy Beam Transport, LEBT, that was developed to analyze and transport these multiply-charged, space charge dominated beams. During the last year VENUS was commissioned at 18 GHz and preparations for 28 GHz operation continued. Tests with various gases and recently metals have been performed with up to 2000 W of 18 GHz RF power. Promising performance has been measured in those preliminary beam tests. For example, 180 p mu A of O6+, 15 p mu A of Ar12+, 7.5 puA of X e20+ and 4puA of Bi24+ were produced in the early commissioning phase, ranking VENUS among the currently highest performance 18 GHz ECR ion sources. In FY04 a 10 kW 28 gyrotron system will be added, which will enable VENUS to reach full performance. The emittance of the beams produced at 18 GHz was measured with a two axis emittance scanner developed with earlier RIA R and D funds

  17. Ca-48 handling for a cyclotron ECR ion source to produce highly intense ion beams

    International Nuclear Information System (INIS)

    Lebedev, V.Ya.; Bogomolov, S.L.; Dmitriev, S.N.; Kutner, V.B.; Shamanin, A.N.; Yakushev, A.B.

    2002-01-01

    Production of highly intense ion beams of 48 Ca is one of the main tasks in experiments carried out within the framework of the synthesis of new superheavy elements. 48 Ca is very rare and expensive isotope, therefore there is necessity to reach the high intensity of ion beams of the isotope at a low consumption rate. Analysis and our preliminary experiments have showed that the best way of producing highly intense calcium ion beams is evaporation of metallic calcium in an ECR ion source. So we have developed a technique of metallic 48 Ca production by reducing CaO (this chemical form is available at the market with 40-80% of 48 Ca ) with aluminium powder. We used two tantalum crucibles: a larger, with a mixture of CaO + Al heated up to 1250 deg C, which was connected to the smaller (2 mm I.D. and 30 mm long) in which calcium vapour condensed. The temperature distribution in the small crucible was about 50 deg C at the bottom and about 500 deg C in the middle of the crucible. The pressure inside of the set-up was between 0.1 and 1 Pa. The production rate of metallic 48 Ca was 10-20 mg/h. The crucible with the condensed metallic Ca in argon atmosphere was transferred to the ECR-4M ion source, where it was inserted in a wired tubular oven and the calcium evaporation was controlled through the oven power supply. The application of metallic 48 Ca as the working substance for the ECR-4M ion source of the U-400 cyclotron of allowed us to approach a stable high intensity of 48 Ca ion beams: the intensities for the internal and external beams were 10 13 c -1 and 3.10 12 c -1 , respectively, at a consumption rate about 0.4 mg/h. A technique was developed for the reclamation of 48 Ca from the residue inside of the large crucible and from the inner parts of the ECR ion source. Extracting Ca from the inner parts of the ion source enabled us to save up to some 25% of the calcium used in the ECR ion source, so that the actual consumption rate was about 0.3 mg/h at the highest 48

  18. Development of a compact ECR ion source for various ion production

    Energy Technology Data Exchange (ETDEWEB)

    Muramatsu, M., E-mail: m-mura@nirs.go.jp; Hojo, S.; Iwata, Y.; Katagiri, K.; Sakamoto, Y.; Kitagawa, A. [National Institute of Radiological Sciences (NIRS), 4-9-1 Anagawa, Inage, Chiba 263-8555 (Japan); Takahashi, N. [Sumitomo Heavy Industries, Ltd., 19 Natsushima, Yokosuka, Kanagawa 237-8555 (Japan); Sasaki, N.; Fukushima, K.; Takahashi, K.; Suzuki, T.; Sasano, T. [Accelerator Engineering Corporation, 3-8-5 Konakadai, Inage, Chiba 263-0043 (Japan); Uchida, T.; Yoshida, Y. [Bio-Nano Electronics Research Centre, Toyo University, 2100 Kujirai, Kawagoe-shi, Saitama 350-8585 (Japan); Hagino, S.; Nishiokada, T.; Kato, Y. [Graduate School of Engineering, Osaka University, 2-1 Yamada-oka, Suita-shi, Osaka 565-0871 (Japan)

    2016-02-15

    There is a desire that a carbon-ion radiotherapy facility will produce various ion species for fundamental research. Although the present Kei2-type ion sources are dedicated for the carbon-ion production, a future ion source is expected that could provide: (1) carbon-ion production for medical use, (2) various ions with a charge-to-mass ratio of 1/3 for the existing Linac injector, and (3) low cost for modification. A prototype compact electron cyclotron resonance (ECR) ion source, named Kei3, based on the Kei series has been developed to correspond to the Kei2 type and to produce these various ions at the National Institute of Radiological Sciences (NIRS). The Kei3 has an outer diameter of 280 mm and a length of 1120 mm. The magnetic field is formed by the same permanent magnet as Kei2. The movable extraction electrode has been installed in order to optimize the beam extraction with various current densities. The gas-injection side of the vacuum chamber has enough space for an oven system. We measured dependence of microwave frequency, extraction voltage, and puller position. Charge state distributions of helium, carbon, nitrogen, oxygen, and neon were also measured.

  19. Comparison analysis of superconducting solenoid magnet systems for ECR ion source based on the evolution strategy optimization

    Energy Technology Data Exchange (ETDEWEB)

    Wei, Shao Qing; Lee, Sang Jin [Uiduk University, Gyeongju (Korea, Republic of)

    2015-06-15

    Electron cyclotron resonance (ECR) ion source is an essential component of heavy-ion accelerator. For a given design, the intensities of the highly charged ion beams extracted from the source can be increased by enlarging the physical volume of ECR zone. Several models for ECR ion source were and will be constructed depending on their operating conditions. In this paper three simulation models with 3, 4 and 6 solenoid system were built, but it's not considered anything else except the number of coils. Two groups of optimization analysis are presented, and the evolution strategy (ES) is adopted as an optimization tool which is a technique based on the ideas of mutation, adaptation and annealing. In this research, the volume of ECR zone was calculated approximately, and optimized designs for ECR solenoid magnet system were presented. Firstly it is better to make the volume of ECR zone large to increase the intensity of ion beam under the specific confinement field conditions. At the same time the total volume of superconducting solenoids must be decreased to save material. By considering the volume of ECR zone and the total length of solenoids in each model with different number of coils, the 6 solenoid system represented the highest coil performance. By the way, a certain case, ECR zone volume itself can be essential than the cost. So the maximum ECR zone volume for each solenoid magnet system was calculated respectively with the same size of the plasma chamber and the total magnet space. By comparing the volume of ECR zone, the 6 solenoid system can be also made with the maximum ECR zone volume.

  20. Metallic vapor supplying by the electron bombardment for a metallic ion production with an ECR ion source

    Energy Technology Data Exchange (ETDEWEB)

    Kitagawa, Atsushi; Sasaki, Makoto; Muramatsu, Masayuki [National Inst. of Radiological Sciences, Chiba (Japan); Jincho, Kaoru; Sasaki, Noriyuki; Sakuma, Tetsuya; Takasugi, Wataru; Yamamoto, Mitsugu [Accelerator Engineering Corporation, Chiba (Japan)

    2001-11-19

    To produce the metallic ion beam for the injection into the Heavy Ion Medical Accelerator in Chiba (HIMAC) at the National Institute of Radiological Sciences (NIRS), a new gas supply method has been developed for an 18 GHz ECR ion source (NIRS-HEC). A metallic target rod at a high positive potential is melted by the electron bombardment technique. The evaporated gas with a maximum flow rate of 50A/sec is supplied into the ECR plasma in case of Fe metal. (author)

  1. Metallic vapor supplying by the electron bombardment for a metallic ion production with an ECR ion source

    International Nuclear Information System (INIS)

    Kitagawa, Atsushi; Sasaki, Makoto; Muramatsu, Masayuki; Jincho, Kaoru; Sasaki, Noriyuki; Sakuma, Tetsuya; Takasugi, Wataru; Yamamoto, Mitsugu

    2001-01-01

    To produce the metallic ion beam for the injection into the Heavy Ion Medical Accelerator in Chiba (HIMAC) at the National Institute of Radiological Sciences (NIRS), a new gas supply method has been developed for an 18 GHz ECR ion source (NIRS-HEC). A metallic target rod at a high positive potential is melted by the electron bombardment technique. The evaporated gas with a maximum flow rate of 50A/sec is supplied into the ECR plasma in case of Fe metal. (author)

  2. AMS of heavy elements with an ECR ion source and the ATLAS linear accelerator

    CERN Document Server

    Paul, M; Ahmad, I; Borasi, F; Caggiano, J; Davids, C N; Greene, J P; Harss, B; Heinz, A; Henderson, D J; Henning, W F; Jiang, C L; Pardo, R C; Rehm, K E; Rejoub, R; Seweryniak, D; Sonzogni, A; Uusitalo, J; Vondrasek, R C

    2000-01-01

    Detection of heavy elements by accelerator mass spectrometry with the electron cyclotron resonance ion source, Argonne linear accelerator and fragment mass analyzer (ECRIS-ATLAS-FMA) system has been developed. The use of the ECR-ATLAS system for AMS of heavy elements has two interesting features: (i) the efficient production of high-charge state ions in the ECR source ensures the elimination of molecular ions at the source stage, a highly attractive feature for any mass-spectrometric use not exploited so far; (ii) the linear acceleration based on velocity matching and the beam transport system act as a powerful mass filter for background suppression. We have shown that our system reaches an abundance sensitivity of 1x10 sup - sup 1 sup 4 for Pb isotopes. The sup 2 sup 3 sup 6 U detection sensitivity is sup 2 sup 3 sup 6 U/U > or approx. 1x10 sup - sup 1 sup 2 , limited mainly by the ion source output.

  3. Experiment of bias probe method at NIRS-18 GHz ECR ion source

    Energy Technology Data Exchange (ETDEWEB)

    Jincho, Kaoru; Yamamoto, Mitsugu; Okada, Takanori; Takasugi, Wataru; Sakuma, Tetsuya; Miyoshi, Tomohiro [Accelerator Engineering Corp., Chiba (Japan); Kitagawa, Atsushi; Muramatsu, Masayuki [National Inst. of Radiological Sciences, Chiba (Japan); Biri, Sandor [Institute of Nuclear Research (ATOMKI), Debrecen (Hungary)

    2000-11-01

    An 18 GHz ECR ion source (NIRS-HEC) has been developed to produce highly charged heavy ions from Ar to Xe. In order to increase the beam intensity of highly charged ion, we tried a technique of supplying cold electrons into the ECR plasma. In this paper, enhancement of the beam intensity is discussed in detail. The bias voltage is applied on the probe to repel cold electrons which flow from a plasma. The output beam current is 130 e{mu}A for Ar{sup 11+}. (J.P.N.)

  4. Experiment of bias probe method at NIRS-18 GHz ECR ion source

    International Nuclear Information System (INIS)

    Jincho, Kaoru; Yamamoto, Mitsugu; Okada, Takanori; Takasugi, Wataru; Sakuma, Tetsuya; Miyoshi, Tomohiro; Kitagawa, Atsushi; Muramatsu, Masayuki; Biri, Sandor

    2000-01-01

    An 18 GHz ECR ion source (NIRS-HEC) has been developed to produce highly charged heavy ions from Ar to Xe. In order to increase the beam intensity of highly charged ion, we tried a technique of supplying cold electrons into the ECR plasma. In this paper, enhancement of the beam intensity is discussed in detail. The bias voltage is applied on the probe to repel cold electrons which flow from a plasma. The output beam current is 130 eμA for Ar 11+ . (J.P.N.)

  5. Simulation and beam line experiments for the superconducting ECR ion source VENUS

    International Nuclear Information System (INIS)

    Todd, Damon S.; Leitner, Daniela; Grote, David P.; Lyneis, ClaudeM.

    2007-01-01

    The particle-in-cell code Warp has been enhanced to incorporate both two- and three-dimensional sheath extraction models giving Warp the capability of simulating entire ion beam transport systems including the extraction of beams from plasma sources. In this article we describe a method of producing initial ion distributions for plasma extraction simulations in electron cyclotron resonance (ECR) ion sources based on experimentally measured sputtering on the source biased disc. Using this initialization method, we present preliminary results for extraction and transport simulations of an oxygen beam and compare them with experimental beam imaging on a quartz viewing plate for the superconducting ECR ion source VENUS

  6. Design of small ECR ion source for neutron generator

    International Nuclear Information System (INIS)

    Zhou Changgeng; Lou Benchao; Zu Xiulan; Yang Haisu; Xiong Riheng

    2003-01-01

    The principles, structures and characteristics of small ECR (Electron Cyclotron Resonance) ion source used in the neutron generator are introduced. The processes of the design and key technique and innovations are described. (authors)

  7. Prospect for a 60 GHz multicharged ECR ion source

    Science.gov (United States)

    Thuillier, T.; Bondoux, D.; Angot, J.; Baylac, M.; Froidefond, E.; Jacob, J.; Lamy, T.; Leduc, A.; Sole, P.; Debray, F.; Trophime, C.; Skalyga, V.; Izotov, I.

    2018-05-01

    The conceptual design of a fourth generation hybrid electron cyclotron resonance (ECR) ion source operated at 60 GHz is proposed. The axial magnetic mirror is generated with a set of three Nb3Sn coils, while the hexapole is made with room temperature (RT) copper coils. The motivations for such a hybrid development are to study further the ECR plasma physics and the intense multicharged ion beams' production and transport at a time when a superconducting (SC) hexapole appears unrealistic at 60 GHz. The RT hexapole coil designed is an evolution of the polyhelix technology developed at the French High Magnetic Field Facility. The axial magnetic field is generated by means of 3 Nb3Sn SC coils operated with a maximum current density of 350 A/mm2 and a maximum coil load line factor of 81%. The ECR plasma chamber resulting from the design features an inner radius of 94 mm and a length of 500 mm. The radial magnetic intensity is 4.1 T at the wall. Characteristic axial mirror peaks are 8 and 4.5 T, with 1.45 T minimum in between.

  8. Characteristics of MINI ECR ion source

    Energy Technology Data Exchange (ETDEWEB)

    Saitoh, Yuichi; Yokota, Watalu [Japan Atomic Energy Research Inst., Takasaki, Gunma (Japan). Takasaki Radiation Chemistry Research Establishment

    1997-03-01

    A very compact electron cyclotron resonance ion source (MINI ECR) was manufactured to extend available energy ranges of ion beams by applying multiply charged ions to electrostatic accelerators. The magnetic field to confine a plasma is formed only by small permanent magnets and the microwave power up to 15 W is generated by a compact transistor amplifier in order to install the ion source at a narrow high-voltage terminal where the electrical power feed is restricted. The magnet assembly is 12 cm in length and 11 cm in diameter, and forms a mirror field with the maximum strength of 0.55 T. The total power consumption of the source is below 160 W. The performance of the source was tested in a bench stand. The results of Ar, Xe, O, and N ion generation are reported in this paper. (author)

  9. Ion beam extraction from a matrix ECR plasma source by discrete ion-focusing effect

    DEFF Research Database (Denmark)

    Stamate, Eugen; Draghici, Mihai

    2010-01-01

    -ECR plasma source [3] with transversal magnetic filter for electron temperature control. 12 ECR plasma cells are placed 7.5 cm apart on the top of a cubic chamber 40x40x40 cm3. Each cell can be controlled independently by tuning the injected microwave power. The discharge is operated at pressures below 1 m......Positive or negative ion beams extracted from plasma are used in a large variety of surface functionalization techniques such as implantation, etching, surface activation, passivation or oxidation. Of particular importance is the surface treatment of materials sensitive to direct plasma exposure...... due to high heath fluxes, the controllability of the ion incidence angle, and charge accumulation when treating insulating materials. Despite of a large variety of plasma sources available for ion beam extraction, there is a clear need for new extraction mechanisms that can make available ion beams...

  10. ECR plasma source for heavy ion beam charge neutralization

    Science.gov (United States)

    Efthimion, Philip C.; Gilson, Erik; Grisham, Larry; Kolchin, Pavel; Davidson, Ronald C.; Yu, Simon; Logan, B. Grant

    2003-01-01

    Highly ionized plasmas are being considered as a medium for charge neutralizing heavy ion beams in order to focus beyond the space-charge limit. Calculations suggest that plasma at a density of 1 100 times the ion beam density and at a length [similar]0.1 2 m would be suitable for achieving a high level of charge neutralization. An Electron Cyclotron Resonance (ECR) source has been built at the Princeton Plasma Physics Laboratory (PPPL) to support a joint Neutralized Transport Experiment (NTX) at the Lawrence Berkeley National Laboratory (LBNL) to study ion beam neutralization with plasma. The ECR source operates at 13.6 MHz and with solenoid magnetic fields of 1 10 gauss. The goal is to operate the source at pressures [similar]10[minus sign]6 Torr at full ionization. The initial operation of the source has been at pressures of 10[minus sign]4 10[minus sign]1 Torr. Electron densities in the range of 108 to 1011 cm[minus sign]3 have been achieved. Low-pressure operation is important to reduce ion beam ionization. A cusp magnetic field has been installed to improve radial confinement and reduce the field strength on the beam axis. In addition, axial confinement is believed to be important to achieve lower-pressure operation. To further improve breakdown at low pressure, a weak electron source will be placed near the end of the ECR source. This article also describes the wave damping mechanisms. At moderate pressures (> 1 mTorr), the wave damping is collisional, and at low pressures (< 1 mTorr) there is a distinct electron cyclotron resonance.

  11. ECR ion source and some improvements

    International Nuclear Information System (INIS)

    Liu Zhanwen; Zhang Wen; Zhao Hongwei; Zhang Xuezhen; Yuan Ping; Guo Xiaohong; Zhou Sixin; Ye Feng; Wei Baowen; Efremov, A.

    1994-01-01

    The structure, the principle of a CAPRICE-type ECR ion source and the necessary condition of the source for providing high charged ions are presented. CAPRICE was tested first at the test bench with a newly shaped configuration of the magnetic mirror throat at the injection side. The ion currents of Ar and Ne ions were increased remarkably. Later, CAPRICE was coupled to the injector SFC of HIRFL, and other modifications were made to improve the magnetic field and decrease the electric power consumption in the solenoids of the source. Meanwhile a simple electron gun with cold cathode was tested preliminarily. The result was satisfactory. Last year, some successful changes in the construction of the insulation cover for the hexapole of CAPRICE were achieved also. The new cover is aimed to endure higher extraction voltage, and avoid the condensation of humid air on the exterior of the insulation covers

  12. Atomic physics at the Argonne PII ECR [electron cyclotron resonance] Ion Source

    International Nuclear Information System (INIS)

    Dunford, R.W.; Berry, H.G.; Billquist, P.J.; Pardo, R.C.; Zabransky, B.J.; Bakke, E.; Groeneveld, K.O.; Hass, M.; Raphaelian, M.L.A.

    1987-01-01

    An atomic physics beam line has been set up at the Argonne PII ECR Ion Source. The source is on a 350-kV high-voltage platform which is a unique feature of particular interest in work on atomic collisions. We describe our planned experimental program which includes: measurement of state-selective electron-capture cross sections, studies of doubly-excited states, precision spectroscopy of few-electron ions, tests of quantum electrodynamics, and studies of polarization transfer using optically pumped polarized alkali targets. The first experiments will be measurements of cross sections for electron capture into specific nl subshells in ion-atom collisions. Our method is to observe the characteristic radiation emitted after capture using a VUV spectrometer. Initial data from these experiments are presented. 12 refs., 4 figs

  13. Study of hot electrons in a ECR ion source

    International Nuclear Information System (INIS)

    Barue, C.

    1992-12-01

    The perfecting of diagnosis connected with hot electrons of plasma, and then the behaviour of measured parameters of plasma according to parameters of source working are the purpose of this thesis. The experimental results obtained give new information on hot electrons of an ECR ion source. This thesis is divided in 4 parts: the first part presents an ECR source and the experimental configuration (ECRIS physics, minimafios GHz, diagnosis used); the second part, the diagnosis (computer code of cyclotron emission and calibration); the third part gives experimental results in continuous regime (emission cyclotron diagnosis, bremsstrahlung); the fourth part, experimental results in pulsed regime (emission cyclotron diagnosis, diamagnetism) calibration)

  14. High intensity metallic ion beams from an ecr ion source at GANIL

    International Nuclear Information System (INIS)

    Leherissier, P.; Barue, C.; Canet, C.; Dupuis, M.; Flambard, J.L.; Gaubert, G.; Gibouin, S.; Huguet, Y.; Jardin, P.; Lecesne, N.; Lemagnen, F.; Leroy, R.; Pacquet, J.Y.; Pellemoine-Landre, F.; Rataud, J.P.; Jaffres, P.A.

    2001-01-01

    In the recent years, progress concerning the production of high intensity of metallic ions beams ( 58 Ni, 48 Ca, 76 Ge) at Ganil have been performed. The MIV0C method has been successfully used to produce a high intensity nickel beam with the ECR4 ion source: 20 eμA of 58 Ni 11+ at 24 kV extraction voltage. This beam has been maintained for 8 days and accelerated up to 74.5 MeV/u by our cyclotrons with a mean intensity of 0.13 pμA on target. This high intensity, required for experiment, led to the discovery of the doubly magic 48 Ni isotope. The oven method has been first tested with natural metallic calcium on the ECR4 ion source, then used to produce a high power beam (740 W on target i.e. 0.13 pμA accelerated up to 60 MeV/u) of 48 Ca still keeping a low consumption (0.09 mg/h). A germanium beam is now under development, using the oven method with germanium oxide. The ionization efficiencies have been measured and compared. (authors)

  15. Heavy ion beams from the new Hungarian ECR ion source

    International Nuclear Information System (INIS)

    Biri, S.; Valek, A.; Ditroi, F.; Koivisto, H.; Arje, J.; Stiebing, K.; Schmidt, L.

    1998-01-01

    The first beams of highly charged ions in Hungary were obtained in fall of 1996. The new 14.5 GHz ECR ion source of ATOMKI produced beams of multiply charged ions with remarkable intensities at first experiments. Since then, numerous further developments were carried out. An external electrondonor electrode drastically increased the plasma density and, consequently, the intensity of highly charged ions. These upgrades concentrated mainly on beams from gaseous elements and were carried out by the ECRIS team of ATOMKI. Another series of experiments - ionising from solids - however, was done in the framework of an international collaboration. The first metal ion beam has been extracted from the ECRIS in November 1997 using the known method of Metal Ions from Volatile Compounds (MIVOC). The possibility to put the MIVOC chamber inside the ion source was also tested and the dosing regulation problem of metal vapours inside the ion source was solved. As a result, beams of more than 10 μA of highly charged Fe and Ni ions were produced. (author)

  16. ECR heavy-ion source for the LBL 88-inch cyclotron

    International Nuclear Information System (INIS)

    Clark, D.J.; Kalnins, J.G.; Lyneis, C.M.

    1983-03-01

    An Electron Cyclotron Resonance (ECR) heavy-ion source is under construction at the LBL 88-Inch Cyclotron. This source will produce very-high-charge-state heavy ions, such as 0 8 + and Ar 12 + , which will increase cyclotron energies by a factor of 2-4, up to A = 80. It is a two-stage source using room-temperature coils, a permanent-magnet sextupole, and a 6-9 GHz microwave system. Design features include adjustable first-to-second-stage plasma coupling, a variable second-stage mirror ratio, high-conductance radial pumping of the second stage, and a beam-diagnostic system. A remotely movable extraction electrode will optimize extraction efficiency. The project includes construction of a transport line and improvements to the cyclotron axial-injection system. The construction period is expected to be two years

  17. Status and special features of the Atomki ECR ion source

    Energy Technology Data Exchange (ETDEWEB)

    Biri, S. [Institute of Nuclear Research (ATOMKI), H-4026 Debrecen, Bem ter 18/c (Hungary); Racz, R. [Institute of Nuclear Research (ATOMKI), H-4026 Debrecen, Bem ter 18/c (Hungary); University of Debrecen, H-4010 Debrecen, Egyetem ter 1 (Hungary); Palinkas, J. [University of Debrecen, H-4010 Debrecen, Egyetem ter 1 (Hungary)

    2012-02-15

    The ECR ion source has been operating in ATOMKI (Debrecen) since 1996. During the past 15 years lots of minor and numerous major technical modifications have been carried out on the ECRIS. Many of these changes aimed the increasing of beams charge, intensity, and the widening of the ion choice. Another group of the modifications were performed to develop special, non-standard operation modes or to produce peculiar plasmas and beams.

  18. Results of a new ''OCTOPUS'' ECR ion source at 6.4 GHz

    International Nuclear Information System (INIS)

    Dupont, C.; Jongen, Y.; Arakawa, K.; Yokota, W.; Satoh, T.; Tachikawa, T.

    1990-01-01

    The first OCTOPUS electron cyclstron resonance (ECR) multicharged heavy ion source was built in 1985 at the Centre de Recherches du Cyclotron of the University of Louvain (Belgium). This first source used an ECR frequency of 14.3 GHz in the injector stage and 8.5 GHz in the main confinement stage. A new OCTOPUS source has now been built for a new cyclotron to be installed at the Japan Atomic Energy Research Institute (JAERI). The design of this new OCTOPUS source is identical to the first OCTOPUS source, but uses an ECR frequency of 6.4 GHz in the main confinement stage. The experimental results are described, and a comparison is made between the two sources. However, the available data does not allow any clear conclusion to be drawn on frequency scaling

  19. Creation and behavior of radicals and ions in the Acetylene/Argon microwave ECR discharge

    Czech Academy of Sciences Publication Activity Database

    Pokorný, Petr; Musil, Jindřich; Novotný, Michal; Lančok, Ján; Fitl, Přemysl; Vlček, Jan

    2017-01-01

    Roč. 14, č. 12 (2017), s. 1-9, č. článku 1700062. ISSN 1612-8850 R&D Projects: GA ČR GA17-13427S; GA MŠk LO1409; GA MŠk LM2015088 Institutional support: RVO:68378271 Keywords : acetylene * ECR discharge * ions * mass spectrometry * radicals Subject RIV: BM - Solid Matter Physics ; Magnetism OBOR OECD: Condensed matter physics (including formerly solid state physics, supercond.) Impact factor: 2.846, year: 2016

  20. Development of KU-band waveguide break for ECR-3 ion source

    International Nuclear Information System (INIS)

    Misra, Anuraag; Prasad, R.K.; Nabhiraj, P.Y.; Mallik, C.

    2011-01-01

    This article describes the analytical design, simulation results, engineering design and testing of WR-62 waveguide break for ECR-3 ion source and it also emphasizes on the estimation of far-field radiation with the use of advanced 3D codes. (author)

  1. Testing methods of ECR ion source experimental platform

    International Nuclear Information System (INIS)

    Zhou Changgeng; Hu Yonghong; Li Yan

    2006-12-01

    The principle and structure of ECR ion source experimental platform were introduce. The testing methods of the parameters of single main component and the comprehensive parameters under the condition of certain beam current and beam spot diameter were summarized in process of manufacturing. Some appropriate testing dates were given. The existent questions (the parameters of plasma density in discharge chamber and accurate hydrogen flow, etc. can not be measured in operation) and resolutions were also put forward. (authors)

  2. Preriminary operation results of JAERI ECR ion source OCTOPUS

    International Nuclear Information System (INIS)

    Yokota, W.; Arakawa, K.; Tachikawa, T.; Satoh, T.; Dupont, C.; Jongen, Y.

    1990-01-01

    An ECR ion source, new OCTOPUS, was built for and AVF cyclotron of the Japan Atomic Energy Research Institute, Takasaki. The design of this source is almost identical to the first built OCTOPUS, except for the RF frequency for the 2nd stage. The first operation of the new OCTOPUS was performed. High intensity of X-ray leakage was measured outside the lead shield wall of the source. (author)

  3. Advancement of highly charged ion beam production by superconducting ECR ion source SECRAL (invited)

    International Nuclear Information System (INIS)

    Sun, L.; Lu, W.; Zhang, W. H.; Feng, Y. C.; Qian, C.; Ma, H. Y.; Zhang, X. Z.; Zhao, H. W.; Guo, J. W.; Yang, Y.; Fang, X.

    2016-01-01

    At Institute of Modern Physics (IMP), Chinese Academy of Sciences (CAS), the superconducting Electron Cyclotron Resonance (ECR) ion source SECRAL (Superconducting ECR ion source with Advanced design in Lanzhou) has been put into operation for about 10 years now. It has been the main working horse to deliver intense highly charged heavy ion beams for the accelerators. Since its first plasma at 18 GHz, R&D work towards more intense highly charged ion beam production as well as the beam quality investigation has never been stopped. When SECRAL was upgraded to its typical operation frequency 24 GHz, it had already showed its promising capacity of very intense highly charged ion beam production. And it has also provided the strong experimental support for the so called scaling laws of microwave frequency effect. However, compared to the microwave power heating efficiency at 18 GHz, 24 GHz microwave heating does not show the ω 2 scale at the same power level, which indicates that microwave power coupling at gyrotron frequency needs better understanding. In this paper, after a review of the operation status of SECRAL with regard to the beam availability and stability, the recent study of the extracted ion beam transverse coupling issues will be discussed, and the test results of the both TE 01 and HE 11 modes will be presented. A general comparison of the performance working with the two injection modes will be given, and a preliminary analysis will be introduced. The latest results of the production of very intense highly charged ion beams, such as 1.42 emA Ar 12+ , 0.92 emA Xe 27+ , and so on, will be presented

  4. ECR plasma photographs as a plasma diagnostic

    Energy Technology Data Exchange (ETDEWEB)

    Racz, R; Biri, S; Palinkas, J [Institute of Nuclear Research (ATOMKI), H-4026 Debrecen, Bem ter 18/c (Hungary)

    2011-04-15

    Low, medium or highly charged ions delivered by electron cyclotron resonance (ECR) ion sources all are produced in the ECR plasma. In order to study such plasmas, high-resolution visible light plasma photographs were taken at the ATOMKI ECR ion source. An 8 megapixel digital camera was used to photograph plasmas made from He, methane, N, O, Ne, Ar, Kr, Xe gases and from their mixtures. The analysis of the photo series gave many qualitative and some valuable physical information on the nature of ECR plasmas. A comparison was made between the plasma photos and computer simulations, and conclusions were drawn regarding the cold electron component of the plasma. The warm electron component of similar simulation was compared with x-ray photos emitted by plasma ions. While the simulations are in good agreement with the photos, a significant difference was found between the spatial distribution of the cold and warm electrons.

  5. REVIEW OF THE 11TH INTERNATIONAL WORKSHOP ON ECR ION SOURCES

    NARCIS (Netherlands)

    DRENTJE, AG

    At the Workshop, the operation of various new and existing ECR ion sources was reported, with most of the emphasis on new methods to improve the performance and extend the variety of species. Much attention was paid to theoretical aspects, in particular to the basic question of electron heating; a

  6. Proposal for a heavy ion ECR-source at the PSI-Philips cyclotron

    International Nuclear Information System (INIS)

    Kern, J.

    1989-10-01

    It is proposed by a large community of PSI- and external scientists to install an electron cyclotron resonance (ECR) source for highly charged heavy ions at the PHILIPS (injector I) cyclotron. Such a facility would then allow to produce high intensity ion beams with energies up to 30 MeV/u. A workshop hold in June 1989 clearly showed that with such a machine a large variety of interesting heavy ion experiments could be performed. While at foreign heavy ion centres the main focus is given to basic research in the field of nuclear physics we propose to concentrate the scientific effort at a PSI heavy ion facility mainly onto applications in the fields of atomic physics, chemistry, accelerator mass spectrometry, radiation biology and solid state physics. This is adequate, in view of the broad infrastructure available at PSI together with the existing know-how in many different fields. The proposed machine will thus be of great potential use for a large community. (author) 19 figs., 3 tabs., 82 refs

  7. Accumulation of multicharged ions in plasma with electrostatic well induced by ECR

    International Nuclear Information System (INIS)

    Dougar-Jabon, V.D.; Golovanivsky, K.S.; Schepilov, V.D.

    1978-01-01

    In a magnetic field of mirror configuration supplemented in its central part by a microwave field (lambda=12.6 cm, P=20 W) a steady-state plasma (n=1x10 10 cm -3 , Tsub(ec)=40 eV) was produced. ECR condition was fulfilled in a circular region spaced at 1 cm from the plasma axis. In this 'hot' zone the electron temperature was Tsub(eh) = 1.5 keV. The temperature gradient creates an electrostatic well for ions in the perpendicular plane. The anisotropy of electron temperature in a mirror field caused the formation of an axial electrostatic well for ions. Thus, three-dimensional electrostatic pit was produced and the ion's life-time was as long as their charge was high. With H.F. power absorbed by the plasma 8-10 W the authors obtained comparable quantities of Ar 1+ , Ar 2+ , Ar 3+ , Ar 4+ , Ar 5+ , Ar 6+ and also ions of impurities C + , C 2+ , C 3+ , H + , H + 2 . The total current density of ions extracted from plasma is of 20 mA cm -2 . (Auth.)

  8. A New ECR Ion Source for Nuclear Astrophysics Studies

    Science.gov (United States)

    Cesaratto, John M.

    2008-10-01

    The Laboratory for Experimental Nuclear Astrophysics (LENA) is a low energy facility designed to study nuclear reactions of astrophysical interest at energies which are important for nucleosysthesis. In general, these reactions have extremely small cross sections, requiring intense beams and efficient detection systems. Recently, a new, high intensity electron-cyclotron-resonance (ECR) ion source has been constructed (based on a design by Wills et al.[1]), which represents a substantial improvement in the capabilities of LENA. Beam is extracted from an ECR plasma excited at 2.45 GHz and confined by an array of permanent magnets. It has produced H^+ beams in excess of 1 mA on target over the energy range 100 - 200 keV, which greatly increases our ability to measure small cross sections. Initial measurements will focus on the ^23Na(p,γ)^24Mg reaction, which is of interest in a variety of astrophysical scenarios. The present uncertainty in the rate of this reaction is the result of an unobserved resonance expected at Elab =144 keV, which should be detectable using beams from the new ECR source. In collaboration with Arthur E. Champagne and Thomas B. Clegg, University of North Carolina, Chapel Hill and TUNL. [3pt] [1] J. S. C. Wills et al., Rev. Sci. Instrum. 69, 65 (1999).

  9. Introduction to ECR [electron cyclotron resonance] sources in electrostatic machines

    International Nuclear Information System (INIS)

    Olsen, D.K.

    1989-01-01

    Electron Cyclotron Resonance (ECR) ion source technology has developed rapidly since the original pioneering work of R. Geller and his group at Grenoble in the early 1970s. These ion sources are capable of producing intense beams of highly charged positive ions and are used extensively for cyclotron injection, linac injection, and atomic physics research. In this paper, the possible use of ECR heavy-ion sources in the terminals of electrostatic machines is discussed. The basic concepts of ECR sources are reviewed in the next section using the ORNL source as a model. The possible advantages of ECR sources over conventional negative ion injection and foil stripping are discussed in Section III. The last section describes the possible installation of an ECR source in a large machine such as the HHIRF 25-MV Pelletron. 6 refs., 4 figs., 1 tab

  10. Transport and emittance study for 18 GHz superconducting-ECR ion source at RCNP.

    Science.gov (United States)

    Yorita, T; Hatanaka, K; Fukuda, M; Ueda, H; Kibayashi, M; Morinobu, S; Tamii, A

    2012-02-01

    As the upgrade program of the azimuthally varying field (AVF) cyclotron is at the cyclotron facility of the RCNP, Osaka University for the improvement of the quality, stability, and intensity of accelerated beams, an 18 GHz superconducting (SC) ECR ion source has been installed to increase beam currents and to extend the variety of ions, especially for highly charged heavy ions which can be accelerated by RCNP AVF cyclotron. The production development of several ions such as B, O, N, Ne, Ar, Ni, Kr, and Xe has been performed by Yorita et al. [Rev. Sci. Instrum. 79, 02A311(2008); 81, 02A332 (2010)]. Further studies for the beam transport have been done in order to improve the beam current more for injection of cyclotron. The effect of field leakage of AVF main coil is not negligible and additional steering magnet has been installed and then beam transmission has been improved. The emittance monitor has also been developed for the purpose of investigating correlation between emittance of beam from ECR ion sources and injection efficiency. The monitor consists with BPM82 with rotating wire for fast measurement for efficient study.

  11. Developments of ECR Sources and Associated Equipment

    International Nuclear Information System (INIS)

    Bieth, C.; Kantas, S.; Sortais, P.

    2002-01-01

    PANTECHNIK s.a. has improved and created ECR using room temperature coils, superconducting coils at 30K or permanent magnets ECR. Some of these sources are devoted to particular applications: ion implantation, nuclear and atomic physic, Proton and Hadrontherapy, radioactive beam. Stability and reproducibility have been particularly 1.0 Operational Improvements 1.1 High charge state for nuclear physics, atomic physic and RIB beam The HYPERNANOGAN [1] source (fig1) has been modified to run at 18GHz, and improved at 14.5GHz. The XENON spectrum (fig2) at 14.5GHz shows a Beam current of 3 μAe; for the charge state 30 + . At 18 GHz, the preliminary results indicate an improvement of 18% for Ar 8+ and 50% on Ar 9+ . In the atomic physics field our sources have been used to study the effect of extremely charged ions on a semiconductor surface ( Coulomb explosion)

  12. Low energy ion beam dynamics of NANOGAN ECR ion source

    Energy Technology Data Exchange (ETDEWEB)

    Kumar, Sarvesh, E-mail: sarvesh@iuac.res.in; Mandal, A.

    2016-04-01

    A new low energy ion beam facility (LEIBF) has been developed for providing the mass analyzed highly charged intense ion beams of energy ranging from a few tens of keV to a few MeV for atomic, molecular and materials sciences research. The new facility consists of an all permanent magnet 10 GHz electron cyclotron resonance (ECR) ion source (NANOGAN) installed on a high voltage platform (400 kV) which provides large currents of multiply charged ion beams. Higher emittance at low energy of intense ion beam puts a tremendous challenge to the beam optical design of this facility. The beam line consists of mainly the electrostatic quadrupoles, an accelerating section, analyzing cum switching magnet and suitable beam diagnostics including vacuum components. The accelerated ion beam is analyzed for a particular mass to charge (m/q) ratio as well as guided to three different lines along 75°, 90° and 105° using a large acceptance analyzing cum switching magnet. The details of transverse beam optics to all the beam lines with TRANSPORT and GICOSY beam optics codes are being described. Field computation code, OPERA 3D has been utilized to design the magnets and electrostatic quadrupoles. A theoretical estimation of emittance for optimized geometry of ion source is given so as to form the basis of beam optics calculations. The method of quadrupole scan of the beam is used to characterize the emittance of the final beam on the target. The measured beam emittance increases with m/q ratios of various ion beams similar to the trend observed theoretically.

  13. Charge state distribution studies of pure and oxygen mixed krypton ECR plasma - signature of isotope anomaly and gas mixing effect.

    Science.gov (United States)

    Kumar, Pravin; Mal, Kedar; Rodrigues, G

    2016-11-01

    We report the charge state distributions of the pure, 25% and 50% oxygen mixed krypton plasma to shed more light on the understanding of the gas mixing and the isotope anomaly [A. G. Drentje, Rev. Sci. Instrum. 63 (1992) 2875 and Y Kawai, D Meyer, A Nadzeyka, U Wolters and K Wiesemann, Plasma Sources Sci. Technol. 10 (2001) 451] in the electron cyclotron resonance (ECR) plasmas. The krypton plasma was produced using a 10 GHz all-permanent-magnet ECR ion source. The intensities of the highly abundant four isotopes, viz. 82 Kr (~11.58%), 83 Kr (~11.49%), 84 Kr (~57%) and 86 Kr (17.3%) up to ~ +14 charge state have been measured by extracting the ions from the plasma and analysing them in the mass and the energy using a large acceptance analyzer-cum-switching dipole magnet. The influence of the oxygen gas mixing on the isotopic krypton ion intensities is clearly evidenced beyond +9 charge state. With and without oxygen mixing, the charge state distribution of the krypton ECR plasma shows the isotope anomaly with unusual trends. The anomaly in the intensities of the isotopes having quite closer natural abundance, viz. 82 Kr, 86 Kr and 83 Kr, 86 Kr is prominent, whereas the intensity ratio of 86 Kr to 84 Kr shows a weak signature of it. The isotope anomaly tends to disappear with increasing oxygen mixing in the plasma. The observed trends in the intensities of the krypton isotopes do not follow the prediction of linear Landau wave damping in the plasma. Copyright © 2016 John Wiley & Sons, Ltd. Copyright © 2016 John Wiley & Sons, Ltd.

  14. Design and field configuration for a 14.4 GHz ECR ion source in Kolkata

    International Nuclear Information System (INIS)

    Rashid, M.H.; Bose, D.K.; Mallik, C.; Bhandari, R.K.

    2001-01-01

    The K500 cyclotron under construction will be capable of accelerating ions like O 6+ , Ne 4+ , Ar 16+ , Kr 27+ etc. We aim to get ∼200 euA maximum intensity of the extracted beam of O 6+ from the ion source and decided to have >2B ECR magnetic field on the cylindrical surface and the injection ends of the plasma chamber (P Ch) and slightly less than this at the extraction end. The success of the high field operation of ECRs at other places (U-AECR at LBL) suggests generation of proper magnetic field configuration for the 14.4 GHz microwave heating. The absolute composite magnetic field have been evaluated due to the coils (C1,C2) at the two ends and a -ve coil (NC) at the mid-length and a Halbach type sextupole (PM-Hex)

  15. Boron ion beam production with the supernanogan ECR ion source for the CERN BIO-LEIR facility

    CERN Document Server

    Stafford-Haworth, J; Scrivens, R; Toivanen, V; Röhrich, J

    2014-01-01

    To deliver B3+ ions for medical research the compounds decaborane and m-carborane were tested using the metal ions from volatile compounds (MIVOC) method with the Supernanogan 14.5 GHz ECR ion source. Using decaborane the source delivered less than 10 A intensity of B3+ and after operation large deposits of material were found inside the source. Using m-carborane 50 A of B3+ were delivered without support gas. For m-carborane, helium and oxygen support gasses were also tested, and the effects of different source tuning parameters are discussed. The average consumption of m-carborane was 0:1 mg/Ah over all operation.

  16. Microgan ECR ion source in a Van de Graaff accelerator terminal

    International Nuclear Information System (INIS)

    Gaubert, G.; Tasset-Maye, O.; Villari, A.C.C.; Bieth, C.; Bougy, W.; Brionne, N.; Donzel, X.; Sineau, A.; Vallerand, C.; Chaves, C.; Gamboni, T.; Geerts, W.; Giorginis, G.; Jaime Tornin, R.; Loevestam, G.; Mondelaers, W.

    2012-01-01

    The Van de Graaff accelerator at IRMM (Institute for Reference Materials and Measurements, Geel - Belgium) works since many years providing proton, deuteron and helium beams for nuclear data measurements. The original ion source was of RF type with quartz bottle. This kind of source, as well known, needs regular maintenance for which the accelerator tank must be completely opened. The heavy usage at high currents of the IRMM accelerator necessitated an opening about once every month. Recently, the full permanent magnet Microgan ECR ion source from PANTECHNIK was installed into a new terminal platform together with a solid state amplifier of 50 W, a dedicated dosing system for 4 gases (with respective gas bottles H 2 , D 2 , He and Ar), and a set of dedicated power supplies and electronic devices for the remote tuning of the source. The new system shows a very stable behaviour of the produced beam allowing running the Van de Graaff without maintenance for several months. The paper is followed by the associated poster. (authors)

  17. ECR Plasma Photos

    International Nuclear Information System (INIS)

    Racz, R.; Biri, S.; Palinkas, J.

    2009-01-01

    Complete text of publication follows. In order to observe and study systematically the plasma of electron cyclotron resonance (ECR) ion sources (ECRIS) we made a high number of high-resolution visible light plasma photos and movies in the ATOMKI ECRIS Laboratory. This required building the ECR ion source into an open plasma device, temporarily. An 8MP digital camera was used to record photos of plasmas made from He, methane, N, O, Ne, Ar, Kr, Xe gases and from their mixtures. The effects of the main external setting parameters (gas pressure, gas composition, magnetic field, microwave power, microwave frequency) were studied to the shape, color and structure of the plasma. The double frequency mode (9+14 GHz) was also realized and photos of this special 'star-in-star' shape plasma were recorded. A study was performed to analyze and understand the color of the ECR plasmas. The analysis of the photo series gave us many qualitative and numerous valuable physical information on the nature of ECR plasmas. To our best knowledge our work is the first systematic study of ECR plasmas in the visible light region. When looking in the plasma chamber of an ECRIS we can see an axial image of the plasma (figure 1) in conformity with experimental setup. Most of the quantitative information was obtained through the summarised values of the Analogue Digital Unit (ADU) of pixels. By decreasing the strength of the magnetic trap we clearly observed that the brightness of the central part of the plasma gradually decreases, i.e. the plasma becomes more and more 'empty'. Figure 2 shows a photo series of ECR plasma at decreasing axial magnetic field. The radial size of the plasma increased because of the ascendant resonant zone. By increasing the power of the injected microwave an optimum (or at least saturation) was found in the brightness of the plasma. We found correlation between the gas dosing rates and plasma intensities. When sweeping the frequency of the microwave in a wide region

  18. Spectroscopic Investigation of Nitrogen Loaded ECR Plasmas

    CERN Document Server

    Ullmann, F; Zschornack, G; Küchler, D; Ovsyannikov, V P

    1999-01-01

    Energy dispersive X-ray spectroscopy on ions in the plasma and magnetic q/A-analysis of the extracted ions were used to determine the plasmaproperties of nitrogen loaded ECR plasmas.As the beam expands from a limited plasma region and the ion extraction process alters the plasma properties in the extraction meniscus thebeam composition does not correspond to the bulk plasma composition. The analysis of measured spectra of characteristic X-rays delivers a method to determine the ion charge state distribution and the electron energy distribution inside the plasma and does not alter the plasma anddoes not depend on the extraction and transmission properties of the ion extraction and transport system. Hence this method seems to be moreaccurate than the traditional magnetic analysis and allows to analyse different plasma regions.A comparison between ion charge state distributions determined from X-ray spectra and such from q/A-analysis shows significant differencesfor the mean ion charge states in the source plasm...

  19. Development of 2.45GHz compact ECR ion sources with permanent magnets

    International Nuclear Information System (INIS)

    Tojyo, E.; Ohshiro, Y.; Oyaizu, M.; Shirakabe, Y.

    1993-05-01

    Two kinds of new compact ECR ion sources have been developed by use of permanent magnets only, for the purpose of acceleration tests of the 25.5MHz INS split coaxial RFQ linac and the 50MHz one. Confined magnetic fields of sources are constructed by permanent magnets only. In this paper design parameters, structures, magnetic field distributions and extracted beam properties of these sources are described briefly. (author)

  20. Mean energy of ions at outlet of a type Ecr plasma source

    International Nuclear Information System (INIS)

    Gutierrez T, C.; Gonzalez D, J.

    1998-01-01

    In this work it is described the calculations to mean energy of the ions in the extraction zone of a type Ecr plasma source considering the presence of a metallic substrate. This zone is characterized by the existence of a divergent magnetic field. It is showed that mean energy is function as the distance between the outlet and substrate as the value of the external magnetic field. (Author)

  1. An ECR table plasma generator

    International Nuclear Information System (INIS)

    Racz, R.; Palinkas, J.; Bin, S.

    2012-01-01

    A compact ECR plasma device was built in our lab using the 'spare parts' of the ATOMKI ECR ion source. We call it 'ECR Table Plasma Generator'. It consists of a relatively big plasma chamber (ID=10 cm, L=40 cm) in a thin NdFeB hexapole magnet with independent vacuum and gas dosing systems. For microwave coupling two low power TWTAs (Travelling Wave tube amplifier) can be applied individually or simultaneously, operating in the 6-18 GHz range. There is no axial magnetic trap and there is no extraction. The technical details of the plasma generator and preliminary plasma photo study results are shown. This paper is followed by the associated poster. (authors)

  2. Solid material evaporation into an ECR source by laser ablation

    International Nuclear Information System (INIS)

    Harkewicz, R.; Stacy, J.; Greene, J.; Pardo, R.C.

    1993-01-01

    In an effort to explore new methods of producing ion beams from solid materials, we are attempting to develop a laser-ablation technique for evaporating materials directly into an ECR ion source plasma. A pulsed NdYaG laser with approximately 25 watts average power and peak power density on the order of 10 7 W/cm 2 has been used off-line to measure ablation rates of various materials as a function of peak laser power. The benefits anticipated from the successful demonstration of this technique include the ability to use very small quantities of materials efficiently, improved material efficiency of incorporation into the ECR plasma, and decoupling of the material evaporation process from the ECR source tuning operation. Here we report on the results of these tests and describe the design for incorporating such a system directly with the ATLAS PII-ECR ion source

  3. Developmental activities of the 18 GHz high temperature superconducting ECR ion source, PKDELIS, for the high current injector at IUAC

    International Nuclear Information System (INIS)

    Rodrigues, G.; Lakshmy, P.S.; Mathur, Y.; Ahuja, R.; Dutt, R.N.; Rao, U.K.; Mandal, A.; Kanjilal, D.; Roy, A.

    2011-01-01

    Various developmental activities of the 18 GHz High Temperature Superconducting ECR Ion Source, PKDELIS have been carried out as a part of the High Current Injector programme. Emittance measurements using a simple technique has given important inputs for the design of downstream accelerators like RFQ, DTL and low beta cavities. The techniques allows for emittance matching by varying the emittance parameters to match with the acceptance of the accelerators. X-ray Beamstrahlung measurements from ECR plasma has shown that it is a diagnostic tool to optimize the production of highly charged ions. The ion optics through the low energy beam transport section has been benchmarked with various codes and given a handle to optimize the transmission. New techniques to improve the extraction efficiency of highly charged ions has been developed. (author)

  4. High intensity metallic ion beam from an ecr ion source using the Mivoc method

    International Nuclear Information System (INIS)

    Barue, C.; Canet, C.; Dupuis, M.; Flambard, J.L.; Leherissier, P.; Lemagnen, F.; Jaffres, P.A.

    2000-01-01

    The MIVOC method has been successfully used at GANIL to produce a high intensity nickel beam with the ECR4 ion source: 20 μA 58 Ni 11+ at 24 kV extraction voltage. This beam has been maintained for 8 days and accelerated up to 74.5 MeV/u by our cyclotrons with a mean intensity of 0.13 pμA on target. This high intensity, required for experiment, led to the discovery of the doubly magic 48 Ni isotope. Experimental setup, handling and off-line preparation using a residual gas analyzer are described in this report. The ion source behavior, performances and limitations are presented in the case of nickel and iron. The ionization efficiencies have been measured and compared to the oven method usually used at GANIL. (author)

  5. ECR plasma diagnostics with Langmuir probe

    International Nuclear Information System (INIS)

    Kenez, L.; Biri, S.; Valek, A.

    2000-01-01

    Complete text of publication follows. An Electron Cyclotron Resonance (ECR) Ion Source is a tool to generate highly charged ions. The ion beam is extracted from the plasma chamber of the ECRIS. Higher charge states and beam intensities are the main objectives of ECR research. The heart of an ion source is the confined plasma which should be well known to reach those objectives. Information about the plasma can be obtained by plasma diagnostics methods. Langmuir probes were successfully used in case of other plasmas, e.g. TOKAMAK. Until last year plasma diagnostics at the ATOMKI ECRIS was performed by X-ray and visible light measurements. While X-ray measurements give global information, the Langmuir probe method can give information on the local plasma parameters. This is an advantage because the local parameters are not known in detail. By Langmuir probe measurements it is possible to get information on plasma density, plasma potential and partly on the electron temperature. From the experimental point of view a Langmuir probe is very simple. However, the precise positioning of the probe in the plasma chamber (HV platform, strong magnetic field, RF waves) is a difficult task. Also the theory of probes is complicated: the ECR plasma is a special one because the confining magnetic field is inhomogeneous, beside hot electrons it contains cold ions with different charge states and it is heated with high frequency EM waves. What can be measured with a probe is a voltage-current (U-I) characteristics. Figure 1 shows a typical U-I curve measured in our lab. As it can be seen in the figure the diagram has three main parts. An ion saturation current region (I.), an electron saturation current region (III.) and a transition region (II.) between them. These measurements were performed using two different power supplies to bias the probe to positive and negative voltage. To perform more precise U-I measurements we need a special power supply which is presently being built in

  6. Plasma diagnostics of the SIMPA Ecr ion source by X-ray spectroscopy, Collisions of H-like Neon ions with Argon clusters

    International Nuclear Information System (INIS)

    Adrouche, N.

    2006-09-01

    The first part of this thesis is devoted to the SIMPA ECR ion source characterization, first, I explored the ion source's capacities on the point of view of extracted currents for three elements, argon, krypton and neon. By analyzing the Bremsstrahlung spectra, I determined the electronic temperature in the plasma and the electronic and ionic densities. In a second time, I recorded high resolution X-spectra of argon and krypton plasma's. By taking into account the principal mechanisms of production of a K hole in the ions inside the plasma, I determined the ionic densities of the high charge states of argon. Lastly, I highlighted a correlation between the ions charge states densities with the intensities of extracted currents. The second part of the thesis is devoted to Ne 9+- argon clusters collisions. First, I presented simple and effective theoretical models allowing to describe the phenomena occurring during a collision, from the point of view of the projectile. I carried out a simulation for a collision of an ion Ne 9+ with an argon cluster of a given size, which has enabled us to know the energy levels populated during the electronic capture and to follow the number of electrons in each projectile shell. Lastly, I presented the first results of a collision between a Ne 9+ beam and argon clusters. These results, have enabled me by using projectile X-ray spectroscopy during the ions-clusters collision, to evidence a strong clustering of targets atoms and to highlight an electronic multi-capture in the projectile ion excited states. (author)

  7. Intense beams from gases generated by a permanent magnet ECR ion source at PKU

    Energy Technology Data Exchange (ETDEWEB)

    Ren, H. T.; Chen, J. E. [College of Physical Sciences, Graduate University of Chinese Academy of Sciences, Beijing 100049 (China); SKLNPT, Institute of Heavy Ion Physics, Peking University, Beijing 100871 (China); Peng, S. X.; Lu, P. N.; Yan, S.; Zhou, Q. F.; Zhao, J.; Yuan, Z. X.; Guo, Z. Y. [SKLNPT, Institute of Heavy Ion Physics, Peking University, Beijing 100871 (China)

    2012-02-15

    An electron cyclotron resonance (ECR) ion source is designed for the production of high-current ion beams of various gaseous elements. At the Peking University (PKU), the primary study is focused on developing suitable permanent magnet ECR ion sources (PMECRs) for separated function radio frequency quadrupole (SFRFQ) accelerator and for Peking University Neutron Imaging Facility. Recently, other kinds of high-intensity ion beams are required for new acceleration structure demonstration, simulation of fusion reactor material irradiation, aviation bearing modification, and other applications. So we expanded the ion beam category from O{sup +}, H{sup +}, and D{sup +} to N{sup +}, Ar{sup +}, and He{sup +}. Up to now, about 120 mA of H{sup +}, 83 mA of D{sup +}, 50 mA of O{sup +}, 63 mA of N{sup +}, 70 mA of Ar{sup +}, and 65 mA of He{sup +} extracted at 50 kV through a {phi} 6 mm aperture were produced by the PMECRs at PKU. Their rms emittances are less than 0.2 {pi} mm mrad. Tungsten samples were irradiated by H{sup +} or He{sup +} beam extracted from this ion source and H/He holes and bubbles have been observed on the samples. A method to produce a high intensity H/He mixed beam to study synergistic effect is developed for nuclear material irradiation. To design a He{sup +} beam injector for coupled radio frequency quadruple and SFRFQ cavity, He{sup +} beam transmission experiments were carried out on PKU low energy beam transport test bench and the transmission was less than 50%. It indicated that some electrode modifications must be done to decrease the divergence of He{sup +} beam.

  8. Plasma diagnostics of the SIMPA Ecr ion source by X-ray spectroscopy, Collisions of H-like Neon ions with Argon clusters; Diagnostic du plasma de la source d'ions ECR SIMPA par spectroscopie X, Collision d'ions neon hydrogenoides avec des agregats d'argon

    Energy Technology Data Exchange (ETDEWEB)

    Adrouche, N

    2006-09-15

    The first part of this thesis is devoted to the SIMPA ECR ion source characterization, first, I explored the ion source's capacities on the point of view of extracted currents for three elements, argon, krypton and neon. By analyzing the Bremsstrahlung spectra, I determined the electronic temperature in the plasma and the electronic and ionic densities. In a second time, I recorded high resolution X-spectra of argon and krypton plasma's. By taking into account the principal mechanisms of production of a K hole in the ions inside the plasma, I determined the ionic densities of the high charge states of argon. Lastly, I highlighted a correlation between the ions charge states densities with the intensities of extracted currents. The second part of the thesis is devoted to Ne{sup 9+-} argon clusters collisions. First, I presented simple and effective theoretical models allowing to describe the phenomena occurring during a collision, from the point of view of the projectile. I carried out a simulation for a collision of an ion Ne{sup 9+} with an argon cluster of a given size, which has enabled us to know the energy levels populated during the electronic capture and to follow the number of electrons in each projectile shell. Lastly, I presented the first results of a collision between a Ne{sup 9+} beam and argon clusters. These results, have enabled me by using projectile X-ray spectroscopy during the ions-clusters collision, to evidence a strong clustering of targets atoms and to highlight an electronic multi-capture in the projectile ion excited states. (author)

  9. Techniques for enhancing the performance of high charge state ECR ion sources

    International Nuclear Information System (INIS)

    Xie, Z.Q.

    1999-01-01

    Electron Cyclotron Resonance ion source (ECRIS), which produces singly to highly charged ions, is widely used in heavy ion accelerators and is finding applications in industry. It has progressed significantly in recent years thanks to a few techniques, such as multiple-frequency plasma heating, higher mirror magnetic fields and a better cold electron donor. These techniques greatly enhance the production of highly charged ions. More than 1 emA of He 2+ and O 6+ , hundreds of eμA of O 7+ , Ne 8+ , Ar 12+ , more than 100 eμA of intermediate heavy ions with charge states up to Ne 9+ , Ar 13+ , Ca 13+ , Fe 13+ , Co 14+ and Kr 18+ , tens of eμA of heavy ions with charge states up to Xe 28+ , Au 35+ , Bi 34+ and U 34+ were produced at cw mode operation. At an intensity of about 1 eμA, the charge states for the heavy ions increased up to Xe 36+ , Au 46+ , Bi 47+ and U 48+ . More than an order of magnitude enhancement of fully stripped argon ions was achieved (I≥0.1 and h;eμA). Higher charge state ions up to Kr 35+ , Xe 46+ and U 64+ at low intensities were produced for the first time from an ECRIS. copyright 1999 American Institute of Physics

  10. Experimental studies of 2.45 GHz ECR ion sources for the production of high intensity currents

    International Nuclear Information System (INIS)

    Coly, A.

    2010-12-01

    This thesis is the result of a collaboration between the Pantechnik company and the LPSC (Laboratory of subatomic physics and cosmology of Grenoble). It consisted in the development of a new test bench dedicated to the characterization of a 2.45 GHz ECR ion sources with the aim of the production of high currents beams for industrial purposes. Two ECR ions sources with different magnetic structures have been tested around the same RF injection system. A new 2.45 GHz ECRIS, named SPEED, featuring a dipolar magnetic field at the extraction has been designed and tested. A study of the beam extraction in the dipolar magnetic field is proposed. First tests have shown a total ionic current density of about 10 mA/cm 2 with a 900 W RF power. Tests with hydrogen plasma have shown a maximum of current on the H 2 + species. Recommendations are given to modify the magnetic structure to improve the H + production yield. The MONO1000 ion source has been tested at high RF power with a wave guide type injection system. Intense total ionic current densities have been measured up to about 95 mA/cm 2 with a diode extraction system. First results using an improved 5 electrode extraction system are presented. (author)

  11. [Optical emission analyses of N2/TMG ECR plasma for deposition of GaN film].

    Science.gov (United States)

    Fu, Si-Lie; Wang, Chun-An; Chen, Jun-Fang

    2013-04-01

    The optical emission spectroscopy of hybrid N2/trimethylgallium (TMG) plasma in an ECR-PECVD system was investigated. The results indicate that the TMG gas is strongly dissociated into Ga*, CH and H even under self-heating condition. Ga species and nitrogen molecule in metastable state are dominant in hybrid ECR plasma. The concentration of metastable nitrogen molecule increases with the microwave power. On the other hand, the concentration of excited nitrogen molecules and of nitrogen ion decreases when the microwave power is higher than 400 W.

  12. Magnetic Parameters Of A NB3SN Superconducting Magnet For A 56 HGz ECR Ion Source

    International Nuclear Information System (INIS)

    Ferracin, P.; Caspi, S.; Felice, H.; Leitner, D.; Lyneis, C.M.; Prestemon, S.; Sabbi, G.L.; Todd, D.S.

    2009-01-01

    Third generation Electron Cyclotron Resonance (ECR) ion sources operate at microwave frequencies between 20 and 30 GHz and employ NbTi superconducting magnets with a conductor peak field of 6-7 T. A significant gain in performance can be achieved by replacing NbTi with Nb 3 Sn, allowing solenoids and sextupole coils to reach a field of 15 T in the windings. In this paper we describe the design of a Nb 3 Sn superconducting magnet for a fourth generation ECR source operating at a microwave frequency of 56 GHz. The magnet design features a configuration with an internal sextupole magnet surrounded by three solenoids. A finite element magnetic model has been used to investigate conductor peak fields and the operational margins. Results of the numerical analysis are presented and discussed.

  13. MAGNETIC PARAMETERS OF A NB3SN SUPERCONDUCTING MAGNET FOR A 56 HGz ECR ION SOURCE

    Energy Technology Data Exchange (ETDEWEB)

    Ferracin, P.; Caspi, S.; Felice, H.; Leitner, D.; Lyneis, C. M.; Prestemon, S.; Sabbi, G. L.; Todd, D. S.

    2009-05-04

    Third generation Electron Cyclotron Resonance (ECR) ion sources operate at microwave frequencies between 20 and 30 GHz and employ NbTi superconducting magnets with a conductor peak field of 6-7 T. A significant gain in performance can be achieved by replacing NbTi with Nb{sub 3}Sn, allowing solenoids and sextupole coils to reach a field of 15 T in the windings. In this paper we describe the design of a Nb{sub 3}Sn superconducting magnet for a fourth generation ECR source operating at a microwave frequency of 56 GHz. The magnet design features a configuration with an internal sextupole magnet surrounded by three solenoids. A finite element magnetic model has been used to investigate conductor peak fields and the operational margins. Results of the numerical analysis are presented and discussed.

  14. Neutron generator for BNCT based on high current ECR ion source with gyrotron plasma heating.

    Science.gov (United States)

    Skalyga, V; Izotov, I; Golubev, S; Razin, S; Sidorov, A; Maslennikova, A; Volovecky, A; Kalvas, T; Koivisto, H; Tarvainen, O

    2015-12-01

    BNCT development nowadays is constrained by a progress in neutron sources design. Creation of a cheap and compact intense neutron source would significantly simplify trial treatments avoiding use of expensive and complicated nuclear reactors and accelerators. D-D or D-T neutron generator is one of alternative types of such sources for. A so-called high current quasi-gasdynamic ECR ion source with plasma heating by millimeter wave gyrotron radiation is suggested to be used in a scheme of D-D neutron generator in the present work. Ion source of that type was developed in the Institute of Applied Physics of Russian Academy of Sciences (Nizhny Novgorod, Russia). It can produce deuteron ion beams with current density up to 700-800 mA/cm(2). Generation of the neutron flux with density at the level of 7-8·10(10) s(-1) cm(-2) at the target surface could be obtained in case of TiD2 target bombardment with deuteron beam accelerated to 100 keV. Estimations show that it is enough for formation of epithermal neutron flux with density higher than 10(9) s(-1) cm(-2) suitable for BNCT. Important advantage of described approach is absence of Tritium in the scheme. First experiments performed in pulsed regime with 300 mA, 45 kV deuteron beam directed to D2O target demonstrated 10(9) s(-1) neutron flux. This value corresponds to theoretical estimations and proofs prospects of neutron generator development based on high current quasi-gasdynamic ECR ion source. Copyright © 2015 Elsevier Ltd. All rights reserved.

  15. Valorization of ECR sources

    CERN Document Server

    2003-01-01

    One way to limit the size of particle accelerators is to use intense multicharged ion beams. Thus, compact, low cost and reliable sources have been developed. These sources are based on the electron cyclotron resonance (ECR) principle and need no cathode nor filament. A prototype named Nanogan has been developed for the Spiral project of the Ganil accelerator (Caen, France). Then, this technology has been transferred toward other research domains and industrial applications, like the ion implantation in micro-electronics components. (J.S.)

  16. Effects of magnetic configuration on hot electrons in highly charged ECR plasma

    International Nuclear Information System (INIS)

    Zhao, H Y; Zhao, H W; Sun, L T; Wang, H; Ma, B H; Zhang, X Zh; Li, X X; Ma, X W; Zhu, Y H; Lu, W; Shang, Y; Xie, D Z

    2009-01-01

    To investigate the hot electrons in highly charged electron cyclotron resonance (ECR) plasma, Bremsstrahlung radiations were measured on two ECR ion sources at the Institute of Modern Physics. Used as a comparative index of the mean energy of the hot electrons, a spectral temperature, T spe , is derived through a linear fitting of the spectra in a semi-logarithmic representation. The influences of the external source parameters, especially the magnetic configuration, on the hot electrons are studied systematically. This study has experimentally demonstrated the importance of high microwave frequency and high magnetic field in the electron resonance heating to produce a high density of hot electrons, which is consistent with the empirical ECR scaling laws. The experimental results have again shown that a good compromise is needed between the ion extraction and the plasma confinement for an efficient production of highly charged ion beams. In addition, this investigation has shown that the correlation between the mean energy of the hot electrons and the magnetic field gradient at the ECR is well in agreement with the theoretical models.

  17. Status of the high current permanent magnet 2.45 GHz ECR ion source at Peking University

    International Nuclear Information System (INIS)

    Peng, S.X.; Song, Z.Z.; Yu, J.X.; Ren, H.T.; Zhang, M.; Yuan, Z.X.; Lu, P.N.; Zhao, J.; Chen, J.E.; Guo, Z.Y.; Lu, Y.R.

    2012-01-01

    Several compact 2.45 GHz Electron Cyclotron Resonance Ion Sources (ECRIS) have been developed at Peking University for ion implantation, for the Separated Function Radio Frequency Quadrupole project (SFRFQ) and for the Peking University Neutron Imaging Facility project (PKUNIFTY). Studies on 2.45 GHz ECR ion sources are concentrated on methods of microwave coupling and microwave window design, magnetic field generation and configuration, as well as the extraction electrodes structure. Investigation also covers the influence of the size of plasma chamber on the discharge efficiency and species factor. Up to now, our sources have produced 25 mA of O + ions, 40 mA of He + ions, 10 mA of N + ions, 100 mA of H + ions and 83 mA of D + ions, respectively. The paper is followed by the slides of the presentation. (authors)

  18. Automated system for efficient microwave power coupling in an S-band ECR ion source driven under different operating conditions

    Energy Technology Data Exchange (ETDEWEB)

    Muguira, L., E-mail: lmuguira@essbilbao.org [ESS-Bilbao, Edificio Rectorado, Vivero de Empresas, 48940 Leioa (Bizkaia) (Spain); Portilla, J. [University of Basque Country (UPV/EHU), Department of Electricity and Electronics, Science and Technology Faculty, 48940 Leioa (Bizkaia) (Spain); Gonzalez, P.J.; Garmendia, N.; Feuchtwanger, J. [ESS-Bilbao, Edificio Rectorado, Vivero de Empresas, 48940 Leioa (Bizkaia) (Spain); Etxebarria, V. [University of Basque Country (UPV/EHU), Department of Electricity and Electronics, Science and Technology Faculty, 48940 Leioa (Bizkaia) (Spain); Eguiraun, M.; Arredondo, I.; Miracoli, R.; Belver, D. [ESS-Bilbao, Edificio Rectorado, Vivero de Empresas, 48940 Leioa (Bizkaia) (Spain)

    2014-03-21

    This article presents an automated system for optimizing the microwave power coupling to the plasma generated in a proton/deuteron Electron Cyclotron Resonance (ECR) source, based on a specific model of a rectangular waveguide triple-stub tuner and the integrated measurement and control electronics, helping to get stable plasma states. The control and improvement of the RF power absorption into the plasma is a complex process, essential for the ion source development and optimization under different operating conditions. A model and a matching algorithm for the triple-stub tuner have been developed and, besides, different methods to accurately measure the power transfer in a waveguide RF system have been studied and deployed in the ESS-Bilbao ion source system. The different parts have been integrated through a controller, which allows to run an automatic plasma matching system in closed loop. The behavior of the system implemented for low and high power regimes has been tested under different conditions: with several load impedances, with plasma inside the chamber, in continuous wave and pulsed wave operation modes, demonstrating power absorption typically over 90% in all the ion source configurations. The developed system allows to achieve significant improvement in the ECR ion source power absorption efficiency, both in continuous and pulsed mode. The automatic tuning unit enhances the system operation finding an optimum solution much faster than manually, also behaving as an adaptive system able to respond in few pulses to ion source configuration changes to maintain the power coupling as high as possible. - Highlights: • An automated system optimizing plasma and microwave power interaction is presented. • A model and a matching algorithm for the triple-stub tuner have been developed. • Different methods to measure the power transfer have been studied and deployed. • The system works for low or high power regimes under different ion source conditions.

  19. Automated system for efficient microwave power coupling in an S-band ECR ion source driven under different operating conditions

    International Nuclear Information System (INIS)

    Muguira, L.; Portilla, J.; Gonzalez, P.J.; Garmendia, N.; Feuchtwanger, J.; Etxebarria, V.; Eguiraun, M.; Arredondo, I.; Miracoli, R.; Belver, D.

    2014-01-01

    This article presents an automated system for optimizing the microwave power coupling to the plasma generated in a proton/deuteron Electron Cyclotron Resonance (ECR) source, based on a specific model of a rectangular waveguide triple-stub tuner and the integrated measurement and control electronics, helping to get stable plasma states. The control and improvement of the RF power absorption into the plasma is a complex process, essential for the ion source development and optimization under different operating conditions. A model and a matching algorithm for the triple-stub tuner have been developed and, besides, different methods to accurately measure the power transfer in a waveguide RF system have been studied and deployed in the ESS-Bilbao ion source system. The different parts have been integrated through a controller, which allows to run an automatic plasma matching system in closed loop. The behavior of the system implemented for low and high power regimes has been tested under different conditions: with several load impedances, with plasma inside the chamber, in continuous wave and pulsed wave operation modes, demonstrating power absorption typically over 90% in all the ion source configurations. The developed system allows to achieve significant improvement in the ECR ion source power absorption efficiency, both in continuous and pulsed mode. The automatic tuning unit enhances the system operation finding an optimum solution much faster than manually, also behaving as an adaptive system able to respond in few pulses to ion source configuration changes to maintain the power coupling as high as possible. - Highlights: • An automated system optimizing plasma and microwave power interaction is presented. • A model and a matching algorithm for the triple-stub tuner have been developed. • Different methods to measure the power transfer have been studied and deployed. • The system works for low or high power regimes under different ion source conditions.

  20. ECR-based atomic collision physics research at ORNL

    International Nuclear Information System (INIS)

    Meyer, F.W.; Bannister, M.E.; Hale, J.W.; Havener, C.C.

    1997-01-01

    After a brief summary of the present capability and configuration of the ORNL Multicharged Ion Research Facility (MIRF), and of upcoming upgrades and expansions, the presently on-line atomic collisions experiments are described. In the process, the utility of intense, cw ion beams extracted from ECR ion sources for low-signal rate experiments is illustrated

  1. Model of charge-state distributions for electron cyclotron resonance ion source plasmas

    Directory of Open Access Journals (Sweden)

    D. H. Edgell

    1999-12-01

    Full Text Available A computer model for the ion charge-state distribution (CSD in an electron cyclotron resonance ion source (ECRIS plasma is presented that incorporates non-Maxwellian distribution functions, multiple atomic species, and ion confinement due to the ambipolar potential well that arises from confinement of the electron cyclotron resonance (ECR heated electrons. Atomic processes incorporated into the model include multiple ionization and multiple charge exchange with rate coefficients calculated for non-Maxwellian electron distributions. The electron distribution function is calculated using a Fokker-Planck code with an ECR heating term. This eliminates the electron temperature as an arbitrary user input. The model produces results that are a good match to CSD data from the ANL-ECRII ECRIS. Extending the model to 1D axial will also allow the model to determine the plasma and electrostatic potential profiles, further eliminating arbitrary user input to the model.

  2. Operational results and development of the E.C.R. sources and the injector into CYCLONE

    International Nuclear Information System (INIS)

    Bol, J.L.; Jongen, Y.; Lacroix, M.; Mathy, F.; Ryckewaert, G.

    1985-01-01

    A large superconducting ECR-source (ECREVIS) has been producing high charge state ions up to Xe 27+ for injection into CYCLONE and as a stand alone source for atomic physics for over two years now. An improved analysing system has been installed increasing greatly the acceptance without harming the resolution. Operational results of transmission and realistic charge state distributions are reported. The design of a more compact ECR-source, to be called OCTOPUS and using water cooled copper solenoids, an open permanent magnet octupole structure and an iron yoke is presented. This source will replace ECREVIS and it is expected to have better performance and to be more reliable and economical to operate

  3. Long-term operation experience with 2 ECR ion sources and planned extensions at HIT

    International Nuclear Information System (INIS)

    Winkelmann, T.; Cee, R.; Haberer, T.; Naas, B.; Peters, A.

    2012-01-01

    The HIT (Heidelberg Ion Beam Therapy Center) is the first treatment facility at a hospital in Europe where patients can be treated with protons and carbon ions. Since the commissioning starting in 2006 two 14.5 GHz electron cyclotron resonance ion sources are routinely used to produce a variety of ion beams from protons up to oxygen. The operating time is 330 days per year, our experience after three years of continuous operation will be presented. In the future a helium beam for patient treatment is requested, therefore a third ion source will be integrated. This third ECR source with a newly designed extraction system and a spectrometer line will be installed at a test-bench to commission and validate this section. Different test settings are foreseen to study helium operation as well as enhanced parameter sets for proton and carbon beams in combination with a modified beam transport line for higher transmission efficiency. An outlook to the possible integration scheme of the new ion source into the production facility will be discussed. The paper is followed by the associated poster. (authors)

  4. The effect of magnetic field strength on the time evolution of high energy bremsstrahlung radiation created by an electron cyclotron resonance ion source

    Energy Technology Data Exchange (ETDEWEB)

    Ropponen, T. [Department of Physics, University of Jyvaeskylae, P.O. Box 35, FI-40014 (Finland)], E-mail: tommi.ropponen@phys.jyu.fi; Tarvainen, O. [Los Alamos National Laboratory, Los Alamos, NM 87545 (United States); Jones, P.; Peura, P.; Kalvas, T. [Department of Physics, University of Jyvaeskylae, P.O. Box 35, FI-40014 (Finland); Suominen, P. [Prizztech Ltd/Magnet Technology Centre, Tiedepuisto 4, FI-28600 Pori (Finland); Koivisto, H.; Arje, J. [Department of Physics, University of Jyvaeskylae, P.O. Box 35, FI-40014 (Finland)

    2009-03-11

    An electron cyclotron resonance (ECR) ion source is one of the most used ion source types for high charge state heavy ion production. In ECR plasma the electrons are heated by radio frequency microwaves in order to provide ionization of neutral gases. As a consequence, ECR heating also generates very high electron energies (up to MeV region) which can produce a vast amount of bremsstrahlung radiation causing problems with radiation shielding and heating superconducting cryostat of an ECR ion source. To gain information about the time evolution of the electron energies in ECR plasma radial bremsstrahlung measurements were performed. JYFL 14 GHz ECR ion source was operated in pulsed mode and time evolution measurements were done with different axial magnetic field strengths with oxygen and argon plasmas. Bremsstrahlung data were analyzed with a time interval of 2 ms yielding information at unprecedented detail about the time evolution of high energy bremsstrahlung radiation from an ECR ion source. It was observed, for example, that reaching the steady state phase of the plasma bremsstrahlung requires several hundred milliseconds and the steady state time can be different with different gases.

  5. Microwave Coupling to ECR and Alternative Heating Methods

    CERN Document Server

    Celona, L.

    2013-12-16

    The Electron Cyclotron Resonance Ion Source (ECRIS) is nowadays the most effective device that can feed particle accelerators in a continuous and reliable way, providing high-current beams of low- and medium-charge-state ions and relatively intense currents for highly charged ions. The ECRIS is an important tool for research with ion beams (in surface, atomic, and nuclear science) while, on the other hand, it implies plasma under extreme conditions and thus constitutes an object of scientific interest in itself. The fundamental aspect of the coupling between the electromagnetic wave and the plasma is hereinafter treated together with some variations to the classical ECR heating mechanism, with particular attention being paid to the frequency tuning effect and two-frequency heating. Considerations of electron and ion dynamics will be presented together with some recent observations connecting the beam shape with the frequency of the electromagnetic wave feeding the cavity. The future challenges of higher-charg...

  6. A workstation based spectrometry application for ECR ion source [Paper No.: G5

    International Nuclear Information System (INIS)

    Suresh Babu, R.M.; . PS Div.)

    1993-01-01

    A program for an Electron Cyclotron Resonance (ECR) Ion Source beam diagnostics application in a X-Windows/Motif based workstation environment is discussed. The application program controls the hardware and acquires data via a front end computer across a local area network. The data is subsequently processed for displaying on the workstation console. The timing for data acquisition and control is determined by the particle source timing. The user interface has been implemented using the Motif widget set and the actions have been implemented through call back routines. The equipment interface is through a set of database driven calls across the network. (author). 7 refs., 1 fig

  7. Electron cyclotron resonance plasmas and electron cyclotron resonance ion sources: Physics and technology (invited)

    International Nuclear Information System (INIS)

    Girard, A.; Hitz, D.; Melin, G.; Serebrennikov, K.

    2004-01-01

    Electron cyclotron resonance (ECR) ion sources are scientific instruments particularly useful for physics: they are extensively used in atomic, nuclear, and high energy physics, for the production of multicharged beams. Moreover, these sources are also of fundamental interest for plasma physics, because of the very particular properties of the ECR plasma. This article describes the state of the art on the physics of the ECR plasma related to multiply charged ion sources. In Sec. I, we describe the general aspects of ECR ion sources. Physics related to the electrons is presented in Sec. II: we discuss there the problems of heating and confinement. In Sec. III, the problem of ion production and confinement is presented. A numerical code is presented, and some particular and important effects, specific to ECR ion sources, are shown in Sec. IV. Eventually, in Sec. V, technological aspects of ECR are presented and different types of sources are shown

  8. Effects of the plasma-facing materials on the negative ion H ‑ density in an ECR (2.45 GHz) plasma

    Science.gov (United States)

    Bentounes, J.; Béchu, S.; Biggins, F.; Michau, A.; Gavilan, L.; Menu, J.; Bonny, L.; Fombaron, D.; Bès, A.; Lebedev, Yu A.; Shakhatov, V. A.; Svarnas, P.; Hassaine, T.; Lemaire, J. L.; Lacoste, A.

    2018-05-01

    Within the framework of fundamental research, the present work focuses on the role of surface material in the production of H ‑ negative ion, with a potential application of designing cesium-free H ‑ negative ion sources oriented to fusion application. It is widely accepted that the main reaction leading to H ‑ production, in the plasma volume, is the dissociative attachment of low-energy electrons (T e ≤ 1 eV) on highly ro-vibrationally excited hydrogen molecules. In parallel with other mechanisms, the density of these excited molecules may be enhanced by means of the recombinative desorption, i.e. the interaction between surface absorbed atoms with other atoms (surface adsorbed or not) through the path {H}{{ads}}+{H}{{gas}/{{ads}}}\\to {H}2{(v,J)}{{gas}}+{{Δ }}E. Accordingly, a systematic study on the role played by the surface in this reaction, with respect to the production of H ‑ ion in the plasma volume, is here performed. Thus, tantalum and tungsten (already known as H ‑ enhancers) and quartz (inert surface) materials are employed as inner surfaces of a test bench chamber. The plasma inside the chamber is produced by electron cyclotron resonance (ECR) driving and it is characterized with conventional electrostatic probes, laser photodetachment, and emission and absorption spectroscopy. Two different positions (close to and away from the ECR driving zone) are investigated under various conditions of pressure and power. The experimental results are supported by numerical data generated by a 1D model. The latter couples continuity and electron energy balance equations in the presence of magnetic field, and incorporates vibrational kinetics, H2 molecular reactions, H electronically excited states and ground-state species kinetics. In the light of this study, recombinative desorption has been evidenced as the most probable mechanism, among others, responsible for an enhancement by a factor of about 3.4, at 1.6 Pa and 175 W of microwave power, in the

  9. ECR Light Ion Sources at CEA/Saclay%CEA/Saclay的ECR轻离子离子源

    Institute of Scientific and Technical Information of China (English)

    R.Gobin; P-A.Leroy; O.Tuske; D.Uriot; P-Y.Beauvais; A.Ben Ismail; D.Bogard; O.Delferriere; D.de Menezes; R.Duperrier; Y.Gauthier; F.Harrault

    2007-01-01

    In the beginning of the 90s,T.Taylor and his collaborators demonstrated ECR sources operating at low frequency (I.e.2.45GHz) are able to produce very intense single charge light ion beams.At CEA/Saclay,the SILHI source developments started in 1995.Since 1997 more than 100mA proton or deuteron beams are routinely produced in pulsed or continuous mode.To comply with ADS reliability constraint,important improvements have been performed to increase the installation reliability.Moreover,to optimize the beam transport in the low energy beam line,the extraction system was carefully designed and space charge compensation studies were undertaken.An important step has been reached in 2005 with the development of a permanent magnet source able to produce a total beam of 109mA at 85kV.A new test bench named BETSI,especially dedicated to permanent magnet source developments,is presently under construction.It will allow analysing positive or negative extracted beams up to 50keV and 100mA.In addition,for several years work has been done to optimize the production of negative hydrogen ion beam with such an ECR source.Recent analysis pushed towards the construction of a new set up based on a multicusp magnetic configuration.After a brief overview of the CEA/Saclay source developments,this article will point out on the recent results and present status.

  10. Optimization of electron-cyclotron-resonance charge-breeder ions : Final CRADA Report

    International Nuclear Information System (INIS)

    Pardo, R.

    2009-01-01

    Measurements of 1+ beam properties and associated performance of ECR Charge Breeder source determined by total efficiency measurement and charge state distributions from the ECR Charge Breeder. These results were communicated to Far-Tech personnel who used them to benchmark the newly developed programs that model ion capture and charge breeding in the ECR Charge Breeder Source. Providing the basic data described above and in the discussion below to Far-Tech allowed them to improve and refine their calculational tools for ECR ion sources. These new tools will be offered for sale to industry and will also provide important guidance to other research labs developing Charge Breeding ion sources for radioactive beam physics research.

  11. VUV emission spectroscopy diagnostics of a 14 GHz ECR negative hydrogen ion source

    Energy Technology Data Exchange (ETDEWEB)

    Tamura, R., E-mail: duo0364@mail4.doshisha.ac.jp; Ichikawa, T.; Kasuya, T.; Wada, M. [Graduate School of Science and Engineering, Doshisha University, Kyotanabe, Kyoto 610-0394 (Japan); Nishiura, M. [Graduate School of Frontier Sciences The University of Tokyo, Kashiwara, Chiba 277-8561 (Japan); Shimozuma, T. [National lnstitute for Fusion Science, Toki, Gifu 509-5292 (Japan)

    2015-04-08

    Vacuum Ultra Violet(VUV) emission from a 4 cm diameter 2 cm long compact ion source excited by 14 GHz microwave has been investigated. Intensity ratio of band spectrum emission near Ly-α to Ly-α line spectrum is determined from the measured spectrum. which shows preferential excitation of molecules near the entrance of microwave input power. The ratio does not depend strongly upon pressure nor the input microwave power when the intensity is integrated over the volume of the plasma. The spatial distribution of the spectrum intensity ratio exhibits concentrations near microwave inlet and the opposite side where the microwave matching structure is located. The ratio at these peripheral regions is about two times as high as that of the central region. The ratio increased in proportion to the ion source pressure up to about 3.0 Pa, indicating efficient production of high energy electrons by ECR up to this pressure.

  12. Measurement of the 60 GHz ECR ion source using megawatt magnets - SEISM magnetic field map

    International Nuclear Information System (INIS)

    Marie-Jeanne, M.; Jacob, J.; Lamy, T.; Latrasse, L.; Debray, F.; Matera, J.; Pfister, R.; Trophine, C.

    2012-01-01

    LPSC has developed a 60 GHz Electron Cyclotron Resonance (ECR) Ion Source prototype called SEISM. The magnetic structure uses resistive poly-helix coils designed in collaboration with the French National High Magnetic Fields Facility (LNCMI) to produce a CUSP magnetic configuration. A dedicated test bench and appropriate electrical and water cooling environments were built to study the validity of the mechanics, the thermal behaviour and magnetic field characteristics obtained at various current intensities. During the last months, measurements were performed for several magnetic configurations, with up to 7000 A applied on the injection and extraction coils sets. The magnetic field achieved at 13000 A is expected to allow 28 GHz ECR condition, so by extrapolation 60 GHz should be possible at about 28000 A. However, cavitation issues that appeared around 7000 A are to be solved before carrying on with the tests. This contribution will recall some of the crucial steps in the prototype fabrication, and show preliminary results from the measurements at 7000 A. Possible explanations for the differences observed between the results and the simulation will be given. The paper is followed by the slides of the presentation. (authors)

  13. Development of a pepper-pot emittance meter for diagnostics of low-energy multiply charged heavy ion beams extracted from an ECR ion source

    Energy Technology Data Exchange (ETDEWEB)

    Nagatomo, T., E-mail: nagatomo@riken.jp; Kase, M.; Kamigaito, O.; Nakagawa, T. [Nishina Center for Accelerator Based Science, RIKEN, Wako, Saitama 351-0198 (Japan); Tzoganis, V. [Nishina Center for Accelerator Based Science, RIKEN, Wako, Saitama 351-0198 (Japan); Cockcroft Institute, Daresbury, Warrington WA4 4AD (United Kingdom); Department of Physics, University of Liverpool, Liverpool, Merseyside L69 3BX (United Kingdom)

    2016-02-15

    Several fluorescent materials were tested for use in the imaging screen of a pepper-pot emittance meter that is suitable for investigating the beam dynamics of multiply charged heavy ions extracted from an ECR ion source. SiO{sub 2} (quartz), KBr, Eu-doped CaF{sub 2}, and Tl-doped CsI crystals were first irradiated with 6.52-keV protons to determine the effects of radiation damage on their fluorescence emission properties. For such a low-energy proton beam, only the quartz was found to be a suitable fluorescent material, since the other materials suffered a decay in fluorescence intensity with irradiation time. Subsequently, quartz was irradiated with heavy {sup 12}C{sup 4+}, {sup 16}O{sup 4+}, and {sup 40}Ar{sup 11+} ions, but it was found that the fluorescence intensity decreased too rapidly to measure the emittance of these heavy-ion beams. These results suggest that a different energy loss mechanism occurs for heavier ions and for protons.

  14. Status report on electron cyclotron resonance ion sources at the Heavy Ion Medical Accelerator in Chiba

    CERN Document Server

    Kitagawa, A; Sekiguchi, M; Yamada, S; Jincho, K; Okada, T; Yamamoto, M; Hattori, T G; Biri, S; Baskaran, R; Sakata, T; Sawada, K; Uno, K

    2000-01-01

    The Heavy Ion Medical Accelerator in Chiba at the National Institute of Radiological Sciences (NIRS) is not only dedicated to cancer therapy, it is also utilized with various ion species for basic experiments of biomedical science, physics, chemistry, etc. Two electron cyclotron resonance (ECR) ion sources are installed for production of gaseous ions. One of them, the NIRS-ECR, is a 10 GHz ECR ion source, and is mainly operated to produce C/sup 4+/ ions for daily clinical treatment. This source realizes good reproducibility and reliability and it is easily operated. The other source, the NIRS-HEC, is an 18 GHz ECR ion source that is expected to produce heavier ion species. The output ion currents of the NIRS-ECR and the NIRS-HEC are 430e mu A for C/sup 4+/ and 1.1e mA for Ar/sup 8+/, respectively. (14 refs).

  15. Multicharged and intense heavy ion beam sources

    International Nuclear Information System (INIS)

    Kutner, V.B.

    1981-01-01

    The cyclotron plasma-are source (PIG), duoplasmatron (DP), laser source (LS), electron beam ion source (EBIS) and electron cyclotron resonance source (ECRS) from the viewpoint of generating intense and high charge state beams are considered. It is pointed out that for the last years three types of multicharged ion sources-EBIS, ECR and LS have been essentially developed. In the EBIS source the Xe 48+ ions are produced. The present day level of the development of the electron-beam ionization technique shows that by means of this technique intensive uranium nuclei beams production becomes a reality. On the ECR source Xe 26+ approximately 4x10 10 h/s, Asub(r)sup(12+) approximately 10 12 h/s intensive ion beams are produced. In the laser source a full number of C 6+ ions during one laser pulse constitutes not less than 10 10 from the 5x10mm 2 emission slit. At the present time important results are obtained pointing to the possibility to separate the ion component of laser plasma in the cyclotron central region. On the PIG source the Xe 15+ ion current up to 10μA per pulse is produced. In the duoplasmatron the 11-charge state of xenon ion beams is reached [ru

  16. PK-ISIS: a new superconducting ECR ion source at Pantechnik

    International Nuclear Information System (INIS)

    Villari, A.C.; Bieth, C.; Bougy, W.; Brionne, N.; Donzel, X.; Gaubert, G.; Leroy, R.; Sineau, A.; Tasset, O.; Vallerand, C.; Thuillier, T.

    2012-01-01

    The new ECR ion source PK-ISIS was recently commissioned at Pantechnik. Three superconducting coils generate the axial magnetic field configuration while the radial magnetic field is done with multi-layer permanent magnets. Special care was devoted in the design of the hexapolar structure, allowing a maximum magnetic field of 1.32 T at the wall of the 82 mm diameter plasma chamber. The three superconducting coils using Low Temperature Superconducting wires are cooled by a single double stage cryo-cooler (4.2 K). Cryogen-free technology is used, providing reliability, easy maintenance at low cost. The maximum installed RF power (18.0 GHz) is of 2 kW. Metallic beams can be produced with an oven (T max = 1400 C) installed with an angle of 5 degrees with respect to the source axis or a sputtering system, mounted in the axis of the source. The beam extraction system is constituted of three electrodes in accel-decel configuration. The new source of Pantechnik is conceived for reaching optimum performances at 18 GHz RF frequencies. PK-ISIS delivers 5 to 10 times more beam intensity than the original PK-DELIS and/or shifting the charge state distribution to higher values. PK-ISIS is built with Low Temperature Superconducting wire technology (LTS), but keeps the He-free concept, extremely important for a reliable and easy operation. The radial field circuit is permanent magnet made. Finally, PK-ISIS is also conceived for using in a High-Voltage platform with minor power consumption. The paper is followed by the slides of the presentation. (A.C.)

  17. Parametric thermal analysis of 75 MHz heavy ion RFQ

    International Nuclear Information System (INIS)

    Mishra, N.K.; Mehrotra, N.; Verma, V.; Gupta, A.K.; Bhagwat, P.V.

    2015-01-01

    An ECR based Heavy Ion Accelerator comprising of a superconducting Electron Cyclotron Resonance (ECR) Ion Source, normal conducting RFQ (Radio Frequency Quadrupole) and superconducting Niobium resonators is being developed at BARC under XII plan. A state-of-the-art 18 GHz superconducting ECR ion source (PK-ISIS) jointly configured with Pantechnik, France is operational at Van-de-Graaff, BARC. The electromagnetic design of the improved version of 75 MHz heavy ion RFQ has been reported earlier. The previous thermal study of 51 cm RFQ model showed large temperature variation axially along the vane tip. A new coolant flow scheme has been worked out to optimize the axial temperature gradient. In this paper the thermal analysis including parametric study of coolant flow rates and inlet temperature variation will be presented. (author)

  18. Nb3Sn superconducting magnets for electron cyclotron resonance ion sources.

    Science.gov (United States)

    Ferracin, P; Caspi, S; Felice, H; Leitner, D; Lyneis, C M; Prestemon, S; Sabbi, G L; Todd, D S

    2010-02-01

    Electron cyclotron resonance (ECR) ion sources are an essential component of heavy-ion accelerators. Over the past few decades advances in magnet technology and an improved understanding of the ECR ion source plasma physics have led to remarkable performance improvements of ECR ion sources. Currently third generation high field superconducting ECR ion sources operating at frequencies around 28 GHz are the state of the art ion injectors and several devices are either under commissioning or under design around the world. At the same time, the demand for increased intensities of highly charged heavy ions continues to grow, which makes the development of even higher performance ECR ion sources a necessity. To extend ECR ion sources to frequencies well above 28 GHz, new magnet technology will be needed in order to operate at higher field and force levels. The superconducting magnet program at LBNL has been developing high field superconducting magnets for particle accelerators based on Nb(3)Sn superconducting technology for several years. At the moment, Nb(3)Sn is the only practical conductor capable of operating at the 15 T field level in the relevant configurations. Recent design studies have been focused on the possibility of using Nb(3)Sn in the next generation of ECR ion sources. In the past, LBNL has worked on the VENUS ECR, a 28 GHz source with solenoids and a sextupole made with NbTi operating at fields of 6-7 T. VENUS has now been operating since 2004. We present in this paper the design of a Nb(3)Sn ECR ion source optimized to operate at an rf frequency of 56 GHz with conductor peak fields of 13-15 T. Because of the brittleness and strain sensitivity of Nb(3)Sn, particular care is required in the design of the magnet support structure, which must be capable of providing support to the coils without overstressing the conductor. In this paper, we present the main features of the support structure, featuring an external aluminum shell pretensioned with water

  19. ECRIPAC: A new concept for the production and acceleration to very high energies of multiply charged ions using an ECR plasma

    International Nuclear Information System (INIS)

    Geller, R.; Golovanivsky, K.; Melin, G.

    1991-01-01

    A concept of a new ECR device aimed to produce pulsed beams of ions accelerated up to 0.5 GeV/nucleon without the help of any conventional accelerator is exposed. The main idea is the conjunction of two fundamental physical principles experienced formerly in the PLEIADE and GYRAC devices. With the new concept the authors propose to produce, using a very compact device, high energy ion beams with energies and intensities adequate for particle, nuclear and atomic physics as well as for modern technologies and medicine

  20. Simulation study on ion extraction from electron cyclotron resonance ion sources

    Science.gov (United States)

    Fu, S.; Kitagawa, A.; Yamada, S.

    1994-04-01

    In order to study beam optics of NIRS-ECR ion source used in the HIMAC project, the EGUN code has been modified to make it capable of modeling ion extraction from a plasma. Two versions of the modified code are worked out with two different methods in which 1D and 2D sheath theories are used, respectively. Convergence problem of the strong nonlinear self-consistent equations is investigated. Simulations on NIRS-ECR ion source and HYPER-ECR ion source are presented in this paper, exhibiting an agreement with the experiment results.

  1. Enhancement of ECR performances by means of carbon nano-tubes based electron guns

    International Nuclear Information System (INIS)

    Odorici, F.; Cuffiani, M.; Malferrari, L.; Rizzoli, R.; Veronese, G.P.; Celona, L.; Gammino, S.; Mascali, D.; Miracoli, R.; Romano, F.P.; Gambino, N.; Castro, G.; Ciavola, G.; Serafino, T.

    2012-01-01

    The CANTES experiment at INFN-LNS tested the use of carbon nano-tubes (CNTs) to emit electrons by field emission effect, in order to provide additional electrons to the plasma core of an ECR ion source. This technique was used with the Caesar source, demonstrating that the total extracted ion current is increased and that a relevant reduction of the number of 'high energy' electrons (above 100 keV) may be observed. The injection of additional electrons inside the plasma increases the amount of cold and warm electrons, and then the number of ionizing collisions. Details of the construction of CNTs based electron gun and of the improvement of performances of the Caesar ECR ion source will be presented. The paper is followed by the associated poster. (authors)

  2. Design study of electron cyclotron resonance-ion plasma accelerator for heavy ion cancer therapy

    International Nuclear Information System (INIS)

    Inoue, T.; Sugimoto, S.; Sasai, K.; Hattori, T.

    2014-01-01

    Electron Cyclotron Resonance-Ion Plasma Accelerator (ECR-IPAC) device, which theoretically can accelerate multiple charged ions to several hundred MeV with short acceleration length, has been proposed. The acceleration mechanism is based on the combination of two physical principles, plasma electron ion adiabatic ejection (PLEIADE) and Gyromagnetic Autoresonance (GYRAC). In this study, we have designed the proof of principle machine ECR-IPAC device and simulated the electromagnetic field distribution generating in the resonance cavity. ECR-IPAC device consisted of three parts, ECR ion source section, GYRAC section, and PLEIADE section. ECR ion source section and PLEIADE section were designed using several multi-turn solenoid coils and sextupole magnets, and GYRAC section was designed using 10 turns coil. The structure of ECR-IPAC device was the cylindrical shape, and the total length was 1024 mm and the maximum diameter was 580 mm. The magnetic field distribution, which maintains the stable acceleration of plasma, was generated on the acceleration center axis throughout three sections. In addition, the electric field for efficient acceleration of electrons was generated in the resonance cavity by supplying microwave of 2.45 GHz

  3. Simulation of the electromagnetic field in a cylindrical cavity of an ECR ions source

    Science.gov (United States)

    Estupiñán, A.; Orozco, E. A.; Dugar-Zhabon, V. D.; Murillo Acevedo, M. T.

    2017-12-01

    Now there are numerous sources for multicharged ions production, each being designed for certain science or technological objectives. Electron cyclotron resonance ion sources (ECRIS) are best suited for designing heavy ion accelerators of very high energies, because they can generate multicharged ion beams at relatively great intensities. In these sources, plasma heating and its confinement are effected predominantly in minimum-B magnetic traps, this type of magnetic trap consist of two current coils used for the longitudinal magnetic confinement and a hexapole system around the cavity to generate a transversal confinement of the plasma. In an ECRIS, the electron cyclotron frequency and the microwave frequency are maintained equal on a quasi-ellipsoidal surface localized in the trap volume. It is crucial to heat electrons to energies sufficient to ionize K- and L-levels of heavy atoms. In this work, we present the preliminary numerical results concerning the space distribution of TE 111 microwave field in a cylindrical cavity. The 3D microwave field is calculated by solving the Maxwell equations through the Yee’s method. The magnetic field of minimum-B configuration is determined using the Biot-Savart law. The parameters of the magnetic system are that which guarantee the ECR surface location in a zone of a reasonably high microwave tension. Additionally, the accuracy of electric and magnetic fields calculations are checked.

  4. Study and development of a new ECR source creating an intense light ions beam

    International Nuclear Information System (INIS)

    Nyckees, S.

    2012-01-01

    This thesis is in the context of study and design of a new ECR light ion source on LEDA (Laboratory of Research and Development of Accelerators - CEA Saclay), named ALISES (Advanced Light Ions Source Extraction System). As a first step, the magnetic, electrical and mechanical design of the new source is described. Then, simulations were performed to determine the reduction of emittance growth taking into account the reduction of the length of the LBE (Low Energy Beam Line) provided by the source ALISES. With this source, it's also possible to realize a study on the dimensions of the cylindrical plasma chamber. Simulations were performed to better understand the interaction between radiofrequency wave and plasma. Subsequently, experiments on the source ALISES helped highlight, understand and solve problems in the Penning discharges inside the accelerator column. Measurements performed on the plasma have yielded the assumption that the electrons are heated at the entrance of the plasma chamber and thermalized along its entire length to achieve an energy corresponding to the maximum of the ionization cross section for hydrogen. (author) [fr

  5. Ion Sources for MedAustron

    CERN Document Server

    Lettry, J; Wallner, J; Sargsyan, E; CERN. Geneva. BE Department

    2010-01-01

    The MedAustron Ion therapy center will be constructed in Wiener Neustadt (Austria) in the vicinity of Vienna. Its accelerator complex consists of four ion sources, a linear accelerator, a synchrotron and a beam delivery system to the three medical treatment rooms and to the research irradiation room. The ion sources shall deliver beams of H31+, C4+ and light ions with utmost reliability and stability. This paper describes the features of the ion sources presently planned for the MedAustron facility; such as ion source main parameters, gas injection, temperature control and cooling systems. A dedicated beam diagnostics technique is proposed in order to characterize ECR ions beams; in the first drift region after the ion source, a fraction of the mixed beam is selected via moveable aperture. With standard beam diagnostics, we then aim to produce position-dependant observables such as ion-current density, beam energy distribution and emittance for each charge states to be compared to simulations of ECR e-heating...

  6. The electron cyclotron resonance coupled to laser ion source for charge state enhancement experiment: production of high inensity ion beams by means of hybrid ion source

    Czech Academy of Sciences Publication Activity Database

    Gammino, S.; Torrisi, L.; Ciavola, G.; Andó, L.; Celona, L.; Manciagli, S.; Krása, Josef; Láska, Leoš; Pfeifer, Miroslav; Rohlena, Karel; Mazzasalma, A. M.; Gentile, C.; Picciotto, A.; Wolowski, J.; Woryna, E.; Badziak, J.; Parys, P.; Hitz, D.; Shirkov, G. D.

    2004-01-01

    Roč. 96, č. 5 (2004), s. 2961-2967 ISSN 0021-8979 Institutional research plan: CEZ:AV0Z1010921 Keywords : laser ion sources * ECR ion sources Subject RIV: BH - Optics, Masers, Lasers Impact factor: 2.255, year: 2004

  7. A superconducting RFQ for an ECR injector

    International Nuclear Information System (INIS)

    Ben-Zvi, I.

    1988-01-01

    The beam dynamics and resonator properties of a superconducting radio-frequency quadrupole (RFQ) for heavy ions are discussed. The motivation is its use as a very low velocity section following an electron cyclotron resonance (ECR) source for injection into a superconducting heavy-ion linac. The constraints on the design and performance of this accelerating structure are presented. Expressions for a limiting stable phase angle and longitudinal and transverse acceptance are derived. A numerical example is given, using the SUNYLAC linac at Sony Stony Brook. Beam-dynamics calculations with PARMTEQ are reported, verifying the theoretical beam-dynamics calculations. (author) 12 refs., 1 tab

  8. A hybrid electron cyclotron resonance metal ion source with integrated sputter magnetron for the production of an intense Al{sup +} ion beam

    Energy Technology Data Exchange (ETDEWEB)

    Weichsel, T., E-mail: tim.weichsel@fep.fraunhofer.de; Hartung, U.; Kopte, T. [Fraunhofer Institute for Organic Electronics, Electron Beam and Plasma Technology FEP, 01277 Dresden (Germany); Zschornack, G. [Institute of Solid State Physics, Dresden University of Technology, 01062 Dresden, Germany and Helmholtz-Zentrum Dresden-Rossendorf e.V., Institute of Ion Beam Physics and Materials Research, Dresden (Germany); Kreller, M.; Philipp, A. [DREEBIT GmbH, 01900 Grossroehrsdorf (Germany)

    2015-09-15

    A metal ion source prototype has been developed: a combination of magnetron sputter technology with 2.45 GHz electron cyclotron resonance (ECR) ion source technology—a so called magnetron ECR ion source (MECRIS). An integrated ring-shaped sputter magnetron with an Al target is acting as a powerful metal atom supply in order to produce an intense current of singly charged metal ions. Preliminary experiments show that an Al{sup +} ion current with a density of 167 μA/cm{sup 2} is extracted from the source at an acceleration voltage of 27 kV. Spatially resolved double Langmuir probe measurements and optical emission spectroscopy were used to study the plasma states of the ion source: sputter magnetron, ECR, and MECRIS plasma. Electron density and temperature as well as Al atom density were determined as a function of microwave and sputter magnetron power. The effect of ECR heating is strongly pronounced in the center of the source. There the electron density is increased by one order of magnitude from 6 × 10{sup 9} cm{sup −3} to 6 × 10{sup 10} cm{sup −3} and the electron temperature is enhanced from about 5 eV to 12 eV, when the ECR plasma is ignited to the magnetron plasma. Operating the magnetron at constant power, it was observed that its discharge current is raised from 1.8 A to 4.8 A, when the ECR discharge was superimposed with a microwave power of 2 kW. At the same time, the discharge voltage decreased from about 560 V to 210 V, clearly indicating a higher plasma density of the MECRIS mode. The optical emission spectrum of the MECRIS plasma is dominated by lines of excited Al atoms and shows a significant contribution of lines arising from singly ionized Al. Plasma emission photography with a CCD camera was used to prove probe measurements and to identify separated plasma emission zones originating from the ECR and magnetron discharge.

  9. ECR plasma cleaning for superconducting cavities

    Energy Technology Data Exchange (ETDEWEB)

    Takeuchi, Suehiro [Japan Atomic Energy Research Inst., Tokai, Ibaraki (Japan). Tokai Research Establishment

    2000-02-01

    A superconducting linac has been operating well as a heavy ion energy booster of the tandem accelerator at JAERI since 1994. Forty superconducting quarter wave resonators are used in the linac. They have high performances in average. Some of them are, however, suffering from 'Q-disease' that has been caused by hydrogen absorption into niobium during electro-polishing and the precipitation of niobium-hydrides on the surface at the vicinity of about 120K during precooling. A method of electron cyclotron resonance (ECR) plasma cleaning was applied to spare resonator in order to investigate if it is useful as a curing method of Q-disease. ECR plasma was excited in the resonator by 2.45 GHz microwave in a magnetic field of about 87.5 mT. In the first preliminary experiments, hydrogen, helium, water and oxigen gases were investigated. Every case was done at a pressure of about 3x10{sup -3} Pa. The results show that apparent recovery from Q-disease was found with helium and oxigen gases. (author)

  10. Performance test of electron cyclotron resonance ion sources for the Hyogo Ion Beam Medical Center

    Science.gov (United States)

    Sawada, K.; Sawada, J.; Sakata, T.; Uno, K.; Okanishi, K.; Harada, H.; Itano, A.; Higashi, A.; Akagi, T.; Yamada, S.; Noda, K.; Torikoshi, M.; Kitagawa, A.

    2000-02-01

    Two electron cyclotron resonance (ECR) ion sources were manufactured for the accelerator facility at the Hyogo Ion Beam Medical Center. H2+, He2+, and C4+ were chosen as the accelerating ions because they have the highest charge to mass ratio among ion states which satisfy the required intensity and quality. The sources have the same structure as the 10 GHz ECR source at the Heavy Ion Medical Accelerator in Chiba except for a few improvements in the magnetic structure. Their performance was investigated at the Sumitomo Heavy Industries factory before shipment. The maximum intensity was 1500 μA for H2+, 1320 μA for He2+, and 580 μA for C4+ at the end of the ion source beam transport line. These are several times higher than required. Sufficient performance was also observed in the flatness and long-term stability of the pulsed beams. These test results satisfy the requirements for medical use.

  11. Ion sources for MedAustron

    International Nuclear Information System (INIS)

    Lettry, J.; Penescu, L.; Wallner, J.; Sargsyan, E.

    2010-01-01

    The MedAustron Ion therapy center will be constructed in Wiener Neustadt (Austria) in the vicinity of Vienna. Its accelerator complex consists of four ion sources, a linear accelerator, a synchrotron, and a beam delivery system to the three medical treatment rooms and to the research irradiation room. The ion sources shall deliver beams of H 3 1+ , C 4+ , and light ions with utmost reliability and stability. This paper describes the features of the ion sources presently planned for the MedAustron facility, such as ion source main parameters, gas injection, temperature control, and cooling systems. A dedicated beam diagnostics technique is proposed in order to characterize electron cyclotron resonance (ECR) ion beams; in the first drift region after the ion source, a fraction of the mixed beam is selected via moveable aperture. With standard beam diagnostics, we then aim to produce position-dependant observables such as ion-current density, beam energy distribution, and emittance for each charge states to be compared to simulations of ECR e-heating, plasma simulation, beam formation, and transport.

  12. Comparison of measured and modelled negative hydrogen ion densities at the ECR-discharge HOMER

    Science.gov (United States)

    Rauner, D.; Kurutz, U.; Fantz, U.

    2015-04-01

    As the negative hydrogen ion density nH- is a key parameter for the investigation of negative ion sources, its diagnostic quantification is essential in source development and operation as well as for fundamental research. By utilizing the photodetachment process of negative ions, generally two different diagnostic methods can be applied: via laser photodetachment, the density of negative ions is measured locally, but only relatively to the electron density. To obtain absolute densities, the electron density has to be measured additionally, which induces further uncertainties. Via cavity ring-down spectroscopy (CRDS), the absolute density of H- is measured directly, however LOS-averaged over the plasma length. At the ECR-discharge HOMER, where H- is produced in the plasma volume, laser photodetachment is applied as the standard method to measure nH-. The additional application of CRDS provides the possibility to directly obtain absolute values of nH-, thereby successfully bench-marking the laser photodetachment system as both diagnostics are in good agreement. In the investigated pressure range from 0.3 to 3 Pa, the measured negative hydrogen ion density shows a maximum at 1 to 1.5 Pa and an approximately linear response to increasing input microwave powers from 200 up to 500 W. Additionally, the volume production of negative ions is 0-dimensionally modelled by balancing H- production and destruction processes. The modelled densities are adapted to the absolute measurements of nH- via CRDS, allowing to identify collisions of H- with hydrogen atoms (associative and non-associative detachment) to be the dominant loss process of H- in the plasma volume at HOMER. Furthermore, the characteristic peak of nH- observed at 1 to 1.5 Pa is identified to be caused by a comparable behaviour of the electron density with varying pressure, as ne determines the volume production rate via dissociative electron attachment to vibrationally excited hydrogen molecules.

  13. Electron-cyclotron-resonance ion sources (review)

    International Nuclear Information System (INIS)

    Golovanivskii, K.S.; Dougar-Jabon, V.D.

    1992-01-01

    The physical principles are described and a brief survey of the present state is given of ion sources based on electron-cyclotron heating of plasma in a mirror trap. The characteristics of ECR sources of positive and negative ions used chiefly in accelerator technology are presented. 20 refs., 10 figs., 3 tabs

  14. Development of ECR ion source for VEC

    International Nuclear Information System (INIS)

    Bose, D.K.; Taki, G.S.; Nabhiraj, P.Y.; Pal, G.; Mallik, C.; Bhandari, R.K.

    1997-01-01

    A 6.4 GHz Electron Cyclotron Resonance Ion Source (ECRIS) was developed at the VEC centre to enable acceleration of heavy ions with the K=130, Variable Energy Cyclotron (VEC). Heavy ions which will be sufficiently energetic after acceleration from the cyclotron will be utilised to explore new fields of research. VEC ECRIS was first made operational in April 1991. Initially the stability and intensity of high charge state (z) beam were poor. Constant efforts were paid to improve source performance. Finally going to high field operation that is improving the plasma confinement, desired stability and high output current were achieved. At present stable 16 O beam up to 50 eμA maximum is available from VEC ECRIS. Many other high- z ion beam of gaseous species are also available. (author)

  15. Suppression of cyclotron instability in Electron Cyclotron Resonance ion sources by two-frequency heating

    International Nuclear Information System (INIS)

    Skalyga, V.; Izotov, I.; Mansfeld, D.; Kalvas, T.; Koivisto, H.; Komppula, J.; Kronholm, R.; Laulainen, J.; Tarvainen, O.

    2015-01-01

    Multiple frequency heating is one of the most effective techniques to improve the performance of Electron Cyclotron Resonance (ECR) ion sources. The method increases the beam current and average charge state of the extracted ions and enhances the temporal stability of the ion beams. It is demonstrated in this paper that the stabilizing effect of two-frequency heating is connected with the suppression of electron cyclotron instability. Experimental data show that the interaction between the secondary microwave radiation and the hot electron component of ECR ion source plasmas plays a crucial role in mitigation of the instabilities

  16. Intense beam production of highly charged heavy ions by the superconducting electron cyclotron resonance ion source SECRAL.

    Science.gov (United States)

    Zhao, H W; Sun, L T; Zhang, X Z; Guo, X H; Cao, Y; Lu, W; Zhang, Z M; Yuan, P; Song, M T; Zhao, H Y; Jin, T; Shang, Y; Zhan, W L; Wei, B W; Xie, D Z

    2008-02-01

    There has been increasing demand to provide higher beam intensity and high enough beam energy for heavy ion accelerator and some other applications, which has driven electron cyclotron resonance (ECR) ion source to produce higher charge state ions with higher beam intensity. One of development trends for highly charged ECR ion source is to build new generation ECR sources by utilization of superconducting magnet technology. SECRAL (superconducting ECR ion source with advanced design in Lanzhou) was successfully built to produce intense beams of highly charged ion for Heavy Ion Research Facility in Lanzhou (HIRFL). The ion source has been optimized to be operated at 28 GHz for its maximum performance. The superconducting magnet confinement configuration of the ion source consists of three axial solenoid coils and six sextupole coils with a cold iron structure as field booster and clamping. An innovative design of SECRAL is that the three axial solenoid coils are located inside of the sextupole bore in order to reduce the interaction forces between the sextupole coils and the solenoid coils. For 28 GHz operation, the magnet assembly can produce peak mirror fields on axis of 3.6 T at injection, 2.2 T at extraction, and a radial sextupole field of 2.0 T at plasma chamber wall. During the commissioning phase at 18 GHz with a stainless steel chamber, tests with various gases and some metals have been conducted with microwave power less than 3.5 kW by two 18 GHz rf generators. It demonstrates the performance is very promising. Some record ion beam intensities have been produced, for instance, 810 e microA of O(7+), 505 e microA of Xe(20+), 306 e microA of Xe(27+), and so on. The effect of the magnetic field configuration on the ion source performance has been studied experimentally. SECRAL has been put into operation to provide highly charged ion beams for HIRFL facility since May 2007.

  17. Development of ECR ion source for VEC

    Energy Technology Data Exchange (ETDEWEB)

    Bose, D K; Taki, G S; Nabhiraj, P Y; Pal, G; Mallik, C; Bhandari, R K [Variable Energy Cyclotron Centre, Calcutta (India)

    1997-12-01

    A 6.4 GHz Electron Cyclotron Resonance Ion Source (ECRIS) was developed at the VEC centre to enable acceleration of heavy ions with the K=130, Variable Energy Cyclotron (VEC). Heavy ions which will be sufficiently energetic after acceleration from the cyclotron will be utilised to explore new fields of research. VEC ECRIS was first made operational in April 1991. Initially the stability and intensity of high charge state (z) beam were poor. Constant efforts were paid to improve source performance. Finally going to high field operation that is improving the plasma confinement, desired stability and high output current were achieved. At present stable {sup 16}O beam up to 50 e{mu}A maximum is available from VEC ECRIS. Many other high- z ion beam of gaseous species are also available. (author) 16 refs., 14 figs., 2 tabs.

  18. ECR [electron cyclotron resonance] discharges maintained by radiation in the millimeter wavelength range

    International Nuclear Information System (INIS)

    Bykov, Yu.V.; Golubev, S.V.; Eremeev, A.G.; Zorin, V.G.

    1990-01-01

    It is well known that plasmas formed by microwave breakdown of gases under electron cyclotron resonance (ECR) conditions can serve as an efficient source for ion beams. The major disadvantage of this type of source is relatively low ion beam currents which generally do not exceed 1 A (for an electron density of ∼10 12 cm -3 in the discharge). Raising the current density in the ion beams requires a higher plasma density, which can be obtained by using higher frequencies. Thus, a study has recently been made of the parameters of the plasma formed by ECR breakdown in a linear confinement system employing pulsed radiation at a frequency of 60 GHz. The maximum electron densities obtained in the experiment were 2·10 13 cm -3 at a gas pressure of 3·10 -4 torr. In this paper the authors describe some experiments on the creation of plasmas by means of quasi-cw electromagnetic radiation at a frequency of 100 GHz under electron cyclotron resonance conditions

  19. Electromagnetic diagnostics of ECR-Ion Sources plasmas: optical/X-ray imaging and spectroscopy

    Science.gov (United States)

    Mascali, D.; Castro, G.; Altana, C.; Caliri, C.; Mazzaglia, M.; Romano, F. P.; Leone, F.; Musumarra, A.; Naselli, E.; Reitano, R.; Torrisi, G.; Celona, L.; Cosentino, L. G.; Giarrusso, M.; Gammino, S.

    2017-12-01

    Magnetoplasmas in ECR-Ion Sources are excited from gaseous elements or vapours by microwaves in the range 2.45-28 GHz via Electron Cyclotron Resonance. A B-minimum, magnetohydrodynamic stable configuration is used for trapping the plasma. The values of plasma density, temperature and confinement times are typically ne= 1011-1013 cm-3, 01 eVSilicon Drift detectors with high energy resolution of 125 eV at 5.9 keV have been used for the characterization of plasma emission at 02

  20. Comparison of measured and modelled negative hydrogen ion densities at the ECR-discharge HOMER

    Energy Technology Data Exchange (ETDEWEB)

    Rauner, D.; Kurutz, U.; Fantz, U. [Max-Planck-Institut für Plasmaphysik, Boltzmannstr. 2, 85748 Garching (Germany); AG Experimentelle Plasmaphysik, Universität Augsburg, 86135 Augsburg (Germany)

    2015-04-08

    As the negative hydrogen ion density n{sub H{sup −}} is a key parameter for the investigation of negative ion sources, its diagnostic quantification is essential in source development and operation as well as for fundamental research. By utilizing the photodetachment process of negative ions, generally two different diagnostic methods can be applied: via laser photodetachment, the density of negative ions is measured locally, but only relatively to the electron density. To obtain absolute densities, the electron density has to be measured additionally, which induces further uncertainties. Via cavity ring-down spectroscopy (CRDS), the absolute density of H{sup −} is measured directly, however LOS-averaged over the plasma length. At the ECR-discharge HOMER, where H{sup −} is produced in the plasma volume, laser photodetachment is applied as the standard method to measure n{sub H{sup −}}. The additional application of CRDS provides the possibility to directly obtain absolute values of n{sub H{sup −}}, thereby successfully bench-marking the laser photodetachment system as both diagnostics are in good agreement. In the investigated pressure range from 0.3 to 3 Pa, the measured negative hydrogen ion density shows a maximum at 1 to 1.5 Pa and an approximately linear response to increasing input microwave powers from 200 up to 500 W. Additionally, the volume production of negative ions is 0-dimensionally modelled by balancing H{sup −} production and destruction processes. The modelled densities are adapted to the absolute measurements of n{sub H{sup −}} via CRDS, allowing to identify collisions of H{sup −} with hydrogen atoms (associative and non-associative detachment) to be the dominant loss process of H{sup −} in the plasma volume at HOMER. Furthermore, the characteristic peak of n{sub H{sup −}} observed at 1 to 1.5 Pa is identified to be caused by a comparable behaviour of the electron density with varying pressure, as n{sub e} determines

  1. Heavy ion source and preaccelerator for the NUMATRON

    International Nuclear Information System (INIS)

    Sakurada, Yuzo; Mizobuchi, Akira

    1982-01-01

    This paper discusses the present status of the heavy ion source and the preaccelerator for the NUMATRON. It has become clear that a combination of different types of ion sources gives much advantage for optimum operations: a use of the PIG source is best suited for metallic ions, while the duoplasmatron and the single stage ECR source provide better gaseous ions with low charge states. It is suggested that an increase of the preacceleration up to 750kV by the cockcroft-Walton enables acceptance of lower charge states from the ion source. (author)

  2. Characterization of atomic oxygen from an ECR plasma source

    International Nuclear Information System (INIS)

    Naddaf, M; Bhoraskar, V N; Mandale, A B; Sainkar, S R; Bhoraskar, S V

    2002-01-01

    A low-power microwave-assisted electron cyclotron resonance (ECR) plasma system is shown to be a powerful and effective source of atomic oxygen (AO) useful in material processing. A 2.45 GHz microwave source with maximum power of 600 W was launched into the cavity to generate the ECR plasma. A catalytic nickel probe was used to determine the density of AO. The density of AO is studied as a function of pressure and axial position of the probe in the plasma chamber. It was found to vary from ∼1x10 20 to ∼10x10 20 atom m -3 as the plasma pressure was varied from 0.8 to 10 mTorr. The effect of AO in oxidation of silver is investigated by gravimetric analysis. The stoichiometric properties of the oxide are studied using the x-ray photoelectron spectroscopy as well as energy dispersive x-ray analysis. The degradation of the silver surface due to sputtering effect was viewed by scanning electron spectroscopy. The sputtering yield of oxygen ions in the plasma is calculated using the TRIM code. The effects of plasma pressure and the distance from the ECR zone on the AO density were also investigated. The density of AO measured by oxidation of silver is in good agreement with results obtained from the catalytic nickel probe

  3. Characterization of atomic oxygen from an ECR plasma source

    Science.gov (United States)

    Naddaf, M.; Bhoraskar, V. N.; Mandale, A. B.; Sainkar, S. R.; Bhoraskar, S. V.

    2002-11-01

    A low-power microwave-assisted electron cyclotron resonance (ECR) plasma system is shown to be a powerful and effective source of atomic oxygen (AO) useful in material processing. A 2.45 GHz microwave source with maximum power of 600 W was launched into the cavity to generate the ECR plasma. A catalytic nickel probe was used to determine the density of AO. The density of AO is studied as a function of pressure and axial position of the probe in the plasma chamber. It was found to vary from ~1×1020 to ~10×1020 atom m-3 as the plasma pressure was varied from 0.8 to 10 mTorr. The effect of AO in oxidation of silver is investigated by gravimetric analysis. The stoichiometric properties of the oxide are studied using the x-ray photoelectron spectroscopy as well as energy dispersive x-ray analysis. The degradation of the silver surface due to sputtering effect was viewed by scanning electron spectroscopy. The sputtering yield of oxygen ions in the plasma is calculated using the TRIM code. The effects of plasma pressure and the distance from the ECR zone on the AO density were also investigated. The density of AO measured by oxidation of silver is in good agreement with results obtained from the catalytic nickel probe.

  4. Characterization of atomic oxygen from an ECR plasma source

    Energy Technology Data Exchange (ETDEWEB)

    Naddaf, M [Center for Advanced Studies in Material Science and Solid State Physics, University of Pune, Pune 411 007 (India); Bhoraskar, V N [Center for Advanced Studies in Material Science and Solid State Physics, University of Pune, Pune 411 007 (India); Mandale, A B [National Chemical Laboratory, Pashan, Pune 411008 (India); Sainkar, S R [National Chemical Laboratory, Pashan, Pune 411008 (India); Bhoraskar, S V [Center for Advanced Studies in Material Science and Solid State Physics, University of Pune, Pune 411 007 (India)

    2002-11-01

    A low-power microwave-assisted electron cyclotron resonance (ECR) plasma system is shown to be a powerful and effective source of atomic oxygen (AO) useful in material processing. A 2.45 GHz microwave source with maximum power of 600 W was launched into the cavity to generate the ECR plasma. A catalytic nickel probe was used to determine the density of AO. The density of AO is studied as a function of pressure and axial position of the probe in the plasma chamber. It was found to vary from {approx}1x10{sup 20} to {approx}10x10{sup 20} atom m{sup -3} as the plasma pressure was varied from 0.8 to 10 mTorr. The effect of AO in oxidation of silver is investigated by gravimetric analysis. The stoichiometric properties of the oxide are studied using the x-ray photoelectron spectroscopy as well as energy dispersive x-ray analysis. The degradation of the silver surface due to sputtering effect was viewed by scanning electron spectroscopy. The sputtering yield of oxygen ions in the plasma is calculated using the TRIM code. The effects of plasma pressure and the distance from the ECR zone on the AO density were also investigated. The density of AO measured by oxidation of silver is in good agreement with results obtained from the catalytic nickel probe.

  5. Commissioning of the ECR ion source of the high intensity proton injector of the Facility for Antiproton and Ion Research (FAIR)

    Science.gov (United States)

    Tuske, O.; Chauvin, N.; Delferriere, O.; Fils, J.; Gauthier, Y.

    2018-05-01

    The CEA at Saclay is in charge of developing and building the ion source and the low energy line of the proton linac of the FAIR (Facility for Antiproton and Ion Research) accelerator complex located at GSI (Darmstadt) in Germany. The FAIR facility will deliver stable and rare isotope beams covering a huge range of intensities and beam energies for experiments in the fields of atomic physics, plasma physics, nuclear physics, hadron physics, nuclear matter physics, material physics, and biophysics. A significant part of the experimental program at FAIR is dedicated to antiproton physics that requires an ultimate number 7 × 1010 cooled pbar/h. The high-intensity proton beam that is necessary for antiproton production will be delivered by a dedicated 75 mA/70 MeV proton linac. A 2.45 GHz microwave ion source will deliver a 100 mA H+ beam pulsed at 4 Hz with an energy of 95 keV. A 2 solenoids low energy beam transport line allows the injection of the proton beam into the radio frequency quadrupole (RFQ) within an acceptance of 0.3π mm mrad (norm. rms). An electrostatic chopper system located between the second solenoid and the RFQ is used to cut the beam macro-pulse from the source to inject 36 μs long beam pulses into the RFQ. At present time, a Ladder-RFQ is under construction at the University of Frankfurt. This article reports the first beam measurements obtained since mid of 2016. Proton beams have been extracted from the ECR ion source and analyzed just after the extraction column on a dedicated diagnostic chamber. Emittance measurements as well as extracted current and species proportion analysis have been performed in different configurations of ion source parameters, such as magnetic field profile, radio frequency power, gas injection, and puller electrode voltage.

  6. Utilization of ion source 'SUPERSHYPIE' in the study of low energy ion-atom and ion-molecule collisions

    International Nuclear Information System (INIS)

    Bazin, V.; Boduch, P.; Chesnel, J.Y.; Fremont, F.; Lecler, D.; Pacquet, J. Y.; Gaubert, G.; Leroy, R.

    1999-01-01

    Modifications in the ECR 4M ion source are described, which conducted to realization of the advanced source 'SUPERSHYPIE'. The Ar 8+ ion collision with Cs(6s,6p) were studied by photon spectroscopy at low energy, where the process is dominated by simple electron capture. Results obtained with 'SUPERSHYPIE' source are presented. The source was utilized also in ion-molecule collisions (CO, H 2 ) to study the spectra of recoil ions and Auger electron spectra in the Ar 17+ He collisions. The excellent performances of 'SUPERSHYPIE' in high charge production and concerning its accurate and fine control and stability are illustrated and underlined as compared with those of ECR 4M source

  7. Electron cyclotron resonance multiply charged ion sources

    International Nuclear Information System (INIS)

    Geller, R.

    1975-01-01

    Three ion sources, that deliver multiply charged ion beams are described. All of them are E.C.R. ion sources and are characterized by the fact that the electrons are emitted by the plasma itself and are accelerated to the adequate energy through electron cyclotron resonance (E.C.R.). They can work without interruption during several months in a quasi-continuous regime. (Duty cycle: [fr

  8. 10 GHz 2ωce heavy ion source CAPRICE

    International Nuclear Information System (INIS)

    Jacquot, B.; Briand, P.; Bourg, F.; Geller, R.

    1988-01-01

    By using a new 0.8 T sextupole, the second step of metamorphosis of the ECR magnetic structure of the CAPRICE source is achieved. The 2ω ce surface is now extended everywhere in the second stage except the extraction part. Thus, a strong flux of deconfined plasma is available at the extraction area and therefore high currents of high charge state ions are extracted. Optimum rf power is increased by a factor of 3 with respect to the single ω ce profile and currents of high charge state ions are increased by a factor of 10. The optimum performance for all gases and all solid elements is shown. The third step of the metamorphosis is planned for next year to achieve and work with two complete ECR surfaces. Future developments are also expected. (orig./HSI)

  9. The preliminary tests of the superconducting electron cyclotron resonance ion source DECRIS-SC2.

    Science.gov (United States)

    Efremov, A; Bekhterev, V; Bogomolov, S; Drobin, V; Loginov, V; Lebedev, A; Yazvitsky, N; Yakovlev, B

    2012-02-01

    A new compact version of the "liquid He-free" superconducting ECR ion source, to be used as an injector of highly charged heavy ions for the MC-400 cyclotron, is designed and built at the Flerov Laboratory of Nuclear Reactions in collaboration with the Laboratory of High Energy Physics of JINR. The axial magnetic field of the source is created by the superconducting magnet and the NdFeB hexapole is used for the radial plasma confinement. The microwave frequency of 14 GHz is used for ECR plasma heating. During the first tests, the source shows a good enough performance for the production of medium charge state ions. In this paper, we will present the design parameters and the preliminary results with gaseous ions.

  10. First results from the new RIKEN superconducting electron cyclotron resonance ion source (invited).

    Science.gov (United States)

    Nakagawa, T; Higurashi, Y; Ohnishi, J; Aihara, T; Tamura, M; Uchiyama, A; Okuno, H; Kusaka, K; Kidera, M; Ikezawa, E; Fujimaki, M; Sato, Y; Watanabe, Y; Komiyama, M; Kase, M; Goto, A; Kamigaito, O; Yano, Y

    2010-02-01

    The next generation heavy ion accelerator facility, such as the RIKEN radio isotope (RI) beam factory, requires an intense beam of high charged heavy ions. In the past decade, performance of the electron cyclotron resonance (ECR) ion sources has been dramatically improved with increasing the magnetic field and rf frequency to enhance the density and confinement time of plasma. Furthermore, the effects of the key parameters (magnetic field configuration, gas pressure, etc.) on the ECR plasma have been revealed. Such basic studies give us how to optimize the ion source structure. Based on these studies and modern superconducting (SC) technology, we successfully constructed the new 28 GHz SC-ECRIS, which has a flexible magnetic field configuration to enlarge the ECR zone and to optimize the field gradient at ECR point. Using it, we investigated the effect of ECR zone size, magnetic field configuration, and biased disk on the beam intensity of the highly charged heavy ions with 18 GHz microwaves. In this article, we present the structure of the ion source and first experimental results with 18 GHz microwave in detail.

  11. Performance of the ECR ion source of CERN's heavy ion injector

    CERN Document Server

    Bougarel, M P; Haseroth, H; Langbein, K; Tanke, E

    1995-01-01

    In fall 1994 the new heavy ion injector at CERN was brought into operation successfully and a lead beam of 2.9´107 ions per pulse was accelerated in the SPS up to an energy of 157 GeV/u. The ion source, which was supplied by GANIL (France) was in operation almost continuously over a period of about one year and proved to be very reliable. It pro-duces a current of more than 100 µA of Pb27+ (after the first spectrometer) during the afterglow of the pulsed discharge. The current stays within 5% of the maximum value for a time of about 1 ms, which is more than required by the accel-erators. Measurements of the charge state distribution, emittance and energy spread, which were made during this window, are presented together with other operating data.

  12. Microwave frequency sweep interferometer for plasma density measurements in ECR ion sources: Design and preliminary results

    Energy Technology Data Exchange (ETDEWEB)

    Torrisi, Giuseppe [INFN - Laboratori Nazionali del Sud, Via S. Sofia 62, 95125 Catania (Italy); University Mediterranea of Reggio Calabria, Reggio Calabria (Italy); Mascali, David; Neri, Lorenzo; Leonardi, Ornella; Celona, Luigi; Castro, Giuseppe; Agnello, Riccardo; Caruso, Antonio; Passarello, Santi; Longhitano, Alberto; Gammino, Santo [INFN - Laboratori Nazionali del Sud, Via S. Sofia 62, 95125 Catania (Italy); Sorbello, Gino [INFN - Laboratori Nazionali del Sud, Via S. Sofia 62, 95125 Catania (Italy); University of Catania, Catania, Italy and INFN-LNS, Catania (Italy); Isernia, Tommaso [University Mediterranea of Reggio Calabria, Reggio Calabria (Italy)

    2016-02-15

    The Electron Cyclotron Resonance Ion Sources (ECRISs) development is strictly related to the availability of new diagnostic tools, as the existing ones are not adequate to such compact machines and to their plasma characteristics. Microwave interferometry is a non-invasive method for plasma diagnostics and represents the best candidate for plasma density measurement in hostile environment. Interferometry in ECRISs is a challenging task mainly due to their compact size. The typical density of ECR plasmas is in the range 10{sup 11}–10{sup 13} cm{sup −3} and it needs a probing beam wavelength of the order of few centimetres, comparable to the chamber radius. The paper describes the design of a microwave interferometer developed at the LNS-INFN laboratories based on the so-called “frequency sweep” method to filter out the multipath contribution in the detected signals. The measurement technique and the preliminary results (calibration) obtained during the experimental tests will be presented.

  13. X-ray pinhole camera setups used in the Atomki ECR Laboratory for plasma diagnostics

    Energy Technology Data Exchange (ETDEWEB)

    Rácz, R., E-mail: rracz@atomki.hu; Biri, S.; Pálinkás, J. [Institute for Nuclear Research (Atomki), Hungarian Academy of Sciences, Bem tér 18/C, H-4026 Debrecen (Hungary); Mascali, D.; Castro, G.; Caliri, C.; Gammino, S. [Instituto Nazionale di Fisica Nucleare—Laboratori Nazionali del Sud, via S. Sofia 62, 95123 Catania (Italy); Romano, F. P. [Instituto Nazionale di Fisica Nucleare—Laboratori Nazionali del Sud, via S. Sofia 62, 95123 Catania (Italy); CNR, Istituto per i Beni Archeologici e Monumentali, Via Biblioteca 4, 95124 Catania (Italy)

    2016-02-15

    Imaging of the electron cyclotron resonance (ECR) plasmas by using CCD camera in combination with a pinhole is a non-destructive diagnostics method to record the strongly inhomogeneous spatial density distribution of the X-ray emitted by the plasma and by the chamber walls. This method can provide information on the location of the collisions between warm electrons and multiple charged ions/atoms, opening the possibility to investigate the direct effect of the ion source tuning parameters to the plasma structure. The first successful experiment with a pinhole X-ray camera was carried out in the Atomki ECR Laboratory more than 10 years ago. The goal of that experiment was to make the first ECR X-ray photos and to carry out simple studies on the effect of some setting parameters (magnetic field, extraction, disc voltage, gas mixing, etc.). Recently, intensive efforts were taken to investigate now the effect of different RF resonant modes to the plasma structure. Comparing to the 2002 experiment, this campaign used wider instrumental stock: CCD camera with a lead pinhole was placed at the injection side allowing X-ray imaging and beam extraction simultaneously. Additionally, Silicon Drift Detector (SDD) and High Purity Germanium (HPGe) detectors were installed to characterize the volumetric X-ray emission rate caused by the warm and hot electron domains. In this paper, detailed comparison study on the two X-ray camera and detector setups and also on the technical and scientific goals of the experiments is presented.

  14. X-ray pinhole camera setups used in the Atomki ECR Laboratory for plasma diagnostics.

    Science.gov (United States)

    Rácz, R; Biri, S; Pálinkás, J; Mascali, D; Castro, G; Caliri, C; Romano, F P; Gammino, S

    2016-02-01

    Imaging of the electron cyclotron resonance (ECR) plasmas by using CCD camera in combination with a pinhole is a non-destructive diagnostics method to record the strongly inhomogeneous spatial density distribution of the X-ray emitted by the plasma and by the chamber walls. This method can provide information on the location of the collisions between warm electrons and multiple charged ions/atoms, opening the possibility to investigate the direct effect of the ion source tuning parameters to the plasma structure. The first successful experiment with a pinhole X-ray camera was carried out in the Atomki ECR Laboratory more than 10 years ago. The goal of that experiment was to make the first ECR X-ray photos and to carry out simple studies on the effect of some setting parameters (magnetic field, extraction, disc voltage, gas mixing, etc.). Recently, intensive efforts were taken to investigate now the effect of different RF resonant modes to the plasma structure. Comparing to the 2002 experiment, this campaign used wider instrumental stock: CCD camera with a lead pinhole was placed at the injection side allowing X-ray imaging and beam extraction simultaneously. Additionally, Silicon Drift Detector (SDD) and High Purity Germanium (HPGe) detectors were installed to characterize the volumetric X-ray emission rate caused by the warm and hot electron domains. In this paper, detailed comparison study on the two X-ray camera and detector setups and also on the technical and scientific goals of the experiments is presented.

  15. Design of DC-60 cyclotron with the fair ion energy variation for the Inter-disciplinary laboratory complex by L.N. Gumilev Eurasian State University

    International Nuclear Information System (INIS)

    Gukal, B.N.; Itkis, M.G.; Dmitriev, S.N.; Gul'bekyan, G.G.; Franko, J.; Kadyrzhanov, K.K.; Arzumanov, A.A.; Borisenko, A.N.; Lysukhin, S.N.

    2003-01-01

    The DC-60 heavy ions cyclotron pre-design project is implemented. The cyclotron is the key facility of the Inter-disciplinary laboratory complex by L.N. Gumilev Eurasian State University. In comparison with previous project in a new one the possibility for fair variation of the ions energies on 30 % at the expense of magnetic field level change is planed. The magnet structure of the cyclotron allowing to vary the magnet field from 1.25 to 1.65 T with use the low-power magnet coils system is found. The accelerator provides the opportunity for the ions acceleration from Li to Xe with energies from 0.4 to 1.6 MeV/nucleon. The exterior ion source of the ECR type will be planing to use on the cyclotrons and axial beam injection system development will be created. The extending voltage on the ion source is 10-25 kV. For experiments conducting on the cyclotron complex is expecting to create on channel for low energy beams - 10-25 kV per charge (ECR source beams) and three withdrawn channels for accelerated ion beams, one of those will be packaged with necessary equipment for the nuclear filters manufacture. The cyclotron complex will be used for both the fulfillment of a wide range of scientific and applied problems and a students training

  16. Electron cyclotron resonance ion source for high currents of mono- and multicharged ion and general purpose unlimited lifetime application on implantation devices

    Science.gov (United States)

    Bieth, C.; Bouly, J. L.; Curdy, J. C.; Kantas, S.; Sortais, P.; Sole, P.; Vieux-Rochaz, J. L.

    2000-02-01

    The electron cyclotron resonance (ECR) ion sources were originally developed for high energy physic applications. They are used as injectors on linear accelerators and cyclotrons to further increase the particle energy via high charge state ions. This ECR technology is well suited for sources placed on a high voltage platform where ac power available is limited by insulated transformers. The PANTECHNIK family of ion source with its wide range of ion beam (various charge states with various beam currents) offers new possibilities and perspectives in the field of ion implantation. In addition to all these possibilities, the PANTECHNIK ion sources have many other advantages like: a very long lifetime without maintenance expense, good stability, efficiency of ionization close to 100% (this improves the lifetime of the pumping system and other equipment), the possibility of producing ion beams with different energies, and a very good reproducibility. The main characteristics of sources like Nanogan or SuperNanogan will be recalled. We will especially present the results obtained with the new Microgan 10 GHz source that can be optimized for the production of high currents of monocharged ion, including reactive gas like BF3 (2 mA e of B+) or medium currents of low charge state like 0.5 mA e of Ar4+. The latest results obtained with Microgan 10 GHz show that it is possible to drive the source up to 30 mA e of total current, with an emittance of 150 π mm mrad at 40 kV and also to maintain the production of multicharged ions like Ar8+.

  17. A high intensity Stern-Gerlach polarized hydrogen source for the Munich MP-Tandem laboratory using ECR ionization and charge exchange in cesium vapor

    International Nuclear Information System (INIS)

    Hertenberger, R.; Eisermann, Y.; Metz, A.; Schiemenz, P.; Graw, G.

    2001-01-01

    The 14 year old Lamb-Shift hydrogen source of the Munich Tandem laboratory is presently replaced by a newly developed Stern-Gerlach type atomic beam source (ABS) with electron-cyclotron-resonance (ECR) ionization and subsequent double charge exchange in a supersonic cesium vapor jet target. The atomic beam source provides an intensity of 6.4*10 16 atoms/sec of polarized hydrogen and of about 5*10 16 atoms/sec of polarized deuterium. Beam intensities larger than 100 μA were observed for positive H-vector + and D-vector + ion beams after ECR ionization and intensities larger than 10 μA for negative D-vector - ion beams in three magnetic substates

  18. Statistical properties of turbulence in a toroidal magnetized ECR plasma

    International Nuclear Information System (INIS)

    Yu Yi; Lu Ronghua; Wang Zhijiang; Wen Yizhi; Yu Changxuan; Wan Shude; Liu, Wandong

    2008-01-01

    The statistical analyses of fluctuation data measured by electrostatic-probe arrays clearly show that the self-organized criticality (SOC) avalanches are not the dominant behaviors in a toroidal ECR plasma in the SMT (Simple Magnetic Torus) mode of KT-5D device. The f -1 index region in the auto-correlation spectra of the floating potential V f and the ion saturation current I s , which is a fingerprint of a SOC system, ranges only in a narrow frequency band. By investigating the Hurst exponents at increasingly coarse grained time series, we find that at a time scale of τ>100 μs, there exists no or a very weak long-range correlation over two decades in τ. The difference between the PDFs of I s and V f clearly shows a more global nature of the latter. The transport flux induced by the turbulence suggests that the natural intermittency of turbulent transport maybe independent of the avalanche induced by near criticality. The drift instability is dominant in a SMT plasma generated by means of ECR discharges

  19. The direct injection of intense ion beams from a high field electron cyclotron resonance ion source into a radio frequency quadrupole.

    Science.gov (United States)

    Rodrigues, G; Becker, R; Hamm, R W; Baskaran, R; Kanjilal, D; Roy, A

    2014-02-01

    The ion current achievable from high intensity ECR sources for highly charged ions is limited by the high space charge. This makes classical extraction systems for the transport and subsequent matching to a radio frequency quadrupole (RFQ) accelerator less efficient. The direct plasma injection (DPI) method developed originally for the laser ion source avoids these problems and uses the combined focusing of the gap between the ion source and the RFQ vanes (or rods) and the focusing of the rf fields from the RFQ penetrating into this gap. For high performance ECR sources that use superconducting solenoids, the stray magnetic field of the source in addition to the DPI scheme provides focusing against the space charge blow-up of the beam. A combined extraction/matching system has been designed for a high performance ECR ion source injecting into an RFQ, allowing a total beam current of 10 mA from the ion source for the production of highly charged (238)U(40+) (1.33 mA) to be injected at an ion source voltage of 60 kV. In this design, the features of IGUN have been used to take into account the rf-focusing of an RFQ channel (without modulation), the electrostatic field between ion source extraction and the RFQ vanes, the magnetic stray field of the ECR superconducting solenoid, and the defocusing space charge of an ion beam. The stray magnetic field is shown to be critical in the case of a matched beam.

  20. The direct injection of intense ion beams from a high field electron cyclotron resonance ion source into a radio frequency quadrupole

    Science.gov (United States)

    Rodrigues, G.; Becker, R.; Hamm, R. W.; Baskaran, R.; Kanjilal, D.; Roy, A.

    2014-02-01

    The ion current achievable from high intensity ECR sources for highly charged ions is limited by the high space charge. This makes classical extraction systems for the transport and subsequent matching to a radio frequency quadrupole (RFQ) accelerator less efficient. The direct plasma injection (DPI) method developed originally for the laser ion source avoids these problems and uses the combined focusing of the gap between the ion source and the RFQ vanes (or rods) and the focusing of the rf fields from the RFQ penetrating into this gap. For high performance ECR sources that use superconducting solenoids, the stray magnetic field of the source in addition to the DPI scheme provides focusing against the space charge blow-up of the beam. A combined extraction/matching system has been designed for a high performance ECR ion source injecting into an RFQ, allowing a total beam current of 10 mA from the ion source for the production of highly charged 238U40+ (1.33 mA) to be injected at an ion source voltage of 60 kV. In this design, the features of IGUN have been used to take into account the rf-focusing of an RFQ channel (without modulation), the electrostatic field between ion source extraction and the RFQ vanes, the magnetic stray field of the ECR superconducting solenoid, and the defocusing space charge of an ion beam. The stray magnetic field is shown to be critical in the case of a matched beam.

  1. Preliminary results of spatially resolved ECR ion beam profile investigations

    International Nuclear Information System (INIS)

    Panitzsch, L.; Stalder, M.; Wimmer-Schweingruber, R.F.

    2012-01-01

    The profile of an ion beam produced in an Electron Cyclotron Resonance Ion Source (ECRIS) can vary greatly depending on the source settings and the ion-optical tuning. Strongly focussed ion beams form circular structures (hollow beams) as predicted by simulations and observed in experiments. Each of the rings is predicted to be dominated by ions with same or at least similar m/q-ratios due to ion-optical effects. To check this we performed a series of preliminary investigations to test the required tuning capabilities of our ion source. This includes beam focussing (A) and beam steering (B) using a 3D-movable extraction. Having tuned the source to deliver a beam of strongly focussed ions of different ion species and having steered this beam to match the transmittance area of the sector magnet we also recorded the ion charge state distribution of the strongly focussed beam profile at different, spatially limited positions (C). The preliminary results will be introduced within this paper: it appears that our 3D-movable extraction is very efficient to steer and to focus the beam strongly. The paper is followed by the slides of the presentation. (authors)

  2. Results of measurements of the ion temperature profile of ECR heated plasmas in the L-2M stellarator

    International Nuclear Information System (INIS)

    Voronov, G.S.; Voronova, E.V.; Grebenshchikov, S.E.

    2005-01-01

    After boronization of the vacuum chamber of the L-2M stellarator, the confinement characteristics and the electron temperature profile changed markedly. In this connection, our immediate task was to carry out studies of the behavior of the ion temperature under these conditions. Previous measurements of Ti were performed by analyzing the energy distribution of fast hydrogen ions produced by charge exchange. In recent studies, the ion temperature was determined from Doppler broadening of spectral lines of impurity ions. With the help of a set of mirrors, the plasma radiation was focused on the entrance slit of a VMS-1 monochromator (D/F=1:6.5, F=600 mm, 1200 lines/mm,1.3 nm/mm, 200 - 800 nm). The detector was a CCD plate (1040 1 140 pixels of size 16 1 6 ∝ m) covered in part with an opaque screen. The plasma spectrum produced in the uncovered area was rapidly scanned and copied into the covered region. With this partial exposition method, the rate of recording was successfully increased up to 1000 frames per second. The instrument function of the whole system was 0.04 nm, which corresponds to Ti ∼1 eV for hydrogen and ∼17 eV for boron ions. The plasma ion temperature is considerably higher, so the accuracy of measurements of Ti is limited primarily by a low intensity of signals from the plasma with a low impurity concentration. The results of measurements of the evolution of HeII, BII, and BIV ions temperature during the ECR heating of a helium plasma are shown in the figure. The plasma density in these experiments was ∼2.10 19 m -3 , and the gyrotron pulse power was ∼200 kW. The results of measurements of Ti were compared with the time evolution of the ion temperature calculated by using the TRANSZ code. The latter includes a complete set of neoclassical equations and involves additional anomalous fluxes corresponding to accepted empirical scalings. The calculated values of Ti are in fair agreement with the measured ones

  3. The direct injection of intense ion beams from a high field electron cyclotron resonance ion source into a radio frequency quadrupole

    Energy Technology Data Exchange (ETDEWEB)

    Rodrigues, G., E-mail: gerosro@gmail.com; Kanjilal, D.; Roy, A. [Inter University Accelerator Centre, Aruna Asaf Ali Marg, New Delhi (India); Becker, R. [Institut fur Angewandte Physik der Universitaet, D-60054 Frankfurt/M (Germany); Hamm, R. W. [R and M Technical Enterprises, Inc., 4725 Arlene Place, Pleasanton, California 94566 (United States); Baskaran, R. [Indira Gandhi Centre for Atomic Research, Kalpakkam, Tamilnadu (India)

    2014-02-15

    The ion current achievable from high intensity ECR sources for highly charged ions is limited by the high space charge. This makes classical extraction systems for the transport and subsequent matching to a radio frequency quadrupole (RFQ) accelerator less efficient. The direct plasma injection (DPI) method developed originally for the laser ion source avoids these problems and uses the combined focusing of the gap between the ion source and the RFQ vanes (or rods) and the focusing of the rf fields from the RFQ penetrating into this gap. For high performance ECR sources that use superconducting solenoids, the stray magnetic field of the source in addition to the DPI scheme provides focusing against the space charge blow-up of the beam. A combined extraction/matching system has been designed for a high performance ECR ion source injecting into an RFQ, allowing a total beam current of 10 mA from the ion source for the production of highly charged {sup 238}U{sup 40+} (1.33 mA) to be injected at an ion source voltage of 60 kV. In this design, the features of IGUN have been used to take into account the rf-focusing of an RFQ channel (without modulation), the electrostatic field between ion source extraction and the RFQ vanes, the magnetic stray field of the ECR superconducting solenoid, and the defocusing space charge of an ion beam. The stray magnetic field is shown to be critical in the case of a matched beam.

  4. Initial use of the positive-ion injector of ATLAS

    International Nuclear Information System (INIS)

    Bollinger, L.M.; Billquist, P.J.; Bogaty, J.M.; Clifft, B.E.; Den Hartog, P.K.; Munson, F.H. Jr.; Pardo, R.C.; Shepard, K.W.; Zinkann, G.P.

    1989-01-01

    The positive-ion injector of ATLAS consists of an ECR heavy-ion source coupled to a 12-MV superconducting injector linac. The ECR source and a 3-MV version of the partially completed linac have been used to accelerate successfully several species of heavy ions. The operating experience is summarized, with emphasis on the excellent beam quality of beams from the new injector. Two new fast-timing detectors are described. 9 refs., 5 figs., 1 tab

  5. Determination of metastable fraction in an ion beam extracted from ECR plasma

    International Nuclear Information System (INIS)

    Matsumoto, Atsushi; Ohtani, Shunsuke; Iwai, Tsuruji.

    1982-04-01

    The fraction of metastable-state Ar 2 + (3p 4 1 D) ions in Ar 2 + beam has been determined by an optical attenuation method (OAM) combined with the conventional beam attenuation method. The present OAM is based on observation of spatial decay of specified emission line intensities arising from charge-changed ions, along the beam axis in a target gas cell. The validity of the OAM is discussed in detail. The cross sections for one-electron capture by the ground-state Ar 2 + ( 3 P) ions, σ 21 , and by the metastable-state Ar 2 + ( 1 D) ions, σ 21 *, from Na have been measured independently by the OAM. Both the cross sections are of the order of 10 - 14 cm 2 and σ 21 * is about 1.3 times as large as σ 21 at the collision energy of 1.5 keV. (author)

  6. Experiments on a 14.5 GHz ECR source

    International Nuclear Information System (INIS)

    Hill, C.E.; Langbein, K.

    1996-01-01

    The 14.5 GHz ECR4 source supplied to CERN in the framework of the Heavy Ion Facility collaboration provided Pb 27+ operational beams to a new custom built linac in 1994. This source, which operates in the pulsed 'afterglow' mode, quickly met its design specification of 80 eμA and now provides currents >100 eμA regularly. Early source tests showed the existence of extremely stable modes of operation. In the search for higher intensities a number of experiments have been performed on plasma gas composition, RF power matching, extraction, beam pulse compression and a biased dynode. The results of these tests will be presented along with further ideas to improve source performance. (author)

  7. ECR ion source based low energy ion beam facility

    Indian Academy of Sciences (India)

    Mass analyzed highly charged ion beams of energy ranging from a few keV to a few MeV plays an important role in various aspects of research in modern physics. In this paper a unique low energy ion beam facility (LEIBF) set up at Nuclear Science Centre (NSC) for providing low and medium energy multiply charged ion ...

  8. Production of highly charged ion beams from electron cyclotron resonance ion sources (invited)

    International Nuclear Information System (INIS)

    Xie, Z.Q.

    1998-01-01

    Electron cyclotron resonance ion source (ECRIS) development has progressed with multiple-frequency plasma heating, higher mirror magnetic fields, and better technique to provide extra cold electrons. Such techniques greatly enhance the production of highly charged ions from ECRISs. So far at continuous wave (CW) mode operation, up to 300 eμA of O 7+ and 1.15 emA of O 6+ , more than 100 eμA of intermediate heavy ions for charge states up to Ar 13+ , Ca 13+ , Fe 13+ , Co 14+ , and Kr 18+ , and tens of eμA of heavy ions with charge states to Kr 26+ , Xe 28+ , Au 35+ , Bi 34+ , and U 34+ were produced from ECRISs. At an intensity of at least 1 eμA, the maximum charge state available for the heavy ions are Xe 36+ , Au 46+ , Bi 47+ , and U 48+ . An order of magnitude enhancement for fully stripped argon ions (I≥60enA) were also achieved. This article will review the ECR ion source progress and discuss key requirement for ECRISs to produce the highly charged ion beams. copyright 1998 American Institute of Physics

  9. ECR ion source with electron gun

    Science.gov (United States)

    Xie, Zu Q.; Lyneis, Claude M.

    1993-01-01

    An Advanced Electron Cyclotron Resonance ion source (10) having an electron gun (52) for introducing electrons into the plasma chamber (18) of the ion source (10). The ion source (10) has a injection enclosure (12) and a plasma chamber tank (14). The plasma chamber (18) is defined by a plurality of longitudinal magnets (16). The electron gun (52) injects electrons axially into the plasma chamber (18) such that ionization within the plasma chamber (18) occurs in the presence of the additional electrons produced by the electron gun (52). The electron gun (52) has a cathode (116) for emitting electrons therefrom which is heated by current supplied from an AC power supply (96) while bias potential is provided by a bias power supply (118). A concentric inner conductor (60) and Outer conductor (62) carry heating current to a carbon chuck (104) and carbon pusher (114) Which hold the cathode (116) in place and also heat the cathode (16). In the Advanced Electron Cyclotron Resonance ion source (10), the electron gun (52) replaces the conventional first stage used in prior art electron cyclotron resonance ion generators.

  10. In-terminal ECR Ion Source of the Tandem Accelerator at JAERI

    CERN Document Server

    Matsuda, M; Takeuchi, S

    1999-01-01

    Electron Cyclotron Resonance Ion Source(ECRIS)s are able to produce intense beams of highly charged positive ions and used injection system for cyclotron, linac as well as experiments of atomic physics. The tandem accelerator system has been benefiting from use of an electron stripper at the high voltage terminal. The most probable charged state after a foil stripper is, however, much lower than the highest charge state of ions with an intensity of more than several emA from a high performance ECRIS. With respect to beam current, the life time of stripper foils decrease with increasing beam current. Especially for very heavy ions, it is difficult to obtain a stable and intense beam for a long time without foil exchange. Use of an ECRIS in a tandem accelerator is expected to increase beam intensity, beam energy and beam species. A small permanent magnet ECRIS has been installed in the high voltage terminal of the vertical and folded type 20UR Pelletron tandem accelerator at Japan Atomic Energy Research Institu...

  11. Electron cyclotron resonance microwave ion sources for thin film processing

    International Nuclear Information System (INIS)

    Berry, L.A.; Gorbatkin, S.M.

    1990-01-01

    Plasmas created by microwave absorption at the electron cyclotron resonance (ECR) are increasingly used for a variety of plasma processes, including both etching and deposition. ECR sources efficiently couple energy to electrons and use magnetic confinement to maximize the probability of an electron creating an ion or free radical in pressure regimes where the mean free path for ionization is comparable to the ECR source dimensions. The general operating principles of ECR sources are discussed with special emphasis on their use for thin film etching. Data on source performance during Cl base etching of Si using an ECR system are presented. 32 refs., 5 figs

  12. Review of highly charged heavy ion production with electron cyclotron resonance ion source (invited)

    International Nuclear Information System (INIS)

    Nakagawa, T.

    2014-01-01

    The electron cyclotron resonance ion source (ECRIS) plays an important role in the advancement of heavy ion accelerators and other ion beam applications worldwide, thanks to its remarkable ability to produce a great variety of intense highly charged heavy ion beams. Great efforts over the past decade have led to significant ECRIS performance improvements in both the beam intensity and quality. A number of high-performance ECRISs have been built and are in daily operation or are under construction to meet the continuously increasing demand. In addition, comprehension of the detailed and complex physical processes in high-charge-state ECR plasmas has been enhanced experimentally and theoretically. This review covers and discusses the key components, leading-edge developments, and enhanced ECRIS performance in the production of highly charged heavy ion beams

  13. A new technique for the study of charge transfer in multiply charged ion-ion collisions

    International Nuclear Information System (INIS)

    Shinpaugh, J.L.; Meyer, F.W.; Datz, S.

    1994-01-01

    While large cross sections (>10 -16 cm 2 ) have been predicted for resonant charge transfer in ion-ion collisions, no experimental data exist for multiply charged systems. A novel technique is being developed at the ORNL ECR facility to allow study of symmetric charge exchange in multiply charged ion-ion collisions using a single ion source. Specific intra-beam charge transfer collisions occurring in a well-defined interaction region labeled by negative high voltage are identified and analyzed by electrostatic analysis in combination with ion time-of-flight coincidence detection of the collision products. Center-of-mass collision energies from 400 to 1000 eV are obtained by varying source and labeling-cell voltages. In addition, by the introduction of a target gas into the high-voltage cell, this labeling-voltage method allows measurement of electron-capture and -loss cross sections for ion-atom collisions. Consequently, higher collision energies can be investigated without the requirement of placing the ECR source on a high-voltage platform

  14. Particle flux at the outlet of an Ecr plasma source; Flujos de particulas a la salida de una fuente de plasma ECR

    Energy Technology Data Exchange (ETDEWEB)

    Gutierrez T, C.; Gonzalez D, J. [ININ, Departamento de Fisica, A.P. 18-1027, 11801 Mexico D.F. (Mexico)

    2000-07-01

    The necessity of processing big material areas this has resulted in the development of plasma sources with the important property to be uniform in these areas. Also the continuous diminution in the size of substrates to be processed have stimulated the study of models which allow to predict the control of energy and the density of the ions and neutral particles toward the substrate. On the other hand, there are other applications of the plasma sources where it is very necessary to understand the effects generated by the energetic fluxes of ions and neutrals. These fluxes as well as another beneficial effects can improve the activation energy for the formation and improvement of the diffusion processes in the different materials. In this work, using the drift kinetic approximation is described a model to calculate the azimuthal and radial fluxes in the zone of materials processing of an Ecr plasma source type. The results obtained are compared with experimental results. (Author)

  15. Parametric decay instabilities in ECR heated plasmas

    International Nuclear Information System (INIS)

    Porkolab, M.

    1982-01-01

    The possibility of parametric excitation of electron Bernstein waves and low frequency ion oscillations during ECR heating at omega/sub o/ approx. = l omega/sub ce/, l = 1,2 is examined. In particular, the thresholds for such instabilities are calculated. It is found that Bernstein waves and lower hybrid quasi-modes have relatively low homogeneous where T/sub e/ approx. = T/sub i/. Thus, these processes may lead to nonlinear absorption and/or scattering of the incident pump wave. The resulting Bernstein waves may lead to either more effective heating (especially during the start-up phase) or to loss of microwave energy if the decay waves propagate out of the system before their energy is absorbed by particles. While at omega/sub o/ = omega/sub UH/ the threshold is reduced due to the WKB enhancement of the pump wave, (and this instability may be important in tokamaks) in EBT's and tandem mirrors the instability at omega /sub o/ greater than or equal to 2 omega/sub ce/ may be important. The instability may persist even if omega > 2 omega/sub ce/ and this may be the case during finite beta depression of the magnetic field in which case the decay waves may be trapped in the local magnetic well so that convective losses are minimized. The excited fluctuations may lead to additional scattering of the ring electrons and the incident microwave fields. Application of these calculations to ECR heating of tokamaks, tandem mirrors, and EBT's will be examined

  16. Study of the on line radioactive multicharged ion production

    International Nuclear Information System (INIS)

    Lecesne, N.

    1997-01-01

    This work is directly related to the SPIRAL project (Systeme de Production d'Ions Radioactifs Acceleres en Ligne) which will start at GANIL at the end of 1998. The aim of the thesis was to study the on line radioactive multicharged ion beam production stages, i.e. the production and diffusion of the radioactive nuclei in a thick target, their possible transfer up to an ECR ion source and their ionisation. Production cross sections of radioactive neutron rich nuclei, formed by fragmentation of a heavy ion beam in a thick target, were measured. An external target-ECR source system, dedicated to the radioactive noble gases production, and two internal target-ECR source systems, dedicated to the radioactive condensable element production, were built and tested on the SIRa tests bench (Separateur d'Ions Radioactifs). Different detection configurations were elaborated in order to identify the radioactive nuclei and estimate their production yields. Finally, a new method for measuring the overall efficiency of the separator was developed and allowed to study the diffusion properties of radioactive noble gases in various targets. (author)

  17. Photoionization of FE3+ Ions

    International Nuclear Information System (INIS)

    Ovchinnikov, O.; Schlachter, F.

    2003-01-01

    Photoionization of Fe3+ ions was studied for the first time using synchrotron radiation from the Advanced Light Source (ALS) and the merged-beams technique. Fe3+ ions were successfully produced using ferrocene in an electron cyclotron resonance ion source (ECR). The measured yield of Fe4+ photoions as a function of photon energy revealed the presence of resonances that correspond to excitation of autoionizing states. These resonances are superimposed upon the photoion yield produced by direct photoionization, which is a smooth, slowly decreasing function of energy. The spectra for the photoionization of Fe3+ will be analyzed and compared with theory. The data collected will also serve to test models for the propagation of light through ionized matter.

  18. An all permanent magnet electron cyclotron resonance ion source for heavy ion therapy.

    Science.gov (United States)

    Cao, Yun; Li, Jia Qing; Sun, Liang Ting; Zhang, Xue Zhen; Feng, Yu Cheng; Wang, Hui; Ma, Bao Hua; Li, Xi Xia

    2014-02-01

    A high charge state all permanent Electron Cyclotron Resonance ion source, Lanzhou All Permanent ECR ion source no. 3-LAPECR3, has been successfully built at IMP in 2012, which will serve as the ion injector of the Heavy Ion Medical Machine (HIMM) project. As a commercial device, LAPECR3 features a compact structure, small size, and low cost. According to HIMM scenario more than 100 eμA of C(5+) ion beam should be extracted from the ion source, and the beam emittance better than 75 π*mm*mrad. In recent commissioning, about 120 eμA of C(5+) ion beam was got when work gas was CH4 while about 262 eμA of C(5+) ion beam was obtained when work gas was C2H2 gas. The design and construction of the ion source and its low-energy transportation beam line, and the preliminary commissioning results will be presented in detail in this paper.

  19. An electron cyclotron resonance ion source based low energy ion beam platform

    International Nuclear Information System (INIS)

    Sun, L. T.; Shang, Y.; Ma, B. H.; Zhang, X. Z.; Feng, Y. C.; Li, X. X.; Wang, H.; Guo, X. H.; Song, M. T.; Zhao, H. Y.; Zhang, Z. M.; Zhao, H. W.; Xie, D. Z.

    2008-01-01

    To satisfy the requirements of surface and atomic physics study in the field of low energy multiple charge state ion incident experiments, a low energy (10 eV/q-20 keV/q) ion beam platform is under design at IMP. A simple test bench has been set up to test the ion beam deceleration systems. Considering virtues such as structure simplicity, easy handling, compactness, cost saving, etc., an all-permanent magnet ECRIS LAPECR1 [Lanzhou all-permanent magnet electron cyclotron resonance (ECR) ion source No. 1] working at 14.5 GHz has been adopted to produce intense medium and low charge state ion beams. LAPECR1 source has already been ignited. Some intense low charge state ion beams have been produced on it, but the first test also reveals that many problems are existing on the ion beam transmission line. The ion beam transmission mismatches result in the depressed performance of LAPECR1, which will be discussed in this paper. To obtain ultralow energy ion beam, after being analyzed by a double-focusing analyzer magnet, the selected ion beam will be further decelerated by two afocal deceleration lens systems, which is still under design. This design has taken into consideration both ions slowing down and also ion beam focusing. In this paper, the conceptual design of deceleration system will be discussed

  20. An electron cyclotron resonance ion source based low energy ion beam platform.

    Science.gov (United States)

    Sun, L T; Shang, Y; Ma, B H; Zhang, X Z; Feng, Y C; Li, X X; Wang, H; Guo, X H; Song, M T; Zhao, H Y; Zhang, Z M; Zhao, H W; Xie, D Z

    2008-02-01

    To satisfy the requirements of surface and atomic physics study in the field of low energy multiple charge state ion incident experiments, a low energy (10 eV/q-20 keV/q) ion beam platform is under design at IMP. A simple test bench has been set up to test the ion beam deceleration systems. Considering virtues such as structure simplicity, easy handling, compactness, cost saving, etc., an all-permanent magnet ECRIS LAPECR1 [Lanzhou all-permanent magnet electron cyclotron resonance (ECR) ion source No. 1] working at 14.5 GHz has been adopted to produce intense medium and low charge state ion beams. LAPECR1 source has already been ignited. Some intense low charge state ion beams have been produced on it, but the first test also reveals that many problems are existing on the ion beam transmission line. The ion beam transmission mismatches result in the depressed performance of LAPECR1, which will be discussed in this paper. To obtain ultralow energy ion beam, after being analyzed by a double-focusing analyzer magnet, the selected ion beam will be further decelerated by two afocal deceleration lens systems, which is still under design. This design has taken into consideration both ions slowing down and also ion beam focusing. In this paper, the conceptual design of deceleration system will be discussed.

  1. Study of highly charged ion production by electron cyclotron resonance ion source. Interactions of Argon 17+ ions with metallic surface at grazing incidence

    International Nuclear Information System (INIS)

    Ban, G.

    1992-04-01

    In this thesis divided in 2 parts, the author first presents the operating of MiniMafios 16/18 GHz ECR ion sources and methods of extracted multicharged ion identification and then, studies the highly charged ion interactions with a metallic surface and the formation of 'hollow atoms'. 556 figs., 17 tabs

  2. Efficient Consumer Response (ECR: a survey of the Australian grocery industry

    Directory of Open Access Journals (Sweden)

    Paula Swatman

    1998-05-01

    Full Text Available Efficient consumer response (ECR is a U.S. supply chain management strategy which attempts to address the inefficiencies which have led to excessive inventory and unnecessary costs at all levels within the grocery industry supply chain. This paper discusses the traditional grocery store format, the supermarket, and the ways in which inefficient business practices developed in the U.S. grocery supply chain; and discusses the major business activities needed for successful implementation of ECR. The paper then presents a brief summary of the results of a survey of ECR knowledge and usage within the Australian grocery industry, which is the initial phase of a long term research project whose main purpose is to evaluate ECR as it applies to that industry.

  3. Electron cloud simulation of the ECR plasma

    International Nuclear Information System (INIS)

    Racz, R.; Biri, S.; Palinkas, J.

    2011-01-01

    Complete text of publication follows. The plasma of the Electron Cyclotron Resonance Ion Source (ECRIS) of ATOMKI is being continuously investigated by different diagnostic methods: using small-sized probes or taking X-ray and visible light photographs. In 2011 three articles were published by our team in a special edition of the IEEE Transactions on Plasma Science (Special Issue on Images in Plasma Science) describing our X-ray and visible light measurements and plasma modeling and simulating studies. Simulation is in many cases the base for the analysis of the photographs. The outcomes of the X-ray and visible light experiments were presented already in earlier issues of the Atomki Annual Report, therefore in this year we concentrate on the results of the simulating studies. The spatial distribution of the three main electron components (cold, warm and hot electron clouds) of the ECR plasmas was simulated by TrapCAD code. TrapCAD is a 'limited' plasma simulation code. The spatial and energy evolution of a large number of electrons can be realistically followed; however, these particles are independent, and no particle interactions are included. In ECRISs, the magnetic trap confines the electrons which keep together the ion component by their space charge. The electrons gain high energies while the ions remain very cold throughout the whole process. Thus, the spatial and energy simulation of the electron component gives much important and numerical information even for the ions. The electron components of ECRISs can artificially be grouped into three populations: cold, warm, and hot electrons. Cold electrons (1-200 eV) have not been heated by the microwave; they are mainly responsible for the visible light emission of the plasma. The energized warm electrons (several kiloelectronvolts) are able to ionize atoms and ions and they are mainly responsible for the characteristic Xray photons emitted by the plasma. Electrons having much higher energy than necessary for

  4. A double-layer based model of ion confinement in electron cyclotron resonance ion source

    Energy Technology Data Exchange (ETDEWEB)

    Mascali, D., E-mail: davidmascali@lns.infn.it; Neri, L.; Celona, L.; Castro, G.; Gammino, S.; Ciavola, G. [Istituto Nazionale di Fisica Nucleare, Laboratori Nazionali del Sud, via S. Sofia 62, 95123 Catania (Italy); Torrisi, G. [Istituto Nazionale di Fisica Nucleare, Laboratori Nazionali del Sud, via S. Sofia 62, 95123 Catania (Italy); Università Mediterranea di Reggio Calabria, Dipartimento di Ingegneria dell’Informazione, delle Infrastrutture e dell’Energia Sostenibile, Via Graziella, I-89100 Reggio Calabria (Italy); Sorbello, G. [Istituto Nazionale di Fisica Nucleare, Laboratori Nazionali del Sud, via S. Sofia 62, 95123 Catania (Italy); Università degli Studi di Catania, Dipartimento di Ingegneria Elettrica Elettronica ed Informatica, Viale Andrea Doria 6, 95125 Catania (Italy)

    2014-02-15

    The paper proposes a new model of ion confinement in ECRIS, which can be easily generalized to any magnetic configuration characterized by closed magnetic surfaces. Traditionally, ion confinement in B-min configurations is ascribed to a negative potential dip due to superhot electrons, adiabatically confined by the magneto-static field. However, kinetic simulations including RF heating affected by cavity modes structures indicate that high energy electrons populate just a thin slab overlapping the ECR layer, while their density drops down of more than one order of magnitude outside. Ions, instead, diffuse across the electron layer due to their high collisionality. This is the proper physical condition to establish a double-layer (DL) configuration which self-consistently originates a potential barrier; this “barrier” confines the ions inside the plasma core surrounded by the ECR surface. The paper will describe a simplified ion confinement model based on plasma density non-homogeneity and DL formation.

  5. Heavy-ion injector based on an electron cyclotron ion source for the superconducting linear accelerator of the Rare Isotope Science Project.

    Science.gov (United States)

    Hong, In-Seok; Kim, Yong-Hwan; Choi, Bong-Hyuk; Choi, Suk-Jin; Park, Bum-Sik; Jin, Hyun-Chang; Kim, Hye-Jin; Heo, Jeong-Il; Kim, Deok-Min; Jang, Ji-Ho

    2016-02-01

    The injector for the main driver linear accelerator of the Rare Isotope Science Project in Korea, has been developed to allow heavy ions up to uranium to be delivered to the inflight fragmentation system. The critical components of the injector are the superconducting electron cyclotron resonance (ECR) ion sources, the radio frequency quadrupole (RFQ), and matching systems for low and medium energy beams. We have built superconducting magnets for the ECR ion source, and a prototype with one segment of the RFQ structure, with the aim of developing a design that can satisfy our specifications, demonstrate stable operation, and prove results to compare the design simulation.

  6. Investigation of the performances of an ECR charge breeder at ISOLDE: a study of the 1+ → n+ scenario for the next generation ISOL facilities

    International Nuclear Information System (INIS)

    Marie-Jeanne, M.

    2009-02-01

    The work I describe here was performed at ISOLDE, CERN. It aimed at giving an objective report of the current performances of Electron Cyclotron Resonance (ECR) ion sources used as charge breeders, with both stable and radioactive ion beams. As a prerequisite, some technical developments were undertaken to improve the setup and to lead the tests with optimal conditions. A major part of these developments concerns beam purity, and is detailed in this thesis. Then, the program of measurements of the charge breeding efficiencies of various isotopes was completed with different charge breeding modes. I analyzed the results of these experiments and compared them to the current performances of other types of charge breeding methods. At the end, some conclusions are drawn from this investigation in perspective of the choices to make for future ISOL post-accelerators. The discussion is extended to the immediate application of ECR charge bred radioactive ion beams to physics experiments, for which I proposed and performed additional tests. (author)

  7. Investigation of the performances of an ECR charge breeder at ISOLDE: a study of the 1$^{+}\\to$n$^{+}$ scenario for the next generation ISOL facilities.

    CERN Document Server

    MARIE-JEANNE, M; Delahaye, P

    2009-01-01

    The work described here was performed at ISOLDE, CERN. It aimed at giving an objective report of the current performances of Electron Cyclotron Resonance (ECR) ion sources used as charge breeders, with both stable and radioactive ion beams. As a prerequisite, some technical developments were undertaken during the PhD thesis to improve the setup and to lead the tests with optimal conditions. A major part of these developments concerns beam purity, and is detailed in this thesis. Then, measurements of the charge breeding efficiencies of various isotopes were completed with different charge breeding modes. Results of these experiments are analyzed and compared to the current performances of other types of charge breeding methods. At the end, some conclusions are drawn from this investigation in perspective of the choices to make for future ISOL postaccelerators. The discussion is extended to the immediate application of ECR charge bred radioactive ion beams to physics experiments.

  8. The 1+ → n+ transformation for the radioactive ion acceleration

    International Nuclear Information System (INIS)

    Chauvin, N.; Lamy, T.; Bruandet, J.F.; Bouly, J.L.; Curdy, J.C.; Geller, R.; Sole, P.; Sortais, P.; Vieux-Rochaz, J.L.

    1999-01-01

    The radioactive ions are produced as single-charge ions either starting from nuclear reactions induced by a high energy primary beam, or by neutron bombarding of a target. However, in order to obtain beams of several MeV per nucleon, il will be convenient of transforming the mono-charged ions issued from the production source, in multicharged ions. Consequently, an operation should be implemented to transform the 1+ charge state into n+ state, with a double requirement of maximal yield and minimal response time. The objectives are a particle yield of several percents and a response time below 1 second, taking into account the low lifetimes of certain radioactive nuclei. The conjoint achievement of both high charged states and maximal beam intensity forced us to make a choice for an ECR (Electron Cyclotron Resonance) type source to realize the transformation 1+ → n+

  9. [Developing the Japanese version of the Adult Attachment Style Scale (ECR)].

    Science.gov (United States)

    Nakao, Tatsuma; Kato, Kazuo

    2004-06-01

    This study attempted to adapt into Japanese the Adult Attachment Style Scale (ECR: Experiences in Close Relationships inventory) that was constructed by Brennan, Clark, and Shaver (1998), based on 14 existing scales. Of 387 respondents, 231 who reported having been or are currently involved in romantic relationships were employed for final analysis. We examined validities of the Japanese version of ECR in the two ways: (1) Examining the correlations between "Anxiety" and Self-esteem scale by Rosenberg (1965) which were theoretically related to Self-view, and the correlations between "Avoidance" and Other-view scale by Kato (1999b) which were theoretically related to Other-view; (2) whether or not ECR represents the features of four attachment styles as classified by Relationship Questionnaire (RQ; Bartholomew & Horowitz, 1991). The results supported our expectations. This Japanese version of ECR was demonstrated to have adequate psychometric properties in validity and reliability.

  10. Particle flux at the outlet of an Ecr plasma source

    International Nuclear Information System (INIS)

    Gutierrez T, C.; Gonzalez D, J.

    1999-01-01

    The necessity of processing big material areas this has resulted in the development of plasma sources with the important property to be uniform in these areas. Also the continuous diminution in the size of substrates to be processed have stimulated the study of models which allow to predict the control of energy and the density of the ions and neutral particles toward the substrate. On the other hand, there are other applications of the plasma sources where it is very necessary to understand the effects generated by the energetic fluxes of ions and neutrals. These fluxes as well as another beneficial effects can improve the activation energy for the formation and improvement of the diffusion processes in the different materials. In this work, using the drift kinetic approximation is described a model to calculate the azimuthal and radial fluxes in the zone of materials processing of an Ecr plasma source type. The results obtained are compared with experimental results. (Author)

  11. Electron-impact ionization of multicharged ions at ORNL: 1985--1992

    International Nuclear Information System (INIS)

    Gregory, D.C.; Bannister, M.E.

    1994-07-01

    Absolute cross sections are presented in graphs and tables for single ionization of forty-one ions, multiple ionization of four ions, and for dissociation and ionization of two molecular ions by electron impact. This memo is the third in a series of manuscripts summarizing previously published as well as unpublished ionization cross section measurements at ORNL; contents of the two previous memos are also referenced in this work. All work tabulated in this memo involved ion beams generated in the ORNL-ECR ion source and utilized the ORNL electron-ion crossed beams apparatus. Target ions range from atomic number Z = 8 (oxygen) to Z = 92 (uranium) in initial charge states from +1 to +16. Electron impact energies typically range from threshold to 1500 eV

  12. An all permanent magnet electron cyclotron resonance ion source for heavy ion therapy

    Energy Technology Data Exchange (ETDEWEB)

    Cao, Yun, E-mail: caoyun@impcas.ac.cn; Li, Jia Qing; Sun, Liang Ting; Zhang, Xue Zhen; Feng, Yu Cheng; Wang, Hui; Ma, Bao Hua; Li, Xi Xia [Institute of Modern Physics, CAS, Lanzhou 730000 (China)

    2014-02-15

    A high charge state all permanent Electron Cyclotron Resonance ion source, Lanzhou All Permanent ECR ion source no. 3-LAPECR3, has been successfully built at IMP in 2012, which will serve as the ion injector of the Heavy Ion Medical Machine (HIMM) project. As a commercial device, LAPECR3 features a compact structure, small size, and low cost. According to HIMM scenario more than 100 eμA of C{sup 5+} ion beam should be extracted from the ion source, and the beam emittance better than 75 π*mm*mrad. In recent commissioning, about 120 eμA of C{sup 5+} ion beam was got when work gas was CH{sub 4} while about 262 eμA of C{sup 5+} ion beam was obtained when work gas was C{sub 2}H{sub 2} gas. The design and construction of the ion source and its low-energy transportation beam line, and the preliminary commissioning results will be presented in detail in this paper.

  13. Physical mechanisms leading to high currents of highly charged ions in laser-driven ion sources

    International Nuclear Information System (INIS)

    Haseroth, Helmut; Hora, Heinrich; Regensburg Inst. of Tech.

    1996-01-01

    Heavy ion sources for the big accelerators, for example, the LHC, require considerably more ions per pulse during a short time than the best developed classical ion source, the electron cyclotron resonance (ECR) provides; thus an alternative ion source is needed. This can be expected from laser-produced plasmas, where dramatically new types of ion generation have been observed. Experiments with rather modest lasers have confirmed operation with one million pulses of 1 Hz, and 10 11 C 4+ ions per pulse reached 2 GeV/u in the Dubna synchrotron. We review here the complexities of laser-plasma interactions to underline the unique and extraordinary possibilities that the laser ion source offers. The complexities are elaborated with respect to keV and MeV ion generation, nonlinear (ponderomotive) forces, self-focusing, resonances and ''hot'' electrons, parametric instabilities, double-layer effects, and the few ps stochastic pulsation (stuttering). Recent experiments with the laser ion source have been analyzed to distinguish between the ps and ns interaction, and it was discovered that one mechanism of highly charged ion generation is the electron impact ionization (EII) mechanism, similar to the ECR, but with so much higher plasma densities that the required very large number of ions per pulse are produced. (author)

  14. Physical mechanisms leading to high currents of highly charged ions in laser-driven ion sources

    Energy Technology Data Exchange (ETDEWEB)

    Haseroth, Helmut [European Organization for Nuclear Research, Geneva (Switzerland); Hora, Heinrich [New South Wales Univ., Kensington, NSW (Australia)]|[Regensburg Inst. of Tech. (Germany). Anwenderzentrum

    1996-12-31

    Heavy ion sources for the big accelerators, for example, the LHC, require considerably more ions per pulse during a short time than the best developed classical ion source, the electron cyclotron resonance (ECR) provides; thus an alternative ion source is needed. This can be expected from laser-produced plasmas, where dramatically new types of ion generation have been observed. Experiments with rather modest lasers have confirmed operation with one million pulses of 1 Hz, and 10{sup 11} C{sup 4+} ions per pulse reached 2 GeV/u in the Dubna synchrotron. We review here the complexities of laser-plasma interactions to underline the unique and extraordinary possibilities that the laser ion source offers. The complexities are elaborated with respect to keV and MeV ion generation, nonlinear (ponderomotive) forces, self-focusing, resonances and ``hot`` electrons, parametric instabilities, double-layer effects, and the few ps stochastic pulsation (stuttering). Recent experiments with the laser ion source have been analyzed to distinguish between the ps and ns interaction, and it was discovered that one mechanism of highly charged ion generation is the electron impact ionization (EII) mechanism, similar to the ECR, but with so much higher plasma densities that the required very large number of ions per pulse are produced. (author).

  15. Design of an intense ion source and LEBT for Jinping Underground Nuclear Astrophysics experiments

    International Nuclear Information System (INIS)

    Wu, Q.; Sun, L.T.; Cui, B.Q.; Lian, G.; Yang, Y.; Ma, H.Y.; Tang, X.D.; Zhang, X.Z.; Zhang, Z.M.; Liu, W.P.

    2016-01-01

    The ongoing Jinping Underground Nuclear Astrophysics experiment (JUNA) will take the advantage of the ultralow background in China Jinping Underground Laboratory (CJPL), high current accelerator driven by on an ECR source and highly sensitive detector to study directly a number of important reactions for the first time within their relevant stellar energy range. A 2.45 GHz ECR ion source is one of its key components to provide 10 emA H + , 10 emA He + and 2.0 emA He 2+ beams for the study of (p,γ), (p,α), (α,p) and (α,γ) reactions in the first phase of the JUNA project. Ion beam is extracted from the source with energies up to 50 kV/q. The following low energy beam transport (LEBT) system transports and matches the ion beam from the exit of ion source to the acceleration tube (AT). The design status of the ECR ion source and LEBT system for the JUNA project are presented. The potential risks of the ion source are also discussed and analysed.

  16. Design of an intense ion source and LEBT for Jinping Underground Nuclear Astrophysics experiments

    Energy Technology Data Exchange (ETDEWEB)

    Wu, Q., E-mail: wuq@impcas.ac.cn [Institute of Modern Physics (IMP), Chinese Academy of Sciences, Lanzhou 730000 (China); Sun, L.T., E-mail: sunlt@impcas.ac.cn [Institute of Modern Physics (IMP), Chinese Academy of Sciences, Lanzhou 730000 (China); Cui, B.Q.; Lian, G. [China Institute of Atomic Energy, Beijing 102413 (China); Yang, Y.; Ma, H.Y.; Tang, X.D.; Zhang, X.Z.; Zhang, Z.M. [Institute of Modern Physics (IMP), Chinese Academy of Sciences, Lanzhou 730000 (China); Liu, W.P. [China Institute of Atomic Energy, Beijing 102413 (China)

    2016-09-11

    The ongoing Jinping Underground Nuclear Astrophysics experiment (JUNA) will take the advantage of the ultralow background in China Jinping Underground Laboratory (CJPL), high current accelerator driven by on an ECR source and highly sensitive detector to study directly a number of important reactions for the first time within their relevant stellar energy range. A 2.45 GHz ECR ion source is one of its key components to provide 10 emA H{sup +}, 10 emA He{sup +} and 2.0 emA He{sup 2+} beams for the study of (p,γ), (p,α), (α,p) and (α,γ) reactions in the first phase of the JUNA project. Ion beam is extracted from the source with energies up to 50 kV/q. The following low energy beam transport (LEBT) system transports and matches the ion beam from the exit of ion source to the acceleration tube (AT). The design status of the ECR ion source and LEBT system for the JUNA project are presented. The potential risks of the ion source are also discussed and analysed.

  17. GANIL Workshop on Ion Sources; Journees Sources d'Ions

    Energy Technology Data Exchange (ETDEWEB)

    Leroy, Renan [Grand Accelerateur National d' Ions Lourds (GANIL), 14 - Caen (France)

    1999-07-01

    The proceedings of the GANIL Workshop on Ion Sources held at GANIL - Caen on 18-19 March 1999 contains 13 papers aiming at improving the old source operation and developing new types of sources for nuclear research and studies of ion physics. A number of reports are devoted to applications like surface treatment, ion implantation or fusion injection. The 1+{yields}n+ transformation, charged particle transport in ECR sources, addition of cesium and xenon in negative ion sources and other basic issues in ion sources are also addressed.

  18. Fundamental processes determining the highly charged ion production in ECR ion sources

    International Nuclear Information System (INIS)

    Shirkov, G.D.

    1992-01-01

    The ion confinement and loss conditions in the open magnetic traps have been analyzed in this article. In EGRIS the the ions are confined in the negative potential well. The simultaneous application of ion cooling and pulse regime is proposed for pulse injection of highly charged ions in heavy ion accelerators and storage rings. 14 refs.; 3 figs

  19. Development of a Permanent Magnet ECR Source to Produce a 5 mA Deuteron Beam at CEA/Saclay

    CERN Document Server

    Gobin, R; Delferrière, O; Ferdinand, R; Harrault, F

    2004-01-01

    The high intensity light ion source, SILHI, is an ECR ion source operating at 2.45 GHz which produces high intensity (over 100 mA) proton or deuteron beams at 95 keV. It has been moved in the IPHI building after a complete dismantling. At the beginning of 2003, after tuning the source parameters at standard values, the first extracted beam reached more than 70 mA within a few minutes. This encouraged us to propose a permanent magnet source based on the SILHI design to fit in with the injector of the Spiral2 project, requesting 5 mA of D+

  20. Charge changing collision cross sections of atomic ions

    International Nuclear Information System (INIS)

    Bliman, S.; Dousson, S.; Geller, R.; Jacquot, B.; Van Houtte, D.

    1980-05-01

    A device has been built to measure charge changing cross sections of atomic ions. It consists of an E.C.R. ion source (Micromafios) that delivers oxygen ions up to charge + 8, argon ions up to charge + 13. The ion source potential may be varied from 1 up to 10 kVolts. A first magnet is used to charge analyze the extracted beam. For a given charge state, the ion beam is passed in a collision cell whose pressure may be varied. The ions undergoing collisions on the target are analyzed by a second magnet and collected. The single collision condition is checked. Different collisions are considered: 1- Charge exchange collisions of argon ions with charge 2<=Z<=12 on argon. Cross sections for capture of 1, 2 and 3 electrons are given. 2- Stripping of argon ions (1<=Z<=4) on argon atoms. 3- Charge exchange of oxygen ions (2<=Z<=8) colliding on deuterium. One and two electron capture cross sections are presented

  1. Hydrogen dissociation in the deposition of GaN films with ECR-PECVD process

    Science.gov (United States)

    Fu, S. L.; Wang, C. A.; Ding, L. C.; Qin, Y. X.

    2018-05-01

    The hydrogen dissociation and its effect on the GaN film growth in the ECR-PECVD process are investigated in this paper. We use N2 and trimethylgallium (TMG) as N and Ga sources respectively in the ECR- PECVD process. The results show that the rate of hydrogen dissociation increases with the microwave power and it becomes higher at high microwave power (> 500 W). However, this population increase of the H species dissociated from the TMG gas in ECR plasma is not enough to change the growth condition from Ga-rich to N-rich.

  2. Numerical simulations of gas mixing effect in electron cyclotron resonance ion sources

    Directory of Open Access Journals (Sweden)

    V. Mironov

    2017-01-01

    Full Text Available The particle-in-cell Monte Carlo collisions code nam-ecris is used to simulate the electron cyclotron resonance ion source (ECRIS plasma sustained in a mixture of Kr with O_{2}, N_{2}, Ar, Ne, and He. The model assumes that ions are electrostatically confined in the ECR zone by a dip in the plasma potential. A gain in the extracted krypton ion currents is seen for the highest charge states; the gain is maximized when oxygen is used as a mixing gas. The special feature of oxygen is that most of the singly charged oxygen ions are produced after the dissociative ionization of oxygen molecules with a large kinetic energy release of around 5 eV per ion. The increased loss rate of energetic lowly charged ions of the mixing element requires a building up of the retarding potential barrier close to the ECR surface to equilibrate electron and ion losses out of the plasma. In the mixed plasmas, the barrier value is large (∼1  V compared to pure Kr plasma (∼0.01  V, with longer confinement times of krypton ions and with much higher ion temperatures. The temperature of the krypton ions is increased because of extra heating by the energetic oxygen ions and a longer time of ion confinement. In calculations, a drop of the highly charged ion currents of lighter elements is observed when adding small fluxes of krypton into the source. This drop is caused by the accumulation of the krypton ions inside plasma, which decreases the electron and ion confinement times.

  3. Status of the positive-ion injector for ATLAS

    International Nuclear Information System (INIS)

    Bollinger, L.M.; Pardo, R.C.; Shepard, K.W.

    1986-01-01

    The planned positive-ion injector for ATLAS consists of an ECR ion source on a 350-kV platfrom and a superconducting injector linac of a new kind. The objective is to replace the present tandem injector with a system that can increase beam intensities by two orders of magnitude and extend the mass range up to uranium. In the first, developmental stage of the work, now in progress, the ECR source will be built, the technology of superconducting accelerating structures for low-velocity ions will be developed, and these structures will be used to form a 3-MV prototype injector linac. Even this small system, designed for ions with A < 130, will be superior to the present FN tandem as a heavy-ion injector. In later phases of the work, the injector linac will be enlarged enough to allow ATLAS to effectively accelerate uranium ions. The injector system is expected to provide exceptional beam quality. The status of the work, expected performance of the accelerator system, and the technical issues involved are summarized

  4. Electromagnetic analysis of the plasma chamber of an ECR-based charge breeder

    Science.gov (United States)

    Galatà, A.; Patti, G.; Celona, L.; Mascali, D.; Neri, L.; Torrisi, G.

    2016-02-01

    The optimization of the efficiency of an ECR-based charge breeder is a twofold task: efforts must be paid to maximize the capture of the injected 1+ ions by the confined plasma and to produce high charge states to allow post-acceleration at high energies. Both tasks must be faced by studying in detail the electrons heating dynamics, influenced by the microwave-to-plasma coupling mechanism. Numerical simulations are a powerful tools for obtaining quantitative information about the wave-to-plasma interaction process: this paper presents a numerical study of the microwaves propagation and absorption inside the plasma chamber of the PHOENIX charge breeder, which the selective production of exotic species project, under construction at Legnaro National Laboratories, will adopt as charge breeder. Calculations were carried out with a commercial 3D FEM solver: first, all the resonant frequencies were determined by considering a simplified plasma chamber; then, the realistic geometry was taken into account, including a cold plasma model of increasing complexity. The results gave important information about the power absorption and losses and will allow the improvement of the plasma model to be used in a refined step of calculation reproducing the breeding process itself.

  5. Electromagnetic analysis of the plasma chamber of an ECR-based charge breeder

    International Nuclear Information System (INIS)

    Galatà, A.; Patti, G.; Celona, L.; Mascali, D.; Neri, L.; Torrisi, G.

    2016-01-01

    The optimization of the efficiency of an ECR-based charge breeder is a twofold task: efforts must be paid to maximize the capture of the injected 1+ ions by the confined plasma and to produce high charge states to allow post-acceleration at high energies. Both tasks must be faced by studying in detail the electrons heating dynamics, influenced by the microwave-to-plasma coupling mechanism. Numerical simulations are a powerful tools for obtaining quantitative information about the wave-to-plasma interaction process: this paper presents a numerical study of the microwaves propagation and absorption inside the plasma chamber of the PHOENIX charge breeder, which the selective production of exotic species project, under construction at Legnaro National Laboratories, will adopt as charge breeder. Calculations were carried out with a commercial 3D FEM solver: first, all the resonant frequencies were determined by considering a simplified plasma chamber; then, the realistic geometry was taken into account, including a cold plasma model of increasing complexity. The results gave important information about the power absorption and losses and will allow the improvement of the plasma model to be used in a refined step of calculation reproducing the breeding process itself

  6. An inverted cylindrical sputter magnetron as metal vapor supply for electron cyclotron resonance ion sources

    Energy Technology Data Exchange (ETDEWEB)

    Weichsel, T., E-mail: tim.weichsel@fep.fraunhofer.de; Hartung, U.; Kopte, T. [Fraunhofer Institute for Electron Beam and Plasma Technology, 01277 Dresden (Germany); Zschornack, G. [Institute of Solid State Physics, Dresden University of Technology, 01062 Dresden, Germany and Helmholtz-Zentrum Dresden-Rossendorf e.V., Institute of Ion Beam Physics and Materials Research, Dresden (Germany); Kreller, M.; Silze, A. [DREEBIT GmbH, 01900 Grossroehrsdorf (Germany)

    2014-05-15

    An inverted cylindrical sputter magnetron device has been developed. The magnetron is acting as a metal vapor supply for an electron cyclotron resonance (ECR) ion source. FEM simulation of magnetic flux density was used to ensure that there is no critical interaction between both magnetic fields of magnetron and ECR ion source. Spatially resolved double Langmuir probe and optical emission spectroscopy measurements show an increase in electron density by one order of magnitude from 1 × 10{sup 10} cm{sup −3} to 1 × 10{sup 11} cm{sup −3}, when the magnetron plasma is exposed to the magnetic mirror field of the ECR ion source. Electron density enhancement is also indicated by magnetron plasma emission photography with a CCD camera. Furthermore, photographs visualize the formation of a localized loss-cone - area, when the magnetron is operated at magnetic mirror field conditions. The inverted cylindrical magnetron supplies a metal atom load rate of R > 1 × 10{sup 18} atoms/s for aluminum, which meets the demand for the production of a milliampere Al{sup +} ion beam.

  7. New development of advanced superconducting electron cyclotron resonance ion source SECRAL (invited)

    International Nuclear Information System (INIS)

    Zhao, H. W.; Sun, L. T.; Zhang, X. Z.; Guo, X. H.; Zhao, H. Y.; Feng, Y. C.; Li, J. Y.; Ma, H. Y.; Ma, B. H.; Wang, H.; Li, X. X.; Xie, D. Z.; Lu, W.; Cao, Y.; Shang, Y.

    2010-01-01

    Superconducting electron cyclotron resonance ion source with advance design in Lanzhou (SECRAL) is an 18-28 GHz fully superconducting electron cyclotron resonance (ECR) ion source dedicated for highly charged heavy ion beam production. SECRAL, with an innovative superconducting magnet structure of solenoid-inside-sextupole and at lower frequency and lower rf power operation, may open a new way for developing compact and reliable high performance superconducting ECR ion source. One of the recent highlights achieved at SECRAL is that some new record beam currents for very high charge states were produced by 18 GHz or 18+14.5 GHz double frequency heating, such as 1 e μA of 129 Xe 43+ , 22 e μA of 209 Bi 41+ , and 1.5 e μA of 209 Bi 50+ . To further enhance the performance of SECRAL, a 24 GHz/7 kW gyrotron microwave generator was installed and SECRAL was tested at 24 GHz. Some promising and exciting results at 24 GHz with new record highly charged ion beam intensities were produced, such as 455 e μA of 129 Xe 27+ and 152 e μA of 129 Xe 30+ , although the commissioning time was limited within 3-4 weeks and rf power only 3-4 kW. Bremsstrahlung measurements at 24 GHz show that x-ray is much stronger with higher rf frequency, higher rf power. and higher minimum mirror magnetic field (minimum B). Preliminary emittance measurements indicate that SECRAL emittance at 24 GHz is slightly higher that at 18 GHz. SECRAL has been put into routine operation at 18 GHz for heavy ion research facility in Lanzhou (HIRFL) accelerator complex since May 2007. The total operation beam time from SECRAL for HIRFL accelerator has been more than 2000 h, and 129 Xe 27+ , 78 Kr 19+ , 209 Bi 31+ , and 58 Ni 19+ beams were delivered. All of these new developments, the latest results, and long-term operation for the accelerator have again demonstrated that SECRAL is one of the best in the performance of ECR ion source for highly charged heavy ion beam production. Finally the future development

  8. GANIL Workshop on Ion Sources

    International Nuclear Information System (INIS)

    Leroy, Renan

    1999-01-01

    The proceedings of the GANIL Workshop on Ion Sources held at GANIL - Caen on 18-19 March 1999 contains 13 papers aiming at improving the old source operation and developing new types of sources for nuclear research and studies of ion physics. A number of reports are devoted to applications like surface treatment, ion implantation or fusion injection. The 1+→n+ transformation, charged particle transport in ECR sources, addition of cesium and xenon in negative ion sources and other basic issues in ion sources are also addressed

  9. State-selective electron capture

    International Nuclear Information System (INIS)

    Dunford, R.W.; Liu, C.J.; Berry, H.G.; Pardo, R.C.; Raphaelian, M.L.A.

    1988-01-01

    We report results from a new atomic physics program using the Argonne PII ECR ion source which is being built as part of the upgrade of the Argonne Tandem-Linear Accelerator (ATLAS). Our initial experiments have been aimed at studying state-selective electron capture in ion-atom collisions using the technique of Photon Emission Spectroscopy. We are extending existing cross section measurements at low energy ( 6+ and O 7+ on He and H 2 targets in the energy range from 1-105 keV/amu. We also present uv spectra obtained in collisions of O 6+ , O 5+ and N 5+ on a sodium target. 4 refs., 2 figs., 1 tab

  10. Fullerene-rare gas mixed plasmas in an electron cyclotron resonance ion source

    Energy Technology Data Exchange (ETDEWEB)

    Asaji, T., E-mail: asaji@oshima-k.ac.jp; Ohba, T. [Oshima National College of Maritime Technology, 1091-1 Komatsu, Suo-oshima, Oshima, Yamaguchi 742-2193 (Japan); Uchida, T.; Yoshida, Y. [Bio-Nano Electronics Research Centre, Toyo University, 2100 Kujirai, Kawagoe, Saitama 350-8585 (Japan); Minezaki, H.; Ishihara, S. [Graduate School of Engineering, Toyo University, 2100 Kujirai, Kawagoe, Saitama 350-8585 (Japan); Racz, R.; Biri, S. [Institute of Nuclear Research (ATOMKI), H-4026 Debrecen, Bem Tér 18/c (Hungary); Muramatsu, M.; Kitagawa, A. [National Institute of Radiological Sciences (NIRS), 4-9-1 Anagawa, Inage-ku, Chiba 263-8555 (Japan); Kato, Y. [Graduate School of Engineering, Osaka University, 2-1 Yamada-oka, Suita, Osaka 565-0871 (Japan)

    2014-02-15

    A synthesis technology of endohedral fullerenes such as Fe@C{sub 60} has developed with an electron cyclotron resonance (ECR) ion source. The production of N@C{sub 60} was reported. However, the yield was quite low, since most fullerene molecules were broken in the ECR plasma. We have adopted gas-mixing techniques in order to cool the plasma and then reduce fullerene dissociation. Mass spectra of ion beams extracted from fullerene-He, Ar or Xe mixed plasmas were observed with a Faraday cup. From the results, the He gas mixing technique is effective against fullerene destruction.

  11. Experiments on cleaning effects of TDC, GDC and ECR-DC in the JFT-2M tokamak

    International Nuclear Information System (INIS)

    Matsuzaki, Y.; Ogawa, H.; Miura, Y.; Ohtsuka, H.; Suzuki, N.; Yamauchi, T.; Tani, T.; Mori, M.

    1987-01-01

    The cleaning effects of Taylor-type discharge cleaning (TDC), glow discharge cleaning (GDC) and ECR discharge cleaning (ECR-DC) were studied in the JFT-2M tokamak by comparing the properties of resulting tokamak plasmas, by observing the surface composition of samples and by residual gas analysis. The operational parameters of the three discharge cleaning techniques were as follows; the plasma current for TDC is 20 kA, the DC current for GDC is 3 A and the RF power for ECR-DC is 2.3 kW. Parameters of the tokamak plasmas such as loop voltages, radiation losses, spectra emission of oxygen, maximum mean electron densities and profiles of electron temperature were improved as the TDC and ECR-DC proceeded. Changes in the surface composition of samples were measured by Auger electron spectrosopy. The results showed that during the TDC and ECR-DC oxygen was reduced, while GDC reduced mainly carbon. Residual gas analysis performed during discharge cleaning corroborated these results. (orig.)

  12. The producing of an ECR plasma using 2450MHz Whistler Wave and the investigating of its parameters

    International Nuclear Information System (INIS)

    Fang Yude; Zhang Jiande; Fu Keming; Lu Xiangyu; Liu Dengcheng; Wang Xianyu; Xie Weidong; Bao Dinghua; Yin Xiejin

    1988-12-01

    A stable ECR plasma was produced and sustained in HER mirror using 2450MHz Whistler wave. The parameters of the ECR plasma and their chaining characters were studied in detail and were compared with those of the DC discharge plasmas. The conclusion is that the ECR plasma is a high ionizability, low temperature, middle density plasma, its peak density may much exceed the cutoff density of the pump wave (when ω = ω pe ) and arrive at the order of 10 12 cm -3 . The ECR plasma includes some high energy hot electrons (20Kev-200Kev) and middle energy warm electrons (< 20Kev). Those two kinds of electron created some strong X-ray emissions in a wide frequency range. The ECR plasma has higher edge density and can strongly interact with the wall. (author). 9 refs, 17 figs

  13. Electron capture by multicharged ions at eV energies

    International Nuclear Information System (INIS)

    Havener, C.C.; Huq, M.S.; Meyer, F.W.; Phaneuf, R.A.

    1988-01-01

    A multicharged ion-atom merged-beams apparatus has been used in conjunction with the ORNL-ECR ion source to measure accurate absolute electron-capture cross sections in the energy range from below 1 eV/amu to 1500 eV/amu. Measurements for N/sup 3+,4+,5+/ /plus/ H(D) collisions indicate good agreement with available theoretical calculations. However, measurements with O 5+ /plus/ H(D) show an unexpected low-energy behavior which may be attributable to the ion-induced-dipole attraction between the reactants. Scaled Landau-Zener calculations presented here identify a transfer plus excitation channel which has the correct energy dependence at low energies. This finding suggest the need for a comprehensive coupled channel calculation which would include such product states. 25 refs., 8 figs

  14. PSI-ECRIT(S) a hybrid magnetic system with a mirror ratio of 10 for H-like heavy ion production and trapping

    CERN Document Server

    Biri, S; Hitz, D

    1999-01-01

    At the Paul Scherrer Institut ( PSI, Switzerland) an experimental program is started to measure the ground state shift and width of pionic hydrogen. To calibrate the crystal spectrometer X-ray transitions in hydrogen-like heavy ions (e.g. Ar17+) produced by ECR ion sources, are necessary. In PSI a superconducting cyclotron trap magnet originally developed for high energy experiments will be transformed into an ECR Ion Trap (ECRIT). The SC-magnet can deliver more than 4 Tesla magnetic fields with a mirror ratio of 2. A careful calculation showed this mirror ratio can be increased upto 10 and the trap can operate with frequencies between 5 and 20 GHz. To form a closed resonance zone a relatively large open structure (LBL-AECRU-type) NdFeB hexapole will be applied. The first tests will be performed with 6.4 GHz. Later higher frequencies (10 or 14.5 GHz) and the 2-frequency heating (6.4+10, 6.4+14.5 or 10+14.5) are planned to be applied to get enough quantity of H-like heavy ions. Since the main goal of this mach...

  15. Design of the accelerator stand with the ecr-source for simulation experiments on the of radiation damage of the steels of the nuclear industry

    International Nuclear Information System (INIS)

    Chalykh, B.B.; Kuybeda, R.P.; Kulevoy, T.V.; Ziyatdinova, A.V.

    2014-01-01

    The imitation experiments of irradiation resistivity for steels used in nuclear industry by heavy ion beams are promising demand for material properties investigation. The formation of the defects on the real-existing reactors is fraught with many difficulties such as a long-term session of exposure and induced radioactivity in samples. Simulation of radiation defects on accelerators of charged particles does not have such a drawback. To form radiation defects in matter, it is necessary to have beams of various ions with different energy. The concept of the test bench based on a ECR heavy-ion source for the imitation experiments of the materials resistance under irradiation is presented and discussed.

  16. Crystalline silicon thin film growth by ECR plasma CVD for solar cells

    International Nuclear Information System (INIS)

    Licai Wang

    1999-07-01

    This thesis describes the background, motivation and work carried out towards this PhD programme entitled 'Crystalline Silicon Thin Film Growth by ECR Plasma CVD for Solar Cells'. The fundamental principles of silicon solar cells are introduced with a review of silicon thin film and bulk solar cells. The development and prospects for thin film silicon solar cells are described. Some results of a modelling study on thin film single crystalline solar cells are given which has been carried out using a commercially available solar cell simulation package (PC-1D). This is followed by a description of thin film deposition techniques. These include Chemical Vapour Deposition (CVD) and Plasma-Assisted CVD (PACVD). The basic theory and technology of the emerging technique of Electron Cyclotron Resonance (ECR) PACVD, which was used in this research, are introduced and the potential advantages summarised. Some of the basic methods of material and cell characterisation are briefly described, together with the work carried out in this research. The growth by ECR PACVD at temperatures 2 illumination. The best efficiency in the ECR grown structures was 13.76% using an epitaxial emitter. Cell performance was analysed in detail and the factors controlling performance identified by fitting self-consistently the fight and dark current-voltage and spectral response data using PC-1D. Finally, the conclusions for this research and suggestions for further work are outlined. (author)

  17. Spatial distribution of charged particles along the ion-optical axis in electron cyclotron resonance ion sources. Experimental results

    International Nuclear Information System (INIS)

    Panitzsch, Lauri

    2013-01-01

    The experimental determination of the spatial distribution of charged particles along the ion-optical axis in electron cyclotron resonance ion sources (ECRIS) defines the focus of this thesis. The spatial distributions of different ion species were obtained in the object plane of the bending magnet (∼45 cm downstream from the plasma electrode) and in the plane of the plasma electrode itself, both in high spatial resolution. The results show that each of the different ion species forms a bloated, triangular structure in the aperture of the plasma electrode. The geometry and the orientation of these structures are defined by the superposition of the radial and axial magnetic fields. The radial extent of each structure is defined by the charge of the ion. Higher charge states occupy smaller, more concentrated structures. The total current density increases towards the center of the plasma electrode. The circular and star-like structures that can be observed in the beam profiles of strongly focused, extracted ion beams are each dominated by ions of a single charge state. In addition, the spatially resolved current density distribution of charged particles in the plasma chamber that impinge on the plasma electrode was determined, differentiating between ions and electrons. The experimental results of this work show that the electrons of the plasma are strongly connected to the magnetic field lines in the source and thus spatially well confined in a triangular-like structure. The intensity of the electrons increases towards the center of the plasma electrode and the plasma chamber, as well. These electrons are surrounded by a spatially far less confined and less intense ion population. All the findings mentioned above were already predicted in parts by simulations of different groups. However, the results presented within this thesis represent the first (and by now only) direct experimental verification of those predictions and are qualitatively transferable to other

  18. Spatial distribution of charged particles along the ion-optical axis in electron cyclotron resonance ion sources. Experimental results

    Energy Technology Data Exchange (ETDEWEB)

    Panitzsch, Lauri

    2013-02-08

    The experimental determination of the spatial distribution of charged particles along the ion-optical axis in electron cyclotron resonance ion sources (ECRIS) defines the focus of this thesis. The spatial distributions of different ion species were obtained in the object plane of the bending magnet ({approx}45 cm downstream from the plasma electrode) and in the plane of the plasma electrode itself, both in high spatial resolution. The results show that each of the different ion species forms a bloated, triangular structure in the aperture of the plasma electrode. The geometry and the orientation of these structures are defined by the superposition of the radial and axial magnetic fields. The radial extent of each structure is defined by the charge of the ion. Higher charge states occupy smaller, more concentrated structures. The total current density increases towards the center of the plasma electrode. The circular and star-like structures that can be observed in the beam profiles of strongly focused, extracted ion beams are each dominated by ions of a single charge state. In addition, the spatially resolved current density distribution of charged particles in the plasma chamber that impinge on the plasma electrode was determined, differentiating between ions and electrons. The experimental results of this work show that the electrons of the plasma are strongly connected to the magnetic field lines in the source and thus spatially well confined in a triangular-like structure. The intensity of the electrons increases towards the center of the plasma electrode and the plasma chamber, as well. These electrons are surrounded by a spatially far less confined and less intense ion population. All the findings mentioned above were already predicted in parts by simulations of different groups. However, the results presented within this thesis represent the first (and by now only) direct experimental verification of those predictions and are qualitatively transferable to

  19. Highly charged ions trapping for lifetime measurements; Piegeage d'ions tres charges pour la mesure de duree de vie d'etats metastables

    Energy Technology Data Exchange (ETDEWEB)

    Attia, D

    2007-10-15

    A new experimental setup dedicated to highly charged ion trapping is presented in this work. The final goal is to perform lifetime measurement of metastable states produced by our ECR (Electron Cyclotron Resonance) ion source. Lifetimes to be measured are in the range of a few ms and more. We have measured the lifetimes of the M1 transitions of the metastable states of Ar{sup 9+}, Ar{sup 13+} and Ar{sup 14+}. These measurements are useful to test the N-body problem in the relativistic range. The trap we have built, was designed a few years ago at the Weizman Institute in Israel, it allows ions with an energy of several keV to be trapped for lifetimes of about 1 second. This trap was originally designed to study the dynamics of excited molecules. We have shown for the first time how the trap operates and that it can operate with highly charged ions. We have studied the beam dynamics of highly charged ions and the trap has been tested with various species of ions and different charge states: from O{sup +} to O{sup 6+}, from Ar{sup 8+} to Ar{sup 13+}, and from Kr{sup 13+} to Kr{sup 20+}.

  20. Charge transfer cross-sections of argon ions colliding on argon atoms

    International Nuclear Information System (INIS)

    Aubert, J.; Bliman, S.; Chan-Tung, N.; Geller, R.; Jacquot, B.; Van Houtte, D.

    1980-04-01

    A device has been built to measure charge changing cross-sections of Argon ions colliding on argon atoms. It consists of an E.C.R. ion source (Micromafios) that delivers argon ions up to charge + 13. The ion source potential may be varied from 1 up to 10 kVolts. A first magnet is used to charge analyze the extracted beam. For a given separated charge state, the ion beam is passed in a collision cell whose pressure may be varied. The ions undergoing collisions on the target are analyzed by a second magnet and collected. The pressure is varied in the collision cell in order to check that the single collision condition is satisfied. It is shown that the ions do two types of collisions: charge exchange and stripping whose cross-sections are measured. Interpretation of charge exchange is proposed along yet classic theoretical approaches. As to stripping no available theory allows interpretation

  1. Development of DRAGON electron cyclotron resonance ion source at Institute of Modern Physics

    International Nuclear Information System (INIS)

    Lu, W.; Lin, S. H.; Xie, D. Z.; Zhang, X. Z.; Sha, S.; Zhang, W. H.; Cao, Y.; Guo, J. W.; Fang, X.; Guo, X. H.; Li, X. X.; Ma, H. Y.; Wu, Q.; Zhao, H. Y.; Ma, B. H.; Wang, H.; Zhu, Y. H.; Feng, Y. C.; Li, J. Y.; Li, J. Q.

    2012-01-01

    A new room temperature electron cyclotron resonance (ECR) ion source, DRAGON, is under construction at IMP. DRAGON is designed to operate at microwaves of frequencies of 14.5-18 GHz. Its axial solenoid coils are cooled with evaporative medium to provide an axial magnetic mirror field of 2.5 T at the injection and 1.4 T at the extraction, respectively. In comparison to other conventional room temperature ECR ion sources, DRAGON has so far the largest bore plasma chamber of inner diameter of 126 mm with maximum radial fields of 1.4-1.5 T produced by a non-Halbach permanent sextupole magnet.

  2. Plasma heating by radiofrequency in the electron cyclotron resonance (ECR)

    International Nuclear Information System (INIS)

    Cunha Raposo, C. da; Aihara, S.; Universidade Estadual de Campinas

    1982-01-01

    The characteristics of the experimental set-up mounted in the Physical Institute of UFF (Brazil) to produce the gas ionization by radio-frequency are shown and its behaviour when confined by a mirror-geometry magnetic field is studied. The diagnostic is made by a langmuir probe and a prisme spectrogaph is used in order to verify the nature of the ionized helium gas and the degree of purity through its spectral lines. The argon ionization by R.f. is produced in the 'LISA' machine obtain a plasma column of approximatelly 60 cm length and with the Langmuir probe the study of the profile distribution of the plasma parameters such as: electron temperature and density and floating potencial in function of the magnetic field variation is made. The main focus is given to the fundamental electron cyclotron resonance (ECR). A new expression on the ion saturation current (I sub(is)) produced by radiofrequency is developed. (L.C.) [pt

  3. Thermal Analysis of the Linac3 GTS-LHC ECR Ion Source

    CERN Document Server

    Fichera, Claudio; Toivanen, Ville Aleksi; Kuchler, Detlef; CERN. Geneva. ATS Department

    2017-01-01

    The Linac3 ion source produces lead beams by the vaporization of solid samples inside internal ovens. The geometry, materials and surface state of the oven elements are critical parameters influencing the temperature distribution in the environment, and thus the performance of the source. A finite element approach is proposed to evaluate the thermal response of the system at increasing heating powers. Comparisons between the simulations results and experimental measurements are given in order to validate the numerical model. Based on the obtained results, improvements to the existing setup are suggested.

  4. Development of 14.5 GHz electron cyclotron resonance ion source at KAERI

    International Nuclear Information System (INIS)

    Byung-Hoon, Oh; Sang-Ryul, In; Kwang-Won, Lee; Chang Seog, Seo; Jung-Tae, Jin; Dae-Sik, Chang; Seong Ho, Jeong; Chul-Kew Hwang

    2012-01-01

    A 14.5 GHz ECRIS has been designed and fabricated at KAERI (Korea Atomic Energy Research Institute) to produce multi-charged ion beam (especially for C 6+ ion beam) for medical application. The magnet system has copper conductor solenoid coils and a permanent magnet hexapole. A welded tube with aluminium and stainless steel is used for an ECR plasma chamber to improve the production of secondary electron. A klystron supplies microwave energy to the plasma. A movable beam extractor with 8 mm aperture covers different species and different charge numbers of the beam. Fabrication and initial experimental results on ECR plasma are discussed in this paper. The paper is followed by the slides of the presentation. (authors)

  5. Enhanced ECR ion source performance with an electron gun

    International Nuclear Information System (INIS)

    Xie, Z.; Lyneis, C.M.; Lam, R.S.; Lundgren, S.A.

    1991-01-01

    An electron gun for the advanced electron cyclotron resonance (AECR) source has been developed to increase the production of high charge state ions. The AECR source, which operates at 14 GHz, is being developed for the 88-in. cyclotron at Lawrence Berkeley Laboratory. The electron gun injects 10 to 150 eV electrons into the plasma chamber of the AECR. With the electron gun the AECR has produced at 10 kV extraction voltage 131 e μA of O 7+ , 13 e μA of O 8+ , 17 e μA of Ar 14+ , 2.2 e μA of Kr 25+ , 1 e μA of Xe 31+ , and 0.2 e μA of Bi 38+ . The AECR was also tested as a single stage source with a coating of SiO 2 on the plasma chamber walls. This significantly improved its performance compared to no coating, but direct injection of electrons with the electron gun produced the best results

  6. Plasmas in compact traps: From ion sources to multidisciplinary research

    Science.gov (United States)

    Mascali, D.; Musumarra, A.; Leone, F.; Galatà, A.; Romano, F. P.; Gammino, S.

    2017-09-01

    In linear (minimum-B) magneto-static traps dense and hot plasmas are heated by electromagnetic radiation in the GHz domain via the Electron Cyclotron Resonance (ECR). The values of plasma density, temperature and confinement times ( n_eτ_i>10^{13} cm ^{-3} s; T_e>10 keV) are similar to the ones of thermonuclear plasmas. The research in this field -devoted to heating and confinement optimization- has been supported by numerical modeling and advanced diagnostics, for probing the plasma especially in a non-invasive way. ECR-based systems are nowadays able to produce extremely intense (tens or hundreds of mA) beams of light ions (p, d, He), and relevant currents of heavier elements (C, O, N) up to heavy ions like Xe, Pb, U. Such beams can be extracted from the trap by a proper electrostatic system. The above-mentioned properties make these plasmas very attractive for interdisciplinary researches also, such as i) nuclear decays rates measurements in stellar-like conditions, ii) energy conversion studies, being exceptional sources of short-wavelength electromagnetic radiation (EUV, X-rays, hard X-rays and gammas, useful in material science and archaeometry), iii) environments allowing precise spectroscopical measurements as benchmarks for magnetized astrophysical plasmas. The talk will give an overview about the state-of-the-art in the field of intense ion sources, and some new perspectives for interdisciplinary research, with a special attention to the developments based at INFN-LNS.

  7. Hydrogen Recovery by ECR Plasma Pyrolysis of Methane, Phase I

    Data.gov (United States)

    National Aeronautics and Space Administration — Development of a microgravity and hypogravity compatible Electron Cyclotron Resonance (ECR) Plasma Methane Pyrolysis Reactor is proposed to recover hydrogen which is...

  8. Highly charged ion impact induced nanodefects in diamond

    Energy Technology Data Exchange (ETDEWEB)

    Makgato, T.N., E-mail: thuto.makgato@wits.ac.za [School of Physics, University of the Witwatersrand, Johannesburg 2050 (South Africa); Microscopy and Microanalysis Unit, University of the Witwatersrand, Johannesburg 2050 (South Africa); Sideras-Haddad, E. [School of Physics, University of the Witwatersrand, Johannesburg 2050 (South Africa); Centre of Excellence in Strong Materials, Physics Building, University of the Witwatersrand, Johannesburg 2050 (South Africa); Shrivastava, S. [School of Physics, University of the Witwatersrand, Johannesburg 2050 (South Africa); Schenkel, T. [E.O. Lawrence Berkeley National Laboratory, Berkeley, CA 94720 (United States); Ritter, R.; Kowarik, G.; Aumayr, F. [Institute of Applied Physics, TU Wien-Vienna University of Technology, 1040 Vienna (Austria); Crespo Lopez-Urrutia, J.; Bernitt, S.; Beilmann, C.; Ginzel, R. [Max-Planck Institute for Nuclear Physics, Saupfercheckweg 1, 69117 Heidelberg (Germany)

    2013-11-01

    We investigate the interaction of slow highly charged ion (SHCI) beams with insulating type Ib diamond (1 1 1) surfaces. Bismuth and Xenon SHCI beams produced using an Electron Beam Ion Trap (EBIT) and an Electron Cyclotron Resonance source (ECR) respectively, are accelerated onto type Ib diamond (1 1 1) surfaces with impact velocities up to ≈0.4 υ{sub Bohr}. SHCIs with charge states corresponding to potential energies between 4.5 keV and 110 keV are produced for this purpose. Atomic Force Microscopy analysis (AFM) of the diamond surfaces following SHCI impact reveals surface morphological modifications characterized as nanoscale craters (nano-craters). To interpret the results from Tapping Mode AFM analysis of the irradiated diamond surfaces we discuss the interplay between kinetic and potential energy in nano-crater formation using empirical data together with Stopping and Range of Ions in Matter (SRIM) Monte Carlo Simulations.

  9. Operation of Lanzhou all permanent electron cyclotron resonance ion source No. 2 on 320 kV platform with highly charged ions.

    Science.gov (United States)

    Lu, W; Li, J Y; Kang, L; Liu, H P; Li, H; Li, J D; Sun, L T; Ma, X W

    2014-02-01

    The 320 kV platform for multi-discipline research with highly charged ions is a heavy ion beam acceleration instrument developed by Institute of Modern Physics, which is dedicated to basic scientific researches such as plasma, atom, material physics, and astrophysics, etc. The platform has delivered ion beams of 400 species for 36,000 h. The average operation time is around 5000 h/year. With the beams provided by the platform, lots of outstanding progresses were made in various research fields. The ion source of the platform is an all-permanent magnet electron cyclotron resonance ion source, LAPECR2 (Lanzhou All Permanent ECR ion source No. 2). The maximum axial magnetic fields are 1.28 T at injection and 1.07 T at extraction, and the radial magnetic field is up to 1.21 T at the inner wall of the plasma chamber. The ion source is capable to produce low, medium, and high charge state gaseous and metallic ion beams, such as H(+), (40)Ar(8+), (129)Xe(30+), (209)Bi(33+), etc. This paper will present the latest result of LAPECR2 and the routine operation status for the high voltage platform.

  10. First operational tests of the positive-ion injector for ATLAS

    International Nuclear Information System (INIS)

    Bollinger, L.M.; Den Hartog, P.K.; Pardo, R.C.

    1989-01-01

    This paper summarizes the status and first operational experience with the positive-ion injector for ATLAS. The new injector consists of an ECR ion source on a 350-kV platform, followed by a superconducting injector linac of a new kind. In Phase I of this project, the ECR source, voltage platform, bunching system, beam-transport system, and a 3-MV injector linac were completed and tested in early 1989 by a successful acceleration of an 40 Ar 12+ beam. Most of the new system operated as planned, and the longitudinal emittance of the 36-MeV beam out of the injector was measured to be only 5 π keV-ns, much smaller than the emittance for the present tandem injector. When completed in 1990, the final injector linac will be enlarged to 12 MV, enough to allow the original ATLAS linac to accelerate uranium ions up to 8 MeV/u. 8 refs., 2 figs

  11. First operational tests of the positive-ion injector for ATLAS

    Energy Technology Data Exchange (ETDEWEB)

    Bollinger, L.M.; Den Hartog, P.K.; Pardo, R.C.; Shepard, K.W.; Benaroya, R.; Billquist, P.J.; Clifft, B.E.; Markovich, P.; Munson, F.H. Jr.; Nixon, J.M.

    1989-01-01

    This paper summarizes the status and first operational experience with the positive-ion injector for ATLAS. The new injector consists of an ECR ion source on a 350-kV platform, followed by a superconducting injector linac of a new kind. In Phase I of this project, the ECR source, voltage platform, bunching system, beam-transport system, and a 3-MV injector linac were completed and tested in early 1989 by a successful acceleration of an /sup 40/Ar/sup 12 +/ beam. Most of the new system operated as planned, and the longitudinal emittance of the 36-MeV beam out of the injector was measured to be only 5 ..pi.. keV-ns, much smaller than the emittance for the present tandem injector. When completed in 1990, the final injector linac will be enlarged to 12 MV, enough to allow the original ATLAS linac to accelerate uranium ions up to 8 MeV/u. 8 refs., 2 figs.

  12. Project ''OAE'' at Ganil, a project for increasing the heavy ion energies

    International Nuclear Information System (INIS)

    Ferme, J.

    1986-10-01

    GANIL is composed of three cyclotrons connected in series, with a stripper located between the last two stages. The general parameters have been chosen to fit the characteristics of the PIG ion source. With the advent of ECR sources, which can produce efficiently ions of higher charge state, an optimization of the system has been considered which will result in an increase of the energy range of medium and heavy ions. A few modifications of the machine are necessary and should be carefully prepared so as to minimize the duration of the shut-down planned at the beginning of 1989. Moreover, a systematic study of the axial injection of the first cyclotron has been undertaken in order to improve the intensity of the injected beam with respect to space charge

  13. Ion colliders

    Energy Technology Data Exchange (ETDEWEB)

    Fischer, W.

    2011-12-01

    Ion colliders are research tools for high-energy nuclear physics, and are used to test the theory of Quantum Chromo Dynamics (QCD). The collisions of fully stripped high-energy ions create matter of a temperature and density that existed only microseconds after the Big Bang. Ion colliders can reach higher densities and temperatures than fixed target experiments although at a much lower luminosity. The first ion collider was the CERN Intersecting Storage Ring (ISR), which collided light ions [77Asb1, 81Bou1]. The BNL Relativistic Heavy Ion Collider (RHIC) is in operation since 2000 and has collided a number of species at numerous energies. The CERN Large Hadron Collider (LHC) started the heavy ion program in 2010. Table 1 shows all previous and the currently planned running modes for ISR, RHIC, and LHC. All three machines also collide protons, which are spin-polarized in RHIC. Ion colliders differ from proton or antiproton colliders in a number of ways: the preparation of the ions in the source and the pre-injector chain is limited by other effects than for protons; frequent changes in the collision energy and particle species, including asymmetric species, are typical; and the interaction of ions with each other and accelerator components is different from protons, which has implications for collision products, collimation, the beam dump, and intercepting instrumentation devices such a profile monitors. In the preparation for the collider use the charge state Z of the ions is successively increased to minimize the effects of space charge, intrabeam scattering (IBS), charge change effects (electron capture and stripping), and ion-impact desorption after beam loss. Low charge states reduce space charge, intrabeam scattering, and electron capture effects. High charge states reduce electron stripping, and make bending and acceleration more effective. Electron stripping at higher energies is generally more efficient. Table 2 shows the charge states and energies in the

  14. Ion colliders

    International Nuclear Information System (INIS)

    Fischer, W.

    2010-01-01

    Ion colliders are research tools for high-energy nuclear physics, and are used to test the theory of Quantum Chromo Dynamics (QCD). The collisions of fully stripped high-energy ions create matter of a temperature and density that existed only microseconds after the Big Bang. Ion colliders can reach higher densities and temperatures than fixed target experiments although at a much lower luminosity. The first ion collider was the CERN Intersecting Storage Ring (ISR), which collided light ions (77Asb1, 81Bou1). The BNL Relativistic Heavy Ion Collider (RHIC) is in operation since 2000 and has collided a number of species at numerous energies. The CERN Large Hadron Collider (LHC) started the heavy ion program in 2010. Table 1 shows all previous and the currently planned running modes for ISR, RHIC, and LHC. All three machines also collide protons, which are spin-polarized in RHIC. Ion colliders differ from proton or antiproton colliders in a number of ways: the preparation of the ions in the source and the pre-injector chain is limited by other effects than for protons; frequent changes in the collision energy and particle species, including asymmetric species, are typical; and the interaction of ions with each other and accelerator components is different from protons, which has implications for collision products, collimation, the beam dump, and intercepting instrumentation devices such a profile monitors. In the preparation for the collider use the charge state Z of the ions is successively increased to minimize the effects of space charge, intrabeam scattering (IBS), charge change effects (electron capture and stripping), and ion-impact desorption after beam loss. Low charge states reduce space charge, intrabeam scattering, and electron capture effects. High charge states reduce electron stripping, and make bending and acceleration more effective. Electron stripping at higher energies is generally more efficient. Table 2 shows the charge states and energies in the

  15. Towards Coleoptera-specific high-throughput screening systems for compounds with ecdysone activity: development of EcR reporter assays using weevil (Anthonomus grandis)-derived cell lines and in silico analysis of ligand binding to A. grandis EcR ligand-binding pocket.

    Science.gov (United States)

    Soin, Thomas; Iga, Masatoshi; Swevers, Luc; Rougé, Pierre; Janssen, Colin R; Smagghe, Guy

    2009-08-01

    Molting in insects is regulated by ecdysteroids and juvenile hormones. Several synthetic non-steroidal ecdysone agonists are on the market as insecticides. These ecdysone agonists are dibenzoylhydrazine (DBH) analogue compounds that manifest their toxicity via interaction with the ecdysone receptor (EcR). Of the four commercial available ecdysone agonists, three (tebufenozide, methoxyfenozide and chromafenozide) are highly lepidopteran specific, one (halofenozide) is used to control coleopteran and lepidopteran insects in turf and ornamentals. However, compared to the very high binding affinity of these DBH analogues to lepidopteran EcRs, halofenozide has a low binding affinity for coleopteran EcRs. For the discovery of ecdysone agonists that target non-lepidopteran insect groups, efficient screening systems that are based on the activation of the EcR are needed. We report here the development and evaluation of two coleopteran-specific reporter-based screening systems to discover and evaluate ecdysone agonists. The screening systems are based on the cell lines BRL-AG-3A and BRL-AG-3C that are derived from the weevil Anthonomus grandis, which can be efficiently transduced with an EcR reporter cassette for evaluation of induction of reporter activity by ecdysone agonists. We also cloned the almost full length coding sequence of EcR expressed in the cell line BRL-AG-3C and used it to make an initial in silico 3D-model of its ligand-binding pocket docked with ponasterone A and tebufenozide.

  16. Molecular and negative ion production by a standard electron cyclotron resonance ion source

    Energy Technology Data Exchange (ETDEWEB)

    Racz, R. [Institute of Nuclear Research (ATOMKI), Bem ter 18/c, H-4026 Debrecen (Hungary); University of Debrecen, Egyetem ter 1, H-4010 Debrecen (Hungary); Biri, S.; Juhasz, Z.; Sulik, B. [Institute of Nuclear Research (ATOMKI), Bem ter 18/c, H-4026 Debrecen (Hungary); Palinkas, J. [University of Debrecen, Egyetem ter 1, H-4010 Debrecen (Hungary)

    2012-02-15

    Molecular and negative ion beams, usually produced in special ion sources, play an increasingly important role in fundamental and applied atomic physics. The ATOMKI-ECRIS is a standard ECR ion source, designed to provide highly charged ion (HCI) plasmas and beams. In the present work, H{sup -}, O{sup -}, OH{sup -}, O{sub 2}{sup -}, C{sup -}, C{sub 60}{sup -} negative ions and H{sub 2}{sup +}, H{sub 3}{sup +}, OH{sup +}, H{sub 2}O{sup +}, H{sub 3}O{sup +}, O{sub 2}{sup +} positive molecular ions were generated in this HCI-ECRIS. Without any major modification in the source and without any commonly applied tricks (such as usage of cesium or magnetic filter), negative ion beams of several {mu}A and positive molecular ion beams in the mA range were successfully obtained.

  17. Beam dynamics of mixed high intensity highly charged ion Beams in the Q/A selector

    Energy Technology Data Exchange (ETDEWEB)

    Zhang, X.H., E-mail: zhangxiaohu@impcas.ac.cn [Institute of Modern Physics, Chinese Academy of Sciences, Lanzhou 730000 (China); Yuan, Y.J.; Yin, X.J.; Qian, C.; Sun, L.T. [Institute of Modern Physics, Chinese Academy of Sciences, Lanzhou 730000 (China); Du, H.; Li, Z.S.; Qiao, J.; Wang, K.D. [Institute of Modern Physics, Chinese Academy of Sciences, Lanzhou 730000 (China); University of Chinese Academy of Sciences, Beijing 100049 (China); Zhao, H.W.; Xia, J.W. [Institute of Modern Physics, Chinese Academy of Sciences, Lanzhou 730000 (China)

    2017-06-11

    Electron cyclotron resonance (ECR) ion sources are widely used in heavy ion accelerators for their advantages in producing high quality intense beams of highly charged ions. However, it exists challenges in the design of the Q/A selection systems for mixed high intensity ion beams to reach sufficient Q/A resolution while controlling the beam emittance growth. Moreover, as the emittance of beam from ECR ion sources is coupled, the matching of phase space to post accelerator, for a wide range of ion beam species with different intensities, should be carefully studied. In this paper, the simulation and experimental results of the Q/A selection system at the LECR4 platform are shown. The formation of hollow cross section heavy ion beam at the end of the Q/A selector is revealed. A reasonable interpretation has been proposed, a modified design of the Q/A selection system has been committed for HIRFL-SSC linac injector. The features of the new design including beam simulations and experiment results are also presented.

  18. MIVOC Method at the mVINIS Ion Source

    International Nuclear Information System (INIS)

    Jovovic, J.; Cvetic, J.; Dobrosavljevic, A.; Nedeljkovic, T.; Draganic, I.

    2007-01-01

    We have used the well-known metal-ions-from-volatile- compounds (MIVOC) method with the mVINIS Ion Source to produce multiply charged ion beams form solid substances. Using this method very intense stable multiply charged ion beams of several solid substances having high melting points were obtained. The yields and spectrum of the multiply charged ion beams obtained from Hf will be presented. A hafnium ion beam spectrum was recorded at an ECR ion source for the first time. We have utilized the multiply charged ion beams from solid substances to irradiate the polymer, fullerene and glassy carbon samples at the channel for modification of materials (L3A). (author)

  19. Particle behavior in an ECR plasma etch tool

    International Nuclear Information System (INIS)

    Blain, M.G.; Tipton, G.D.; Holber, W.M.; Westerfield, P.L.; Maxwell, K.L.

    1993-01-01

    Sources of particles in a close-coupled electron cyclotron resonance (ECR) polysilicon plasma etch source include flaking of films deposited on chamber surfaces, and shedding of material from electrostatic wafer chucks. A large, episodic increase in the number of particles added to a wafer in a clean system is observed more frequently for a plasma-on than for a gas-only source condition. For polymer forming process conditions, particles were added to wafers by a polymer film which was observed to fracture and flake away from chamber surfaces. The presence of a plasma, especially when rf bias is applied to the wafer, caused more particles to be ejected from the walls and added to wafers than the gas-only condition; however, no significant influence was observed with different microwave powers. A study of effect of electrode temperatures on particles added showed that thermophoretic forces are not significant for this ECR configuration. Particles originating from the electrostatic chuck were observed to be deposited on wafers in much larger numbers in the presence of the plasma as compared to gas-only conditions

  20. Design of a New Acceleration System for High-Current Pulsed Proton Beams from an ECR Source

    Science.gov (United States)

    Cooper, Andrew L.; Pogrebnyak, Ivan; Surbrook, Jason T.; Kelly, Keegan J.; Carlin, Bret P.; Champagne, Arthur E.; Clegg, Thomas B.

    2014-03-01

    A primary objective for accelerators at TUNL's Laboratory for Experimental Nuclear Astrophysics (LENA) is to maximize target beam intensity to ensure a high rate of nuclear events during each experiment. Average proton target currents of several mA are needed from LENA's electron cyclotron resonance (ECR) ion source because nuclear cross sections decrease substantially at energies of interest tube structures; and provide better heat dissipation by using deionized water to provide the current drain needed to establish the accelerating tube's voltage gradient. Details of beam optical modeling calculations, proposed accelerating tube design, and initial beam pulsing tests will be described. Work supported in part by USDOE Office of HE and Nuclear Physics.

  1. Negative-ion states

    International Nuclear Information System (INIS)

    Compton, R.N.

    1982-01-01

    In this brief review, we discuss some of the properties of atomic and molecular negative ions and their excited states. Experiments involving photon reactions with negative ions and polar dissociation are summarized. 116 references, 14 figures

  2. Proceedings of the workshop on opportunities for atomic physics using slow, highly-charged ions

    International Nuclear Information System (INIS)

    1987-01-01

    The study of atomic physics with highly-charged ions is an area of intense activity at the present time because of a convergence of theoretical interest and advances in experimental techniques. The purpose of the Argonne ''Workshop on Opportunities for Atomic Physics Using Slow, Highly-Charged Ions'' was to bring together atomic, nuclear, and accelerator physicists in order to identify what new facilities would be most useful for the atomic physics community. The program included discussion of existing once-through machines, advanced ion sources, recoil ion techniques, ion traps, and cooler rings. One of the topics of the Workshop was to discuss possible improvement to the ANL Tandem-Linac facility (ATLAS) to enhance the capability for slowing down ions after they are stripped to a high-charge state (the Accel/Decel technique). Another topic was the opportunity for atomic physics provided by the ECR ion source which is being built for the Uranium Upgrade of ATLAS. 18 analytics were prepared for the individual papers in this volume

  3. Production and acceleration of Ca-beams with the ECR source in the Jinr-Ganil experiment

    International Nuclear Information System (INIS)

    Lukyanov, S.M.; Artukh, A.G.; Gvozdev, B.A.; Kutner, V.B.; Penionzhkevich, Y.E.; Bex, L.; Bourgarel, M.P.; Ferme, J.

    1989-01-01

    The results of production and acceleration of 48 Ca-beams with the ECR-source are described. For this purpose a special technique has been developed which allows the metal to be extracted from the oxide with the aluminium as an acceptor. The mean rate of consumption of 48 Ca was about 2 mg/h and the beam intensity was about 15μA on charge state 6. The method for recuperation of used calcium is presented

  4. Studies of the ECR plasma in the visible light range

    International Nuclear Information System (INIS)

    Biri, S.; Racz, R.; Palinkas, J.

    2012-01-01

    High resolution visible light (VL) plasma photographs were taken at the ATOMKI-ECRIS by an 8 mega-pixel digital camera. Plasmas were generated from gases of He, methane, N, O, Ne, Ar, Kr, Xe and from their mixtures. The analysis of the photo series gave many qualitative and numerous valuable physical information on the nature of ECR plasmas. VL photos convey information mainly on the cold electron component of the plasma. Cold electrons are confined in the central part of the plasma. It is a further challenging task to understand the colors of this special type of plasmas. The colors can be determined by the VL electron transitions of the plasma atoms and ions combined with the human eye sensitivity. There is a good visual agreement between the calculated normalized color and the real color of the plasmas. Through the examples of He and Xe we analyze the physical processes which affect the characteristic colors of these plasmas. The paper is followed by the slides of the presentation. (authors)

  5. Advanced light ion source extraction system for a new electron cyclotron resonance ion source geometry at Saclay

    Energy Technology Data Exchange (ETDEWEB)

    Delferriere, O.; Gobin, R.; Harrault, F.; Nyckees, S.; Sauce, Y.; Tuske, O. [Commissariat a l' Energie Atomique, CEA/Saclay, DSM/IRFU, 91191 Gif/Yvette (France)

    2012-02-15

    One of the main goal of intense light ion injector projects such as IPHI, IFMIF, or SPIRAL2, is to produce high current beams while keeping transverse emittance as low as possible. To prevent emittance growth induced in a dual solenoid low energy transfer line, its length has to be minimized. This can be performed with the advanced light ion source extraction system concept that we are developing: a new ECR 2.45 GHz type ion source based on the use of an additional low energy beam transport (LEBT) short length solenoid close to the extraction aperture to create the resonance in the plasma chamber. The geometry of the source has been considerably modified to allow easy maintenance of each component and to save space in front of the extraction. The source aims to be very flexible and to be able to extract high current ion beams at energy up to 100 kV. A specific experimental setup for this source is under installation on the BETSI test bench, to compare its performances with sources developed up to now in the laboratory, such as SILHI, IFMIF, or SPIRAL2 ECR sources. This original extraction source concept is presented, as well as electromagnetic simulations with OPERA-2D code. Ion beam extraction in space charge compensation regime with AXCEL, and beam dynamics simulation with SOLMAXP codes show the beam quality improvement at the end of the LEBT.

  6. Developing laser ablation in an electron cyclotron resonance ion source for actinide detection with AMS

    Energy Technology Data Exchange (ETDEWEB)

    Bauder, W. [Argonne National Laboratory, Physics Division, 9600 S. Cass Ave, Lemont, IL 60439 (United States); University of Notre Dame, Nuclear Science Laboratory, 124 Nieuwland Science Hall, Notre Dame, IN 46556 (United States); Pardo, R.C.; Kondev, F.G.; Kondrashev, S.; Nair, C.; Nusair, O. [Argonne National Laboratory, Physics Division, 9600 S. Cass Ave, Lemont, IL 60439 (United States); Palchan, T. [Hebrew University, Racah Institute of Physics, Jerusalem 91904 (Israel); Scott, R.; Seweryniak, D.; Vondrasek, R. [Argonne National Laboratory, Physics Division, 9600 S. Cass Ave, Lemont, IL 60439 (United States); Collon, P. [University of Notre Dame, Nuclear Science Laboratory, 124 Nieuwland Science Hall, Notre Dame, IN 46556 (United States); Paul, M. [Hebrew University, Racah Institute of Physics, Jerusalem 91904 (Israel)

    2015-10-15

    A laser ablation material injection system has been developed at the ATLAS electron cyclotron resonance (ECR) ion source for use in accelerator mass spectrometry experiments. Beam production with laser ablation initially suffered from instabilities due to fluctuations in laser energy and cratering on the sample surface by the laser. However, these instabilities were rectified by applying feedback correction for the laser energy and rastering the laser across the sample surface. An initial experiment successfully produced and accelerated low intensity actinide beams with up to 1000 counts per second. With continued development, laser ablation shows promise as an alternative material injection scheme for ECR ion sources and may help substantially reduce cross talk in the source.

  7. Development status of electron cyclotron resonance ion sources (ECRIS). Vol. 2

    Energy Technology Data Exchange (ETDEWEB)

    Zakhary, S G [Ion Sources and Accelerators Department, Nuclear Research Center, Atomic Energy Authority, Cairo, (Egypt)

    1996-03-01

    The present review provides a very brief introduction of the historical development of this recent trend type of ion sources. There are two main types of this source which use the microwave power (2.45 up to 20 GHz). ECR ion sources that can generate substantial currents of very high charge state ions ( for example ions of U with charge state +39, with intensities of a few hundred nano amperes for injection directly into cyclotrons or synchrotrons), and the microwave sources that can generate currents (100-500 mA) for ion implanters and accelerator injectors. In this work, the theory of the microwave discharge and influence of resonance on increasing the power density consumed by the discharge are studied. The power density consumed by the discharge is found to increase with increase of number of electrons in the discharge, and decreases with increase of discharge pressure. The description of the main components and factors affecting the design of the source are declared. Also the factors enhancing source performance such as: plasma cooling by the addition of light ions which absorb energy from the heavy ions thereby increasing the lifetime of the heavy ions, and increasing the extent of highly charged ions. Injection of electrons into the discharge increases the extracted ion current, and the decrease of the magnetic field in the extraction region decreases the beam emittance. 12 figs.

  8. Note: Development of ESS Bilbao's proton ion source: Ion Source Hydrogen Positive

    International Nuclear Information System (INIS)

    Miracoli, R.; Feuchtwanger, J.; Arredondo, I.; Belver, D.; Gonzalez, P. J.; Corres, J.; Djekic, S.; Echevarria, P.; Eguiraun, M.; Garmendia, N.; Muguira, L.

    2014-01-01

    The Ion Source Hydrogen positive is a 2.7 GHz off-resonance microwave discharge ion source. It uses four coils to generate an axial magnetic field in the plasma chamber around 0.1 T that exceeds the ECR resonance field. A new magnetic system was designed as a combination of the four coils and soft iron in order to increase the reliability of the source. The description of the simulations of the magnetic field and the comparison with the magnetic measurements are presented. Moreover, results of the initial commissioning of the source for extraction voltage until 50 kV will be reported

  9. New beam for the CERN fixed target heavy ion programme

    CERN Document Server

    Hill, C E; O'Neill, M

    2002-01-01

    The physicists of the CERN heavy ion community (SPS fixed target physics) have requested lighter ions than the traditional lead ions, to scale their results and to check their theories. Studies have been carried out to investigate the behaviour of the ECR4 for the production of an indium beam. Stability problems and the low melting point of indium required some modifications to the oven power control system which will also benefit normal lead ion production. Present results of the source behaviour and the ion beam characteristics will be presented.

  10. The effects of varying plasma parameters on silicon thin film growth by ECR plasma CVD

    International Nuclear Information System (INIS)

    Summers, S.; Reehal, H.S.; Shirkoohi, G.H.

    2001-01-01

    The technique of electron cyclotron resonance (ECR) plasma enhanced chemical vapour deposition (PECVD) is increasingly being used in electronic and photonic device applications. ECR offers a number of advantages including improved control of the deposition process, less damage to the growing film and the possibility of high deposition rates. ECR occurs in a plasma under appropriate magnetic and electric field conditions. In most cases, as in our system, this is achieved with a combination of 2.45 GHz microwave radiation and a 0.0875 T magnetic field, due to the use of standardized microwave supplies. We have studied the effects on silicon film growth of changing the magnetic field configuration to produce one or more planes of ECR within the system, and of changing the positions of the plane(s) relative to the deposition substrate. The films were grown in silane-hydrogen discharges. The magnetic field in our system was provided by two electromagnets. It was measured experimentally for a number of operating current values and then a detailed profile achieved by modelling using a proprietary software package. A process condition discharge under identical magnetic field configurations to growth was analysed by the use of a Langmuir probe and the results correlated with film properties determined by Raman spectroscopy and Dektak profilometry. (author)

  11. Plasma heating due to X-B mode conversion in a cylindrical ECR plasma system

    Energy Technology Data Exchange (ETDEWEB)

    Yadav, V.K.; Bora, D. [Institute for Plasma Research, Bhat, Gandhinagar, Gujarat (India)

    2004-07-01

    Extra Ordinary (X) mode conversion to Bernstein wave near Upper Hybrid Resonance (UHR) layer plays an important role in plasma heating through cyclotron resonance. Wave generation at UHR and parametric decay at high power has been observed during Electron Cyclotron Resonance (ECR) heating experiments in toroidal magnetic fusion devices. A small linear system with ECR and UHR layer within the system has been used to conduct experiments on X-B conversion and parametric decay process as a function of system parameters. Direct probing in situ is conducted and plasma heating is evidenced by soft x-ray emission measurement. Experiments are performed with hydrogen plasma produced with 160-800 W microwave power at 2.45 GHz of operating frequency at 10{sup -3} mbar pressure. The axial magnetic field required for ECR is such that the resonant surface (B = 875 G) is situated at the geometrical axis of the plasma system. Experimental results will be presented in the paper. (authors)

  12. High-efficiency target-ion sources for RIB generation

    International Nuclear Information System (INIS)

    Alton, G.D.

    1993-01-01

    A brief review is given of high-efficiency ion sources which have been developed or are under development at ISOL facilities which show particular promise for use at existing, future, or radioactive ion beam (RIB) facilities now under construction. Emphasis will be placed on those sources which have demonstrated high ionization efficiency, species versatility, and operational reliability and which have been carefully designed for safe handling in the high level radioactivity radiation fields incumbent at such facilities. Brief discussions will also be made of the fundamental processes which affect the realizable beam intensities in target-ion sources. Among the sources which will be reviewed will be selected examples of state-of-the-art electron-beam plasma-type ion sources, thermal-ionization, surface-ionization, ECR, and selectively chosen ion source concepts which show promise for radioactive ion beam generation. A few advanced, chemically selective target-ion sources will be described, such as sources based on the use of laser-resonance ionization, which, in principle, offer a more satisfactory solution to isobaric contamination problems than conventional electromagnetic techniques. Particular attention will be given to the sources which have been selected for initial or future use at the Holifield Radioactive Ion Beam Facility now under construction at the Oak Ridge National Laboratory

  13. Indirect mechanisms in electron-impact ionization of multiply charged ions

    International Nuclear Information System (INIS)

    Phaneuf, R.A.; Gregory, D.C.

    1986-09-01

    The important role of indirect-ionization mechanisms in electron-impact ionization of multiply charged ions has been emphasized by some recent experiments conducted with the ORNL-ECR multicharged ion source. Illustrative examples of investigations of the Mg-isoelectronic and Fe-isonuclear sequences are presented and compared with the results of detailed theoretical calculations. New experimental data is also presented concerning the role of resonance effects in the ionization of Li-like O 5+ and Na-like Fe 15+ ions

  14. A study on prevention of an electric discharge at an extraction electrode of an electron cyclotron resonance ion source for cancer therapy.

    Science.gov (United States)

    Kishii, Y; Kawasaki, S; Kitagawa, A; Muramatsu, M; Uchida, T

    2014-02-01

    A compact ECR ion source has utilized for carbon radiotherapy. In order to increase beam intensity with higher electric field at the extraction electrode and be better ion supply stability for long periods, electric geometry and surface conditions of an extraction electrode have been studied. Focusing attention on black deposited substances on the extraction electrode, which were observed around the extraction electrode after long-term use, the relation between black deposited substances and the electrical insulation property is investigated. The black deposited substances were inspected for the thickness of deposit, surface roughness, structural arrangement examined using Raman spectroscopy, and characteristics of electric discharge in a test bench, which was set up to simulate the ECR ion source.

  15. Status of the positive ion injector for ATLAS

    Energy Technology Data Exchange (ETDEWEB)

    Den Hartog, P K; Benaroya, R; Bogaty, J M; Bollinger, L M; Clifft, B E; Craig, S L; Henderson, D; Markovich, P; Munson, F; Nixon, J M; Pardo, R C; Phillips, D; Shepard, K W; Tilbrook, I; Zinkann, G [Argonne National Lab., IL (USA). Physics Div.

    1989-04-01

    The positive ion injector project will replace a High Voltage Engineering Corp. model FN 9 MV tandem electrostatic accelerator as the injector into the ATLAS superconducting heavy ion linear accelerator. It consists of an electron cyclotron resonance (ECR) ion source on a 350-kV platform injecting into a linac of individually phased superconducting resonators which have been optimized for ions with velocities as low as {beta} = 0.009. The resulting combination will extend the useful mass range of ATLAS to projectiles as heavy as uranium, while increasing the beam currents available by a factor of 100. (orig.).

  16. Status of the positive ion injector for ATLAS

    International Nuclear Information System (INIS)

    Den Hartog, P.K.; Benaroya, R.; Bogaty, J.M.

    1988-01-01

    The positive ion injector project will replace a High Voltage Engineering Corp. model FN 9 MV tandem electrostatic accelerator as the injector into the ATLAS superconducting heavy ion linear accelerator. It consists of an electron cyclotron resonance (ECR) ion source on a 350-kV platform injecting into a linac of individually phased superconducting resonators which have been optimized for ions with velocities as low as β = 0.009. The resulting combination will extend the useful mass range of ATLAS to projectiles as heavy as uranium, while increasing the beam currents available by a factor of 100. (2 refs., 2 figs., 1 tab.)

  17. Effect of ECR on the Learning and Memory Dysfunction of the Rats Induced by Aβ25-35 Involved in ChAT Activity

    Institute of Scientific and Technical Information of China (English)

    YANGSu-Fen; YANGZheng-Qin; LiYu; WuQin; HUANGXie-Nan; SUNAn-Sheng; ZHOUQi-Xin; SHIJing-Shan

    2004-01-01

    Objective: To explore the mechanism of Ecdysterone (ECR) in prevention of learning and memory dysfunction of the rats induced by β-amyloid peptide ( Aβ25-35 ). Methods: Ninety Wistar male rats were randomly divided into five groups, the control group, the model group, the treated groups (ECR 4mg·kg-1 and ECR 8mg·kg-1 and Nimodipine

  18. The new ECR charge breeder for the Selective Production of Exotic Species project at INFN—Laboratori Nazionali di Legnaro

    International Nuclear Information System (INIS)

    Galatà, A.; Patti, G.; Roncolato, C.; Angot, J.; Lamy, T.

    2016-01-01

    The Selective Production of Exotic Species (SPES) project is an ISOL facility under construction at Istituto Nazionale di Fisica Nucleare–Laboratori Nationali di Legnaro (INFN-LNL). 1+ radioactive ions, produced and extracted from the target-ion-source system, will be charge bred to high charge states by an ECR charge breeder (SPES-CB): the project will adopt an upgraded version of the PHOENIX charge breeder, developed since about twenty years by the Laboratoire de Physique Subatomique et de Cosmologie (LPSC). The collaboration between LNL and LPSC started in 2010 with charge breeding experiments performed on the LPSC test bench and led, in June 2014, to the signature of a Research Collaboration Agreement for the delivery of a complete charge breeder and ancillaries, satisfying the SPES requirements. Important technological aspects were tackled during the construction phase, as, for example, beam purity issues, electrodes alignment, and vacuum sealing. This phase was completed in spring 2015, after which the qualification tests were carried out at LPSC on the 1+/q+ test stand. This paper describes the characteristics of the SPES-CB, with particular emphasis on the results obtained during the qualification tests: charge breeding of Ar, Xe, Rb, and Cs satisfied the SPES requirements for different intensities of the injected 1+ beam, showing very good performances, some of which are “best ever” for this device

  19. The new ECR charge breeder for the Selective Production of Exotic Species project at INFN—Laboratori Nazionali di Legnaro

    Science.gov (United States)

    Galatà, A.; Patti, G.; Roncolato, C.; Angot, J.; Lamy, T.

    2016-02-01

    The Selective Production of Exotic Species (SPES) project is an ISOL facility under construction at Istituto Nazionale di Fisica Nucleare-Laboratori Nationali di Legnaro (INFN-LNL). 1+ radioactive ions, produced and extracted from the target-ion-source system, will be charge bred to high charge states by an ECR charge breeder (SPES-CB): the project will adopt an upgraded version of the PHOENIX charge breeder, developed since about twenty years by the Laboratoire de Physique Subatomique et de Cosmologie (LPSC). The collaboration between LNL and LPSC started in 2010 with charge breeding experiments performed on the LPSC test bench and led, in June 2014, to the signature of a Research Collaboration Agreement for the delivery of a complete charge breeder and ancillaries, satisfying the SPES requirements. Important technological aspects were tackled during the construction phase, as, for example, beam purity issues, electrodes alignment, and vacuum sealing. This phase was completed in spring 2015, after which the qualification tests were carried out at LPSC on the 1+/q+ test stand. This paper describes the characteristics of the SPES-CB, with particular emphasis on the results obtained during the qualification tests: charge breeding of Ar, Xe, Rb, and Cs satisfied the SPES requirements for different intensities of the injected 1+ beam, showing very good performances, some of which are "best ever" for this device.

  20. Bremsstrahlung and Ion Beam Current Measurements with SuSI ECR Ion Source

    International Nuclear Information System (INIS)

    Ropponen, T.

    2012-01-01

    This series of slides presents: the Superconducting Source for Ions (SuSI), the X-ray measurement setup, the different collimation schemes, the flat B operation versus B(min) operation, and the impact of tuning ∇B while keeping fixed field profile

  1. Radiocarbon positive-ion mass spectrometry

    Energy Technology Data Exchange (ETDEWEB)

    Freeman, Stewart P.H.T.; Shanks, Richard P. [Scottish Universities Environmental Research Centre (SUERC), Scottish Enterprise Technology Park, East Kilbride G75 0QF (United Kingdom); Donzel, Xavier; Gaubert, Gabriel [Pantechnik S.A., 13 Rue de la Résistance, 14400 Bayeux (France)

    2015-10-15

    Proof-of-principle of a new mass spectrometric technique for radiocarbon measurement is demonstrated. Interfering nitrogen and hydrocarbon molecules are largely eliminated in a charge-exchange cell operating on non-metallic gas. The positive-to-negative ion conversion is the reverse of that conventionally used in accelerator mass spectrometry (AMS) and is compatible with plasma ion sources that may be significantly more efficient and capable of greater output than are AMS sputter ion sources. The Nanogan electron cyclotron resonance (ECR) ion source employed exhibited no sample memory and the >50 kyrs age range of AMS was reproduced. A bespoke prototype new instrument is now required to optimise the plasma and cell physics and to realise hypothetical performance gains over AMS.

  2. Radiocarbon positive-ion mass spectrometry

    International Nuclear Information System (INIS)

    Freeman, Stewart P.H.T.; Shanks, Richard P.; Donzel, Xavier; Gaubert, Gabriel

    2015-01-01

    Proof-of-principle of a new mass spectrometric technique for radiocarbon measurement is demonstrated. Interfering nitrogen and hydrocarbon molecules are largely eliminated in a charge-exchange cell operating on non-metallic gas. The positive-to-negative ion conversion is the reverse of that conventionally used in accelerator mass spectrometry (AMS) and is compatible with plasma ion sources that may be significantly more efficient and capable of greater output than are AMS sputter ion sources. The Nanogan electron cyclotron resonance (ECR) ion source employed exhibited no sample memory and the >50 kyrs age range of AMS was reproduced. A bespoke prototype new instrument is now required to optimise the plasma and cell physics and to realise hypothetical performance gains over AMS.

  3. Experimental study and simulation of the extraction conditions of a multicharged ion beam from an electron cyclotron resonance source

    International Nuclear Information System (INIS)

    Mandin, J.

    1996-01-01

    This thesis concerns the beam extraction studies of ECR Ion Sources for the SPIRAL project at GANIL (France). The optical properties (i.e. the emittances) of the radioactive ion beam production source is a crucial point in this project. We performed emittance measurements with a very high transport efficiency and developed a computer code for simulating the extraction and transport conditions. This simulation takes into account all the parameters acting on the extraction process: the characteristics of the ions and electrons emitted by the plasma, their space and energy distributions, the space charge, the magnetic filed of the source and the accelerating electric field. We explained the evolution of the emittances for two different types of ECR Ion Source. The simulation-experiment comparison showed us that the magnetic field and the intrinsic energy of the ions seem to be the most important parameters for explaining the overall emittance behaviour of the ECRIS. We precise their values and comment them. (author)

  4. Electron cyclotron resonance ion source plasma characterization by X-ray spectroscopy and X-ray imaging

    Energy Technology Data Exchange (ETDEWEB)

    Mascali, David, E-mail: davidmascali@lns.infn.it; Castro, Giuseppe; Celona, Luigi; Neri, Lorenzo; Gammino, Santo [INFN–Laboratori Nazionali del Sud, Via S. Sofia 62, 95125 Catania (Italy); Biri, Sándor; Rácz, Richárd; Pálinkás, József [Institute for Nuclear Research (Atomki), Hungarian Academy of Sciences, Bem tér 18/c, H-4026 Debrecen (Hungary); Caliri, Claudia [INFN–Laboratori Nazionali del Sud, Via S. Sofia 62, 95125 Catania (Italy); Università degli Studi di Catania, Dip.to di Fisica e Astronomia, via Santa Sofia 64, 95123 Catania (Italy); Romano, Francesco Paolo [INFN–Laboratori Nazionali del Sud, Via S. Sofia 62, 95125 Catania (Italy); CNR, Istituto per i Beni Archeologici e Monumentali, Via Biblioteca 4, 95124 Catania (Italy); Torrisi, Giuseppe [INFN–Laboratori Nazionali del Sud, Via S. Sofia 62, 95125 Catania (Italy); Università Mediterranea di Reggio Calabria, DIIES, Via Graziella, I-89100 Reggio Calabria (Italy)

    2016-02-15

    An experimental campaign aiming to investigate electron cyclotron resonance (ECR) plasma X-ray emission has been recently carried out at the ECRISs—Electron Cyclotron Resonance Ion Sources laboratory of Atomki based on a collaboration between the Debrecen and Catania ECR teams. In a first series, the X-ray spectroscopy was performed through silicon drift detectors and high purity germanium detectors, characterizing the volumetric plasma emission. The on-purpose developed collimation system was suitable for direct plasma density evaluation, performed “on-line” during beam extraction and charge state distribution characterization. A campaign for correlating the plasma density and temperature with the output charge states and the beam intensity for different pumping wave frequencies, different magnetic field profiles, and single-gas/gas-mixing configurations was carried out. The results reveal a surprisingly very good agreement between warm-electron density fluctuations, output beam currents, and the calculated electromagnetic modal density of the plasma chamber. A charge-coupled device camera coupled to a small pin-hole allowing X-ray imaging was installed and numerous X-ray photos were taken in order to study the peculiarities of the ECRIS plasma structure.

  5. Emittance study of a 28 GHz electron cyclotron resonance ion source for the Rare Isotope Science Project superconducting linear accelerator.

    Science.gov (United States)

    Park, Bum-Sik; Hong, In-Seok; Jang, Ji-Ho; Jin, Hyunchang; Choi, Sukjin; Kim, Yonghwan

    2016-02-01

    A 28 GHz electron cyclotron resonance (ECR) ion source is being developed for use as an injector for the superconducting linear accelerator of the Rare Isotope Science Project. Beam extraction from the ECR ion source has been simulated using the KOBRA3-INP software. The simulation software can calculate charged particle trajectories in three dimensional complex magnetic field structures, which in this case are formed by the arrangement of five superconducting magnets. In this study, the beam emittance is simulated to understand the effects of plasma potential, mass-to-charge ratio, and spatial distribution. The results of these simulations and their comparison to experimental results are presented in this paper.

  6. Effective hydrogenation and surface damage induced by MW-ECR plasma of fine-grained polycrystalline silicon

    Energy Technology Data Exchange (ETDEWEB)

    Madi, D. [Institut d' Electronique du Solide et des Systemes (InESS)-CNRS/UdS, Strasbourg (France); Universite de Jijel, Laboratoire d' Etudes et de Modelisation en Electrotechnique (LAMEL), Faculte des Sciences de l' Ingenieur, Jijel (Algeria); Prathap, P.; Focsa, A.; Slaoui, A. [Institut d' Electronique du Solide et des Systemes (InESS)-CNRS/UdS, Strasbourg (France); Birouk, B. [Universite de Jijel, Laboratoire d' Etudes et de Modelisation en Electrotechnique (LAMEL), Faculte des Sciences de l' Ingenieur, Jijel (Algeria)

    2010-06-15

    This work reports the investigations on the effects of the hydrogenation process of thin film polycrystalline n{sup +}pp{sup +} mesa silicon cells using MW-ECR plasma in a conventional PECVD system. Different operating parameters such as MW-ECR power, annealing temperature and the doping level of the emitter region were varied. The n{sup +}-type emitter regions were obtained by phosphorus diffusion in a conventional furnace using an oxide doping source containing phosphorus (P507 or P509 solutions, from Filmtronics Inc.). The MW hydrogenation was carried out at a sample temperature of 400 C for 60 min. Both types of emitters formed from P507 and P509 showed V{sub oc} of 155 mV and 206 mV, which increased linearly to 305 mV and 331 mV, respectively, after hydrogenation when the MW power varied from 200 to 650 W. However, the sheet resistances of the n{sup +} emitter region showed a slight increase depending upon hydrogenation power because of its etching. In a further study, hydrogenated samples were annealed in neutral or forming gas (FG) and we observed interesting results on V{sub oc} in the presence of FG. The FG annealing temperature study revealed a strong dependence of V{sub oc} on MW power, which affected the etching level of emitter and emitter dopant concentration, which controls the diffusion of hydrogen ions during post-hydrogenation step. The results were explained in detail by combining the effects of MW power and dopant level of the emitter. (orig.)

  7. The radioactive ion beam project at VECC, Kolkata – A status report

    Indian Academy of Sciences (India)

    should not be much above 10 4 torr in order that the ionization efficiency of the inte- .... the ECR source thereby drastically reducing its life-time. ... target-ion source) and then injected into an ECRIS after proper deceleration and focusing.

  8. Developmental regulation of ecdysone receptor (EcR and EcR-controlled gene expression during pharate-adult development of honeybees (Apis mellifera.

    Directory of Open Access Journals (Sweden)

    Tathyana Rachel Palo Mello

    2014-12-01

    Full Text Available Major developmental transitions in multicellular organisms are driven by steroid hormones. In insects, these, together with juvenile hormone (JH, control development, metamorphosis, reproduction and aging, and are also suggested to play an important role in caste differentiation of social insects. Here, we aimed to determine how EcR transcription and ecdysteroid titers are related during honeybee postembryonic development and what may actually be the role of EcR in caste development of this social insect. In addition, we expected that knocking-down EcR gene expression would give us information on the participation of the respective protein in regulating downstream targets of EcR. We found that in Apis mellifera females, EcR-A is the predominantly expressed variant in postembryonic development, while EcR-B transcript levels are higher in embryos, indicating an early developmental switch in EcR function. During larval and pupal stages, EcR-B expression levels are very low, while EcR-A transcripts are more variable and abundant in workers compared to queens. Strikingly, these transcript levels are opposite to the ecdysteroid titer profile. 20-hydroxyecdysone (20E application experiments revealed that low 20E levels induce EcR expression during development, whereas high ecdysteroid titers seem to be repressive. By means of RNAi-mediated knockdown (KD of both EcR transcript variants we detected the differential expression of 234 poly-A+ transcripts encoding genes such as CYPs, MRJPs and certain hormone response genes (Kr-h1 and ftz-f1. EcR-KD also promoted the differential expression of 70 miRNAs, including highly conserved ones (e.g. miR-133 and miR-375, as well honeybee-specific ones (e.g. miR-3745 and miR-3761. Our results put in evidence a broad spectrum of EcR-controlled gene expression during postembryonic development of honeybees, revealing new facets of EcR biology in this social insect.

  9. Proceedings of the workshop on opportunities for atomic physics using slow, highly-charged ions

    Energy Technology Data Exchange (ETDEWEB)

    1987-01-01

    The study of atomic physics with highly-charged ions is an area of intense activity at the present time because of a convergence of theoretical interest and advances in experimental techniques. The purpose of the Argonne ''Workshop on Opportunities for Atomic Physics Using Slow, Highly-Charged Ions'' was to bring together atomic, nuclear, and accelerator physicists in order to identify what new facilities would be most useful for the atomic physics community. The program included discussion of existing once-through machines, advanced ion sources, recoil ion techniques, ion traps, and cooler rings. One of the topics of the Workshop was to discuss possible improvement to the ANL Tandem-Linac facility (ATLAS) to enhance the capability for slowing down ions after they are stripped to a high-charge state (the Accel/Decel technique). Another topic was the opportunity for atomic physics provided by the ECR ion source which is being built for the Uranium Upgrade of ATLAS. 18 analytics were prepared for the individual papers in this volume.

  10. Spectrophotometric Study of Ternary Complex Forming Systems of Some Lanthanide Metal Ions with Eriochrome Cyanine R in Presence of Cetylpyridinium Bromide for Microdetermination

    Directory of Open Access Journals (Sweden)

    A. S. Dhepe

    2011-01-01

    Full Text Available Study of coordination compounds of lanthanide elements has received a great attention due to growing applications in science and technology. Number of chromogenic reagents form water soluble colored complexes with lanthanides. Eriochrome cyanine R (ECR a member of triphenylmethane type of dye has been reported to form green colored complexes with lanthanides and has been used for microdetermination of these metal ions. Addition of cationic surfactant, Cetylpyridinium bromide (CPB, a cationic surfactant sensitizes the color reactions of Gd(III, Tb(III, Dy(III, Ho(III and Lu(III with ECR. Formation of water soluble, highly colored ternary complexes with a considerable bathochromic shift of about 50 nm in presence of surfactant has been observed. Optimum reaction conditions and other analytical parameters were also evaluated. Stoichiometric ratio 1:3:3 of Ln: ECR: CPB are responsible for the observed rise in molar absorptivity and sensitivity. Beer’s law was obeyed between 0.50 to 13.00 ppm. Effective photometric range and molar absorptivity of these ternary complexes have been calculated. Effect of some common interfering ions on determination of these lanthanide metal ions was studied. A simple, rapid and highly sensitive spectrophotometeric method has been proposed for the determination of metal ions understudy.

  11. The ATLAS positive ion injector

    International Nuclear Information System (INIS)

    Shepard, K.W.; Bollinger, L.M.; Pardo, R.C.

    1990-01-01

    This paper reviews the design, construction status, and beam tests to date of the positive ion injector (PII) which is replacing the tandem injector for the ATLAS heavy-ion facility. PII consists of an ECR ion source on a 350 KV platform injecting a very low velocity superconducting linac. The linac is composed of an independently-phased array of superconducting four-gap interdigital resonators which accelerate over a velocity range of .006 to .05c. In finished form, PII will be able to inject ions as heavy as uranium into the existing ATLAS linac. Although at the present time little more than 50% of the linac is operational, the indenpently-phased array is sufficiently flexible that ions in the lower half of the periodic table can be accelerated and injected into ATLAS. Results of recent operational experience will be discussed. 5 refs

  12. The ATLAS positive ion injector

    Energy Technology Data Exchange (ETDEWEB)

    Shepard, K.W.; Bollinger, L.M.; Pardo, R.C.

    1990-01-01

    This paper reviews the design, construction status, and beam tests to date of the positive ion injector (PII) which is replacing the tandem injector for the ATLAS heavy-ion facility. PII consists of an ECR ion source on a 350 KV platform injecting a very low velocity superconducting linac. The linac is composed of an independently-phased array of superconducting four-gap interdigital resonators which accelerate over a velocity range of .006 to .05c. In finished form, PII will be able to inject ions as heavy as uranium into the existing ATLAS linac. Although at the present time little more than 50% of the linac is operational, the indenpently-phased array is sufficiently flexible that ions in the lower half of the periodic table can be accelerated and injected into ATLAS. Results of recent operational experience will be discussed. 5 refs.

  13. Microwave frequency dependence of the properties of the ion beam extracted from a CAPRICE type ECRIS

    International Nuclear Information System (INIS)

    Maimone, F.; Tinschert, K.; Spaedtke, P.; Maeder, J.; Rossbach, J.; Lang, R.; Celona, L.

    2012-01-01

    In order to improve the quality of ion beams extracted from ECR ion sources it is mandatory to better understand the relations between the plasma conditions and the beam properties. The present investigations concentrate on the analysis of different beam properties under the influence of various applications of frequency tuning and of multiple frequency heating. The changes in the microwave frequency feeding the plasma affect the electromagnetic field distribution and the dimension and position of the ECR surface inside the plasma chamber. This in turn has an influence on the generation of the extracted ion beam in terms of intensity, shape and emittance. In order to analyze the corresponding effects, measurements have been performed with the CAPRICE-Type ECRIS installed at the ECR Injector Setup (EIS) of GSI. The experimental setup uses a microwave sweep generator which feeds a TWTA (Traveling Wave Tube Amplifier) covering a wide frequency range from 12.5 to 16.5 GHz. This arrangement provides a precise determination of the frequencies and of the reflection coefficient along with the beam properties and it confirms again how the frequency and the corresponding electromagnetic field feeding the plasma affects the ECRIS performances. A sequence of viewing targets positioned inside the beam line monitors the beam shape evolution. The paper is followed by the associated poster

  14. Plasma potentials and performance of the advanced electron cyclotron resonance ion source

    International Nuclear Information System (INIS)

    Xie, Z.Q.; Lyneis, C.M.

    1994-01-01

    The mean plasma potential was measured on the LBL advanced electron cyclotron resonance (AECR) ion source for a variety of conditions. The mean potentials for plasmas of oxygen, argon, and argon mixed with oxygen in the AECR were determined. These plasma potentials are positive with respect to the plasma chamber wall and are on the order of tens of volts. Electrons injected into the plasma by an electron gun or from an aluminum oxide wall coating with a very high secondary electron emission reduce the plasma potential as does gas mixing. A lower plasma potential in the AECR source coincides with enhanced production of high charged state ions indicating longer ion confinement times. The effect of the extra electrons from external injection or wall coatings is to lower the average plasma potential and to increase the n e τ i of the ECR plasma. With sufficient extra electrons, the need for gas mixing can be eliminated or reduced to a lower level, so the source can operate at lower neutral pressures. A reduction of the neutral pressure decreases charge exchange between ions and neutrals and enhances the production of high charge state ions. An aluminum oxide coating results in the lowest plasma potential among the three methods discussed and the best source performance

  15. HISTRAP proposal: heavy-ion storage ring for atomic physics

    Energy Technology Data Exchange (ETDEWEB)

    Olsen, D K; Alton, G D; Datz, S; Dittner, P F; Dowling, D T; Haynes, D L; Hudson, E D; Johnson, J W; Lee, I Y; Lord, R S

    1987-04-01

    HISTRAP, Heavy-Ion Storage Ring for Atomic Physics, is a proposed 46.8-m-circumference synchrotron-cooling-storage ring optimized to accelerate, decelerate, and store beams of highly charge very-heavy ions at energies appropriate for advanced atomic physics research. The ring is designed to allow studies of electron-ion, photon-ion, ion-atom, and ion-ion interactions. An electron cooling system will provide ion beams with small angular divergence and energy spread for precision spectroscopic studies and also is necessary to allow the deceleration of heavy ions to low energies. HISTRAP will have a maximum bending power of 2.0 T m and will be injected with ions from either the existing Holifield Heavy Ion Research Facility 25-MV tandem accelerator or from a dedicated ECR source and 250 keV/nucleon RFQ linac.

  16. Emittance studies of the 2.45 GHz permanent magnet ECR ion source

    Science.gov (United States)

    Zelenak, A.; Bogomolov, S. L.; Yazvitsky, N. Yu.

    2004-05-01

    During the past several years different types of permanent magnet 2.45 GHz (electron cyclotron resonance) ion sources were developed for production of singly charged ions. Ion sources of this type are used in the first stage of DRIBs project, and are planned to be used in the MASHA mass separator. The emittance of the beam provided by the source is one of the important parameters for these applications. An emittance scanner composed from a set of parallel slits and rotary wire beam profile monitor was used for the studying of the beam emittance characteristics. The emittance of helium and argon ion beams was measured with different shapes of the plasma electrode for several ion source parameters: microwave power, source potential, plasma aperture-puller aperture gap distance, gas pressure. The results of measurements are compared with previous simulations of ion optics.

  17. Emittance studies of the 2.45 GHz permanent magnet ECR ion source

    International Nuclear Information System (INIS)

    Zelenak, A.; Bogomolov, S.L.; Yazvitsky, N.Yu.

    2004-01-01

    During the past several years different types of permanent magnet 2.45 GHz (electron cyclotron resonance) ion sources were developed for production of singly charged ions. Ion sources of this type are used in the first stage of DRIBs project, and are planned to be used in the MASHA mass separator. The emittance of the beam provided by the source is one of the important parameters for these applications. An emittance scanner composed from a set of parallel slits and rotary wire beam profile monitor was used for the studying of the beam emittance characteristics. The emittance of helium and argon ion beams was measured with different shapes of the plasma electrode for several ion source parameters: microwave power, source potential, plasma aperture-puller aperture gap distance, gas pressure. The results of measurements are compared with previous simulations of ion optics

  18. Ion-induced crystal damage during plasma-assisted MBE growth of GaN layers

    Science.gov (United States)

    Kirchner, V.; Heinke, H.; Birkle, U.; Einfeldt, S.; Hommel, D.; Selke, H.; Ryder, P. L.

    1998-12-01

    Gallium nitride layers were grown by plasma-assisted molecular-beam epitaxy on (0001)-oriented sapphire substrates using an electron cyclotron resonance (ECR) and a radio frequency (rf) plasma source. An applied substrate bias was varied from -200 to +250 V, resulting in a change of the density and energy of nitrogen ions impinging the growth surface. The layers were investigated by high-resolution x-ray diffractometry and high-resolution transmission electron microscopy (HRTEM). Applying a negative bias during growth has a marked detrimental effect on the crystal perfection of the layers grown with an ECR plasma source. This is indicated by a change in shape and width of (0002) and (202¯5) reciprocal lattice points as monitored by triple axis x-ray measurements. In HRTEM images, isolated basal plane stacking faults were found, which probably result from precipitation of interstitial atoms. The crystal damage in layers grown with a highly negative substrate bias is comparable to that observed for ion implantation processes at orders of magnitude larger ion energies. This is attributed to the impact of ions on the growing surface. None of the described phenomena was observed for the samples grown with the rf plasma source.

  19. Micro-pulses generation in ECR breakdown stimulated by gyrotron radiation at 37,5 GHz

    International Nuclear Information System (INIS)

    Skalyga, V.; Zorin, V.; Izotov, I.; Golubev, S.; Razin, S.; Sidorov, A.; Vodopyanov, A.

    2012-01-01

    The present work is devoted to experimental and theoretical investigation of the creation of short pulsed (< 100 μs) multicharged ion beams. The possibility of quasi-stationary generation of short pulsed beams under conditions of quasi-gasdynamic plasma confinement was shown in recent experiments. Later another way of such beams creation based on the Pre-glow effect was proposed. In present work it was demonstrated that in the case when duration of microwave (MW) pulse is less than formation time of Pre-glow peak, realization of a regime when ion current is negligible during MW pulse and intense multicharged ions flux appears only when MW ends could be possible. Such pulses after the end of MW were called micro-pulses. In the present work the generation of micro-pulses was observed in experiments with ECR discharge stimulated by gyrotron radiation at 37,5 GHz, 100 kW. In this case pulses with duration less than 30 μs were obtained. Probably the same effect was observed in GANIL where 14 GHz radiation was used and pulses with duration about 2 ms were registered. In present work it was shown that the intensity of such micro-pulse could be higher than intensity of Pre-glow peak at the same conditions but with longer MW pulse. The generation of micro-pulses of nitrogen and argon multicharged ions with current of a few mA and length about 30 μs after MW pulse with duration of 30-100 μs was demonstrated. The low level of impurities, high current density and rather high average charge make possible to consider such micro-pulse regime as a possibility for the creation of a short pulsed ion source. The paper is followed by the slides of the presentation. (authors)

  20. Design of the magnetic system of an ECR type ion source; Diseno del sistema magnetico de una fuente de iones tipo ECR

    Energy Technology Data Exchange (ETDEWEB)

    Camps C, E.; Munoz C, A

    1990-05-15

    A computer program written with the purpose of studying the magnetic field produced by a linear system of n coils is shown. Based on this a four coils system is designed that was used in an ion source of Resonance Electron-cyclotron type (REC) that is sought to build. In turn, structure characteristics of the magnetic field proper for such purpose are discussed. (Author)

  1. Influence of the shear flow on electron cyclotron resonance plasma confinement in an axisymmetric magnetic mirror trap of the electron cyclotron resonance ion source.

    Science.gov (United States)

    Izotov, I V; Razin, S V; Sidorov, A V; Skalyga, V A; Zorin, V G; Bagryansky, P A; Beklemishev, A D; Prikhodko, V V

    2012-02-01

    Influence of shear flows of the dense plasma created under conditions of the electron cyclotron resonance (ECR) gas breakdown on the plasma confinement in the axisymmetric mirror trap ("vortex" confinement) was studied experimentally and theoretically. A limiter with bias potential was set inside the mirror trap for plasma rotation. The limiter construction and the optimal value of the potential were chosen according to the results of the preliminary theoretical analysis. This method of "vortex" confinement realization in an axisymmetric mirror trap for non-equilibrium heavy-ion plasmas seems to be promising for creation of ECR multicharged ion sources with high magnetic fields, more than 1 T.

  2. Influence of the shear flow on electron cyclotron resonance plasma confinement in an axisymmetric magnetic mirror trap of the electron cyclotron resonance ion source

    International Nuclear Information System (INIS)

    Izotov, I. V.; Razin, S. V.; Sidorov, A. V.; Skalyga, V. A.; Zorin, V. G.; Bagryansky, P. A.; Beklemishev, A. D.; Prikhodko, V. V.

    2012-01-01

    Influence of shear flows of the dense plasma created under conditions of the electron cyclotron resonance (ECR) gas breakdown on the plasma confinement in the axisymmetric mirror trap (''vortex'' confinement) was studied experimentally and theoretically. A limiter with bias potential was set inside the mirror trap for plasma rotation. The limiter construction and the optimal value of the potential were chosen according to the results of the preliminary theoretical analysis. This method of ''vortex'' confinement realization in an axisymmetric mirror trap for non-equilibrium heavy-ion plasmas seems to be promising for creation of ECR multicharged ion sources with high magnetic fields, more than 1 T.

  3. Enhanced TiC/SiC Ohmic contacts by ECR hydrogen plasma pretreatment and low-temperature post-annealing

    International Nuclear Information System (INIS)

    Liu, Bingbing; Qin, Fuwen; Wang, Dejun

    2015-01-01

    Highlights: • Low-temperature ECR microwave hydrogen plasma were pretreated for moderately doped (1 × 10"1"8 cm"−"3) SiC surfaces. • The relationship among Ohmic properties, the SiC surface properties and TiC/SiC interface properties were established. • Interface band structures were analyzed to elucidate the mechanism by which the Ohmic contacts were formed. - Abstract: We proposed an electronic cyclotron resonance (ECR) microwave hydrogen plasma pretreatment (HPT) for moderately doped (1 × 10"1"8 cm"−"3) SiC surfaces and formed ideal TiC/SiC Ohmic contacts with significantly low contact resistivity (1.5 × 10"−"5 Ω cm"2) after low-temperature annealing (600 °C). This is achieved by reducing barrier height at TiC/SiC interface because of the release of pinned Fermi level by surface flattening and SiC surface states reduction after HPT, as well as the generation of donor-type carbon vacancies, which reduced the depletion-layer width for electron tunneling after annealing. Interface band structures were analyzed to elucidate the mechanism of Ohmic contact formations.

  4. Development of dual-beam system using an electrostatic accelerator for in-situ observation of swift heavy ion irradiation effects on materials

    Science.gov (United States)

    Matsuda, M.; Asozu, T.; Sataka, M.; Iwase, A.

    2013-11-01

    We have developed the dual beam system which accelerates two kinds of ion beams simultaneously especially for real-time ion beam analysis. We have also developed the alternating beam system which can efficiently change beam species in a short time in order to realize efficient ion beam analysis in a limited beam time. The acceleration of the dual beam is performed by the 20 UR Pelletron™ tandem accelerator in which an ECR ion source is mounted at the high voltage terminal [1,2]. The multi-charged ions of two or more elements can be simultaneously generated from the ECR ion source, so dual-beam irradiation is achieved by accelerating ions with the same charge to mass ratio (for example, 132Xe11+ and 12C+). It enables us to make a real-time beam analysis such as Rutherford Back Scattering (RBS) method, while a target is irradiated with swift heavy ions. For the quick change of the accelerating ion beam, the program of automatic setting of the optical parameter of the accelerator has been developed. The switchover time for changing the ion beam is about 5 min. These developments have been applied to the study on the ion beam mixing caused by high-density electronic excitation induced by swift heavy ions.

  5. Development of dual-beam system using an electrostatic accelerator for in-situ observation of swift heavy ion irradiation effects on materials

    Energy Technology Data Exchange (ETDEWEB)

    Matsuda, M., E-mail: matsuda.makoto@jaea.go.jp [Japan Atomic Energy Agency (JAEA-Tokai), Tokai-mura, Naka-gun, Ibaraki 319-1195 (Japan); Asozu, T.; Sataka, M. [Japan Atomic Energy Agency (JAEA-Tokai), Tokai-mura, Naka-gun, Ibaraki 319-1195 (Japan); Iwase, A. [Department of Materials Science, Osaka Prefecture University, 1-1 Gakuen-cho, Sakai, Osaka 599-8531 (Japan)

    2013-11-01

    We have developed the dual beam system which accelerates two kinds of ion beams simultaneously especially for real-time ion beam analysis. We have also developed the alternating beam system which can efficiently change beam species in a short time in order to realize efficient ion beam analysis in a limited beam time. The acceleration of the dual beam is performed by the 20 UR Pelletron™ tandem accelerator in which an ECR ion source is mounted at the high voltage terminal [1,2]. The multi-charged ions of two or more elements can be simultaneously generated from the ECR ion source, so dual-beam irradiation is achieved by accelerating ions with the same charge to mass ratio (for example, {sup 132}Xe{sup 11+} and {sup 12}C{sup +}). It enables us to make a real-time beam analysis such as Rutherford Back Scattering (RBS) method, while a target is irradiated with swift heavy ions. For the quick change of the accelerating ion beam, the program of automatic setting of the optical parameter of the accelerator has been developed. The switchover time for changing the ion beam is about 5 min. These developments have been applied to the study on the ion beam mixing caused by high-density electronic excitation induced by swift heavy ions.

  6. Electron temperature profiles in axial field 2.45 GHz ECR ion source with a ceramic chamber

    Science.gov (United States)

    Abe, K.; Tamura, R.; Kasuya, T.; Wada, M.

    2017-08-01

    An array of electrostatic probes was arranged on the plasma electrode of a 2.45 GHz microwave driven axial magnetic filter field type negative hydrogen (H-) ion source to clarify the spatial plasma distribution near the electrode. The measured spatial distribution of electron temperature indicated the lower temperature near the extraction hole of the plasma electrode corresponding to the effectiveness of the axial magnetic filter field geometry. When the ratio of electron saturation current to the ion saturation current was plotted as a function of position, the obtained distribution showed a higher ratio near the hydrogen gas inlet through which ground state hydrogen molecules are injected into the source. Though the efficiency in producing H- ions is smaller for a 2.45 GHz source than a source operated at 14 GHz, it gives more volume to measure spatial distributions of various plasma parameters to understand fundamental processes that are influential on H- production in this type of ion sources.

  7. Effect of the gas mixing technique on the production efficiency of ion beams extracted from an electron cyclotron resonance ion source

    International Nuclear Information System (INIS)

    Tarvainen, O.; Suominen, P.; Koivisto, H.

    2004-01-01

    In this work the effect of gas mixing on the production efficiency of ion beams extracted from an ECR ion source has been studied with the JYFL 6.4 GHz electron cyclotron resonance ion source (ECRIS). It was found that the gas mixing affects strongly the confinement of ions in the plasma of the ECRIS. The information obtained can be used to minimize the consumption of expensive materials or isotopes and to reduce contamination of the plasma chamber. It was observed that the carbon contamination, which is built up when the MIVOC method is used could be decreased with the aid of the gas mixing technique. The best mixing gas for this purpose was found to be oxygen

  8. GTS-LHC: A New Source For The LHC Ion Injector Chain

    International Nuclear Information System (INIS)

    Hill, C.E.; Kuechler, D.; Scrivens, R.; Hitz, D.; Guillemet, L.; Leroy, R.; Pacquet, J.Y.

    2005-01-01

    The ion injector chain for the LHC has to be adapted and modified to reach the design beam parameters. Up to now an ECR4 delivered the ion beam for the SPS fixed target physics programme. This source will be replaced by a higher intensity source to produce the Pb27+ ion current required to fill the Low Energy Ion Ring (LEIR). The new ion source will be based on the Grenoble Test Source which was itself based on empirical scaling laws derived from the Framework 5 'Innovative ECRIS' collaboration. This paper will describe the design principle, the commissioning timetable and the present status of the source development

  9. Simulation of the CERN GTS-LHC ECR ion source extraction system with lead and argon ion beams

    CERN Document Server

    Toivanen, V; Küchler, D; Lombardi, A; Scrivens, R; Stafford-Haworth, J

    2014-01-01

    A comprehensive study of beam formation and beam transport has been initiated in order to improve the performance of the CERN heavy ion injector, Linac3. As part of this study, the ion beam extraction system of the CERN GTS-LHC 14.5 GHz Electron Cyclotron Resonance Ion Source (ECRIS) has been modelled with the ion optical code IBSimu. The simulations predict self-consistently the triangular and hollow beam structures which are often observed experimentally with ECRIS ion beams. The model is used to investigate the performance of the current extraction system and provides a basis for possible future improvements. In addition, the extraction simulation provides a more realistic representation of the initial beam properties for the beam transport simulations, which aim to identify the performance bottle necks along the Linac3 low energy beam transport. The results of beam extraction simulations with Pb and Ar ion beams from the GTS-LHC will be presented and compared with experimental observations.

  10. The positive-ion injector of ATLAS: design and operating experience

    Energy Technology Data Exchange (ETDEWEB)

    Bollinger, L M [Physics Div., Argonne National Lab., IL (United States); Pardo, R C [Physics Div., Argonne National Lab., IL (United States); Shepard, K W [Physics Div., Argonne National Lab., IL (United States); Billquist, P J [Physics Div., Argonne National Lab., IL (United States); Bogaty, J M [Physics Div., Argonne National Lab., IL (United States); Clifft, B E [Physics Div., Argonne National Lab., IL (United States); Harkewicz, R [Physics Div., Argonne National Lab., IL (United States); Munson, F H [Physics Div., Argonne National Lab., IL (United States); Nolen, J A [Physics Div., Argonne National Lab., IL (United States); Zinkann, G P [Physics Div., Argonne National Lab., IL (United States)

    1993-06-01

    The recently completed positive-ion injector for the heavy-ion accelerator ATLAS is a replacement for the tandem injector of the present tandem-linac system. Unlike the tandem, the new injector provides ions from the full range of the periodic table. The concept for the new injector, which consists of an ECR ion source on a voltage platform coupled to a very-low-velocity superconducting linac, introduces technical problems and uncertainties that are well beyond those encountered previously for superconducting linacs. The solution to these problems and their relationship to performance are outlined, and experience in the operation of ATLAS with its new injector is discussed. (orig.)

  11. The positive-ion injector of ATLAS: Design and operating experience

    International Nuclear Information System (INIS)

    Bollinger, L.M.; Pardo, R.C.; Shepard, K.W.; Billquist, P.J.; Bogaty, J.M.; Clifft, B.E.; Harkewicz, R.; Munson, F.H.; Nolen, J.A.; Zinkann, G.P.

    1992-01-01

    The recently completed Positive-Ion Injector for the heavy-ion accelerator ATLAS is a replacement for the tandem injector of the present tandem-linac system. Unlike the tandem, the new injector provides ions from the full range of the periodic table. The concept for the new injector, which consists of an ECR ion source on a voltage platform coupled to a very-low-velocity superconducting linac, introduces technical problems and uncertainties that are well beyond those encountered previously for superconducting linacs. The solution to these problems and their relationship to performance are outlined, and experience in the operation of ATLAS with its new injector is discussed

  12. On-line charge breeding using ECRIS and EBIS

    Energy Technology Data Exchange (ETDEWEB)

    Vondrasek, Richard, E-mail: Vondrasek@anl.gov

    2016-06-01

    The efficient and rapid production of a high-quality, pure beam of highly charged ions is at the heart of any radioactive ion beam facility. Whether an electron cyclotron resonance (ECR) ion source or an electron beam ion source (EBIS) is used to produce these highly charged ions, their operating characteristics will set the boundaries on the range of experiments which can be performed. In addition, time structure and duty cycle have to be considered when defining the operating parameters of the accelerator system as a whole. At Argonne National Laboratory (ANL), an ECR charge breeder was developed as part of the Californium Rare Ion Breeder Upgrade (CARIBU) program. The charge breeding efficiency and high charge state production of the source is at the forefront of ECR charge breeders, but its overall performance as part of the accelerator system is limited by pervasive background and relatively long breeding times. As such, an EBIS charge breeder has been developed and is running in an off-line configuration. It has already demonstrated good breeding efficiencies, shorter residence times, and reduced background and is scheduled to replace the ECR charge breeder in late 2015. The resultant change in duty cycle and time structure necessitates changes to the overall operation of the facility. The experiences with these breeders, as well as from several other facilities which already utilize an ECR or EBIS for charge breeding, help to define the operational characteristics of each technology – their strengths, their weaknesses, and the possible paths to improvement.

  13. Enhanced confinement in electron cyclotron resonance ion source plasma.

    Science.gov (United States)

    Schachter, L; Stiebing, K E; Dobrescu, S

    2010-02-01

    Power loss by plasma-wall interactions may become a limitation for the performance of ECR and fusion plasma devices. Based on our research to optimize the performance of electron cyclotron resonance ion source (ECRIS) devices by the use of metal-dielectric (MD) structures, the development of the method presented here, allows to significantly improve the confinement of plasma electrons and hence to reduce losses. Dedicated measurements were performed at the Frankfurt 14 GHz ECRIS using argon and helium as working gas and high temperature resistive material for the MD structures. The analyzed charge state distributions and bremsstrahlung radiation spectra (corrected for background) also clearly verify the anticipated increase in the plasma-electron density and hence demonstrate the advantage by the MD-method.

  14. Research and development for the production of radioactive ions for SPIRAL; Recherche et developpement concernant la production d'ions radioactifs dans le cadre de SPIRAL

    Energy Technology Data Exchange (ETDEWEB)

    Eleon, C

    2007-12-15

    This thesis is related to the research and development program for the production of radioactive ion beams by the ISOL method for SPIRAL at GANIL. Two studies concerning improvements to the performance of SPIRAL target-source system have been made, using a statistical approach to the atoms-to-ions transformation. The first study concerns the transformation time between the production of the radioactive atoms of Ar{sup 35} inside a target and the extraction of the radioactive ions from the source with the TARGISOL set-up (target + ECR source). The goal was to determine the diffusion coefficients of the Ar for the carbon target. The results that are presented illustrate the difficulty of this work. The second study is the application of the statistical approach to the surface ionization source. It allowed one to define and to build a new MonoNaKe set-up for the production of 1{sup +} radioactive alkaline ions. Radioactive ions of K{sup 37,47}, Na{sup 25,26,27,28,30}, Li{sup 8,9} and Al{sup 28,29,30,31} were produced. For the production of the multicharged radioactive alkali ions, the MonoNaKe target/ion-source system was coupled to the ECR source of SPIRAL-1 without a mass separator (1{sup +}/N{sup +} direct method). A first radioactive ion beam of {sup 47}K{sup 5+} was extracted at the SIRa test bench. A surface ionization test source based on the same technical characteristics of MonoNaKe has been built. The goal of this system will be to define a prototype of source adapted to the constraints of SPIRAL-2 (ionization efficiency and lifetime). (author)

  15. The development of an ECRIS charge-state breeder for generating RIB's

    International Nuclear Information System (INIS)

    Lamy, T.; Geller, R.; Bouly, J.L.; Chauvin, N.; Curdy, J.C.; Lacoste, A.; Sole, P.; Sortais, P.; Thuillier, T.; Vieux-Rochaz, J.L.

    2001-01-01

    ECRIS have proven their ability to fulfill the requirements of all types of accelerators. Initially developed for radioactive ion beam production, the ECR charge breeder shows that the beam injection of a primary beam inside an ECR ion source is a very general process for beam production and better understanding of the ECRIS physics. A new dedicated ECRIS PHOENIX has been specifically built to improve a means for the already very satisfying results obtained with the MINIMAFIOS source adapted to the so called ''1+/n+'' method. In this paper, we will review the latest results obtained on the ISN Grenoble test bench for the production of c.w. or pulsed metallic ion beams. The results are already very promising thanks to an improved and versatile magnetic field configuration. The efficiencies of specific charges have been improved and the overall breeding time has been decreased by factors of 3 to 9 (i.e.: 25 ms to obtain Ag19+)

  16. Comparison between off-resonance and electron Bernstein waves heating regime in a microwave discharge ion source

    Energy Technology Data Exchange (ETDEWEB)

    Castro, G.; Di Giugno, R.; Miracoli, R. [INFN- Laboratori Nazionali del Sud, via S. Sofia 62, 95123 Catania (Italy); Universita degli Studi di Catania, Dipartimento di Fisica e Astronomia, V. S. Sofia 64, 95123 Catania (Italy); Mascali, D. [INFN- Laboratori Nazionali del Sud, via S. Sofia 62, 95123 Catania (Italy); CSFNSM, Viale A. Doria 6, 95125 Catania (Italy); Romano, F. P. [INFN- Laboratori Nazionali del Sud, via S. Sofia 62, 95123 Catania (Italy); CNR-IBAM Via Biblioteca 4, 95124 Catania (Italy); Celona, L.; Gammino, S.; Lanaia, D.; Ciavola, G. [INFN- Laboratori Nazionali del Sud, via S. Sofia 62, 95123 Catania (Italy); Serafino, T. [CSFNSM, Viale A. Doria 6, 95125 Catania (Italy); Di Bartolo, F. [Universita di Messina, Ctr. da Papardo-Sperone, 98100 Messina (Italy); Gambino, N. [INFN- Laboratori Nazionali del Sud, via S. Sofia 62, 95123 Catania (Italy); Universita degli Studi di Catania, Dipartimento di Fisica e Astronomia, V. S. Sofia 64, 95123 Catania (Italy); IET-Institute of Energy Technology, LEC-Laboratory for Energy Conversion, ETH Zurich, Sonneggstrasse 3, CH-8092 Zurich (Switzerland)

    2012-02-15

    A microwave discharge ion source (MDIS) operating at the Laboratori Nazionali del Sud of INFN, Catania has been used to compare the traditional electron cyclotron resonance (ECR) heating with an innovative mechanisms of plasma ignition based on the electrostatic Bernstein waves (EBW). EBW are obtained via the inner plasma electromagnetic-to-electrostatic wave conversion and they are absorbed by the plasma at cyclotron resonance harmonics. The heating of plasma by means of EBW at particular frequencies enabled us to reach densities much larger than the cutoff ones. Evidences of EBW generation and absorption together with X-ray emissions due to high energy electrons will be shown. A characterization of the discharge heating process in MDISs as a generalization of the ECR heating mechanism by means of ray tracing will be shown in order to highlight the fundamental physical differences between ECR and EBW heating.

  17. High charge state metal ion production in vacuum arc ion sources

    International Nuclear Information System (INIS)

    Brown, I.G.; Anders, A.; Anders, S.

    1994-01-01

    The vacuum arc is a rich source of highly ionized metal plasma that can be used to make a high current metal ion source. Vacuum arc ion sources have been developed for a range of applications including ion implantation for materials surface modification, particle accelerator injection for fundamental nuclear physics research, and other fundamental and applied purposes. Typically the source is repetitively pulsed with pulse length of order a millisecond and duty cycle or order 1% and operation of a dc embodiment has been demonstrated also. Beams have been produced from over 50 of the solid metals of the periodic table, with mean ion energy up to several hundred keV and with peak (pulsed) beam current up to several amperes. The ion charge state distribution has been extensively studied. Ion spectra have been measured for a wide range of metallic cathode materials, including Li, C, Mg, Al, Si, Ca, Sc, Ti, V, Cr, Mn, Fe, Co, Ni, Cu, Zn, Ge, Sr, Y, Zr, Nb, Mo, Pd, Ag, Cd, In, Sn, Sb, Ba, La, Ce, Pr, Nd, Sm, Gd, Dy, Ho, Er, Tm, Yb, Hf, Ta, W, Ir, Pt, Au, Pb, Bi, Th and U, as well as compound and alloy cathode materials such as TiC, SiC, UC, PbS, brass, and stainless steel. The ions generated are in general multiply-stripped with a mean charge state of from 1 to 3, depending on the particular metal species, and the charge state distribution can have components from Q = 1+ to 6+. Here the authors review the characteristics of vacuum arc ion sources from the perspective of their high charge state metal ion production

  18. Production of hot electrons in mirror systems associated with ECR heating with longitudinal input of microwaves

    International Nuclear Information System (INIS)

    Zhil'tsov, V.A.; Skovoroda, A.A.; Timofeev, A.V.; Kharitonov, K.Yu.; Shcherbakov, A.G.

    1991-01-01

    Almost all experiments on ECR plasma heating are accompanied by the formation of hot electrons (i.e., electrons with energy substantially greater than the average of the bulk population). In mirror systems these electrons may determine the basic energy content (β) of the plasma. In this paper, results are presented from experimental measurements of the hot electron population resulting from ECR heating of the plasma in OGRA-4. A theoretical model is developed which describes the hot electron dynamics and the propagation of electromagnetic oscillations in the plasma self-consistently. The results obtained with this model are in agreement with experimental data

  19. An evolutionary conserved region (ECR in the human dopamine receptor D4 gene supports reporter gene expression in primary cultures derived from the rat cortex

    Directory of Open Access Journals (Sweden)

    Haddley Kate

    2011-05-01

    Full Text Available Abstract Background Detecting functional variants contributing to diversity of behaviour is crucial for dissecting genetics of complex behaviours. At a molecular level, characterisation of variation in exons has been studied as they are easily identified in the current genome annotation although the functional consequences are less well understood; however, it has been difficult to prioritise regions of non-coding DNA in which genetic variation could also have significant functional consequences. Comparison of multiple vertebrate genomes has allowed the identification of non-coding evolutionary conserved regions (ECRs, in which the degree of conservation can be comparable with exonic regions suggesting functional significance. Results We identified ECRs at the dopamine receptor D4 gene locus, an important gene for human behaviours. The most conserved non-coding ECR (D4ECR1 supported high reporter gene expression in primary cultures derived from neonate rat frontal cortex. Computer aided analysis of the sequence of the D4ECR1 indicated the potential transcription factors that could modulate its function. D4ECR1 contained multiple consensus sequences for binding the transcription factor Sp1, a factor previously implicated in DRD4 expression. Co-transfection experiments demonstrated that overexpression of Sp1 significantly decreased the activity of the D4ECR1 in vitro. Conclusion Bioinformatic analysis complemented by functional analysis of the DRD4 gene locus has identified a a strong enhancer that functions in neurons and b a transcription factor that may modulate the function of that enhancer.

  20. Feasibility study concerning a possible layout for a lead-ion injector for the CERN accelerator complex

    International Nuclear Information System (INIS)

    Haseroth, H.; Lombardi, A.; Weiss, M.

    1987-01-01

    A possible machine layout for acceleration of lead ions is presented, based on the experience gained with the successful - but painful - acceleration of oxygen ions in the CERN Linac. The scenario consists of an ECR source, a RFQ and an Alvarez Linac. One has tried to optimize the parameters within the restrictions of the space available, keeping in mind the requirements and desiderata of the subsequent machines

  1. First operational experience with the positive-ion injector of ATLAS

    Energy Technology Data Exchange (ETDEWEB)

    Bollinger, L M; Pardo, R C; Shepard, K W; Bogaty, J M; Clifft, B E; Munson, F H; Zinkann, G [Argonne National Lab., IL (United States)

    1993-04-15

    The recently completed positive-ion injector for the heavy-ion accelerator ATLAS was designed as a replacement for the tandem injector of the present tandem-linac system and, unlike the tandem, the positive-ion injector is required to provide ions from the full range of the periodic table. The concept for the new injector, which consists of an ECR ion source on a voltage platform coupled to a very-low-velocity superconducting linac, introduces technical problems and uncertainties that are well beyond those encountered previously for superconducting linacs. The solution to these problems and their relationship to performance are outlined, and initial experience in the acceleration of heavy-ion beams through the entire ATLAS system is discussed. The unusually good longitudinal beam quality of ATLAS with its new injector is emphasized. (orig.).

  2. First operational experience with the positive-ion injector of ATLAS

    International Nuclear Information System (INIS)

    Bollinger, L.M.; Pardo, R.C.; Shepard, K.W.; Bogaty, J.M.; Clifft, B.E.; Munson, F.H.; Zinkann, G.

    1992-01-01

    The recently completed positive-ion injector for the heavy-ion accelerator ATLAS was designed as a replacement for the tandem injector of the present tandem-linac system and, unlike the tandem, the positive-ion injector is required to provide ions from the full range of the periodic table. The concept for the new injector, which consists of an ECR ion source on a voltage platform coupled to a very-low-velocity superconducting linac, introduces technical problems and uncertainties that are well beyond those encountered previously for superconducting linacs. The solution to these problems and their relationship to performance are outlined, and initial experience in the acceleration of heavy-ion beams through the entire ATLAS system is discussed. The unusually good longitudinal beam quality of ATLAS with its new injector is emphasized

  3. First operational experience with the positive-ion injector of ATLAS

    Energy Technology Data Exchange (ETDEWEB)

    Bollinger, L.M.; Pardo, R.C.; Shepard, K.W.; Bogaty, J.M.; Clifft, B.E.; Munson, F.H.; Zinkann, G.

    1992-08-01

    The recently completed positive-ion injector for the heavy-ion accelerator ATLAS was designed as a replacement for the tandem injector of the present tandem-linac system and, unlike the tandem, the positive-ion injector is required to provide ions from the full range of the periodic table. The concept for the new injector, which consists of an ECR ion source on a voltage platform coupled to a very-low-velocity superconducting linac, introduces technical problems and uncertainties that are well beyond those encountered previously for superconducting linacs. The solution to these problems and their relationship to performance are outlined, and initial experience in the acceleration of heavy-ion beams through the entire ATLAS system is discussed. The unusually good longitudinal beam quality of ATLAS with its new injector is emphasized.

  4. First operational experience with the positive-ion injector of ATLAS

    Energy Technology Data Exchange (ETDEWEB)

    Bollinger, L.M.; Pardo, R.C.; Shepard, K.W.; Bogaty, J.M.; Clifft, B.E.; Munson, F.H.; Zinkann, G.

    1992-01-01

    The recently completed positive-ion injector for the heavy-ion accelerator ATLAS was designed as a replacement for the tandem injector of the present tandem-linac system and, unlike the tandem, the positive-ion injector is required to provide ions from the full range of the periodic table. The concept for the new injector, which consists of an ECR ion source on a voltage platform coupled to a very-low-velocity superconducting linac, introduces technical problems and uncertainties that are well beyond those encountered previously for superconducting linacs. The solution to these problems and their relationship to performance are outlined, and initial experience in the acceleration of heavy-ion beams through the entire ATLAS system is discussed. The unusually good longitudinal beam quality of ATLAS with its new injector is emphasized.

  5. An ion cooling and state characterization apparatus for studies of molecular ion dissociative interactions

    International Nuclear Information System (INIS)

    Deng, Shihu; Vane, C R; Bannister, M E; Havener, C C; Meyer, F W; Krause, H F; Hettich, R L; Goeringer, D E; Van Berkel, G J

    2009-01-01

    An experimental capability is being developed at the Oak Ridge National Laboratory Multi-Charged Ion Research Facility (ORNL MIRF) to enable stored cooling and state characterization of molecular ions of essentially any mass. Ions selected from a variety of available sources are injected from the side into a 1.5 meter long electrostatic mirror trap, where excited internal states are cooled by radiative cooling. An electron beam target located near the middle of the ion-trap region, coupled with neutral fragment imaging detector systems at each end of the trap, permits state-specific studies of electron-molecular ion dissociation.

  6. State promotion and neutralization of ions near metal surface

    International Nuclear Information System (INIS)

    Zinoviev, A.N.

    2011-01-01

    Research highlights: → Multiply charged ion and the charge induced in the metal form a dipole. → Dipole states are promoted into continuum with decreasing ion-surface distance. → These states cross the states formed from metal atom. → Proposed model explains the dominant population of deep bound states. → Observed spectra of emitted Auger electrons prove this promotion model. -- Abstract: When a multiply charged ion with charge Z approaches the metal surface, a dipole is formed by the multiply charged ion and the charge induced in the metal. The states for such a dipole are promoted into continuum with decreasing ion-surface distance and cross the states formed from metal atom. The model proposed explains the dominant population of deep bound states in collisions considered.

  7. Progress on precision measurements of inner shell transitions in highly charged ions at an ECR ion source

    Energy Technology Data Exchange (ETDEWEB)

    Szabo, Csilla I.; Indelicato, Paul; LeBigot, Eric-Olivier; Vallette, Alexandre; Amaro, Pedro; Guerra, Mauro; Gumberidze, Alex [Laboratoire Kastler Brossel, Ecole Normale Superieure, CNRS, Universite Pierre et Marie Curie- Paris 6, Case 74, 4 place Jussieu, F-75005 Paris (France); Centro de Fisica Atomica, CFA, Departamento de Fisica (Portugal); Faculdade de Ciencias e Tecnologia, FCT, Universidade Nova de Lisboa, 2829-516 Caparica (Portugal); Laboratoire Kastler Brossel, Ecole Normale Superieure, CNRS, Universite Pierre et Marie Curie- Paris 6, Case 74, 4 place Jussieu, F-75005 Paris (France)

    2012-05-25

    Inner shell transitions of highly charged ions produced in the plasma of an Electron Cyclotron Resonance Ion Source (ECRIS) were observed the first time by a Double Crystal Spectrometer (DCS). The DCS is a well-used tool in precision x-ray spectroscopy due to its ability of precision wavelength measurement traced back to a relative angle measurement. Because of its requirement for a bright x-ray source the DCS has not been used before in direct measurements of highly charged ions (HCI). Our new precision measurement of inner shell transitions in HCI is not just going to provide new x-ray standards for quantum metrology but can also give information about the plasma in which the ions reside. Ionic temperatures and with that the electron density can be determined by thorough examination of line widths measured with great accuracy.

  8. Target life time of laser ion source for low charge state ion production

    Energy Technology Data Exchange (ETDEWEB)

    Kanesue,T.; Tamura, J.; Okamura, M.

    2008-06-23

    Laser ion source (LIS) produces ions by irradiating pulsed high power laser shots onto the solid state target. For the low charge state ion production, laser spot diameter on the target can be over several millimeters using a high power laser such as Nd:YAG laser. In this case, a damage to the target surface is small while there is a visible crater in case of the best focused laser shot for high charge state ion production (laser spot diameter can be several tens of micrometers). So the need of target displacement after each laser shot to use fresh surface to stabilize plasma is not required for low charge state ion production. We tested target lifetime using Nd:YAG laser with 5 Hz repetition rate. Also target temperature and vacuum condition were recorded during experiment. The feasibility of a long time operation was verified.

  9. Ion beams: from plasma physics to applications in analysis and irradiation fields

    International Nuclear Information System (INIS)

    Khodja, Hicham

    2012-01-01

    In this HDR (Accreditation to supervise research) report, the author proposes an overview of his research activities. A first part comments a research which aimed at determining the distribution of ion populations in an electron cyclotron resonance (ECR) plasma. Then, after a brief recall of the principles and techniques of analysis based on ion beams, he presents some characteristics of the CEA/Saclay nuclear microprobe. He reports various works related to material science and to biology, and discusses the associated perspectives [fr

  10. HISTRAP [Heavy Ion Storage Ring for Atomic Physics] prototype hardware studies

    International Nuclear Information System (INIS)

    Olsen, D.K.; Atkins, W.H.; Dowling, D.T.; Johnson, J.W.; Lord, R.S.; McConnell, J.W.; Milner, W.T.; Mosko, S.W.; Tatum, B.A.

    1989-01-01

    HISTRAP, Heavy Ion Storage Ring for Atomic Physics, is a proposed 2.67-Tm synchrotron/cooler/storage ring optimized for advanced atomic physics research which will be injected with ions from either the HHIRF 25-MV tandem accelerator or a dedicated ECR source and RFQ linac. Over the last two years, hardware prototypes have been developed for difficult and long lead-time components. A vacuum test stand, the rf cavity, and a prototype dipole magnet have been designed, constructed, and tested. 7 refs., 8 figs., 2 tabs

  11. Two-state ion heating at quasi-parallel shocks

    International Nuclear Information System (INIS)

    Thomsen, M.F.; Gosling, J.T.; Bame, S.J.; Onsager, T.G.; Russell, C.T.

    1990-01-01

    In a previous study of ion heating at quasi-parallel shocks, the authors showed a case in which the ion distributions downstream from the shock alternated between a cooler, denser, core/shoulder type and a hotter, less dense, more Maxwellian type. In this paper they further document the alternating occurrence of two different ion states downstream from several quasi-parallel shocks. Three separate lines of evidence are presented to show that the two states are not related in an evolutionary sense, but rather both are produced alternately at the shock: (1) the asymptotic downstream plasma parameters (density, ion temperature, and flow speed) are intermediate between those characterizing the two different states closer to the shock, suggesting that the asymptotic state is produced by a mixing of the two initial states; (2) examples of apparently interpenetrating (i.e., mixing) distributions can be found during transitions from one state to the other; and (3) examples of both types of distributions can be found at actual crossings of the shock ramp. The alternation between the two different types of ion distribution provides direct observational support for the idea that the dissipative dynamics of at least some quasi-parallel shocks is non-stationary and cyclic in nature, as demonstrated by recent numerical simulations. Typical cycle times between intervals of similar ion heating states are ∼2 upstream ion gyroperiods. Both the simulations and the in situ observations indicate that a process of coherent ion reflection is commonly an important part of the dissipation at quasi-parallel shocks

  12. A method for atomic spectroscopy of highly charged ions in the Pm isoelectronic sequence

    International Nuclear Information System (INIS)

    Andersson, Oe.

    1995-08-01

    The aim was to search for alkali-like spectra in the Promethium isoelectronic sequence. Pb 22+ ions were produced by means of an ECR-ion source and accelerated towards a target of He gas. Colliding with He atoms the Pb 22+ ions are likely to capture an electron, thus forming an excited Pm-like ion (Pb 21+ ). A 2 m grazing-incidence spectrometer was used for recording the spectra arising as the accelerated ions impinge on the target. No lines were recorded throughout the wavelength region where the spectrometer is sensitive. Further experiments are needed to make clear if this is due to experimental errors or not. 14 refs, 8 figs

  13. Study of potential applications of compact ECRIS to analytical system

    International Nuclear Information System (INIS)

    Kidera, M.; Takahashi, K.; Seto, Y.; Kishi, S.; Enomoto, S.; Nagamatsu, T.; Tanaka, T.

    2012-01-01

    The objective of this study is to develop a desktop-sized system of element mass analysis (element analysis system) with a compact electron cyclotron resonance (ECR) ion source in the ionization section. This system is different from other element analysis systems in terms of the effective use of ionization by ECR plasma. A compact ECR ion source is required to fit in the desktop-sized element analysis system. This paper reporting the development of the compact ECR ion source, is followed by the associated poster. (authors)

  14. Modelling RF-plasma interaction in ECR ion sources

    Directory of Open Access Journals (Sweden)

    Mascali David

    2017-01-01

    Full Text Available This paper describes three-dimensional self-consistent numerical simulations of wave propagation in magnetoplasmas of Electron cyclotron resonance ion sources (ECRIS. Numerical results can give useful information on the distribution of the absorbed RF power and/or efficiency of RF heating, especially in the case of alternative schemes such as mode-conversion based heating scenarios. Ray-tracing approximation is allowed only for small wavelength compared to the system scale lengths: as a consequence, full-wave solutions of Maxwell-Vlasov equation must be taken into account in compact and strongly inhomogeneous ECRIS plasmas. This contribution presents a multi-scale temporal domains approach for simultaneously including RF dynamics and plasma kinetics in a “cold-plasma”, and some perspectives for “hot-plasma” implementation. The presented results rely with the attempt to establish a modal-conversion scenario of OXB-type in double frequency heating inside an ECRIS testbench.

  15. Intermediate energy heavy ion reactions. A program for CELSIUS

    International Nuclear Information System (INIS)

    Jakobsson, B.

    1986-02-01

    The accelerator system under construction in Uppsala with the ECR-source + the K equals 200 synchrocyclotron + the CELSIUS synchrotron ring for storage, cooling and acceleration opens up possibilities for a very fruitful heavy ion physics program. Some recently obtained results and some recent ideas on intermediate energy reactions are discussed and speculations are made about some experiments where the unconventional qualities of CELSIUS beams could be utilized. (author)

  16. First operation of the ATLAS Positive-Ion Injector

    International Nuclear Information System (INIS)

    Pardo, R.C.; Bollinger, L.M.; Shepard, K.W.; Billquist, P.J.; Bogaty, J.M.; Clifft, B.E.; Harkewicz, R.; Munson, F.H.; Nolen, J.A.; Zinkann, G.P.

    1992-01-01

    The construction of the ATLAS Positive-Ion Injector (PII) is complete and beam acceleration tests are underway. The PII consists of an ECR ion source, on a high-voltage platform, providing beam to a low-velocity-acceptance, independently-phased, superconducting linac. This injector enables the ATLAS facility to accelerate any heavy ion, including uranium, to energies in excess of the Coulomb barrier. The design accelerating field performance has been exceeded, with an average accelerating field of approximately 3.2 MV/m achieved in early tests. Initial beam tests of the entire injector indicate tat all important performance goals have been met. This paper describes the results of these early tests and discusses our initial operating experience with the whole ATLAS system

  17. First operation of the ATLAS positive-ion injector

    International Nuclear Information System (INIS)

    Pardo, R.C.; Bollinger, L.M.; Shephard, K.W.; Billquist, P.J.; Bogaty, J.M.; Clifft, B.E.; Harkewicz, R.; Munson, F.H.; Nolen, J.A.; Zinkann, G.P.

    1992-01-01

    The construction of the ATLAS Positive-Ion Injector (PII) is complete and beam acceleration tests are underway. The PII consists of an ECR ion source, on a high-voltage platform, providing beam to a low-velocity-acceptance, independently-phased, superconducting linac. This injector enables the ATLAS facility to accelerate any heavy ion, including uranium, to energies in excess of the Coulomb barrier. The design accelerating field performance has been exceeded, with an average accelerating field of approximately 3.2 MV/m achieved in early tests. Initial beam tests of the entire injector indicate that all important performance goals have been met. This paper describes the results of these early tests and discusses our initial operating experience with the whole ATLAS system. (Author) 5 refs., tab., fig

  18. Development of intense high-energy noble gas ion beams from in-terminal ion injector of tandem accelerator using an ECR ion source

    Energy Technology Data Exchange (ETDEWEB)

    Matsuda, M., E-mail: matsuda.makoto@jaea.go.jp [Japan Atomic Energy Agency (JAEA), Tokai Research and Development Center, 2-4 Shirakata-shirane, Tokai, Naka, Ibaraki 319-1195 (Japan); Nakanoya, T.; Hanashima, S.; Takeuchi, S. [Japan Atomic Energy Agency (JAEA), Tokai Research and Development Center, 2-4 Shirakata-shirane, Tokai, Naka, Ibaraki 319-1195 (Japan)

    2011-10-21

    An ECRIS-based heavy ion injector was constructed in the high-voltage terminal of JAEA-Tokai Tandem Accelerator to develop new beam species of highly charged noble gas ions. This work was associated with a lot of development to operate the ion source on the 20UR Pelletron high voltage terminal in high pressure SF{sub 6} gas environment. Highly charged ions of N, O, Ne, Ar, Kr and Xe have been accelerated satisfactorily. Operating data integrated during many years long beam delivery service are summarized.

  19. Concept for high-charge-state ion induction accelerators

    International Nuclear Information System (INIS)

    Logan, B.G.; Perry, M.D.; Caporaso, G.J.

    1996-01-01

    This work describes a particular concept for ion induction linac accelerators using high-charge-state ions produced by an intense, short pulse laser, and compares the costs of a modular driver system producing 6.5 MJ for a variety of ion masses and charge states using a simple but consistent cost model

  20. Photoionization of excited atoms and ions: recent progress and future prospects

    International Nuclear Information System (INIS)

    Wuilleumier, F.J.

    2004-01-01

    them having now available ECR ion sources. Photoionization from laser-excited states are being pursued at BESSY II, and at the ALS. The present status of these experiments and the most recently measured data will be presented. Further developments, made possible by the use of 4th generation SR sources, will be discussed

  1. SERIJSKA POVEZAVA POS TERMINALA INGENICO 5100 Z NAPRAVO ECR

    OpenAIRE

    Černenšek, Matjaž

    2012-01-01

    Diplomsko delo predstavlja korak naprej k poenostavitvi in pospešitvi izvajanja postopkov finančnih transakcij na prodajnem mestu z uporabo plačilnih kartic in POS terminala. Predstavljena in definirana je ideja fizične povezave dveh naprav, vključenih v ta proces. To sta blagajniška naprava ECR in POS terminal, ki ju z uporabo serijske povezave povežemo tako, da si izmenjujeta podatke za uspešno izvršitev finančne transakcije in druge ključne informacije. Podrobneje je opisana fizična izvedb...

  2. Development of an Ethernet enabled microcontroller based module for Superconducting Cyclotron ECR beam line control

    International Nuclear Information System (INIS)

    Chatterjee, M.; Koley, D.; Nabhiraj, P.Y.

    2012-01-01

    An Ethernet enabled control and data acquisition module is developed for remote control and monitoring of the ECR beam line equipment of the Superconducting Cyclotron. The PIC microcontroller based module supports multiple general purpose analog and digital inputs and outputs for interfacing with various equipments and an embedded web server. The remote monitoring and control of the equipment are achieved through the web based user interface. The user authenticated access to control parameters and module configuration parameters ensures the operational safety of the equipment under control. This module is installed in Superconducting Cyclotron ECR beam line for the control and monitoring of vacuum pumping modules, comprising of pumps, gate valves and dual vacuum gauges. The installation of these modules results in a distributed control with localised field cabling and hence better fault diagnosis. (author)

  3. A high charge state heavy ion beam source for heavy ion fusion

    International Nuclear Information System (INIS)

    Eylon, S.; Henestroza, E.

    1996-01-01

    A high current, low emittance, high charge state heavy ion beam source is being developed. This is designed to deliver a heavy ion fusion (HIF) driver accelerator scale beam. Using a high charge state beam in a driver accelerator for HIF may increase the acceleration efficiency, leading to a reduction in the driver accelerator size and cost. The proposed source system, which consists of a gas beam electron stripper followed by a high charge state beam separator, can be added to existing single charge state, low emittance, high brightness ion sources and injectors. We shall report on the source physics design using 3D beam simulations and experimental feasibility study results using a neutral gas stripper and a beam separator at the exit of the LBL 2 MV injector. (orig.)

  4. RF Plasma Source for Heavy Ion Beam Charge Neutralization

    Science.gov (United States)

    Efthimion, P. C.; Gilson, E.; Grisham, L.; Davidson, R. C.

    2003-10-01

    Highly ionized plasmas are being employed as a medium for charge neutralizing heavy ion beams in order to focus to a small spot size. Calculations suggest that plasma at a density of 1 - 100 times the ion beam density and at a length 0.1-0.5 m would be suitable for achieving a high level of charge neutralization. An ECR source has been built at the Princeton Plasma Physics Laboratory (PPPL) in support of the joint Neutralized Transport Experiment (NTX) at the Lawrence Berkeley National Laboratory (LBNL) to study ion beam neutralization with plasma. The ECR source operates at 13.6 MHz and with solenoid magnetic fields of 0-10 gauss. The goal is to operate the source at pressures 10-5 Torr at full ionization. The initial operation of the source has been at pressures of 10-4 - 10-1 Torr. Electron densities in the range of 10^8 - 10^11 cm-3 have been achieved. Recently, pulsed operation of the source has enabled operation at pressures in the 10-6 Torr range with densities of 10^11 cm-3. Near 100% ionization has been achieved. The source has been integrated with NTX and is being used in the experiments. The plasma is approximately 10 cm in length in the direction of the beam propagation. Modifications to the source will be presented that increase its length in the direction of beam propagation.

  5. Dynamics of atoms-ions transformation processes in the radioactive ion production systems ISOL

    International Nuclear Information System (INIS)

    Jardin, Pascal

    2013-01-01

    The aims of this work were 1)to study the effect of diffusion, effusion and ionization processes in the atom-ion transformation, 2)to better understand the temporal behaviour of ISOL devices and to apply it to the developments of the ISOL production systems. These aims were partially reached: the results obtained with 'ECS ECR' of SPIRAL 1 and SPIRAL 2 and their confrontation have allowed to analytically described their temporal behaviour and to reveal under which conditions it is possible to consider the processes of diffusion, effusion and ionization as separable processes and consequently to consider them as consecutive. (O.M.) [fr

  6. Geometric Phases for Mixed States in Trapped Ions

    International Nuclear Information System (INIS)

    Lu Hongxia

    2006-01-01

    The generalization of geometric phase from the pure states to the mixed states may have potential applications in constructing geometric quantum gates. We here investigate the mixed state geometric phases and visibilities of the trapped ion system in both non-degenerate and degenerate cases. In the proposed quantum system, the geometric phases are determined by the evolution time, the initial states of trapped ions, and the initial states of photons. Moreover, special periods are gained under which the geometric phases do not change with the initial states changing of photon parts in both non-degenerate and degenerate cases. The high detection efficiency in the ion trap system implies that the mixed state geometric phases proposed here can be easily tested.

  7. Status of Charge Exchange Cross Section Measurements for Highly Charged Ions on Atomic Hydrogen

    Science.gov (United States)

    Draganic, I. N.; Havener, C. C.; Schultz, D. R.; Seely, D. G.; Schultz, P. C.

    2011-05-01

    Total cross sections of charge exchange (CX) for C5+, N6+, and O7+ ions on ground state atomic hydrogen are measured in an extended collision energy range of 1 - 20,000 eV/u. Absolute CX measurements are performed using an improved merged-beams technique with intense highly charged ion beams extracted from a 14.5 GHz ECR ion source mounted on a high voltage platform. In order to improve the problematic H+ signal collection for these exoergic CX collisions at low relative energies, a new double focusing electrostatic analyzer was installed. Experimental CX data are in good agreement with all previous H-oven relative measurements at higher collision energies. We compare our results with the most recent molecular orbital close-coupling (MOCC) and atomic orbital close-coupling (AOCC) theoretical calculations. Work supported by the NASA Solar & Heliospheric Physics Program NNH07ZDA001N, the Office of Fusion Energy Sciences and the Division of Chemical Sciences, Geosciences, and Biosciences, and the Office of Basic Energy Sciences of the U.S. DoE.

  8. Innovative ion sources for accelerators: the benefits of the plasma technology

    Czech Academy of Sciences Publication Activity Database

    Gammino, S.; Ciavola, G.; Celona, L.; Torrisi, L.; Ando, L.; Presti, M.; Láska, Leoš; Krása, Josef; Wolowski, J.

    2004-01-01

    Roč. 54, Suppl. C (2004), s. C883-C888 ISSN 0011-4626. [Symposium on Plasma Physics and Technology /21./. Praha, 14.06.2004-17.06.2004] R&D Projects: GA AV ČR IAA1010405 Institutional research plan: CEZ:AV0Z1010921 Keywords : plasma sources * ion sources * proton sources * ECR Subject RIV: BL - Plasma and Gas Discharge Physics Impact factor: 0.292, year: 2004

  9. A method for atomic spectroscopy of highly charged ions in the Pm isoelectronic sequence

    Energy Technology Data Exchange (ETDEWEB)

    Andersson, Oe

    1995-08-01

    The aim was to search for alkali-like spectra in the Promethium isoelectronic sequence. Pb{sup 22+} ions were produced by means of an ECR-ion source and accelerated towards a target of He gas. Colliding with He atoms the Pb{sup 22+} ions are likely to capture an electron, thus forming an excited Pm-like ion (Pb{sup 21+}). A 2 m grazing-incidence spectrometer was used for recording the spectra arising as the accelerated ions impinge on the target. No lines were recorded throughout the wavelength region where the spectrometer is sensitive. Further experiments are needed to make clear if this is due to experimental errors or not. 14 refs, 8 figs.

  10. Ecdysone receptor (EcR) and ultraspiracle (USP) genes from the cyclopoid copepod Paracyclopina nana: Identification and expression in response to water accommodated fractions (WAFs).

    Science.gov (United States)

    Puthumana, Jayesh; Lee, Min-Chul; Han, Jeonghoon; Kim, Hui-Su; Hwang, Dae-Sik; Lee, Jae-Seong

    2017-02-01

    Ecdysteroid hormones are pivotal in the development, growth, and molting of arthropods, and the hormone pathway is triggered by binding ecdysteroid to a heterodimer of the two nuclear receptors; ecdysone receptors (EcR) and ultraspiracle (USP). We have characterized EcR and USP genes, and their 5'-untranslated region (5'-UTR) from the copepod Paracyclopina nana, and studied mRNA transcription levels in post-embryonic stages and in response to water accommodated fractions (WAFs) of crude oil. The open reading frames (ORF) of EcR and USP were 1470 and 1287bp that encoded 490 and 429 amino acids with molecular weight of 121.18 and 105.03kDa, respectively. Also, a well conserved DNA-binding domain (DBD) and ligand-binding domain (LBD) were identified which confirmed by phylogenetic analysis. Messenger RNA transcriptional levels of EcR and USP were developmental stage-specific in early post-embryonic stages (N3-4). However, an evoked expression of USP was observed throughout copepodid stage and in adult females. WAFs (40 and 80%) were acted as an ecdysone agonist in P. nana, and elicited the mRNA transcription levels in adults. Developmental stage-specific transcriptional activation of EcR and USP in response to WAFs was observed. USP gene was down-regulated in the nauplius in response to WAF, whereas up-regulation of USP was observed in the adults. This study represents the first data of molecular elucidation of EcR and USP genes and their regulatory elements from P. nana and the developmental stage specific expression in response to WAFs, which can be used as potential biomarkers for environmental stressors with ecotoxicological evaluations in copepods. Copyright © 2016 Elsevier Inc. All rights reserved.

  11. PC-ANN assisted to the determination of Vanadium (IV) ion using an optical sensor based on immobilization of Eriochorome Cyanine R on a triacetylcellulose film.

    Science.gov (United States)

    Bordbar, Mohammad Mahdi; Khajehsharifi, Habibollah; Solhjoo, Aida

    2015-01-01

    More detailed analytical studies of an optical sensor based on immobilization of Eriochorome Cyanine R (ECR) on a triacetylcellulose film have been described to determine Vanadium (IV) ions in some real samples. The sensor based on complex formation between Vanadium (IV) ions and ECR in acidic media caused the color of the film to change from violet to blue along with the appearance of a strong peak appears at 595 nm. At the optimal conditions, the calibration curve showed a linear range of 9.90×10(-7)-8.25×10(-5)mol L(-1). Vanadium (IV) ions can be detected with a detection limit of 1.03×10(-7)mol L(-1) within 15 min depending on its concentration. Also, the working range was improved by using PC-ANN algorithm. The sensor could regenerate with dilute acetic acid solution and could be completely reversible. The proposed sensor was successfully applied for determining V (IV) ions in environmental water and tea leaves. Copyright © 2015 Elsevier B.V. All rights reserved.

  12. Results of RIKEN superconducting electron cyclotron resonance ion source with 28 GHz.

    Science.gov (United States)

    Higurashi, Y; Ohnishi, J; Nakagawa, T; Haba, H; Tamura, M; Aihara, T; Fujimaki, M; Komiyama, M; Uchiyama, A; Kamigaito, O

    2012-02-01

    We measured the beam intensity of highly charged heavy ions and x-ray heat load for RIKEN superconducting electron cyclotron resonance ion source with 28 GHz microwaves under the various conditions. The beam intensity of Xe(20+) became maximum at B(min) ∼ 0.65 T, which was ∼65% of the magnetic field strength of electron cyclotron resonance (B(ECR)) for 28 GHz microwaves. We observed that the heat load of x-ray increased with decreasing gas pressure and field gradient at resonance zone. It seems that the beam intensity of highly charged heavy ions with 28 GHz is higher than that with 18 GHz at same RF power.

  13. Low charge state heavy ion production with sub-nanosecond laser.

    Science.gov (United States)

    Kanesue, T; Kumaki, M; Ikeda, S; Okamura, M

    2016-02-01

    We have investigated laser ablation plasma of various species using nanosecond and sub-nanosecond lasers for both high and low charge state ion productions. We found that with sub-nanosecond laser, the generated plasma has a long tail which has low charge state ions determined by an electrostatic ion analyzer even under the laser irradiation condition for highly charged ion production. This can be caused by insufficient laser absorption in plasma plume. This property might be suitable for low charge state ion production. We used a nanosecond laser and a sub-nanosecond laser for low charge state ion production to investigate the difference of generated plasma using the Zirconium target.

  14. Low charge state heavy ion production with sub-nanosecond laser

    Energy Technology Data Exchange (ETDEWEB)

    Kanesue, T., E-mail: tkanesue@bnl.gov; Okamura, M. [Collider-Accelerator Department, Brookhaven National Laboratory, Upton, New York 11973 (United States); Kumaki, M. [Research Institute for Science and Engineering, Waseda University, Tokyo 169-8555 (Japan); Nishina Center for Accelerator-Based Science, RIKEN, Saitama 351-0198 (Japan); Ikeda, S. [Nishina Center for Accelerator-Based Science, RIKEN, Saitama 351-0198 (Japan); Interdisciplinary Graduate School of Science and Engineering, Tokyo Institute of Technology, Kanagawa 226-8503 (Japan)

    2016-02-15

    We have investigated laser ablation plasma of various species using nanosecond and sub-nanosecond lasers for both high and low charge state ion productions. We found that with sub-nanosecond laser, the generated plasma has a long tail which has low charge state ions determined by an electrostatic ion analyzer even under the laser irradiation condition for highly charged ion production. This can be caused by insufficient laser absorption in plasma plume. This property might be suitable for low charge state ion production. We used a nanosecond laser and a sub-nanosecond laser for low charge state ion production to investigate the difference of generated plasma using the Zirconium target.

  15. Predissociation of high-lying Rydberg states of molecular iodine via ion-pair states

    Energy Technology Data Exchange (ETDEWEB)

    Bogomolov, Alexandr S. [Institute of Chemical Kinetics and Combustion, Institutskaya Str. 3, Novosibirsk 630090 (Russian Federation); Grüner, Barbara; Mudrich, Marcel [Physikalisches Institut, Universität Freiburg, D-79104 Freiburg (Germany); Kochubei, Sergei A. [Institute of Semiconductor Physics, ac. Lavrent' yev ave., 13, Novosibirsk 630090 (Russian Federation); Baklanov, Alexey V. [Institute of Chemical Kinetics and Combustion, Institutskaya Str. 3, Novosibirsk 630090 (Russian Federation); Novosibirsk State University, Pirogova Str. 2, Novosibirsk 630090 (Russian Federation)

    2014-03-28

    Velocity map imaging of the photofragments arising from two-photon photoexcitation of molecular iodine in the energy range 73 500–74 500 cm{sup −1} covering the bands of high-lying gerade Rydberg states [{sup 2}Π{sub 1/2}]{sub c}6d;0{sub g}{sup +} and [{sup 2}Π{sub 1/2}]{sub c}6d;2{sub g} has been applied. The ion signal was dominated by the atomic fragment ion I{sup +}. Up to 5 dissociation channels yielding I{sup +} ions with different kinetic energies were observed when the I{sub 2} molecule was excited within discrete peaks of Rydberg states and their satellites in this region. One of these channels gives rise to images of I{sup +} and I{sup −} ions with equal kinetic energy indicating predissociation of I{sub 2} via ion-pair states. The contribution of this channel was up to about 50% of the total I{sup +} signal. The four other channels correspond to predissociation via lower lying Rydberg states giving rise to excited iodine atoms providing I{sup +} ions by subsequent one-photon ionization by the same laser pulse. The ratio of these channels varied from peak to peak in the spectrum but their total ionic signal was always much higher than the signal of (2 + 1) resonance enhanced multi-photon ionization of I{sub 2}, which was previously considered to be the origin of ionic signal in this spectral range. The first-tier E0{sub g}{sup +} and D{sup ′}2{sub g} ion-pair states are concluded to be responsible for predissociation of Rydberg states [{sup 2}Π{sub 1/2}]{sub c}6d;0{sub g}{sup +} and [{sup 2}Π{sub 1/2}]{sub c}6d;2{sub g}, respectively. Further predissociation of these ion-pair states via lower lying Rydberg states gives rise to excited I(5s{sup 2}5p{sup 4}6s{sup 1}) atoms responsible for major part of ion signal. The isotropic angular distribution of the photofragment recoil directions observed for all channels indicates that the studied Rydberg states are long-lived compared with the rotational period of the I{sub 2} molecule.

  16. Use of a krypton isotope for rapid ion changeover at the Lawrence Berkeley Laboratory 88-inch cyclotron

    Science.gov (United States)

    Soli, George A.; Nichols, Donald K.

    1989-01-01

    An isotope of krypton, Kr86, has been combined with a mix of Ar, Ne, and N ions at the electron cyclotron resonance (ECR) source, at the Lawrence Berkeley Laboratory cyclotron, to provide rapid ion changeover in Single Event Phenomena (SEP) testing. The new technique has been proved out successfully by a recent Jet Propulsion Laboratory (JPL) test in which it was found that there was no measurable contamination from other isotopes.

  17. Theory of the l-state population of Rydberg states formed in ion-solid collisions

    International Nuclear Information System (INIS)

    Kemmler, J.; Burgdoerfer, J.; Reinhold, C.O.

    1991-01-01

    The experimentally observed high-l-state population of ions excited in ion-solid interactions differs sharply from l-state populations produced in ion-atom collisions. We have studied the population dynamics of electronic excitation and transport within the framework of a classical transport theory for O 2+ (2-MeV/u) ions traversing C foils. The resulting delayed-photon-emission intensities are found to be in very good agreement with experiment. Initial phase-space conditions have been obtained from both classical-trajectory Monte Carlo calculations and random initial distributions. We find evidence that the very-high-l-state populations produced in ion-solid collisions are the result of a diffusion to high-l states under the influence of multiple scattering in the bulk of the solid

  18. Channel for Applied Investigations on Low Energy Ion Beams of Cyclotron DC-60

    CERN Document Server

    Gikal, B N; Borisenko, A N; Fateev, A A; Gulbekyan, G G; Kalagin, I V; Kazacha, V I; Kazarinov, N Yu; Kolesov, I V; Lebedev, N I; Lysukhin, S N; Melnikov, V N

    2006-01-01

    The channel intended for carrying out applied investigations on the low energy ion beams having the kinetic energy 25 $Z/A$ keV/a.u. and transported from the ECR-source to a target is worked out. The channel structure and parameters of all its optics elements are defined. The calculation results of different ion types transportation are given. It is shown that ions having the ratio of their mass to charge Z/A=2-20 can be transported in the worked out channel with enough high expected efficiency. At that the ion beam diameter on the target is $\\sim$40 mm. The characteristics of the basic optical elements of the channel are also given.

  19. Influence of ECR-RF plasma modification on surface and thermal properties of polyester copolymer

    Directory of Open Access Journals (Sweden)

    Fray Miroslawa El

    2015-12-01

    Full Text Available In this paper we report a study on influence of radio-frequency (RF plasma induced with electron cyclotron resonance (ECR on multiblock copolymer containing butylene terephthalate hard segments (PBT and butylene dilinoleate (BDLA soft segments. The changes in thermal properties were studied by DSC. The changes in wettability of PBT-BDLA surfaces were studied by water contact angle (WCA. We found that ECR-RF plasma surface treatment for 60 s led to decrease of WCA, while prolonged exposure of plasma led to increase of WCA after N2 and N2O2 treatment up to 70°–80°. The O2 reduced the WCA to 50°–56°. IR measurements confirmed that the N2O2 plasma led to formation of polar groups. SEM investigations showed that plasma treatment led to minor surfaces changes. Collectively, plasma treatment, especially O2, induced surface hydrophilicity what could be beneficial for increased cell adhesion in future biomedical applications of these materials.

  20. Properties of hydrogenated amorphous silicon (a-Si:H) deposited using a microwave Ecr plasma

    International Nuclear Information System (INIS)

    Mejia H, J.A.

    1996-01-01

    Hydrogenated amorphous silicon (a-Si:H) films have been widely applied to semiconductor devices, such as thin film transistors, solar cells and photosensitive devices. In this work, the first Si-H-Cl alloys (obtained at the National Institute for Nuclear Research of Mexico) were formed by a microwave electron cyclotron resonance (Ecr) plasma CVD method. Gaseous mixtures of silicon tetrachloride (Si Cl 4 ), hydrogen and argon were used. The Ecr plasma was generated by microwaves at 2.45 GHz and a magnetic field of 670 G was applied to maintain the discharge after resonance condition (occurring at 875 G). Si and Cl contents were analyzed by Rutherford Backscattering Spectrometry (RBS). It was found that, increasing proportion of Si Cl 4 in the mixture or decreasing pressure, the silicon and chlorine percentages decrease. Optical gaps were obtained by spectrophotometry. Decreasing temperature, optical gap values increase from 1.4 to 1.5 eV. (Author)

  1. Progress with the 2Q-LEBT Facility for the RIA Project

    CERN Document Server

    Vinogradov, Nikolai; Kern, Michael R L; Ostroumov, Peter; Pardo, Richard C; Scott, Robert

    2005-01-01

    The Rare Isotope Accelerator (RIA) facility utilizes the concept of simultaneous acceleration of two charge states from the ion source. We are building a prototype two charge-state (2Q) injector of the RIA Driver Linac, which includes an ECR ion source originally built by Berkeley Ion Equipment Corporation, a LEBT and one-segment of the prototype RFQ. The reassembly and commissioning of the ECR source has been completed. During the commissioning process we modified and replaced several major components of the BIE-100 to increase the source performance. A new diagnostic station has been designed and built for accurate measurements of the output beam emittance. The paper will discuss detailed beam dynamics studies together with extensive emittance measurements of various ion beams. The status of the design and fabrication of 100 kV high voltage platform, achromatic bending system, multi-harmonic buncher, and a full power 57.5 MHz RFQ segment will be presented.

  2. Ion-ion dynamic structure factor, acoustic modes, and equation of state of two-temperature warm dense aluminum

    Science.gov (United States)

    Harbour, L.; Förster, G. D.; Dharma-wardana, M. W. C.; Lewis, Laurent J.

    2018-04-01

    The ion-ion dynamical structure factor and the equation of state of warm dense aluminum in a two-temperature quasiequilibrium state, with the electron temperature higher than the ion temperature, are investigated using molecular-dynamics simulations based on ion-ion pair potentials constructed from a neutral pseudoatom model. Such pair potentials based on density functional theory are parameter-free and depend directly on the electron temperature and indirectly on the ion temperature, enabling efficient computation of two-temperature properties. Comparison with ab initio simulations and with other average-atom calculations for equilibrium aluminum shows good agreement, justifying a study of quasiequilibrium situations. Analyzing the van Hove function, we find that ion-ion correlations vanish in a time significantly smaller than the electron-ion relaxation time so that dynamical properties have a physical meaning for the quasiequilibrium state. A significant increase in the speed of sound is predicted from the modification of the dispersion relation of the ion acoustic mode as the electron temperature is increased. The two-temperature equation of state including the free energy, internal energy, and pressure is also presented.

  3. Lithium-ion transport in inorganic solid state electrolyte

    International Nuclear Information System (INIS)

    Gao Jian; Li Hong; Zhao Yu-Sheng; Shi Si-Qi

    2016-01-01

    An overview of ion transport in lithium-ion inorganic solid state electrolytes is presented, aimed at exploring and designing better electrolyte materials. Ionic conductivity is one of the most important indices of the performance of inorganic solid state electrolytes. The general definition of solid state electrolytes is presented in terms of their role in a working cell (to convey ions while isolate electrons), and the history of solid electrolyte development is briefly summarized. Ways of using the available theoretical models and experimental methods to characterize lithium-ion transport in solid state electrolytes are systematically introduced. Then the various factors that affect ionic conductivity are itemized, including mainly structural disorder, composite materials and interface effects between a solid electrolyte and an electrode. Finally, strategies for future material systems, for synthesis and characterization methods, and for theory and calculation are proposed, aiming to help accelerate the design and development of new solid electrolytes. (topical review)

  4. Isolation, structure elucidation and antibacterial activity of methyl-4,8-dimethylundecanate from the marine actinobacterium Streptomyces albogriseolus ECR64.

    Science.gov (United States)

    Thirumurugan, Durairaj; Vijayakumar, Ramasamy; Vadivalagan, Chithravel; Karthika, Pushparaj; Alam Khan, Md Khurshid

    2018-05-25

    Around 120 actinobacterial colonies were isolated from various regions of marine East coast region of Tamil Nadu, India. Among them, 33 were morphologically distinct and they were preliminarily screened for their antibacterial activity against Pseudomonas fluorescens, Vibrio cholerae, V. parahaemolyticus, V. alginolyticus, and Aeromonas hydrophila by cross-streak plate technique. Among the isolated, the isolate ECR64 exhibited maximum zone of inhibition against fish pathogenic bacteria. The crude bioactive compounds were extracted from the isolate ECR64 using different organic solvents which exhibited maximum antibacterial activity. Separation and purification of the bioactive compounds were made by column chromatography which yielded 27 fractions and were re-chromatographed to obtain the active compound. Ultra violet (UV), Fourier transform infrared (FT-IR) and nuclear magnetic resonance (NMR) spectral studies were used to predict the structure of the active compound which was identified as methyl-4,8-dimethylundecanate. The potential isolate ECR64 was identified as Streptomyces albogriseolus by phylogenetic, phenotypic and genotypic (16S rRNA gene sequence) analyses. The identified compound methyl-4,8-dimethylundecanate can be used as potential and alternative drug in disease management of aquaculture. Copyright © 2018 Elsevier Ltd. All rights reserved.

  5. Magnetic properties of Co-N films deposited by ECR nitrogen/argon plasma with DC negative-biased Co target

    Energy Technology Data Exchange (ETDEWEB)

    Li, H.; Zhang, Y.C.; Yang, K.; Liu, H.X.; Zhu, X.D., E-mail: xdzhu@ustc.edu.cn; Zhou, H.Y.

    2017-06-01

    Highlights: • A new method of synthesizing Co-N films containing Co{sub 4}N phase. • Tunable magnetic properties achieved in ECR plasma CVD. • The change of magnetic properties is related to atoms mobility on substrate and the concentration of active species in plasma vapor. - Abstract: By introducing DC negative-biased Co target in the Electron Cyclotron Resonance (ECR) nitrogen/argon plasma, the Co-N films containing Co{sub 4}N phase were synthesized on Si(100) substrate. Effects of processing parameters on magnetic properties of the films are investigated. It is found that magnetic properties of Co-N films vary with N{sub 2}/Ar flow ratio, substrate temperature, and target biasing voltage. The saturation magnetization M{sub s} decreased by increasing the N{sub 2}/Ar gas flow ratio or decreasing target biasing voltage, while the coercive field H{sub c} increased, which is ascribed to the variation of relative concentration for N or Co active species in plasma vapor. The magnetic properties present complex dependency with growth temperature, which is related to the atom mobility on the substrate affected by the growth temperature. This study exhibits a potential of ECR plasma chemical vapor deposition to synthesize the interstitial compounds and tune magnetic properties of films.

  6. Extraction design and low energy beam transport optimization of space charge dominated multispecies ion beam sources

    International Nuclear Information System (INIS)

    Delferriere, O.; De Menezes, D.

    2004-01-01

    In all accelerator projects, the low energy part of the accelerator has to be carefully optimized to match the beam characteristic requirements of the higher energy parts. Since 1994 with the beginning of the Injector of Protons for High Intensity (IPHI) project and Source of Light Ions with High Intensities (SILHI) electron cyclotron resonance (ECR) ion source development at CEA/Saclay, we are using a set of two-dimensional (2D) codes for extraction system optimization (AXCEL, OPERA-2D) and beam transport (MULTIPART). The 95 keV SILHI extraction system optimization has largely increased the extracted current, and improved the beam line transmission. From these good results, a 130 mA D + extraction system for the International Fusion Material Irradiation Facility project has been designed in the same way as SILHI one. We are also now involved in the SPIRAL 2 project for the building of a 40 keV D + ECR ion source, continuously tunable from 0.1 to 5 mA, for which a special four-electrode extraction system has been studied. In this article we will describe the 2D design process and present the different extraction geometries and beam characteristics. Simulation results of SILHI H + beam emittance will be compared with experimental measurements

  7. High ion charge states in a high-current, short-pulse, vacuum ARC ion sources

    International Nuclear Information System (INIS)

    Anders, A.; Brown, I.; MacGill, R.; Dickinson, M.

    1996-01-01

    Ions of the cathode material are formed at vacuum arc cathode spots and extracted by a grid system. The ion charge states (typically 1-4) depend on the cathode material and only little on the discharge current as long as the current is low. Here the authors report on experiments with short pulses (several μs) and high currents (several kA); this regime of operation is thus approaching a more vacuum spark-like regime. Mean ion charge states of up to 6.2 for tungsten and 3.7 for titanium have been measured, with the corresponding maximum charge states of up to 8+ and 6+, respectively. The results are discussed in terms of Saha calculations and freezing of the charge state distribution

  8. High ion charge states in a high-current, short-pulse, vacuum arc ion source

    International Nuclear Information System (INIS)

    Anders, A.; Brown, I.; MacGill, R.; Dickinson, M.

    1995-09-01

    Ions of the cathode material are formed at vacuum arc cathode spots and extracted by a grid system. The ion charge states (typically 1--4) depend on the cathode material and only little on the discharge current as long as the current is low. Here the authors report on experiments with short pulses (several micros) and high currents (several kA); this regime of operation is thus approaching a more vacuum spark-like regime. Mean ion charge states of up to 6.2 for tungsten and 3.7 for titanium have been measured, with the corresponding maximum charge states of up to 8+ and 6+, respectively. The results are discussed in terms of Saha calculations and freezing of the charge state distribution

  9. Steady-State Ion Beam Modeling with MICHELLE

    Science.gov (United States)

    Petillo, John

    2003-10-01

    There is a need to efficiently model ion beam physics for ion implantation, chemical vapor deposition, and ion thrusters. Common to all is the need for three-dimensional (3D) simulation of volumetric ion sources, ion acceleration, and optics, with the ability to model charge exchange of the ion beam with a background neutral gas. The two pieces of physics stand out as significant are the modeling of the volumetric source and charge exchange. In the MICHELLE code, the method for modeling the plasma sheath in ion sources assumes that the electron distribution function is a Maxwellian function of electrostatic potential over electron temperature. Charge exchange is the process by which a neutral background gas with a "fast" charged particle streaming through exchanges its electron with the charged particle. An efficient method for capturing this is essential, and the model presented is based on semi-empirical collision cross section functions. This appears to be the first steady-state 3D algorithm of its type to contain multiple generations of charge exchange, work with multiple species and multiple charge state beam/source particles simultaneously, take into account the self-consistent space charge effects, and track the subsequent fast neutral particles. The solution used by MICHELLE is to combine finite element analysis with particle-in-cell (PIC) methods. The basic physics model is based on the equilibrium steady-state application of the electrostatic particle-in-cell (PIC) approximation employing a conformal computational mesh. The foundation stems from the same basic model introduced in codes such as EGUN. Here, Poisson's equation is used to self-consistently include the effects of space charge on the fields, and the relativistic Lorentz equation is used to integrate the particle trajectories through those fields. The presentation will consider the complexity of modeling ion thrusters.

  10. High current ion sources

    International Nuclear Information System (INIS)

    Brown, I.G.

    1989-06-01

    The concept of high current ion source is both relative and evolutionary. Within the domain of one particular kind of ion source technology a current of microamperers might be 'high', while in another area a current of 10 Amperes could 'low'. Even within the domain of a single ion source type, what is considered high current performance today is routinely eclipsed by better performance and higher current output within a short period of time. Within their fields of application, there is a large number of kinds of ion sources that can justifiably be called high current. Thus, as a very limited example only, PIGs, Freemen sources, ECR sources, duoplasmatrons, field emission sources, and a great many more all have their high current variants. High current ion beams of gaseous and metallic species can be generated in a number of different ways. Ion sources of the kind developed at various laboratories around the world for the production of intense neutral beams for controlled fusion experiments are used to form large area proton deuteron beams of may tens of Amperes, and this technology can be used for other applications also. There has been significant progress in recent years in the use of microwave ion sources for high current ion beam generation, and this method is likely to find wide application in various different field application. Finally, high current beams of metal ions can be produced using metal vapor vacuum arc ion source technology. After a brief consideration of high current ion source design concepts, these three particular methods are reviewed in this paper

  11. BEARS: Radioactive ion beams at LBNL

    International Nuclear Information System (INIS)

    Powell, J.; Guo, F.Q.; Haustein, P.E.

    1998-01-01

    BEARS (Berkeley Experiments with Accelerated Radioactive Species) is an initiative to develop a radioactive ion-beam capability at Lawrence Berkeley National Laboratory. The aim is to produce isotopes at an existing medical cyclotron and to accelerate them at the 88 inch Cyclotron. To overcome the 300-meter physical separation of these two accelerators, a carrier-gas transport system will be used. At the terminus of the capillary, the carrier gas will be separated and the isotopes will be injected into the 88 inch Cyclotron's Electron Cyclotron Resonance (ECR) ion source. The first radioactive beams to be developed will include 20-min 11 C and 70-sec 14 O, produced by (p,n) and (p,α) reactions on low-Z targets. A test program is currently being conducted at the 88 inch Cyclotron to develop the parts of the BEARS system. Preliminary results of these tests lead to projections of initial 11 C beams of up to 2.5 x 10 7 ions/sec and 14 O beams of 3 x 10 5 ions/sec

  12. Simultaneous wide-range stopping power determination for several ions

    Energy Technology Data Exchange (ETDEWEB)

    Alanko, T. E-mail: tommi.alanko@phys.jyu.fi; Trzaska, W.H.; Lyapin, V.; Raeisaenen, J.; Tiourine, G.; Virtanen, A

    2002-05-01

    A new procedure to extract simultaneously continuous stopping power curves for several ions and several absorbers over a wide energy range and with statistical errors reduced to negligible level is presented. The method combines our novel time-of-flight based method with the capability of our K130 cyclotron and ECR ion-source to produce the so-called ion cocktails. The potential of the method is demonstrated with a 6.0 MeV/u cocktail consisting of {sup 16}O{sup 4+}, {sup 28}Si{sup 7+} and {sup 40}Ar{sup 10+} ions. The stopping power in polycarbonate in the energy range of 0.35-5 MeV/u has been determined with absolute uncertainty of less than 2.3% and with relative below 0.2%. The results are compared with literature data and with SRIM2000 parameterisation including cores and bonds corrections.

  13. First phase plan for experimental study of heavy-ion inertial fusion accelerator

    International Nuclear Information System (INIS)

    Hattori, Toshiyuki; Okamura, Masahiro; Oguri, Yoshiyuki; Aida, Toshihiro; Takeuchi, Kouichi; Sasa, Kimikazu; Itoh, Takashi; Okada, Masashi; Takahashi, Yousuke; Ishii, Yasuyuki.

    1993-01-01

    We propose the basic experiment plan of driver for heavy-ion inertial fusion by heavy-ion linac [1-3] system and the heavy-ion cooler synchrotron. As the first phase of planning, we will improve old heavy-ion accelerator system that accelerate small intensity around Cl ion with charge to mass ratio of 1/4 up to 2.4 MeV/amu. The injector of the system will exchange from the 1.6 MV Peletron Tandem accelerator to an RFQ type linac with an ECR heavy-ion source. According to building up the power sources of RF and focusing magnet, then it is able to accelerate intense around Xe ion with charge to mass ratio of 1/6 up to 2.4 MeV/amu. At the next stage of it, we will construct a heavy-ion cooler synchrotron having magneticrigidity of 3 or 6 Tm and begin to study about HIF driver. (author)

  14. Effect of axial magnetic field on a 2.45 GHz permanent magnet ECR ion source.

    Science.gov (United States)

    Nakamura, T; Wada, H; Asaji, T; Furuse, M

    2016-02-01

    Herein, we conduct a fundamental study to improve the generation efficiency of a multi-charged ion source using argon. A magnetic field of our electron cyclotron resonance ion source is composed of a permanent magnet and a solenoid coil. Thereby, the axial magnetic field in the chamber can be tuned. Using the solenoid coil, we varied the magnetic field strength in the plasma chamber and measured the ion beam current extracted at the electrode. We observed an approximately three times increase in the Ar(4+) ion beam current when the magnetic field on the extractor-electrode side of the chamber was weakened. From our results, we can confirm that the multi-charged ion beam current changes depending on magnetic field intensity in the plasma chamber.

  15. Effect of axial magnetic field on a 2.45 GHz permanent magnet ECR ion source

    Energy Technology Data Exchange (ETDEWEB)

    Nakamura, T., E-mail: tsubasa@oshima-k.ac.jp; Wada, H.; Furuse, M. [National Institute of Technology, Oshima College, 1091-1 Komatsu, Suouoshima, Oshima, Yamaguchi 742-2193 (Japan); Asaji, T. [National Institute of Technology, Toyama College, 13 Hongo, Toyama 939-8630 (Japan)

    2016-02-15

    Herein, we conduct a fundamental study to improve the generation efficiency of a multi-charged ion source using argon. A magnetic field of our electron cyclotron resonance ion source is composed of a permanent magnet and a solenoid coil. Thereby, the axial magnetic field in the chamber can be tuned. Using the solenoid coil, we varied the magnetic field strength in the plasma chamber and measured the ion beam current extracted at the electrode. We observed an approximately three times increase in the Ar{sup 4+} ion beam current when the magnetic field on the extractor-electrode side of the chamber was weakened. From our results, we can confirm that the multi-charged ion beam current changes depending on magnetic field intensity in the plasma chamber.

  16. A validation of the Experiences in Close Relationships-Relationship Structures scale (ECR-RS) in adolescents

    DEFF Research Database (Denmark)

    Donbaek, Dagmar Feddern; Elklit, Ask

    2014-01-01

    structures in adults and, hence, moves beyond the traditional focus on romantic relationships. The present article explored the psychometric abilities of the ECR-RS across parental and best friend domains in a sample of 15 to 18-year-olds (n = 1999). Two oblique factors were revealed across domains...

  17. Influence of Microwave Power on the Properties of Hydrogenated Diamond-Like Carbon Films Prepared by ECR Plasma Enhanced DC Magnetron Sputtering

    International Nuclear Information System (INIS)

    Ru Lili; Huang Jianjun; Gao Liang; Qi Bing

    2010-01-01

    Electron cyclotron resonance (ECR) plasma was applied to enhance the direct current magnetron sputtering to prepare hydrogenated diamond-like carbon (H-DLC) films. For different microwave powers, both argon and hydrogen gas are introduced separately as the ECR working gas to investigate the influence of microwave power on the microstructure and electrical property of the H-DLC films deposited on P-type silicon substrates. A series of characterization methods including the Raman spectrum and atomic force microscopy are used. Results show that, within a certain range, the increase in microwave power affects the properties of the thin films, namely the sp 3 ratio, the hardness, the nanoparticle size and the resistivity all increase while the roughness decreases with the increase in microwave power. The maximum of resistivity amounts to 1.1 x 10 9 Ω · cm. At the same time it is found that the influence of microwave power on the properties of H-DLC films is more pronounced when argon gas is applied as the ECR working gas, compared to hydrogen gas.

  18. Observation of spatial resolution of ECR plasma on the MM-2 magnetic mirror

    International Nuclear Information System (INIS)

    Duan Shuyun; Gu Biao; Guan Weishu; Cheng Shiqing; Liu Rong; Chen Kangwei; Shang Zhenkui

    1991-04-01

    The measuring method and results of the ECR plasma properties taken from hard X-ray pinhole camera on the MM-2 magnetic mirror are presented. This non-destructive imaging method can directly display the spatial distribution of hot electron plasma. A frame of clear picture could be taken at one shot of discharge. The relationships between emission intensity and discharge parameters are also shown by experimental pictures

  19. The effect of space charge force on beams extracted from ECR ion sources

    International Nuclear Information System (INIS)

    Xie, Z.Q.

    1989-01-01

    A new 3 dimensional ray tracing code BEAM-3D, with a simple model to calculate the space charge force of multiple ion species, is under development and serves as a theoretical tool to study the ECRIS beam formation. Excellent agreement between the BEAM-3D calculations and beam profile and emittance measurements of the total extracted helium 1+ beam from the RTECR ion source was obtained when a low degree of beam neutralization was assumed in the calculations. The experimental evidence indicates that the positive space charge effects dominate the early RTECR ion source beam formation and beamline optics matching process. A review of important beam characteristics is made, including a conceptual model for the space charge beam blow up. Better beam transport through the RTECR beamline analysis magnet has resulted after an extraction geometry modification in which the space charge force was more correctly matched. This work involved the development of an online beam characteristic measuring apparatus which will also be described

  20. Study on ECR dry etching and selective MBE growth of AlGaN/GaN for fabrication of quantum nanostructures on GaN (0001) substrates

    International Nuclear Information System (INIS)

    Oikawa, Takeshi; Ishikawa, Fumitaro; Sato, Taketomo; Hashizume, Tamotsu; Hasegawa, Hideki

    2005-01-01

    This paper attempts to form AlGaN/GaN quantum wire (QWR) network structures on patterned GaN (0001) substrates by selective molecular beam epitaxy (MBE) growth. Substrate patterns were prepared along - and -directions by electron cyclotron resonance assisted reactive-ion beam etching (ECR-RIBE) process. Selective growth was possible for both directions in the case of GaN growth, but only in the -direction in the case of AlGaN growth. A hexagonal QWR network was successfully grown on a hexagonal mesa pattern by combining the -direction and two other equivalent directions. AFM observation confirmed excellent surface morphology of the grown network. A clear cathodoluminescence (CL) peak coming from the embedded AlGaN/GaN QWR structure was clearly identified

  1. Design of the magnetic system of an ECR type ion source

    International Nuclear Information System (INIS)

    Camps C, E.; Munoz C, A.

    1990-05-01

    A computer program written with the purpose of studying the magnetic field produced by a linear system of n coils is shown. Based on this a four coils system is designed that was used in an ion source of Resonance Electron-cyclotron type (REC) that is sought to build. In turn, structure characteristics of the magnetic field proper for such purpose are discussed. (Author)

  2. ECR-MAPK regulation in liver early development.

    Science.gov (United States)

    Zhao, Xiu-Ju; Zhuo, Hexian

    2014-01-01

    Early growth is connected to a key link between embryonic development and aging. In this paper, liver gene expression profiles were assayed at postnatal day 22 and week 16 of age. Meanwhile another independent animal experiment and cell culture were carried out for validation. Significance analysis of microarrays, qPCR verification, drug induction/inhibition assays, and metabonomics indicated that alpha-2u globulin (extracellular region)-socs2 (-SH2-containing signals/receptor tyrosine kinases)-ppp2r2a/pik3c3 (MAPK signaling)-hsd3b5/cav2 (metabolism/organization) plays a vital role in early development. Taken together, early development of male rats is ECR and MAPK-mediated coordination of cancer-like growth and negative regulations. Our data represent the first comprehensive description of early individual development, which could be a valuable basis for understanding the functioning of the gene interaction network of infant development.

  3. Charge state of ions scattered by metal surface

    International Nuclear Information System (INIS)

    Kishinevsky, L.M.; Parilis, E.S.; Verleger, V.K.

    1976-01-01

    A model for description of charge distributions for scattering of heavy ions in the keV region, on metal surfaces developing and improving the method of Van der Weg and Bierman, and taking into account the connection between the ion charge state and scattering kinematics, is proposed. It is shown that multiple charged particles come from ions with a vacancy in the inner shell while the outer shell vacancies give only single charged ions and neutrals. The approximately linear increase of degree of ionization with normal velocity, and the non-monotonic charge dependence of the energy spectrum established by Chicherov and Buck et al is explained by considering irreversible neutralization in the depth of the metal, taking into account the connection of the charge state with the shape of trajectory and its location relative to the metal surface. The dependence of charge state on surface structure is discussed. Some new experiments are proposed. (author)

  4. Non-destructive state detection for quantum logic spectroscopy of molecular ions.

    Science.gov (United States)

    Wolf, Fabian; Wan, Yong; Heip, Jan C; Gebert, Florian; Shi, Chunyan; Schmidt, Piet O

    2016-02-25

    Precision laser spectroscopy of cold and trapped molecular ions is a powerful tool in fundamental physics--used, for example, in determining fundamental constants, testing for their possible variation in the laboratory, and searching for a possible electric dipole moment of the electron. However, the absence of cycling transitions in molecules poses a challenge for direct laser cooling of the ions, and for controlling and detecting their quantum states. Previously used state-detection techniques based on photodissociation or chemical reactions are destructive and therefore inefficient, restricting the achievable resolution in laser spectroscopy. Here, we experimentally demonstrate non-destructive detection of the quantum state of a single trapped molecular ion through its strong Coulomb coupling to a well controlled, co-trapped atomic ion. An algorithm based on a state-dependent optical dipole force changes the internal state of the atom according to the internal state of the molecule. We show that individual quantum states in the molecular ion can be distinguished by the strength of their coupling to the optical dipole force. We also observe quantum jumps (induced by black-body radiation) between rotational states of a single molecular ion. Using the detuning dependence of the state-detection signal, we implement a variant of quantum logic spectroscopy of a molecular resonance. Our state-detection technique is relevant to a wide range of molecular ions, and could be applied to state-controlled quantum chemistry and to spectroscopic investigations of molecules that serve as probes for interstellar clouds.

  5. Escala de Ciúme Romântico (ECR: Evidências Psicométricas de uma Versão Reduzida

    Directory of Open Access Journals (Sweden)

    Valdiney Veloso Gouveia

    Full Text Available Este artigo objetivou descrever a elaboração da versão reduzida da Escala de Ciúme Romântico (ECR, reunindo evidências de sua adequação psicométrica. Dois estudos foram realizados. No Estudo 1 participaram 301 pessoas com idade média de 29 anos (54,2% mulheres. Estes responderam a ECR e perguntas demográficas. Os resultados apoiaram a adequação psicométrica da ECR, cuja análise de componentes principais mostrou dois componentes (ameaça e não ameaça com alfas de Cronbach (α superiores a 0,70. NoEstudo 2 participaram 281 pessoas com idade média de 24 anos (51,6% mulheres, as quais responderam o mesmo questionário do estudo prévio. Os resultados da análise fatorial confirmatória endossaram a solução bifatorial, resultando em uma medida breve com 15 itens, cujos fatores também apresentaram αs acima de 0,70. Concluindo, esta parece ser uma medida que apresenta evidências de validade fatorial e consistência interna, podendo ser útil em contextos de pesquisa e clínico.

  6. Studies of electron cyclotron resonance ion source plasma physics

    International Nuclear Information System (INIS)

    Tarvainen, O.

    2005-01-01

    This thesis consists of an introduction to the plasma physics of electron cyclotron resonance ion sources (ECRIS) and a review of the results obtained by the author and co-workers including discussion of related work by others. The thesis begins with a theoretical discussion dealing with plasma physics relevant for the production of highly charged ions in ECR ion source plasmas. This is followed by an overview of different techniques, such as gas mixing and double frequency heating, that can be used to improve the performance of this type of ion source. The experimental part of the work consists of studies related to ECRIS plasma physics. The effect of the gas mixing technique on the production efficiency of different ion beams was studied with both gaseous and solid materials. It was observed that gas mixing improves the confinement of the heavier element while the confinement of the lighter element is reduced. When the effect of gas mixing on MIVOC-plasmas was studied with several mixing gases it was observed that applying this technique can reduce the inevitable carbon contamination by a significant factor. In order to understand the different plasma processes taking place in ECRIS plasmas, a series of plasma potential and emittance measurements was carried out. An instrument, which can be used to measure the plasma potential in a single measurement without disturbing the plasma, was developed for this work. Studying the plasma potential of ECR ion sources is important not only because it helps to understand different plasma processes, but also because the information can be used as an input parameter for beam transport simulations and ion source extraction design. The experiments performed have revealed clear dependencies of the plasma potential on certain source parameters such as the amount of carbon contamination accumulated on the walls of the plasma chamber during a MIVOC-run. It was also observed that gas mixing affects not only the production efficiency

  7. Modeling all-solid-state Li-ion batteries

    NARCIS (Netherlands)

    Danilov, D.; Niessen, R.A.H.; Notten, P.H.L.

    2011-01-01

    A mathematical model for all-solid-state Li-ion batteries is presented. The model includes the charge transfer kinetics at the electrode/electrolyte interface, diffusion of lithium in the intercalation electrode, and diffusion and migration of ions in the electrolyte. The model has been applied to

  8. High Growth Rate Deposition of Hydrogenated Amorphous Silicon-Germanium Films and Devices Using ECR-PECVD

    Energy Technology Data Exchange (ETDEWEB)

    Liu, Yong [Iowa State Univ., Ames, IA (United States)

    2002-01-01

    Hydrogenated amorphous silicon germanium films (a-SiGe:H) and devices have been extensively studied because of the tunable band gap for matching the solar spectrum and mature the fabrication techniques. a-SiGe:H thin film solar cells have great potential for commercial manufacture because of very low cost and adaptability to large-scale manufacturing. Although it has been demonstrated that a-SiGe:H thin films and devices with good quality can be produced successfully, some issues regarding growth chemistry have remained yet unexplored, such as the hydrogen and inert-gas dilution, bombardment effect, and chemical annealing, to name a few. The alloying of the SiGe introduces above an order-of-magnitude higher defect density, which degrades the performance of the a-SiGe:H thin film solar cells. This degradation becomes worse when high growth-rate deposition is required. Preferential attachment of hydrogen to silicon, clustering of Ge and Si, and columnar structure and buried dihydride radicals make the film intolerably bad. The work presented here uses the Electron-Cyclotron-Resonance Plasma-Enhanced Chemical Vapor Deposition (ECR-PECVD) technique to fabricate a-SiGe:H films and devices with high growth rates. Helium gas, together with a small amount of H2, was used as the plasma species. Thickness, optical band gap, conductivity, Urbach energy, mobility-lifetime product, I-V curve, and quantum efficiency were characterized during the process of pursuing good materials. The microstructure of the a-(Si,Ge):H material was probed by Fourier-Transform Infrared spectroscopy. They found that the advantages of using helium as the main plasma species are: (1) high growth rate--the energetic helium ions break the reactive gas more efficiently than hydrogen ions; (2) homogeneous growth--heavy helium ions impinging on the surface promote the surface mobility of the reactive radicals, so that heteroepitaxy growth as clustering of Ge and Si, columnar structure are

  9. 3D-full wave and kinetics numerical modelling of electron cyclotron resonance ion sources plasma: steps towards self-consistency

    International Nuclear Information System (INIS)

    Mascali, D.; Neri, L.; Castro, G.; Celona, L.; Gammino, S.; Torrisi, G.; Sorbello, G.

    2015-01-01

    Electron Cyclotron Resonance (ECR) ion Sources are the most performing machines for the production of intense beams of multi-charged ions in fundamental science, applied physics and industry. Investigation of plasma dynamics in ECRIS still remains a challenge. A better comprehension of electron heating, ionization and diffusion processes, ion confinement and ion beam formation is mandatory in order to increase ECRIS performances both in terms of output beams currents, charge states, beam quality (emittance minimization, beam halos suppression, etc.). Numerical solution of Vlasov equation via kinetic codes coupled to FEM solvers is ongoing at INFN-LNS, based on a PIC strategy. Preliminary results of the modeling will be shown about wave-plasma interaction and electron-ion confinement: the obtained results are very helpful to better understand the influence of the different parameters (especially RF frequency and power) on the ion beam formation mechanism. The most important clues coming out from the simulations are that although vacuum field RF field distribution (that is a cavity, modal field distribution) is perturbed by the plasma medium, the non-uniformity in the electric field amplitude still persists in the plasma filled cavity. This non-uniformity can be correlated with non-uniform plasma distribution, explaining a number of experimental observations

  10. Design for simultaneous acceleration of stable and unstable beams in a superconducting heavy-ion linear accelerator for RISP

    Science.gov (United States)

    Kim, Jongwon; Son, Hyock-Jun; Park, Young-Ho

    2017-11-01

    The post-accelerator of isotope separation on-line (ISOL) system for rare isotope science project (RISP) is a superconducting linear accelerator (SC-linac) with a DC equivalent voltage of around 160 MV. An isotope beam extracted from the ISOL is in a charge state of 1+ and its charge state is increased to n+ by charge breeding with an electron beam ion source (EBIS). The charge breeding takes tens of ms and the pulse width of extracted beam from the EBIS is tens of μs, which operates at up to 30 Hz. Consequently a large portion of radio frequency (rf) time of the post SC-linac is unused. The post-linac is equipped also with an electron cyclotron resonance (ECR) ion source for stable ion acceleration. Thanks to the large phase acceptance of SC-linac, it is possible to accelerate simultaneously both stable and radioisotope ions with a similar charge to mass ratio by sharing rf time. This operation scheme is implemented for RISP with the addition of an electric chopper and magnetic kickers. The facility will be capable of providing the users of the ISOL and in-flight fragmentation (IF) systems with different beams simultaneously, which would help nuclear science users in obtaining a beam time as high-precision measurements often need long hours.

  11. Production of Highly Charged Heavy Ions by Means of a Hybrid Source in DC Mode and in Afterglow Mode

    Czech Academy of Sciences Publication Activity Database

    Gammino, S.; Ciavola, G.; Torrisi, L.; Celona, L.; Andó, L.; Manciagli, S.; Consoli, F.; Galatá, A.; Picciotto, A.; Mezzasalma, A. M.; Krása, Josef; Láska, Leoš; Pfeifer, Miroslav; Rohlena, Karel; Wolowski, J.; Woryna, E.; Parys, P.; Shirkov, G. D.; Hitz, D.

    2005-01-01

    Roč. 2, č. 6 (2005), s. 458-463 ISSN 1612-8850 R&D Projects: GA MŠk(CZ) ME 238 Institutional research plan: CEZ:AV0Z10100523 Keywords : afterglow plasma processes * electron cyclotron resonance (ECR) * ion beams * laser ablation Subject RIV: BL - Plasma and Gas Discharge Physics Impact factor: 2.846, year: 2005

  12. The Frankfurt ECRIS-RFQ facility for materials research with highly charged ions

    International Nuclear Information System (INIS)

    Stiebing, K.; Streitz, H.; Schmidt, L.; Schremmer, A.; Bethge, K.; Schmidt-Boecking, H.; Schempp, A.; Bessler, U.; Beller, P.; Madlung, J.

    1996-01-01

    The new accelerator for the production of highly charged heavy ions, presently installed at the Institut fuer Kernphysik consists of a 14 GHz ECR source in combination with an variable-energy RFQ post-accelerator. It is designed to deliver highly charged ions in the energy range between 1 keV/u (the ECRIS beam) and 100-200 keV/u with the (variable-energy radio frequency quadrupole) VE-RFQ. Investigations of transient processes with ns time constants will be possible by a single bunch system. Another attractive feature for materials research is the combination with ion beams from the 7 MV Van de Graaff. The status of the project and first results of beam measurements will be pre sented. (orig.)

  13. Plasma polarization spectroscopy on the ECR helium plasma in a cusp magnetic field

    International Nuclear Information System (INIS)

    Sato, T.; Iwamae, A.; Fujimoto, T.; Uchida, M.; Maekawa, T.

    2004-01-01

    Helium emission lines have been observed on the ECR plasma in a cusp field with the polarized components resolved. The polarization map is constructed for the 501.6 nm (2 1 S-3 1 P) line emission. Lines from n 1 P and n 1 D levels are strongly polarized and those from n 3 D levels are weakly polarized. As the helium pressure increases the polarization degree decreases. (author)

  14. Investigation of the role of electron cyclotron resonance heating and magnetic configuration on the suprathermal ion population in the stellarator TJ-II using a luminescent probe

    Science.gov (United States)

    Martínez, M.; Zurro, B.; Baciero, A.; Jiménez-Rey, D.; Tribaldos, V.

    2018-02-01

    Numerous observation exist of a population of high energetic ions with energies well above the corresponding thermal values in plasmas generated by electron cyclotron resonance (ECR) heating in TJ-II stellarator and in other magnetically confined plasmas devices. In this work we study the impact of ECR heating different conditions (positions and powers) on fast ions escaping from plasmas in the TJ-II stellarator. For this study, an ion luminescent probe operated in counting mode is used to measure the energy distribution of suprathermal ions, in the range from 1 to 30 keV. It is observed that some suprathermal ions characteristics (such as temperature, particle and energy fluxes) are related directly with the gyrotron power and focus position of the heating beam in the plasma. Moreover, it is found that suprathermal ion characteristics vary during a magnetic configuration scan (performed along a single discharge). By investigating the suprathermal ions escaping from plasmas generated using two gyrotrons, one with fixed power and the other modulated (on/off) at low frequency (10 Hz), the de-confinement time of the suprathermal ions can be measured, which is of the order of a few milliseconds (power balance is used to understand the de-confinement times in terms of the interaction of suprathermal ions and plasma components. This model also can be used to interpret experimental results of energy loss due to suprathermal ions. Finally, observations of increases (peaks) in the population of escaping suprathermal ions, which are well localized at discrete energies, is documented, these peaks being observed in the energy distributions along a discharge.

  15. Electron cyclotron resonance plasma photos

    Energy Technology Data Exchange (ETDEWEB)

    Racz, R.; Palinkas, J. [Institute of Nuclear Research (ATOMKI), H-4026 Debrecen, Bem ter 18/c (Hungary); University of Debrecen, H-4010 Debrecen, Egyetem ter 1 (Hungary); Biri, S. [Institute of Nuclear Research (ATOMKI), H-4026 Debrecen, Bem ter 18/c (Hungary)

    2010-02-15

    In order to observe and study systematically the plasma of electron cyclotron resonance (ECR) ion sources (ECRIS) we made a high number of high-resolution visible light plasma photos and movies in the ATOMKI ECRIS Laboratory. This required building the ECR ion source into an open ECR plasma device, temporarily. An 8MP digital camera was used to record photos of plasmas made from Ne, Ar, and Kr gases and from their mixtures. We studied and recorded the effect of ion source setting parameters (gas pressure, gas composition, magnetic field, and microwave power) to the shape, color, and structure of the plasma. The analysis of the photo series gave us many qualitative and numerous valuable physical information on the nature of ECR plasmas.

  16. Electron cyclotron resonance plasma photos

    International Nuclear Information System (INIS)

    Racz, R.; Palinkas, J.; Biri, S.

    2010-01-01

    In order to observe and study systematically the plasma of electron cyclotron resonance (ECR) ion sources (ECRIS) we made a high number of high-resolution visible light plasma photos and movies in the ATOMKI ECRIS Laboratory. This required building the ECR ion source into an open ECR plasma device, temporarily. An 8MP digital camera was used to record photos of plasmas made from Ne, Ar, and Kr gases and from their mixtures. We studied and recorded the effect of ion source setting parameters (gas pressure, gas composition, magnetic field, and microwave power) to the shape, color, and structure of the plasma. The analysis of the photo series gave us many qualitative and numerous valuable physical information on the nature of ECR plasmas.

  17. Cross-sections of charge and electronic states change of particles at ion-ion and ion-molecule collisions

    International Nuclear Information System (INIS)

    Panov, M.N.; Afrosimov, V.V.; Basalaev, A.A.; Guschina, N.A.; Nikulin, V.K.

    2006-01-01

    The interactions of protons and alpha-particles with hydrocarbons are investigated. A quantum-mechanical computation of the electronic structure of all hydrocarbons from methane to butane and its fragment ions was performed in the Hartree-Fock RHF/UHF approximation using a GAMESS program (General Atomic Molecular Electron Structure System). The correlation energy was taken into account within the framework of MP2 perturbation theory. The structural parameters of the hydrocarbon molecules and their charged and neutral fragments were calculated in two cases: in the geometry of the parent molecule or of the relaxation states. The difference of the full energy of the same fragments in and out of brackets gives us the vibration excitation energies of the fragments at the moment of creation. Additional Mulliken effective charges (in electron charge units) of atoms in the fragments have been calculated. The calculations show that removing one electron from the ethane molecule without electronic excitation produced a single charged molecular ion in vibration state with binding energy of hydrogen atoms, some decimal eV. As results we obtain C 2 H 6 + and C 2 H 5 + . Additional fragmentation of hydrocarbon needs electronic excitation of produced single charged ions. Cross sections for electron capture and excitation processes in collisions between the hydrogen-like He + , B 4+ and O 7+ ions have been evaluated. The purpose of the theory within this project during the period under review was to get for the first time new data on Single-Electron Capture (SEC) and Excitation Processes (EP) in collisions of He + (1s) ions with hydrogen-like impurity ions B 4+ (1s) and O 7+ (1s) in the energy range for He + ions from 0.2 MeV to 3.0 MeV. The calculations were carried out by using the method of close-coupling equations with basis sets of eleven and ten quasimolecular two-electron states for reactions (1, 2) and (3, 4), respectively (entrance channel, seven charge transfer channels

  18. The WARP Code: Modeling High Intensity Ion Beams

    International Nuclear Information System (INIS)

    Grote, David P.; Friedman, Alex; Vay, Jean-Luc; Haber, Irving

    2005-01-01

    The Warp code, developed for heavy-ion driven inertial fusion energy studies, is used to model high intensity ion (and electron) beams. Significant capability has been incorporated in Warp, allowing nearly all sections of an accelerator to be modeled, beginning with the source. Warp has as its core an explicit, three-dimensional, particle-in-cell model. Alongside this is a rich set of tools for describing the applied fields of the accelerator lattice, and embedded conducting surfaces (which are captured at sub-grid resolution). Also incorporated are models with reduced dimensionality: an axisymmetric model and a transverse ''slice'' model. The code takes advantage of modern programming techniques, including object orientation, parallelism, and scripting (via Python). It is at the forefront in the use of the computational technique of adaptive mesh refinement, which has been particularly successful in the area of diode and injector modeling, both steady-state and time-dependent. In the presentation, some of the major aspects of Warp will be overviewed, especially those that could be useful in modeling ECR sources. Warp has been benchmarked against both theory and experiment. Recent results will be presented showing good agreement of Warp with experimental results from the STS500 injector test stand

  19. ECR-MAPK Regulation in Liver Early Development

    Directory of Open Access Journals (Sweden)

    Xiu-Ju Zhao

    2014-01-01

    Full Text Available Early growth is connected to a key link between embryonic development and aging. In this paper, liver gene expression profiles were assayed at postnatal day 22 and week 16 of age. Meanwhile another independent animal experiment and cell culture were carried out for validation. Significance analysis of microarrays, qPCR verification, drug induction/inhibition assays, and metabonomics indicated that alpha-2u globulin (extracellular region-socs2 (-SH2-containing signals/receptor tyrosine kinases-ppp2r2a/pik3c3 (MAPK signaling-hsd3b5/cav2 (metabolism/organization plays a vital role in early development. Taken together, early development of male rats is ECR and MAPK-mediated coordination of cancer-like growth and negative regulations. Our data represent the first comprehensive description of early individual development, which could be a valuable basis for understanding the functioning of the gene interaction network of infant development.

  20. Electronically excited negative ion resonant states in chloroethylenes

    Energy Technology Data Exchange (ETDEWEB)

    Khvostenko, O.G., E-mail: khv@mail.ru; Lukin, V.G.; Tuimedov, G.M.; Khatymova, L.Z.; Kinzyabulatov, R.R.; Tseplin, E.E.

    2015-02-15

    Highlights: • Several novel dissociative negative ion channels were revealed in chloroethylenes. • The electronically excited resonant states were recorded in all chloroethylenes under study. • The states were assigned to the inter-shell types, but not to the core-excited Feshbach one. - Abstract: The negative ion mass spectra of the resonant electron capture by molecules of 1,1-dichloroethylene, 1,2-dichloroethylene-cis, 1,2-dichloroethylene-trans, trichloroethylene and tetrachloroethylene have been recorded in the 0–12 eV range of the captured electron energy using static magnetic sector mass spectrometer modified for operation in the resonant electron capture regime. As a result, several novel low-intensive dissociation channels were revealed in the compounds under study. Additionally, the negative ion resonant states were recorded at approximately 3–12 eV, mostly for the first time. These resonant states were assigned to the electronically excited resonances of the inter-shell type by comparing their energies with those of the parent neutral molecules triplet and singlet electronically excited states known from the energy-loss spectra obtained by previous studies.

  1. Equilibrium charge state distributions of high energy heavy ions

    International Nuclear Information System (INIS)

    Clark, R.B.; Grant, I.S.; King, R.; Eastham, D.A.; Joy, T.

    1976-01-01

    Equilibrium charge state fractions have been measured for N, O, Ne, S, Ar and Kr ions at 1.04 MeV/nucleon after passing through various stripping materials. Further data were obtained at higher energy for S ions (4.12 MeV/nucleon) and Ar ions (4.12 and 9.6 MeV/nucleon). The mean charge fractions can be fitted to universal curves for both solid and gaseous strippers. Measurements of the equilibrium fraction of krypton ions at 1.04 MeV/nucleon passing through heavy vapours have shown that a higher average charge state is obtained than for lighter gaseous strippers. (Auth.)

  2. Vacuum arc ion charge state distributions

    International Nuclear Information System (INIS)

    Brown, I.G.; Godechot, X.

    1990-06-01

    We have measured vacuum arc ion charge state spectra for a wide range of metallic cathode materials. The charge state distributions were measured using a time-of-flight diagnostic to monitor the energetic ion beam produced by a metal vapor vacuum arc ion source. We have obtained data for 48 metallic cathode elements: Li, C, Mg, Al, Si, Ca, Sc, Ti, V, Cr, Mn, Fe, Co, Ni, Cu, Zn, Ge, Sr, Y, Zr, Nb, Mo, Pd, Ag, Cd, In, Sn, Ba, La, Ce, Pr, Nd, Sm, Gd, Dy, Ho, Er, Yb, Hf, Ta, W, Ir, Pt, Au, Pb, Bi, Th and U. The arc was operated in a pulsed mode with pulse length 0.25 msec; arc current was 100 A throughout. This array of elements extends and completes previous work by us. In this paper the measured distributions are cataloged and compared with our earlier results and with those of other workers. We also make some observations about the performance of the various elements as suitable vacuum arc cathode materials

  3. Vacuum arc ion charge-state distributions

    International Nuclear Information System (INIS)

    Brown, I.G.; Godechot, X.

    1991-01-01

    The authors have measured vacuum arc ion charge-state spectra for a wide range of metallic cathode materials. The charge-state distributions were measured using a time-of-flight diagnostic to monitor the energetic ion beam produced by a metal vapor vacuum arc ion source. They have obtained data for 48 metallic cathode elements: Li, C, Mg, Al, Si, Ca, Sc, Ti, V, Cr, Mn, Fe, Co, Ni, Cu, Zn, Ge, Sr, Y, Zr, Nb, Mo, Pd, Ag, Cd, In, Sn, Ba, La, Ce, Pr, Nd, Sm, Gd, Dy, Ho, Er, Yb, Hf, Ta, W, Ir, Pt, Au, Pb, Bi, Th, and U. The arc was operated in a pulsed mode with pulse length 0.25 ms; arc current was 100 A throughout. This array of elements extends and completes previous work by the authors. In this paper the measured distributions are cataloged and compared with their earlier results and those of other workers. They also make some observations about the performance of the various elements as suitable vacuum arc cathode materials

  4. Performance and operation of advanced superconducting electron cyclotron resonance ion source SECRAL at 24 GHza)

    Science.gov (United States)

    Zhao, H. W.; Lu, W.; Zhang, X. Z.; Feng, Y. C.; Guo, J. W.; Cao, Y.; Li, J. Y.; Guo, X. H.; Sha, S.; Sun, L. T.; Xie, D. Z.

    2012-02-01

    SECRAL (superconducting ECR ion source with advanced design in Lanzhou) ion source has been in routine operation for Heavy Ion Research Facility in Lanzhou (HIRFL) accelerator complex since May 2007. To further enhance the SECRAL performance in order to satisfy the increasing demand for intensive highly charged ion beams, 3-5 kW high power 24 GHz single frequency and 24 GHz +18 GHz double frequency with an aluminum plasma chamber were tested, and some exciting results were produced with quite a few new record highly charged ion beam intensities, such as 129Xe35+ of 64 eμA, 129Xe42+ of 3 eμA, 209Bi41+ of 50 eμA, 209Bi50+ of 4.3 eμA and 209Bi54+ of 0.2 eμA. In most cases SECRAL is operated at 18 GHz to deliver highly charged heavy ion beams for the HIRFL accelerator, only for those very high charge states and very heavy ion beams such as 209Bi36+ and 209Bi41+, SECRAL has been operated at 24 GHz. The total operation beam time provided by SECRAL up to July 2011 has exceeded 7720 hours. In this paper, the latest performance, development, and operation status of SECRAL ion source are presented. The latest results and reliable long-term operation for the HIRFL accelerator have demonstrated that SECRAL performance for production of highly charged heavy ion beams remains improving at higher RF power with optimized tuning.

  5. Performance and operation of advanced superconducting electron cyclotron resonance ion source SECRAL at 24 GHz

    International Nuclear Information System (INIS)

    Zhao, H. W.; Zhang, X. Z.; Feng, Y. C.; Guo, J. W.; Li, J. Y.; Guo, X. H.; Sha, S.; Sun, L. T.; Xie, D. Z.; Lu, W.; Cao, Y.

    2012-01-01

    SECRAL (superconducting ECR ion source with advanced design in Lanzhou) ion source has been in routine operation for Heavy Ion Research Facility in Lanzhou (HIRFL) accelerator complex since May 2007. To further enhance the SECRAL performance in order to satisfy the increasing demand for intensive highly charged ion beams, 3-5 kW high power 24 GHz single frequency and 24 GHz +18 GHz double frequency with an aluminum plasma chamber were tested, and some exciting results were produced with quite a few new record highly charged ion beam intensities, such as 129 Xe 35+ of 64 eμA, 129 Xe 42+ of 3 eμA, 209 Bi 41+ of 50 eμA, 209 Bi 50+ of 4.3 eμA and 209 Bi 54+ of 0.2 eμA. In most cases SECRAL is operated at 18 GHz to deliver highly charged heavy ion beams for the HIRFL accelerator, only for those very high charge states and very heavy ion beams such as 209 Bi 36+ and 209 Bi 41+ , SECRAL has been operated at 24 GHz. The total operation beam time provided by SECRAL up to July 2011 has exceeded 7720 hours. In this paper, the latest performance, development, and operation status of SECRAL ion source are presented. The latest results and reliable long-term operation for the HIRFL accelerator have demonstrated that SECRAL performance for production of highly charged heavy ion beams remains improving at higher RF power with optimized tuning.

  6. f-state luminescence of lanthanide and actinide ions in solution

    International Nuclear Information System (INIS)

    Beitz, J.V.

    1993-01-01

    Detailed studies of the luminescence of aquated Am 3+ are presented in the context of prior lanthanide and actinide ion work. The luminescing state of aquated Am 3+ is confirmed to be 5 D l based on observed emission and excitation spectra. The luminescence lifetime of Am 3+ in H 2 O solution is (22 ± 3) ns and (155 ± 4) ns in D 2 O solution at 295 K. Judd-Ofelt transition intensity theory qualitatively describes the observed Am 3+ relative integrated fluorescence intensities. Recent luminescence studies on complexed trivalent f-element ions in solution are reviewed as to the similarities and differences between lanthanide ion 4f state and actinide ion 5f state properties

  7. Solid state electrolytes for all-solid-state 3D lithium-ion batteries

    NARCIS (Netherlands)

    Kokal, I.

    2012-01-01

    The focus of this Ph.D. thesis is to understand the lithium ion motion and to enhance the Li-ionic conductivities in commonly known solid state lithium ion conductors by changing the structural properties and preparation methods. In addition, the feasibility for practical utilization of several

  8. State-selective charge transfer and excitation in ion-ion interactions at intermediate and high energies

    International Nuclear Information System (INIS)

    Samanta, R; Purkait, M

    2012-01-01

    Boundary Corrected Continuum Intermediate State (BCCIS) approximation and Classical Trajectory Monte Carlo (CTMC) methods are applied to calculate the charge transfer and excitation cross sections for ion-ion collisions.

  9. IBiSA_Tools: A Computational Toolkit for Ion-Binding State Analysis in Molecular Dynamics Trajectories of Ion Channels.

    Directory of Open Access Journals (Sweden)

    Kota Kasahara

    Full Text Available Ion conduction mechanisms of ion channels are a long-standing conundrum. Although the molecular dynamics (MD method has been extensively used to simulate ion conduction dynamics at the atomic level, analysis and interpretation of MD results are not straightforward due to complexity of the dynamics. In our previous reports, we proposed an analytical method called ion-binding state analysis to scrutinize and summarize ion conduction mechanisms by taking advantage of a variety of analytical protocols, e.g., the complex network analysis, sequence alignment, and hierarchical clustering. This approach effectively revealed the ion conduction mechanisms and their dependence on the conditions, i.e., ion concentration and membrane voltage. Here, we present an easy-to-use computational toolkit for ion-binding state analysis, called IBiSA_tools. This toolkit consists of a C++ program and a series of Python and R scripts. From the trajectory file of MD simulations and a structure file, users can generate several images and statistics of ion conduction processes. A complex network named ion-binding state graph is generated in a standard graph format (graph modeling language; GML, which can be visualized by standard network analyzers such as Cytoscape. As a tutorial, a trajectory of a 50 ns MD simulation of the Kv1.2 channel is also distributed with the toolkit. Users can trace the entire process of ion-binding state analysis step by step. The novel method for analysis of ion conduction mechanisms of ion channels can be easily used by means of IBiSA_tools. This software is distributed under an open source license at the following URL: http://www.ritsumei.ac.jp/~ktkshr/ibisa_tools/.

  10. Production of a large diameter ECR plasma with low electron temperature

    International Nuclear Information System (INIS)

    Koga, Mayuko; Hishikawa, Yasuhiro; Tsuchiya, Hayato; Kawai, Yoshinobu

    2006-01-01

    A large diameter plasma over 300 mm in diameter is produced by electron cyclotron resonance (ECR) discharges using a cylindrical vacuum chamber of 400 mm in inner diameter. It is found that the plasma uniformity is improved by adding the nitrogen gas to pure Ar plasma. The electron temperature is decreased by adding the nitrogen gas. It is considered that the electron energy is absorbed in the vibrational energy of nitrogen molecules and the electron temperature decreases. Therefore, the adjunction of the nitrogen gas is considered to be effective for producing uniform and low electron temperature plasma

  11. The project of the mass separator of atomic nuclei produced in heavy ion induced reactions

    CERN Document Server

    Oganessian, Yu T; Dmitriev, S N; Itkis, M G; Gulbekyan, G G; Khabarov, M V; Bekhterev, V V; Bogomolov, S L; Efremov, A A; Pashenko, S V; Stepantsov, S V; Yeremin, A V; Yavor, M I; Kalimov, A G

    2003-01-01

    A new separator and mass analyzer, named MASHA (mass analyzer of super heavy atoms), has been designed at the Flerov Laboratory JINR Dubna to separate and measure masses of nuclei and molecules with precision better than 10 sup - sup 3. The set up can work in the wide mass range from A approx 20 to A approx 500, its mass acceptance is as large as +-2.8%. In particular, it allows unambiguous mass identification of super heavy nuclei with a resolution better than 1 amu at the level of 300 amu. Synthesized in nuclear reactions nuclides are emitted from an ECR ion source at energy E=40 kV and charge state Q=+1. Then they pass the following steps of separation and analysis: the first section of rough separation, the second section of separation and mass analysis and the final section of separation with a 90 deg. electrostatic deflector. In the focal plane of the device, a focal plane detector determines positions (masses) of studied nuclei. Ion optics of the analyzer, optimized up to the second order, is considere...

  12. High energy (MeV) ion-irradiated π-conjugated polyaniline: Transition from insulating state to carbonized conducting state

    International Nuclear Information System (INIS)

    Park, S.K.; Lee, S.Y.; Lee, C.S.; Kim, H.M.; Joo, J.; Beag, Y.W.; Koh, S.K.

    2004-01-01

    High energy (MeV) C 2+ , F 2+ , and Cl 2+ ions were irradiated onto π-conjugated polyaniline emeraldine base (PAN-EB) samples. The energy of an ion beam was controlled to a range of 3-4.5 MeV, with the ion dosage varying from 1x10 12 to 1x10 16 ions/cm 2 . The highest dc conductivity (σ dc ) at room temperature was measured to be ∼60 S/cm for 4.5 MeV Cl 2+ ion-irradiated PAN-EB samples with a dose of 1x10 16 ions/cm 2 . We observed the transition of high energy ion-irradiated PAN-EB samples from insulating state to conducting state as a function of ion dosage based on σ dc and its temperature dependence. The characteristic peaks of the Raman spectrum of the PAN-EB samples were reduced, while the D-peak (disordered peak) and the G peak (graphitic peak) appeared as the ion dose increased. From the analysis of the D and G peaks of the Raman spectra of the systems compared to multiwalled carbon nanotubes, ion-irradiated graphites, and annealed carbon films, the number of the clusters of hexagon rings with conducting sp 2 -bonded carbons increased with ion dosage. We also observed the increase in the size of the nanocrystalline graphitic domain of the systems with increasing ion dosage. The intensity of normalized electron paramagnelic resonance signal also increased in correlation with ion dose. The results of this study demonstrate that π-conjugated pristine PAN-EB systems changed from insulating state to carbonized conducting state through high energy ion irradiation with high ion dosage

  13. The TRIUMF optically-pumped polarized H- ion source

    International Nuclear Information System (INIS)

    Levy, C.D.P.; Jayamanna, K.; McDonald, M.; Schmor, P.W.; Van Oers, W.T.H.; Welz, J.; Wight, G.W.; Dutto, G.; Zelenski, A.N.; Sakae, T.

    1995-09-01

    The TRIUMF dc optically-pumped polarized H - ion source (OPPIS) produces 200 μA dc H - current at 85% polarization within a normalized emittance (90%) of 0.8 π mm mrad, for operations at the TRIUMF cyclotron. As a result of development of the ECR primary proton source, 1.6 mA dc polarized H - current is produced within a normalized emittance of 2 π mm mrad, suitable for high energy accelerators. The OPPIS has also been developed for use in a parity non-conservation experiment which has very severe limits on permissible helicity-correlated changes in beam current and energy. (author)

  14. The TRIUMF optically-pumped polarized H- ion source

    International Nuclear Information System (INIS)

    Levy, C.D.P.; Jayamanna, K.; McDonald, M.

    1995-09-01

    The TRIUMF dc optically-pumped polarized H - ion source (OPPIS) produces 200 microA dc H - current at 85% polarization within a normalized emittance (90%) of 0.8 π mm mrad, for operations at the TRIUMF cyclotron. As a result of development of the ECR primary proton source, 1.6 mA dc polarized H - current is produced within a normalized emittance of 2 π mm mrad, suitable for high energy accelerators. The OPPIS has also been developed for use in a parity non-conservation experiment which has very severe limits on permissible helicity-correlated changes in beam current and energy

  15. Construction plan of ion irradiation facility in JAERI

    International Nuclear Information System (INIS)

    Tanaka, Ryuichi

    1987-01-01

    The Takasaki Radiation Chemistry Research Establishment of Japan Atomic Energy Research Institute (JAERI) started the construction of an ion irradiation facility to apply ion beam to the research and development of radiation resistant materials for severe environment, the research on biotechnology and new functional materials. This project was planned as ion beam irradiation becomes an effective means for the research on fundamental physics and advanced technology, and the national guideline recently emphasizes the basic and pioneering field in research and development. This facility comprises an AVF cyclotron with an ECR ion source (maximum proton energy: 90 MeV), a 3 MV tandem accelerator, a 3 MV single end type Van de Graaf accelerator and a 400 kV ion implanter. In this report, the present status of planning the accelerators and the facility to be constructed, the outline of research plan, the features of the accelerators, and the beam characteristics are described. In this project, the research items are divided into the materials for space environment, the materials for nuclear fusion reactors, biotechnology, new functional materials, and ion beam technology. The ion beams required for the facility are microbeam, pulsed beam, multiple beam, neutron beam and an expanded irradiation field. (Kako, I.)

  16. Resonant Ion Pair Formation in Electron Collisions with Ground State Molecular Ions

    International Nuclear Information System (INIS)

    Zong, W.; Dunn, G.H.; Djuric, N.; Greene, C.H.; Neau, A.; Zong, W.; Larsson, M.; Al-Khalili, A.; Neau, A.; Derkatch, A.M.; Vikor, L.; Shi, W.; Rosen, S.; Le Padellec, A.; Danared, H.; Ugglas, M. af

    1999-01-01

    Resonant ion pair formation from collisions of electrons with ground state diatomic molecular ions has been observed and absolute cross sections measured. The cross section for HD + is characterized by an abrupt threshold at 1.9thinspthinspeV and 14 resolved peaks in the range of energies 0≤E≤14 eV . The dominant mechanism responsible for the structures appears to be resonant capture and stabilization, modified by two-channel quantum interference. Data on HF + show structure correlated with photoionization of HF and with dissociative recombination of electrons with this ion. copyright 1999 The American Physical Society

  17. Quantum technologies for solid state physics using cold trapped ions

    International Nuclear Information System (INIS)

    Ferdinand Schmidt-Kaler

    2014-01-01

    The quantum states of ions are perfectly controlled, and may be used for fundamental research in quantum physics, as highlighted by the Nobel Prize given to Dave Wineland in 2012. Two directions of quantum technologies, followed by the Mainz group, have high impact on solid state physics: I) The delivery of single cold ions on demand for the deterministic doping of solid state materials with nm spatial precision to generate design-structures optimized for quantum processors. II) The simulation of solid state relevant Hamiltonians with AMO systems of one or two dimensional arrays of trapped ions. I will talk about the recent progress in both fields. http://www.quantenbit.de/#Number Sign#/publications/(author)

  18. Electron capture into excited states of multi-charged ions

    International Nuclear Information System (INIS)

    Dijkkamp, D.

    1985-01-01

    This thesis deals with charge exchange reactions in slow collisions of multi-charged ions with neutral atoms or molecules. These reactions proceed very efficiently via a curve crossing mechanism, which leads to preferential population of excited states of the ion. The subsequent decay of these states leads to the emission of characteristic radiation. From wavelength resolved measurements of the absolute intensity of this radiation, cross sections for selective population of the excited (n,l-) states of the ion were determined. In addition, for some systems the total capture cross section was measured directly by means of charge state analysis of the secondary projectile ions. The role of charge exchange processes in fusion plasmas and in astrophysical plasmas is indicated. An experimental set-up is described with emphasis on the Electron Cyclotron Resonance Ion Source that was used in the experiments. Results for collisions of C 6+ , N 6+ , O 6+ and Ne 6+ with He, H 2 and Ar are presented as well as for electron capture from Li atoms by C 4+ and He 2+ . The interaction of the iso-electronic sequence C 4+ , N 5+ , O 6+ with atomic hydrogen, molecular hydrogen and helium is studied. First results for partial and total cross sections in collisions of fully stripped carbon, nitrogen and oxygen ions with atomic hydrogen are presented. These data are of particular importance for applications in fusion diagnostics. The data indicate that calculations of both molecular and atomic orbital type yield correct results, if an extended basis set is used. (Auth.)

  19. High electronegativity multi-dipolar electron cyclotron resonance plasma source for etching by negative ions

    DEFF Research Database (Denmark)

    Stamate, Eugen; Draghici, M.

    2012-01-01

    A large area plasma source based on 12 multi-dipolar ECR plasma cells arranged in a 3 x 4 matrix configuration was built and optimized for silicon etching by negative ions. The density ratio of negative ions to electrons has exceeded 300 in Ar/SF6 gas mixture when a magnetic filter was used...... to reduce the electron temperature to about 1.2 eV. Mass spectrometry and electrostatic probe were used for plasma diagnostics. The new source is free of density jumps and instabilities and shows a very good stability for plasma potential, and the dominant negative ion species is F-. The magnetic field...... in plasma volume is negligible and there is no contamination by filaments. The etching rate by negative ions measured in Ar/SF6/O-2 mixtures was almost similar with that by positive ions reaching 700 nm/min. (C) 2012 American Institute of Physics...

  20. Good performance of ECRIS by gas mixing, How ?

    International Nuclear Information System (INIS)

    Rashid, M.H.; Bhandari, R.K.

    2001-01-01

    An ECR ion source has basic components i) plasma containing magnetic field (Ioffe field/min-B field. ii) microwave power input to heat electrons in slowly varying magnetic field in space through ECR process, iii) electron supply from the internal ionisation process and/or external source and iv) supply of neutral gas and/or metal vapour. The electrons on the cyclotron resonance surface of the magnetic field constantly get energy from the micro-wave injected in the plasma chamber. These energetic electrons impact first the neutral and then the ions with increasing charge state in step-wise manner vigorously and knock out the loosely bound electrons inelastically. So a plasma consisting of cold as well as hot electrons and thermal ions of various charge state is created and confined in the magnetic field by the plasma potential created by the loss of highly mobile electrons on the chamber wall. Some of the multicharged heavy ions (for the purpose of creation and extraction) get sufficiently high energy due to constant electron impact and are radially accelerated by the plasma potential. They strike the chamber-wall and sputter the wall material which cools the hot electrons which reduces the ion confinement and affects the plasma potential and multicharged ion production badly

  1. Status report on ECR stripped ion sources at CEN Grenoble

    International Nuclear Information System (INIS)

    Geller, R.; Jacquot, B.

    1980-12-01

    The MICROMAFIOS source described here is a small-scale version of SUPERMAFIOS; the confinement structure of the magnetic well of the stripper stage results from the superimposition of solenoidal magnetic fields upon a hexapolar magnetic field created by permanent SmCo 5 magnets. This innovation leads to a very compact ion source (approximatly 50 cm in length) which consumes < 100 kW of electric power (30 times less electricity than SUPERMAFIOS) and less than 2 kW of UHF power to create and heat the plasma. In addition, the coupling of the UHF waves to the plasma, has been simplified to the extreme. The incident wave is not polarised and the coupling is done in multimode cavities, whatever their shape. This eliminates the sophisticated technology of the profiled waveguides used in SUPERMAFIOS and the injection of the UHF power is made into the most convenient part of the multimode cavity

  2. The role of high Rydberg states in the generation of negative ions in negative-ion discharges

    International Nuclear Information System (INIS)

    Hiskes, J.R.

    1995-01-01

    The generation of substantial yields of H - ions in a laser excited H 2 gas has been reported by Pinnaduwage and Christoforu. These H - yields have been attributed to (2 + 1) REMP photoexcitation processes leading to dissociative attachment of doubly-excited or superexcited states (SES), or dissociative attachment of high Rydberg product states. The new feature of these experiments is the implied large dissociative attachment rates, of order 10 -6 cm 3 sec -1 , values that are orders-of-magnitude larger than the dissociative attachment of the vibrationally excited levels of the ground electronic state. While these laser excitations are not directly applicable to a hydrogen negative-ion discharge, the implication of large dissociative attachment rates to the high Rydberg states may affect both the total negative-ion density and the interpretation of discharge performance. Within the discharge energetic electrons will collisionally excite the higher Rydberg states, and the relative contribution of the dissociative attachment of these states when compared with the dissociative attachment to the ground state vibrational levels, is the topic of this paper

  3. Ion beam production with sub-milligram samples of material from an ECR source for AMS

    Energy Technology Data Exchange (ETDEWEB)

    Scott, R., E-mail: scott@phy.anl.gov; Palchan-Hazan, T.; Pardo, R.; Vondrasek, R. [Argonne Tandem Linac Accelerator System (ATLAS), Argonne National Laboratory, Lemont, Illinois 60439 (United States); Bauder, W. [Argonne Tandem Linac Accelerator System (ATLAS), Argonne National Laboratory, Lemont, Illinois 60439 (United States); Nuclear Structure Laboratory, University of Notre Dame, Notre Dame, Indiana 46556 (United States)

    2016-02-15

    Current accelerator mass spectrometry experiments at the Argonne Tandem Linac Accelerator System facility at Argonne National Laboratory push us to improve the ion source performance with a large number of samples and a need to minimize cross contamination. These experiments can require the creation of ion beams from as little as a few micrograms of material. These low concentration samples push the limit of our current efficiency and stability capabilities of the electron cyclotron resonance ion source. A combination of laser ablation and sputtering techniques coupled with a newly modified multi-sample changer has been used to meet this demand. We will discuss performance, stability, and consumption rates as well as planned improvements.

  4. The design of 28 GHz ECR Ion Source for the Compact Linear Accelerator in Korea

    International Nuclear Information System (INIS)

    MiSook, Won; ByoungSeob, Lee; JinYong, Park; DongJun Park; JongPil, Kim; JongSeong, Bae; JungKeum, Ahn; SonJong, Wang; Nakagawa, T.

    2012-01-01

    The construction of a compact linear accelerator is in progress by Korea Basic Science Institute. The main capability of this facility is the production of multiply ionized metal clusters and the generation more intense beams of highly charged ions for material, medical and nuclear physical research. To produce the intense beam of highly charged ions, we will construct an Electron Cyclotron Resonance Ion Source (ECRIS) using 28 GHz microwaves. For this ECRIS, the design of a superconducting magnet, microwave inlet, beam extraction and plasma chamber was completed. Also we are constructing a superconducting magnet system. In this poster, we will report the current status of development of our 28 GHz ECRIS. (authors)

  5. Intense highly charged ion beam production and operation with a superconducting electron cyclotron resonance ion source

    Science.gov (United States)

    Zhao, H. W.; Sun, L. T.; Guo, J. W.; Lu, W.; Xie, D. Z.; Hitz, D.; Zhang, X. Z.; Yang, Y.

    2017-09-01

    The superconducting electron cyclotron resonance ion source with advanced design in Lanzhou (SECRAL) is a superconducting-magnet-based electron cyclotron resonance ion source (ECRIS) for the production of intense highly charged heavy ion beams. It is one of the best performing ECRISs worldwide and the first superconducting ECRIS built with an innovative magnet to generate a high strength minimum-B field for operation with heating microwaves up to 24-28 GHz. Since its commissioning in 2005, SECRAL has so far produced a good number of continuous wave intensity records of highly charged ion beams, in which recently the beam intensities of 40Ar+ and 129Xe26+ have, for the first time, exceeded 1 emA produced by an ion source. Routine operations commenced in 2007 with the Heavy Ion accelerator Research Facility in Lanzhou (HIRFL), China. Up to June 2017, SECRAL has been providing more than 28,000 hours of highly charged heavy ion beams to the accelerator demonstrating its great capability and reliability. The great achievement of SECRAL is accumulation of numerous technical advancements, such as an innovative magnetic system and an efficient double-frequency (24 +18 GHz ) heating with improved plasma stability. This article reviews the development of SECRAL and production of intense highly charged ion beams by SECRAL focusing on its unique magnet design, source commissioning, performance studies and enhancements, beam quality and long-term operation. SECRAL development and its performance studies representatively reflect the achievements and status of the present ECR ion source, as well as the ECRIS impacts on HIRFL.

  6. The WARP Code: Modeling High Intensity Ion Beams

    International Nuclear Information System (INIS)

    Grote, D P; Friedman, A; Vay, J L; Haber, I

    2004-01-01

    The Warp code, developed for heavy-ion driven inertial fusion energy studies, is used to model high intensity ion (and electron) beams. Significant capability has been incorporated in Warp, allowing nearly all sections of an accelerator to be modeled, beginning with the source. Warp has as its core an explicit, three-dimensional, particle-in-cell model. Alongside this is a rich set of tools for describing the applied fields of the accelerator lattice, and embedded conducting surfaces (which are captured at sub-grid resolution). Also incorporated are models with reduced dimensionality: an axisymmetric model and a transverse ''slice'' model. The code takes advantage of modern programming techniques, including object orientation, parallelism, and scripting (via Python). It is at the forefront in the use of the computational technique of adaptive mesh refinement, which has been particularly successful in the area of diode and injector modeling, both steady-state and time-dependent. In the presentation, some of the major aspects of Warp will be overviewed, especially those that could be useful in modeling ECR sources. Warp has been benchmarked against both theory and experiment. Recent results will be presented showing good agreement of Warp with experimental results from the STS500 injector test stand. Additional information can be found on the web page http://hif.lbl.gov/theory/WARP( ) summary.html

  7. A high charge state heavy ion beam source for HIF

    International Nuclear Information System (INIS)

    Eylon, S.; Henestroza, E.

    1995-04-01

    A high current low emittance high charge state heavy ion beam source is being developed. This is designed to deliver HIF (heavy ion fusion) driver accelerator scale beam. Using high-charge-state beam in a driver accelerator for HIF may increase the acceleration efficiency, leading to a reduction in the driver accelerator size and cost. The proposed source system which consists of the gas beam electron stripper followed by a high charge state beam separator, can be added to existing single charge state, low emittance, high brightness ion sources and injectors. We shall report on the source physics design using 2D beam envelope simulations and experimental feasibility studies' results using a neutral gas stripper and a beam separator at the exit of the LBL 2 MV injector

  8. ATLAS 10 GHz electron cyclotron resonance ion source upgrade project

    CERN Document Server

    Moehs, D P; Pardo, R C; Xie, D

    2000-01-01

    A major upgrade of the first ATLAS 10 GHz electron cyclotron resonance (ECR) ion source, which began operations in 1987, is in the planning and procurement phase. The new design will convert the old two-stage source into a single-stage source with an electron donor disk and high gradient magnetic field that preserves radial access for solid material feeds and pumping of the plasma chamber. The new magnetic-field profile allows for the possibility of a second ECR zone at a frequency of 14 GHz. An open hexapole configuration, using a high-energy-product Nd-Fe-B magnet material, having an inner diameter of 8.8 cm and pole gaps of 2.4 cm, has been adopted. Models indicate that the field strengths at the chamber wall, 4 cm in radius, will be 9.3 kG along the magnet poles and 5.6 kG along the pole gaps. The individual magnet bars will be housed in austenitic stainless steel, allowing the magnet housing within the aluminum plasma chamber to be used as a water channel for direct cooling of the magnets. Eight solenoid...

  9. Modification of sensing properties of metallophthalocyanine by an ECR plasma

    International Nuclear Information System (INIS)

    Naddaf, M.; Chakane, S.; Jain, S.; Bhoraskar, S.V.; Mandale, A.B.

    2002-01-01

    Lead Phthalocyanine (PC) tetracarboxylic acid prepared by chemical reaction from phthalic anhydride and urea was used as sensor element for sensing humidity and alcohol vapors. The surface was treated with electron cyclotron resonance (ECR) plasma consisting of 25% H 2 and 75% N 2 . Remarkable improvement in the selectivity with respect to ethyl alcohol and reduction in the sensitivity for humidity was observed after this treatment. The response and recovery time for resistive sensing were of the order of 50 and 30 s respectively. X-ray photoelectron spectroscopy and Fourier transformation infra red studies showed that the increased cross-linking of PC is responsible for the creation of new functional groups which have imparted the sensing of alcohol vapor through extrinsic doping

  10. Modification of sensing properties of metallophthalocyanine by an ECR plasma

    Science.gov (United States)

    Naddaf, M.; Chakane, S.; Jain, S.; Bhoraskar, S. V.; Mandale, A. B.

    2002-07-01

    Lead Phthalocyanine (PC) tetracarboxylic acid prepared by chemical reaction from phthalic anhydride and urea was used as sensor element for sensing humidity and alcohol vapors. The surface was treated with electron cyclotron resonance (ECR) plasma consisting of 25% H 2 and 75% N 2. Remarkable improvement in the selectivity with respect to ethyl alcohol and reduction in the sensitivity for humidity was observed after this treatment. The response and recovery time for resistive sensing were of the order of 50 and 30 s respectively. X-ray photoelectron spectroscopy and Fourier transformation infra red studies showed that the increased cross-linking of PC is responsible for the creation of new functional groups which have imparted the sensing of alcohol vapor through extrinsic doping.

  11. Modification of sensing properties of metallophthalocyanine by an ECR plasma

    Energy Technology Data Exchange (ETDEWEB)

    Naddaf, M.; Chakane, S.; Jain, S.; Bhoraskar, S.V. E-mail: svb@physics.unipune.ernet.in; Mandale, A.B

    2002-07-01

    Lead Phthalocyanine (PC) tetracarboxylic acid prepared by chemical reaction from phthalic anhydride and urea was used as sensor element for sensing humidity and alcohol vapors. The surface was treated with electron cyclotron resonance (ECR) plasma consisting of 25% H{sub 2} and 75% N{sub 2}. Remarkable improvement in the selectivity with respect to ethyl alcohol and reduction in the sensitivity for humidity was observed after this treatment. The response and recovery time for resistive sensing were of the order of 50 and 30 s respectively. X-ray photoelectron spectroscopy and Fourier transformation infra red studies showed that the increased cross-linking of PC is responsible for the creation of new functional groups which have imparted the sensing of alcohol vapor through extrinsic doping.

  12. Modification of sensing properties of metallophthalocyanine by an Ecr plasma

    International Nuclear Information System (INIS)

    Naddaf, M.; Chakane, S.; Jain, S.; Bhoraskar, S.V.; Mandale, A.B

    2004-01-01

    Lead Phthalocyanine (PC) tetracarboxylic acid prepared by chemical reaction from phthalic anhydride and urea was used as sensor element for sensing humidity and alcohol vapors. The surface was treated with electron cyclotron resonance (ECR) plasma consisting of 25% H 2 and 75% N 2 . Remarkable improvement in the selectivity with respect to ethyl alcohol and reduction in the sensitivity for humidity was observed after this treatment. The response and recovery time for resistive sensing were of the order of 50 and 30 s respectively. X-ray photoelectron spectroscopy and Fourier transformation infra red studies showed that the increased cross-linking of PC is responsible for the creation of new functional groups which have imparted the sensing of alcohol vapor through extrinsic doping. (author)

  13. Emission of H- fragments from collisions of OH+ ions with atoms and molecules

    International Nuclear Information System (INIS)

    Juhasz, Z.; Sulik, B.

    2010-01-01

    Compete text of publication follows. Detailed measurement of the kinematics of positive fragment ions from molecular collisions pro-vide useful information about the collision dynamics (see e.g. and references therein). In the present work, we turn our attention to negative fragments. Double differential emission spectra of negative charged particles have been measured in collisions of OH + ions with gas jets of Ar atoms and acetone (CH 3 -CO-CH 3 ) molecules at 7 keV impact energy. Among the emitted electrons, a relatively strong contribution of H - ions has been observed in both collision systems. According to a kinematic analysis, the observed H - ions were produced in close atom-atom collisions. For acetone, these ions originated from both the projectile and the target. The present ion impact energy range falls in the distal region of the Bragg peak. Therefore, a non negligible H - production in biological tissues could be relevant for ion therapy and for radiolysis in general. The present experiments were conducted at the 14.5 GHz Electron Cyclotron Resonance (ECR) ion source of the ARIBE facility, at the Grand Accelerateur National d'Ions Lourds (GANIL) in Caen, France. The molecular OH + ions were produced by introducing water vapor in the ECR plasma chamber. The extracted ions were collimated to a diameter of 2.5 mm before entering the collision chamber. In its center, the OH + projectiles crossed an effusive gas jet of either argon atoms or acetone molecules. In the collision area, the density of the gas target was typically of 10 13 cm -3 . The electrons and negative ions produced in the collision were detected by means of a single-stage spectrometer consisting of an electrostatic parallel-plate analyzer. Spectra taken at 30 deg observation angle are shown in Figure 1. Contributions from H - appear in clearly visible peaks. Kinematics shows that the peak at 410 eV in both panels is due emission of H - ions moving with nearly the projectile velocity. An H

  14. Study of a new cusp field for an 18 GHz ECR ion source

    Science.gov (United States)

    Rashid, M. H.; Nakagawa, T.; Goto, A.; Yano, Y.

    2007-08-01

    A feasibility study was performed to generate new sufficient mirror cusp magnetic field (CMF) by using the coils of the existing room temperature traditional 18 GHz electron cyclotron resonance ion source (ECRIS) at RIKEN. The CMF configuration was chosen because it contains plasma superbly and no multipole magnet is needed to make the contained plasma quiescent with no magneto-hydrodynamic (MHD) instability and to make the system cost-effective. The least magnetic field, 13 kG is achieved at the interior wall of the plasma chamber including the point cusps (PC) on the central axis and the ring cusp (RC) on the mid-plane. The mirror ratio calculation and electron simulation were done in the computed CMF. It was found to contain the electrons for longer time than in traditional field. It is proposed that a powerful CMF ECRIS can be constructed, which is capable of producing intense highly charged ion (HCI) beam for light and heavy elements.

  15. Symplectic tomography of nonclassical states of trapped ion

    International Nuclear Information System (INIS)

    Man'ko, O.

    1996-03-01

    The marginal distribution for two types of nonclassical states of trapped ion - for squeezed and correlated states and for squeezed even and odd coherent states (squeezed Schroedinger cat states) is studied. The obtained marginal distribution for the two types of states is shown to satisfy classical dynamical equation equivalent to standard quantum evolution equation for density matrix (wave function) derived in symplectic tomography scheme. (author). 20 refs

  16. Performance on the low charge state laser ion source in BNL

    Energy Technology Data Exchange (ETDEWEB)

    Okamura, M.; Alessi, J.; Beebe, E.; Costanzo, M.; DeSanto, L.; Jamilkowski, J.; Kanesue, T.; Lambiase, R.; Lehn, D.; Liaw, C. J.; McCafferty, D.; Morris, J.; Olsen, R.; Pikin, A.; Raparia, D.; Steszyn, A.; Ikeda, S.

    2015-09-07

    On March 2014, a Laser Ion Source (LIS) was commissioned which delivers high-brightness, low-charge-state heavy ions for the hadron accelerator complex in Brookhaven National Laboratory (BNL). Since then, the LIS has provided many heavy ion species successfully. The low-charge-state (mostly singly charged) beams are injected to the Electron Beam Ion Source (EBIS), where ions are then highly ionized to fit to the following accelerator’s Q/M acceptance, like Au32+. Recently we upgraded the LIS to be able to provide two different beams into EBIS on a pulse-to-pulse basis. Now the LIS is simultaneously providing beams for both the Relativistic Heavy Ion Collider (RHIC) and NASA Space Radiation Laboratory (NSRL).

  17. Incident ion charge state dependence of electron emission during slow multicharged ion-surface interactions

    International Nuclear Information System (INIS)

    Hughes, I.G.; Zeijlmans van Emmichoven, P.A.; Havener, C.C.; Overbury, S.H.; Robinson, M.T.; Zehner, D.M.; Meyer, F.W.

    1992-01-01

    Characteristic variations in the total electron yield γ as a function of crystal azimuthal orientation are reported for slow N 2+ , N 5+ and N 6+ ions incident on a Au(011) single crystal, together with measurements of γ as a function of incident ion velocity. Kinetic electron emission is shown to arise predominantly in close collisions between incident ions and target atoms, and potential electron emission is found to be essentially constant within our present velocity range. The incident ion charge state is shown to play no role in kinetic electron emission. Extremely fast neutralization times of the order of 10 - 15 secs are needed to explain the observations

  18. Hydrogen and deuterium pellet injection into ohmically and additionally ECR-heated TFR plasmas

    International Nuclear Information System (INIS)

    Drawin, H.W.

    1987-01-01

    The ablation clouds of hydrogen and deuterium pellets injected into ohmically and electron cyclotron resonance heated (ECRH) plasmas of the Fontenay-aux-Roses tokamak TFR have been photographed, their emission has been measured photoelectrically. Without ECRH the pellets penetrate deeply into the plasma, the clouds are striated. Injection during ECRH leads to ablation in the outer plasma region. The position of the ECR layer has no influence on the penetration depth which is only a few centimeters. The ablation clouds show no particular structure when ECRH is applied

  19. Constraints due to the production of radioactive ion beams in the SPIRAL project

    International Nuclear Information System (INIS)

    Leroy, R.; Huguet, Y.; Jardin, P.; Marry, C.; Pacquet, J.Y.; Villari, A.C.C.

    1997-01-01

    The radioactive ion beams that will be delivered by the SPIRAL facility will be produced by the interaction of a stable high energy and high intensity primary ion beam delivered by the GANIL cyclotrons with a carbon target heated to 2000 deg C. During this interaction, some radioactive atoms will be created and will diffuse out of the target before entering into an electron cyclotron resonance ion source where they will be ionized and extracted. The production of radioactive ion beams with this method implies high radiation fields that activate and can damage materials located in the neighborhood of the target. Therefore, the production system which is composed of the permanent magnet ECR ion source coupled to a graphite target will be changed after two weeks of irradiation. As this ensemble will be very radioactive, this operation has to be supervised by remote control. The radiation levels around the target-ion source system and a detailed description of the different precautions that have been taken for safety and for prevention of contamination and irradiation are presented. (author)

  20. The study towards high intensity high charge state laser ion sources.

    Science.gov (United States)

    Zhao, H Y; Jin, Q Y; Sha, S; Zhang, J J; Li, Z M; Liu, W; Sun, L T; Zhang, X Z; Zhao, H W

    2014-02-01

    As one of the candidate ion sources for a planned project, the High Intensity heavy-ion Accelerator Facility, a laser ion source has been being intensively studied at the Institute of Modern Physics in the past two years. The charge state distributions of ions produced by irradiating a pulsed 3 J/8 ns Nd:YAG laser on solid targets of a wide range of elements (C, Al, Ti, Ni, Ag, Ta, and Pb) were measured with an electrostatic ion analyzer spectrometer, which indicates that highly charged ions could be generated from low-to-medium mass elements with the present laser system, while the charge state distributions for high mass elements were relatively low. The shot-to-shot stability of ion pulses was monitored with a Faraday cup for carbon target. The fluctuations within ±2.5% for the peak current and total charge and ±6% for pulse duration were demonstrated with the present setup of the laser ion source, the suppression of which is still possible.

  1. Recoil ion charge state distributions in low energy Arq+ - Ar collisions

    International Nuclear Information System (INIS)

    Vancura, J.; Marchetti, V.; Kostroun, V.O.

    1992-01-01

    We have measured the recoil ion charge state distributions in Ar q+ -- Ar (8≤q≤16) collisions at 2.3 qkeV and 0.18qkeV by time of flight (TOF) spectroscopy. For Ar 8-16+ , recoil ion charge states up to 6+ are clearly present, indicating that the 3p subshell in the target atom is being depleted, while for Ar 10-16+ , there is evidence that target 3s electrons are also being removed. Comparison of the recoil ion charge state spectra at 2.3 and 0.18 qkeV shows that for a given projectile charge, there is very little dependence of the observed recoil target charge state distribution on projectile energy

  2. Nuts and Bolts of the Ion Band State Theory

    Science.gov (United States)

    Chubb, Scott R.

    2005-12-01

    The nuts and bolts of our ion band state theory of low energy nuclear reactions (LENR's) in palladium-deuteride (PdD) and palladium-hydride (PdH) are the electrons that hold together or tear apart the bonds (or lack of bonds) between deuterons (d's) or protons (p's) and the host material. In PdDx and PdHx, this bonding is strongly correlated with loading. In ambient loading conditions (x ≲ 0.6), bonding inhibits ion band state occupation. As x → 1, slight increases and decreases in loading can induce "vibrations" (which have conventionally been thought to occur from phonons) that can induce potential losses or increases of p/d. Naive assumptions about phonons fail to include these losses and increases. These effects can occur because neither H or D has core electrons and because in either PdD or PdH, the electrons near the Fermi energy have negligible overlap with the nucleus of either D or H. In the past, implicitly, we have used these facts to justify our ion band state theory. Here, we present a more formal justification, based on the relationship between H(D) ion band states (IBS's) and H(D) phonons that includes a microscopic picture that explains why occupation of IBS's can occur in PdD and PdH and how this can lead to nuclear reactions.

  3. Parallel ion flow velocity measurement using laser induced fluorescence method in an electron cyclotron resonance plasma

    International Nuclear Information System (INIS)

    Yoshimura, Shinji; Okamoto, Atsushi; Terasaka, Kenichiro; Ogiwara, Kohei; Tanaka, Masayoshi Y.; Aramaki, Mitsutoshi

    2010-01-01

    Parallel ion flow velocity along a magnetic field has been measured using a laser induced fluorescence (LIF) method in an electron cyclotron resonance (ECR) argon plasma with a weakly-diverging magnetic field. To measure parallel flow velocity in a cylindrical plasma using the LIF method, the laser beam should be injected along device axis; however, the reflection of the incident beam causes interference between the LIF emission of the incident and reflected beams. Here we present a method of quasi-parallel laser injection at a small angle, which utilizes the reflected beam as well as the incident beam to obtain the parallel ion flow velocity. Using this method, we observed an increase in parallel ion flow velocity along the magnetic field. The acceleration mechanism is briefly discussed on the basis of the ion fluid model. (author)

  4. Intense highly charged ion beam production and operation with a superconducting electron cyclotron resonance ion source

    Directory of Open Access Journals (Sweden)

    H. W. Zhao

    2017-09-01

    Full Text Available The superconducting electron cyclotron resonance ion source with advanced design in Lanzhou (SECRAL is a superconducting-magnet-based electron cyclotron resonance ion source (ECRIS for the production of intense highly charged heavy ion beams. It is one of the best performing ECRISs worldwide and the first superconducting ECRIS built with an innovative magnet to generate a high strength minimum-B field for operation with heating microwaves up to 24–28 GHz. Since its commissioning in 2005, SECRAL has so far produced a good number of continuous wave intensity records of highly charged ion beams, in which recently the beam intensities of ^{40}Ar^{12+} and ^{129}Xe^{26+} have, for the first time, exceeded 1 emA produced by an ion source. Routine operations commenced in 2007 with the Heavy Ion accelerator Research Facility in Lanzhou (HIRFL, China. Up to June 2017, SECRAL has been providing more than 28,000 hours of highly charged heavy ion beams to the accelerator demonstrating its great capability and reliability. The great achievement of SECRAL is accumulation of numerous technical advancements, such as an innovative magnetic system and an efficient double-frequency (24+18  GHz heating with improved plasma stability. This article reviews the development of SECRAL and production of intense highly charged ion beams by SECRAL focusing on its unique magnet design, source commissioning, performance studies and enhancements, beam quality and long-term operation. SECRAL development and its performance studies representatively reflect the achievements and status of the present ECR ion source, as well as the ECRIS impacts on HIRFL.

  5. The influence of ambipolarity on plasma confinement and on the performance of electron cyclotron resonance ion sources.

    Science.gov (United States)

    Schachter, L; Dobrescu, S; Stiebing, K E; Thuillier, T; Lamy, T

    2008-02-01

    Charge diffusion in an electron cyclotron resonance ion source (ECRIS) discharge is usually characterized by nonambipolar behavior. While the ions are transported to the radial walls, electrons are lost axially from the magnetic trap. Global neutrality is maintained via compensating currents in the conducting walls of the vacuum chamber. It is assumed that this behavior reduces the ion breeding times compared to a truly ambipolar plasma. We have carried out a series of dedicated experiments in which the ambipolarity of the ECRIS plasma was influenced by inserting special metal-dielectric structures (MD layers) into the plasma chamber of the Frankfurt 14 GHz ECRIS. The measurements demonstrate the positive influence on the source performance when the ECR plasma is changed toward more ambipolar behavior.

  6. Deposition of silicon oxynitride films by low energy ion beam assisted nitridation at room temperature

    Science.gov (United States)

    Youroukov, S.; Kitova, S.; Danev, G.

    2008-05-01

    The possibility is studied of growing thin silicon oxynitride films by e-gun evaporation of SiO and SiO2 together with concurrent bombardment with low energy N2+ ions from a cyclotron resonance (ECR) source at room temperature of substrates. The degree of nitridation and oxidation of the films is investigated by means of X-ray spectroscopy. The optical characteristics of the films, their environmental stability and adhesion to different substrates are examined. The results obtained show than the films deposited are transparent. It is found that in the case of SiO evaporation with concurrent N2+ ion bombardment, reactive implantation of nitrogen within the films takes place at room temperature of the substrate with the formation of a new silicon oxynitride compound even at low ion energy (150-200 eV).

  7. To the problem on a charge state of energetic ions of radiation belts

    International Nuclear Information System (INIS)

    Panasyuk, M.I.

    1980-01-01

    Estimation of the effect of recharging processes upon formation of intensity maxima of radiation belt ions of different types is obtained as well as the ion charge states in the area of intensity maxima. Comparison of spatial position of intensity maxima of the H, He, C, O ions with the energies more than 1 MeV with the calculation results is presented. It provides the particle radial drift under the effect of sudden impulses and death at the expence of ionization losses. Application of adiabaticity criterion of the particle movement to the analysis of position of outer edge of radiation belt of heavy ions permitted to carry out estimation of the He, C, O ion charge state. He ions with the energy more than 1 MeV possess mainly the charge state of +2, C and O ions with the energy of several MeV over L=5-6 are in the ionized state almost completely, and during the drift into the depth of the belts the ion charge decreases to 3-4 over L approximately 3.5 with the energy increase. At the energies higher than several MeV the recharge processes are significant for the C and.O ions. For He ions with the energy higher 1 MeV and for H ions with more than 0.1 MeV the recharge role is not considerable

  8. Status report on ECR stripped ion sources at CEN Grenoble

    International Nuclear Information System (INIS)

    Geller, R.; Jacquot, B.

    1981-01-01

    MICROMAFIOS is a small-scale version of SUPERMAFIOS (Briand et al. 1979, Geller et al. 1980); the confinement structure of the magnetic well of the stripper stage results from the superimposition of solenoidal magnetic field upon an hexapolar magnetic field created by permanent SmCo 5 magnets. This innovation leads to a very compact ion source (approx. 50 cm in length) which consumes < 100 kW of electric power (30 times less electricity than SUPERMAFIOS) and less than 2 kW of UHF power to create and heat the plasma. In addition, the coupling of the UHF waves to the plasma, has been simplified to the extreme. The incident wave is not polarised and the coupling is done in multimode cavities, whatever their shape. This eliminates the sophisticated technology of the profiled waveguides used in SUPERMAFIOS and the injection of the UHF power is made into the most convenient part of the multimode cavity. Thus, one does not take into consideration the theoretical anisotropic propagation of the waves in the magnetised plasma which presents preferential directions depending upon the polarisation modes of the wave and upon the dielectric coefficient of the plasma. (orig./FKS)

  9. Shallow nitrogen ion implantation: Evolution of chemical state and defect structure in titanium

    Energy Technology Data Exchange (ETDEWEB)

    Manojkumar, P.A., E-mail: manoj@igcar.gov.in [Indira Gandhi Centre for Atomic Research, Kalpakkam 603102 (India); Chirayath, V.A.; Balamurugan, A.K.; Krishna, Nanda Gopala; Ilango, S.; Kamruddin, M.; Amarendra, G.; Tyagi, A.K. [Indira Gandhi Centre for Atomic Research, Kalpakkam 603102 (India); Raj, Baldev [National Institute of Advanced Studies, Bangalore 560 012 (India)

    2016-09-15

    Highlights: • Low energy nitrogen ion implantation in titanium was studied. • Chemical and defect states were analyzed using SIMS, XPS and PAS. • SIMS and depth resolved XPS data showed good agreement. • Depth resolved defect and chemical states information were revealed. • Formation of 3 layers of defect states proposed to fit PAS results. - Abstract: Evolution of chemical states and defect structure in titanium during low energy nitrogen ion implantation by Plasma Immersion Ion Implantation (PIII) process is studied. The underlying process of chemical state evolution is investigated using secondary ion mass spectrometry and X-ray photoelectron spectroscopy. The implantation induced defect structure evolution as a function of dose is elucidated using variable energy positron annihilation Doppler broadening spectroscopy (PAS) and the results were corroborated with chemical state. Formation of 3 layers of defect state was modeled to fit PAS results.

  10. The project of the mass separator of atomic nuclei produced in heavy ion induced reactions

    Science.gov (United States)

    Oganessian, Yu. Ts.; Shchepunov, V. A.; Dmitriev, S. N.; Itkis, M. G.; Gulbekyan, G. G.; Khabarov, M. V.; Bekhterev, V. V.; Bogomolov, S. L.; Efremov, A. A.; Pashenko, S. V.; Stepantsov, S. V.; Yeremin, A. V.; Yavor, M. I.; Kalimov, A. G.

    2003-05-01

    A new separator and mass analyzer, named MASHA (mass analyzer of super heavy atoms), has been designed at the Flerov Laboratory JINR Dubna to separate and measure masses of nuclei and molecules with precision better than 10 -3. The set up can work in the wide mass range from A≈20 to A≈500, its mass acceptance is as large as ±2.8%. In particular, it allows unambiguous mass identification of super heavy nuclei with a resolution better than 1 amu at the level of 300 amu. Synthesized in nuclear reactions nuclides are emitted from an ECR ion source at energy E=40 kV and charge state Q=+1. Then they pass the following steps of separation and analysis: the first section of rough separation, the second section of separation and mass analysis and the final section of separation with a 90° electrostatic deflector. In the focal plane of the device, a focal plane detector determines positions (masses) of studied nuclei. Ion optics of the analyzer, optimized up to the second order, is considered. Description of its elements and subsystems is given.

  11. The project of the mass separator of atomic nuclei produced in heavy ion induced reactions

    International Nuclear Information System (INIS)

    Oganessian, Yu.Ts.; Shchepunov, V.A.; Dmitriev, S.N.; Itkis, M.G.; Gulbekyan, G.G.; Khabarov, M.V.; Bekhterev, V.V.; Bogomolov, S.L.; Efremov, A.A.; Pashenko, S.V.; Stepantsov, S.V.; Yeremin, A.V.; Yavor, M.I.; Kalimov, A.G.

    2003-01-01

    A new separator and mass analyzer, named MASHA (mass analyzer of super heavy atoms), has been designed at the Flerov Laboratory JINR Dubna to separate and measure masses of nuclei and molecules with precision better than 10 -3 . The set up can work in the wide mass range from A∼20 to A∼500, its mass acceptance is as large as ±2.8%. In particular, it allows unambiguous mass identification of super heavy nuclei with a resolution better than 1 amu at the level of 300 amu. Synthesized in nuclear reactions nuclides are emitted from an ECR ion source at energy E=40 kV and charge state Q=+1. Then they pass the following steps of separation and analysis: the first section of rough separation, the second section of separation and mass analysis and the final section of separation with a 90 deg. electrostatic deflector. In the focal plane of the device, a focal plane detector determines positions (masses) of studied nuclei. Ion optics of the analyzer, optimized up to the second order, is considered. Description of its elements and subsystems is given

  12. The project of the mass separator of atomic nuclei produced in heavy ion induced reactions

    Energy Technology Data Exchange (ETDEWEB)

    Oganessian, Yu.Ts.; Shchepunov, V.A. E-mail: shchepun@sunhe.jinr.rushchepun@cv.jinr.ru; Dmitriev, S.N.; Itkis, M.G.; Gulbekyan, G.G.; Khabarov, M.V.; Bekhterev, V.V.; Bogomolov, S.L.; Efremov, A.A.; Pashenko, S.V.; Stepantsov, S.V.; Yeremin, A.V.; Yavor, M.I.; Kalimov, A.G

    2003-05-01

    A new separator and mass analyzer, named MASHA (mass analyzer of super heavy atoms), has been designed at the Flerov Laboratory JINR Dubna to separate and measure masses of nuclei and molecules with precision better than 10{sup -3}. The set up can work in the wide mass range from A{approx}20 to A{approx}500, its mass acceptance is as large as {+-}2.8%. In particular, it allows unambiguous mass identification of super heavy nuclei with a resolution better than 1 amu at the level of 300 amu. Synthesized in nuclear reactions nuclides are emitted from an ECR ion source at energy E=40 kV and charge state Q=+1. Then they pass the following steps of separation and analysis: the first section of rough separation, the second section of separation and mass analysis and the final section of separation with a 90 deg. electrostatic deflector. In the focal plane of the device, a focal plane detector determines positions (masses) of studied nuclei. Ion optics of the analyzer, optimized up to the second order, is considered. Description of its elements and subsystems is given.

  13. Asymmetric ion transport through ion-channel-mimetic solid-state nanopores.

    Science.gov (United States)

    Guo, Wei; Tian, Ye; Jiang, Lei

    2013-12-17

    Both scientists and engineers are interested in the design and fabrication of synthetic nanofluidic architectures that mimic the gating functions of biological ion channels. The effort to build such structures requires interdisciplinary efforts at the intersection of chemistry, materials science, and nanotechnology. Biological ion channels and synthetic nanofluidic devices have some structural and chemical similarities, and therefore, they share some common features in regulating the traverse ionic flow. In the past decade, researchers have identified two asymmetric ion transport phenomena in synthetic nanofluidic structures, the rectified ionic current and the net diffusion current. The rectified ionic current is a diode-like current-voltage response that occurs when switching the voltage bias. This phenomenon indicates a preferential direction of transport in the nanofluidic system. The net diffusion current occurs as a direct product of charge selectivity and is generated from the asymmetric diffusion through charged nanofluidic channels. These new ion transport phenomena and the elaborate structures that occur in biology have inspired us to build functional nanofluidic devices for both fundamental research and practical applications. In this Account, we review our recent progress in the design and fabrication of biomimetic solid-state nanofluidic devices with asymmetric ion transport behavior. We demonstrate the origin of the rectified ionic current and the net diffusion current. We also identify several influential factors and discuss how to build these asymmetric features into nanofluidic systems by controlling (1) nanopore geometry, (2) surface charge distribution, (3) chemical composition, (4) channel wall wettability, (5) environmental pH, (6) electrolyte concentration gradient, and (7) ion mobility. In the case of the first four features, we build these asymmetric features directly into the nanofluidic structures. With the final three, we construct

  14. GANIL, Technical report on operating accelerators, 1995-1996

    International Nuclear Information System (INIS)

    Bex, M.

    1998-01-01

    This report covers the years 1995-1996 and presents the GANIL accelerator operation, the machine studies, the technical developments, the safety problems, the SISSI system and the THI project. The technical developments refer to: production of multicharged metallic ions by the association of an excimer laser and an ECR source; production of multicharged metallic ions with an ECR source by the sputtering method; detection of beam losses and safety system for these losses; rotating spiraled wire; renovation or RF systems; renovation of power supplies and NMR system; upgrade of GANIL control system cluster; adaptation of the GANIL control system to the SPIRAL project and control system for NMR probe measurements. Annexes giving the experiments performed in nuclear physics and in fast ion physics are given as well as a list of the beams accelerated together with their characteristics (charged state, frequency, final energy, intensity on target). Also added is a list of publications

  15. Influence of initial state distortion in ion-atom collisions

    Energy Technology Data Exchange (ETDEWEB)

    Ciappina, M F [CONICET and Departamento de FIsica, Universidad Nacional del Sur, 8000 BahIa Blanca (Argentina); Cravero, W R [CONICET and Departamento de FIsica, Universidad Nacional del Sur, 8000 BahIa Blanca (Argentina); Garibotti, C R [CONICET and Division Colisiones Atomicas, Centro Atomico Bariloche, 8400 Bariloche (Argentina)

    2004-05-28

    We have studied the influence of initial state distortion in a single ionization by ion impact. We have taken a continuum distorted wave type distortion and by taking up to the first order in its asymptotic series expansion we build an eikonal-spherical distortion. In this way the influence of each term in the transition amplitude can be stated. This approximation can be considered an intermediate one between the eikonal initial state and the continuum distorted wave approaches for initial state distortion. We have computed doubly differential cross sections for helium ionization by protons and highly charged ions at high and intermediate impact energy. We have also discussed the contribution of the different terms in electron energy spectra, specially in the vicinity of ECC peak. Very good agreement is found with the available experimental data.

  16. Influence of initial state distortion in ion-atom collisions

    International Nuclear Information System (INIS)

    Ciappina, M F; Cravero, W R; Garibotti, C R

    2004-01-01

    We have studied the influence of initial state distortion in a single ionization by ion impact. We have taken a continuum distorted wave type distortion and by taking up to the first order in its asymptotic series expansion we build an eikonal-spherical distortion. In this way the influence of each term in the transition amplitude can be stated. This approximation can be considered an intermediate one between the eikonal initial state and the continuum distorted wave approaches for initial state distortion. We have computed doubly differential cross sections for helium ionization by protons and highly charged ions at high and intermediate impact energy. We have also discussed the contribution of the different terms in electron energy spectra, specially in the vicinity of ECC peak. Very good agreement is found with the available experimental data

  17. Photophysics of trioxatriangulenium ion. Electrophilic reactivity in the ground state and excited singlet state

    DEFF Research Database (Denmark)

    Reynisson, J.; Wilbrandt, R.; Brinck, V.

    2002-01-01

    . The physical and chemical properties of the excited singlet state of the trioxatriangulenium (TOTA(+)) carbenium ion are investigated by experimental and Computational means. The degeneracy of the lowest excited states is counteracted by Jahn-Teller-type distortion, which leads to vibronic broadening...... of the long wavelength absorption band. A strong fluorescence is observed at 520 nm (tau(n) = 14.6 ns, phi(n) = 0.12 in deaerated acetonitrile). The fluorescence is quenched by 10 aromatic electron donors predominantly via a dynamic charge transfer mechanism, but ground state complexation is shown...... triphenylenes is studied separately. Phosphorescence spectra, triplet lifetimes, and triplet-triplet absorption spectra are provided. In the discussion, TOTA(+) is compared to the unsubstituted xanthenium ion and its 9-phenyl derivative with respect to the excited state properties....

  18. Decoherence of superposition states in trapped ions

    CSIR Research Space (South Africa)

    Uys, H

    2010-09-01

    Full Text Available This paper investigates the decoherence of superpositions of hyperfine states of 9Be+ ions due to spontaneous scattering of off-resonant light. It was found that, contrary to conventional wisdom, elastic Raleigh scattering can have major...

  19. G S Taki

    Indian Academy of Sciences (India)

    Home; Journals; Pramana – Journal of Physics. G S Taki. Articles written in Pramana – Journal of Physics. Volume 59 Issue 5 November 2002 pp 775-780. 6.4 GHz ECR ion source at VECC · G S Taki D K Chakraborty R K Bhandari · More Details Abstract Fulltext PDF. The 6.4 GHz ECR ion source that was indigenously ...

  20. Experimental efforts at NIST towards one-electron ions in circular Rydberg states

    International Nuclear Information System (INIS)

    Tan, Joseph N; Guise, Nicholas D; Brewer, Samuel M

    2011-01-01

    Experimental effort is underway at NIST to enable tests of theory with one-electron ions synthesized in circular Rydberg states from captured bare nuclei. Problematic effects that limit the accuracy of predicted energy levels for low-lying states are vanishingly small for high-angular-momentum (high-L) states; in particular, the nuclear size correction for high-L states is completely negligible for any foreseeable improvement of measurement precision. As an initial step towards realizing such states, highly charged ions are extracted from the NIST electron beam ion trap (EBIT) and steered through the electrodes of a Penning trap. The goal is to capture bare nuclei in the Penning trap for experiments to make one-electron atoms in circular Rydberg states with dipole (E1) transitions in the optical domain accessible to a frequency comb.

  1. MicroRNA-281 regulates the expression of ecdysone receptor (EcR) isoform B in the silkworm, Bombyx mori

    Science.gov (United States)

    Hundreds of Bombyx mori miRNAs had been identified in recent years, but their function in vivo remains poorly understood. The silkworm EcR gene (BmEcR) has three transcriptional isoforms, A, B1 and B2. Isoform sequences are different in the 3’UTR region of the gene, which is the case only in insects...

  2. Design of DC-60 specialized accelerator for the Inter-disciplinary Laboratory Complex by the L.N. Gumilev Eurasian State University

    International Nuclear Information System (INIS)

    Gikal, B.N.; Itkis, M.G.; Dmitriev, S.N.; Gul'bekyan, G.G.; Franko, J.; ); Kadyrzhanov, K.K.; Arzumanov, A.A.; Borisenko, A.N.; Lysukhin, S.N.

    2001-01-01

    Design of the Inter-disciplinary Laboratory Complex by the L.N. Eurasian State University allows development and implementation of specialized heavy ion accelerator. According requirements of research programme the most optimal accelerator is compact cyclotron with fixed magnetic field and varying frequency of high-frequency system in dependence on mass rate to accelerated ions charge. The accelerator has two accelerating modes - on 4 and 6 harmonics, that allow to accelerate ions from C to Xe with energies from 0.4 to 1.6 MeV/nucleon. The beam take out is occurring by electrostatic deflector. The beam will be transporting to physical unit for research conducting. The cyclotron is equipped with ECR source and axial beam injection system.The extending voltage on the ion source is 10-25 k W. On the injection line it is planning to create the channel - after analyzing magnet -for using of satellite beams, that allow to conduct experiments on a low energy ions beams. In all accelerating modes the ions energy does not exceeds the Coulomb barrier and so the unit is radiation safe. The cyclotron complex could be used for fulfilment of a wide circle of research and applied tasks, as well as for students and postgraduates training

  3. Charge-state distribution of MeV He ions scattered from the surface atoms

    International Nuclear Information System (INIS)

    Kimura, Kenji; Ohtsuka, Hisashi; Mannami, Michihiko

    1993-01-01

    The charge-state distribution of 500-keV He ions scattered from a SnTe (001) surface has been investigated using a new technique of high-resolution high-energy ion scattering spectroscopy. The observed charge-state distribution of ions scattered from the topmost atomic layer coincides with that of ions scattered from the subsurface region and does not depend on the incident charge state but depends on the exit angle. The observed exit-angle dependence is explained by a model which includes the charge-exchange process with the valence electrons in the tail of the electron distribution at the surface. (author)

  4. Experimental apparatus for overlapping a ground-state cooled ion with ultracold atoms

    Science.gov (United States)

    Meir, Ziv; Sikorsky, Tomas; Ben-shlomi, Ruti; Akerman, Nitzan; Pinkas, Meirav; Dallal, Yehonatan; Ozeri, Roee

    2018-03-01

    Experimental realizations of charged ions and neutral atoms in overlapping traps are gaining increasing interest due to their wide research application ranging from chemistry at the quantum level to quantum simulations of solid state systems. In this paper, we describe our experimental system in which we overlap a single ground-state cooled ion trapped in a linear Paul trap with a cloud of ultracold atoms such that both constituents are in the ?K regime. Excess micromotion (EMM) currently limits atom-ion interaction energy to the mK energy scale and above. We demonstrate spectroscopy methods and compensation techniques which characterize and reduce the ion's parasitic EMM energy to the ?K regime even for ion crystals of several ions. We further give a substantial review on the non-equilibrium dynamics which governs atom-ion systems. The non-equilibrium dynamics is manifested by a power law distribution of the ion's energy. We also give an overview on the coherent and non-coherent thermometry tools which can be used to characterize the ion's energy distribution after single to many atom-ion collisions.

  5. Role of ion-pair states in the predissociation dynamics of Rydberg states of molecular iodine.

    Science.gov (United States)

    von Vangerow, J; Bogomolov, A S; Dozmorov, N V; Schomas, D; Stienkemeier, F; Baklanov, A V; Mudrich, M

    2016-07-28

    Using femtosecond pump-probe ion imaging spectroscopy, we establish the key role of I(+) + I(-) ion-pair (IP) states in the predissociation dynamics of molecular iodine I2 excited to Rydberg states. Two-photon excitation of Rydberg states lying above the lowest IP state dissociation threshold (1st tier) is found to be followed by direct parallel transitions into IP states of the 1st tier asymptotically correlating to a pair of I ions in their lowest states I(+)((3)P2) + I(-)((1)S0), of the 2nd tier correlating to I(+)((3)P0) + I(-)((1)S0), and of the 3rd tier correlating to I(+)((1)D2) + I(-)((1)S0). Predissociation via the 1st tier proceeds presumably with a delay of 1.6-1.7 ps which is close to the vibrational period in the 3rd tier state (3rd tier-mediated process). The 2nd tier IP state is concluded to be the main precursor for predissociation via lower lying Rydberg states proceeding with a characteristic time of 7-8 ps and giving rise to Rydberg atoms I(5s(2)5p(4)6s(1)). The channel generating I((2)P3/2) + I((2)P1/2) atoms with total kinetic energy corresponding to one-photon excitation is found to proceed via a pump - dump mechanism with dramatic change of angular anisotropy of this channel as compared with earlier nanosecond experiments.

  6. KEK digital accelerator

    Directory of Open Access Journals (Sweden)

    T. Iwashita

    2011-07-01

    Full Text Available The High Energy Accelerator Research Organization KEK digital accelerator (KEK-DA is a renovation of the KEK 500 MeV booster proton synchrotron, which was shut down in 2006. The existing 40 MeV drift tube linac and rf cavities have been replaced by an electron cyclotron resonance (ECR ion source embedded in a 200 kV high-voltage terminal and induction acceleration cells, respectively. A DA is, in principle, capable of accelerating any species of ion in all possible charge states. The KEK-DA is characterized by specific accelerator components such as a permanent magnet X-band ECR ion source, a low-energy transport line, an electrostatic injection kicker, an extraction septum magnet operated in air, combined-function main magnets, and an induction acceleration system. The induction acceleration method, integrating modern pulse power technology and state-of-art digital control, is crucial for the rapid-cycle KEK-DA. The key issues of beam dynamics associated with low-energy injection of heavy ions are beam loss caused by electron capture and stripping as results of the interaction with residual gas molecules and the closed orbit distortion resulting from relatively high remanent fields in the bending magnets. Attractive applications of this accelerator in materials and biological sciences are discussed.

  7. Utilization of the ion traps by SPIRAL

    International Nuclear Information System (INIS)

    Le Brun, C.; Lienard, E.; Mauger, F.; Tamain, B.

    1997-01-01

    An ion trap is a device capable of confine particles, ions or atoms in a well-controlled environment isolated from any exterior perturbations. There are different traps. They are utilized to collect or stock ions, to cool them after in order to subject them to high precision measurement of masses, magnetic moments, hyperfine properties, beta decay properties, etc. Some dozen of traps are currently used all over the world to study stable or radioactive ions.. SPIRAL has been designed and built to produce radioactive ions starting from various heavy ion beams. SPIRAL has the advantage that the projectile parameters, the target and the energy can be chosen to optimize the production in various regions of the nuclear chart. Also, in SPIRAL it is possible to extract more rapidly the radioactive ions formed in the targets. In addition, in SPIRAL the multicharged ion production in a ECR source is possible. The utilization of multicharged ions is indeed very useful for fast mass measurements or for the study of the interaction between the nucleus and the electronic cloud. Finally, utilization of a ion trap on SPIRAL can be designed first at the level of production target by installing a low energy output line. Than, the trap system could be up-graded and brought to its full utilization behind of the recoil spectrometer. It must be capable of selecting and slowing down the ions produced in the reactions (fusion transfer, very inelastic collisions, etc.) induced by the radioactive ions accelerated in CIME. At present, the collaboration is debating on the most favored subject to study and the most suited experimental setups. The following subjects were selected: ion capture, purification and manipulation; isomers (separation and utilization); mass measurements; hyperfine interactions; lifetimes, nuclear electric cloud; β decays; study of the N = Z nuclei close to the proton drip line; physical and chemical properties of transuranium systems

  8. Future carbon beams at SPIRAL1 facility: Which method is the most efficient?

    Science.gov (United States)

    Maunoury, L.; Delahaye, P.; Angot, J.; Dubois, M.; Dupuis, M.; Frigot, R.; Grinyer, J.; Jardin, P.; Leboucher, C.; Lamy, T.

    2014-02-01

    Compared to in-flight facilities, Isotope Separator On-Line ones can in principle produce significantly higher radioactive ion beam intensities. On the other hand, they have to cope with delays for the release and ionization which make the production of short-lived isotopes ion beams of reactive and refractory elements particularly difficult. Many efforts are focused on extending the capabilities of ISOL facilities to those challenging beams. In this context, the development of carbon beams is triggering interest [H. Frånberg, M. Ammann, H. W. Gäggeler, and U. Köster, Rev. Sci. Instrum. 77, 03A708 (2006); M. Kronberger, A. Gottberg, T. M. Mendonca, J. P. Ramos, C. Seiffert, P. Suominen, and T. Stora, in Proceedings of the EMIS 2012 [Nucl. Instrum. Methods Phys. Res. B Production of molecular sideband radioisotope beams at CERN-ISOLDE using a Helicon-type plasma ion source (to be published)]: despite its refractory nature, radioactive carbon beams can be produced from molecules (CO or CO2), which can subsequently be broken up and multi-ionized to the required charge state in charge breeders or ECR sources. This contribution will present results of experiments conducted at LPSC with the Phoenix charge breeder and at GANIL with the Nanogan ECR ion source for the ionization of carbon beams in the frame of the ENSAR and EMILIE projects. Carbon is to date the lightest condensable element charge bred with an ECR ion source. Charge breeding efficiencies will be compared with those obtained using Nanogan ECRIS and charge breeding times will be presented as well.

  9. Deposition of silicon oxynitride films by low energy ion beam assisted nitridation at room temperature

    Energy Technology Data Exchange (ETDEWEB)

    Youroukov, S; Kitova, S; Danev, G [Central Laboratory of Photoprocesses, Bulgarian Academy of Sciences, Acad. G. Bonchev Str., Bl. 109, 113 Sofia (Bulgaria)], E-mail: skitova@clf.bas.bg

    2008-05-01

    The possibility is studied of growing thin silicon oxynitride films by e-gun evaporation of SiO and SiO{sub 2} together with concurrent bombardment with low energy N{sub 2}{sup +} ions from a cyclotron resonance (ECR) source at room temperature of substrates. The degree of nitridation and oxidation of the films is investigated by means of X-ray spectroscopy. The optical characteristics of the films, their environmental stability and adhesion to different substrates are examined. The results obtained show than the films deposited are transparent. It is found that in the case of SiO evaporation with concurrent N{sub 2}{sup +} ion bombardment, reactive implantation of nitrogen within the films takes place at room temperature of the substrate with the formation of a new silicon oxynitride compound even at low ion energy (150-200 eV)

  10. Charge state distributions from highly charged ions channeled at a metal surface

    International Nuclear Information System (INIS)

    Folkerts, L.; Meyer, F.W.; Schippers, S.

    1994-01-01

    The vast majority of the experimental work in the field of multicharged ion-surface interactions, to date, has focused on x-ray and particularly on electron emission. These experiments include measurements of the total electron yield, the emission statistics of the electrons, and, most of all, the electron energy distributions. So far, little attention has been paid to the fate of the multicharged projectile ions after the scattering. To our knowledge, the only measurement of the charge state distribution of the scattered ions is the pioneering experiment of de Zwart et al., who measured the total yield of scattered 1+, 2+, and 3+ ions as a function of the primary charge state q (q = 1--11) for 20 key Ne, Ar, and Kr ions after reflection from a polycrystalline tungsten target. Their main finding is the sudden onset of scattered 3+ ions when inner-shell vacancies are present in the primary particles. This suggests that a certain fraction of the inner-shell vacancies survives the entire collision event, and decays via autoionization on the outgoing path. Since the projectiles scattered in the neutral charge state could not be detected in the experiment of de Zwart et al., they were not able to provide absolute charge state fractions. In our present experiment, we focus on the scattered projectiles, measuring both the final charge state and the total scattering angle with a single 2D position sensitive detector (PSD). This method gives us the number of positive, as well as neutral and negative, scattered ions, thus allowing us to extract absolute charge state fractions. Using a well-prepared single Au(110) crystal and a grazing incidence geometry, we were able to observe surface channeling along the [001] channels

  11. Vacuum improvements for ultra high charge state ion acceleration

    International Nuclear Information System (INIS)

    Xie, Z.Q.; Lyneis, C.M.; Clark, D.J.; Guy, A.; Lundgren, S.A

    1998-06-01

    The installation of a second cryo panel has significantly improved the vacuum in the 88-Inch Cyclotron at Lawrence Berkeley National Laboratory. The neutral pressure in the extraction region decreased from 1.2 x 10 -6 down to about 7 x 10 -7 Torr. The vacuum improvement reduces beam loss from charge changing collisions and enhances the cyclotron beam transmission, especially for the high charge state heavy ions. Tests with improved vacuum show the cyclotron transmission increased more than 50% (from 5.7% to 9.0%) for a Xe 27+ at 603 MeV, more than doubled for a Bi 41+ beam (from 1.9% to 4.6%) at 904 MeV and tripled for a U 47+ beam (from 1.2% to 3.6%) at 1,115 MeV. At about 5 NeV/nucleon 92 enA (2.2 pnA) for Bi 41+ and 14 enA (0.3 pnA) for U 47+ were extracted ut of the 88-Inch Cyclotron Ion beams with charge states as high as U 64+ have been produced by the LBNL AECR-U ion source and accelerated through the cyclotron for the first time. The beam losses for a variety of ultra high charge state ions were measured as a function of cyclotron pressure and compared with the calculations from the existing models

  12. Vacuum improvements for ultra high charge state ion acceleration

    International Nuclear Information System (INIS)

    Xie, Z.Q.; Lyneis, C.M.; Clark, D.J.; Guy, A.; Lundgren, S.A.

    1999-01-01

    The installation of a second cryo panel has significantly improved the vacuum in the 88-Inch Cyclotron at Lawrence Berkeley National Laboratory. The neutral pressure in the extraction region decreased from 1.2 x 10 -6 down to about 7 x 10 -7 Torr. The vacuum improvement reduces beam loss from charge changing collisions and enhances the cyclotron beam transmission, especially for the high charge state heavy ions. Tests with improved vacuum show the cyclotron transmission increased more than 50% (from 5.7% to 9.0%) for a Xe 27+ at 603 MeV, more than doubled for a Bi 41+ beam (from 1.9% % to 4.6%) at 904 MeV and tripled for a U 47+ beam (from 1.2% to 3.6%) at 1115 MeV. At about 5 MeV/nucleon 92 enA (2.2 pnA) for Bi 41+ and 14 enA (0.3 pnA) for U 47+ were extracted out of the 88-Inch Cyclotron Ion beams with charge states as high as U 64+ have been produced by the LBNL AECR-U ion source and accelerated through the cyclotron for the first time. The beam losses for a variety of ultra high charge state ions were measured as a function of cyclotron pressure and compared with the calculations from the existing models. (authors)

  13. A light-matter quantum interface : ion-photon entanglement and state mapping

    International Nuclear Information System (INIS)

    Stute, A.

    2012-01-01

    Quantum mechanics promises to have a great impact on computation. Motivated by the long-term vision of a universal quantum computer that speeds up certain calculations, the field of quantum information processing has been growing steadily over the last decades. Although a variety of quantum systems consisting of a few qubits have been used to implement initial algorithms successfully, decoherence makes it difficult to scale up these systems. A powerful technique, however, could surpass any size limitation: the connection of individual quantum processors in a network. In a quantum network, ''flying'' qubits coherently transfer information between the stationary nodes of the network that store and process quantum information. Ideal candidates for the physical implementation of nodes are single atoms that exhibit long storage times; optical photons, which travel at the speed of light, are ideal information carriers. For coherent information transfer between atom and photon, a quantum interface has to couple the atom to a particular optical mode. This thesis reports on the implementation of a quantum interface by coupling a single trapped 40 Ca+ ion to the mode of a high-finesse optical resonator. Single intra-cavity photons are generated in a vacuum-stimulated Raman process between two atomic states driven by a laser and the cavity vacuum field. In this Raman process, all Zeeman substates of the atom are spectroscopically resolved by tuning the frequency of the laser; via addressing specific atomic states, the polarization of the generated cavity photon is controlled, defining the photonic qubit. The electronic state of the ion is initialized, coherently manipulated, and read out via driving the quadrupole transition. With these techniques in hand, we have demonstrated two protocols for quantum communication. The first protocol, ion-photon entanglement, is regarded as a key resource of distributed quantum information processing. In our realization, we control both

  14. The beam bunching and transport system of the Argonne positive ion injector

    International Nuclear Information System (INIS)

    Den Hartog, P.K.; Bogaty, J.M.; Bollinger, L.M.; Clifft, B.E.; Pardo, R.C.; Shepard, K.W.

    1989-01-01

    A new positive ion injector (PII) is currently under construction at Argonne that will replace the existing 9-MV tandem electrostatic accelerator as an injector into ATLAS. It consists of an electron-cyclotron resonance-ion source on a 350-kV platform injecting into a superconducting linac optimized for very slow (β ≤ .007 c) ions. This combination can potentially produce even higher quality heavy-ion beams than are currently available from the tandem since the emittance growth within the linac is largely determined by the quality of the bunching and beam transport. The system we have implemented uses a two-stage bunching system, composed of a 4-harmonic gridded buncher located on the ECR high-voltage platform and a room temperature spiral-loaded buncher of novel design. A sinusoidal beam chopper is used for removal of tails. The beam transport is designed to provide mass resolution of M/ΔM > 250 and a doubly-isochronous beamline is used to minimize time spread due to path length differences. 4 refs., 2 figs

  15. The beam bunching and transport system of the Argonne positive ion injector

    Energy Technology Data Exchange (ETDEWEB)

    Den Hartog, P.K.; Bogaty, J.M.; Bollinger, L.M.; Clifft, B.E.; Pardo, R.C.; Shepard, K.W.

    1989-01-01

    A new positive ion injector (PII) is currently under construction at Argonne that will replace the existing 9-MV tandem electrostatic accelerator as an injector into ATLAS. It consists of an electron-cyclotron resonance-ion source on a 350-kV platform injecting into a superconducting linac optimized for very slow (..beta.. less than or equal to .007 c) ions. This combination can potentially produce even higher quality heavy-ion beams than are currently available from the tandem since the emittance growth within the linac is largely determined by the quality of the bunching and beam transport. The system we have implemented uses a two-stage bunching system, composed of a 4-harmonic gridded buncher located on the ECR high-voltage platform and a room temperature spiral-loaded buncher of novel design. A sinusoidal beam chopper is used for removal of tails. The beam transport is designed to provide mass resolution of M/..delta..M > 250 and a doubly-isochronous beamline is used to minimize time spread due to path length differences. 4 refs., 2 figs.

  16. Depletion of the excited state population in negative ions using laser photodetachment in a gas-filled RF quadrupole ion guide

    Energy Technology Data Exchange (ETDEWEB)

    Lindahl, A O; Hanstorp, D [Department of Physics, University of Gothenburg, SE-412 96 Gothenburg (Sweden); Forstner, O [VERA Laboratory, Faculty of Physics, Universitaet Wien, Vienna (Austria); Gibson, N D [Department of Physics and Astronomy, Denison University, Granville, OH 43023 (United States); Gottwald, T; Wendt, K [Institut fuer Physik, Johannes Gutenberg-Universitaet, Mainz, 55099 Mainz (Germany); Havener, C C; Liu, Y, E-mail: Dag.Hanstorp@physics.gu.s [Physics Division, Oak Ridge National Laboratory, Oak Ridge, TN 37831-6368 (United States)

    2010-06-14

    The depopulation of excited states in beams of negatively charged carbon and silicon ions was demonstrated using collisional detachment and laser photodetachment in a radio-frequency quadrupole ion guide filled with helium. The high-lying, loosely bound {sup 2}D excited state in C{sup -} was completely depleted through collisional detachment alone, which was quantitatively determined within 6%. For Si{sup -} the combined signal from the population in the {sup 2}P and {sup 2}D excited states was only partly depleted through collisions in the cooler. The loosely bound {sup 2}P state was likely to be completely depopulated, and the more tightly bound {sup 2}D state was partly depopulated through collisions. 98(2)% of the remaining {sup 2}D population was removed by photodetachment in the cooler using less than 2 W laser power. The total reduction of the excited population in Si{sup -}, including collisional detachment and photodetachment, was estimated to be 99(1)%. Employing this novel technique to produce a pure ground state negative ion beam offers possibilities of enhancing selectivity, as well as accuracy, in high-precision experiments on atomic as well as molecular negative ions.

  17. Laser Giant Ion Source and the Prepulse Effects for Picosecond Interaction for High Gain Laser Fusion

    International Nuclear Information System (INIS)

    Hora, Heinrich; Badziak, J.; Parys, P.; Wolowski, J.; Woryna, E.; Boody, F.P.; Hoepfl, R.; Jungwirth, K.; Ullschmied, J.; Kralikova, B.; Krasa, J.; Laska, L.; Pfeifer, M.; Rohlena, K.; Skala, J.; Perina, V.

    2003-01-01

    By studying laser driven ion sources which produce giant ion emission current densities exceeding the few mA/cm2 of classical ion sources (MEVVA or ECR) by more than six orders of magnitude, we unexpectedly measured an anomalous low ion energy with ps laser pulses.The emission is basically different from that with the fastest ion energies in the MeV to GeV range due to relativistic self focusing and from the second fastest ion group due to quiver-thermalization processes. We report on specifically designed experiments with gold targets where 0.5 ns laser pulses produce MeV Au-ions in accordance with relativistic self focusing in strong contrast to ps pulses where a 400 times higher intensity from TW pulses is needed to arrive at the same ion energies. These can be explained by a basically new model without self-focusing as a skin layer effect where the absence of a prepulse is essential. This has consequences for the application of laser driven ion sources and may improve the hitherto highest published laser fusion gains with 50 TW-ps laser pulses without the usual spherical precompression

  18. Generation of three-mode nonclassical vibrational states of ions

    International Nuclear Information System (INIS)

    Nguyen Ba An; Truong Minh Duc

    2002-01-01

    We propose using eight lasers with appropriate orientations and conditions to generate stable trio coherent states of an ion in a three-dimensional isotropic trap. Seven lasers whose orientations are important should be detuned to the third lower sideband of the ion vibrational motion. The eighth laser whose direction is not important should be in resonance with the ionic transition

  19. Protein-protein interface detection using the energy centrality relationship (ECR characteristic of proteins.

    Directory of Open Access Journals (Sweden)

    Sanjana Sudarshan

    Full Text Available Specific protein interactions are responsible for most biological functions. Distinguishing Functionally Linked Interfaces of Proteins (FLIPs, from Functionally uncorrelated Contacts (FunCs, is therefore important to characterizing these interactions. To achieve this goal, we have created a database of protein structures called FLIPdb, containing proteins belonging to various functional sub-categories. Here, we use geometric features coupled with Kortemme and Baker's computational alanine scanning method to calculate the energetic sensitivity of each amino acid at the interface to substitution, identify hotspots, and identify other factors that may contribute towards an interface being FLIP or FunC. Using Principal Component Analysis and K-means clustering on a training set of 160 interfaces, we could distinguish FLIPs from FunCs with an accuracy of 76%. When these methods were applied to two test sets of 18 and 170 interfaces, we achieved similar accuracies of 78% and 80%. We have identified that FLIP interfaces have a stronger central organizing tendency than FunCs, due, we suggest, to greater specificity. We also observe that certain functional sub-categories, such as enzymes, antibody-heavy-light, antibody-antigen, and enzyme-inhibitors form distinct sub-clusters. The antibody-antigen and enzyme-inhibitors interfaces have patterns of physical characteristics similar to those of FunCs, which is in agreement with the fact that the selection pressures of these interfaces is differently evolutionarily driven. As such, our ECR model also successfully describes the impact of evolution and natural selection on protein-protein interfaces. Finally, we indicate how our ECR method may be of use in reducing the false positive rate of docking calculations.

  20. Charge breeding investigation in EBIS/T and collision study of ions with cold atoms for HITRAP

    Energy Technology Data Exchange (ETDEWEB)

    Sokolov, Alexey

    2010-01-29

    Highly charged ions (HCI) at low velocities or at rest are interesting systems for various atomic physics experiments. For investigations on HCI of heavy stable or radioactive nuclides the HITRAP (Highly charged Ion TRAP) decelerator facility has been set up at GSI to deliver cooled beams of HCI at an energy of 5 keV/q. The HCI are produced in a stripper foil at relativistic energies and are decelerated in several steps at ESR storage ring and HITRAP before they are delivered to experimental setups. One of the experiments is the investigation of multi-electron charge exchange in collisions of heavy HCI with cold atoms using novel MOTRIMS technique. Collision experiments on light ions from an ECR ion source colliding with cold atoms in a MOT have been performed and the results are described. An electron beam ion trap (EBIT) has been tested and optimized for commissioning of the HITRAP physics experiments. The process of charge breeding in the EBIT has been successfully studied with gaseous elements and with an alkaline element injected from an external ion source. (orig.)

  1. Charge breeding investigation in EBIS/T and collision study of ions with cold atoms for HITRAP

    International Nuclear Information System (INIS)

    Sokolov, Alexey

    2010-01-01

    Highly charged ions (HCI) at low velocities or at rest are interesting systems for various atomic physics experiments. For investigations on HCI of heavy stable or radioactive nuclides the HITRAP (Highly charged Ion TRAP) decelerator facility has been set up at GSI to deliver cooled beams of HCI at an energy of 5 keV/q. The HCI are produced in a stripper foil at relativistic energies and are decelerated in several steps at ESR storage ring and HITRAP before they are delivered to experimental setups. One of the experiments is the investigation of multi-electron charge exchange in collisions of heavy HCI with cold atoms using novel MOTRIMS technique. Collision experiments on light ions from an ECR ion source colliding with cold atoms in a MOT have been performed and the results are described. An electron beam ion trap (EBIT) has been tested and optimized for commissioning of the HITRAP physics experiments. The process of charge breeding in the EBIT has been successfully studied with gaseous elements and with an alkaline element injected from an external ion source. (orig.)

  2. Effect of a pulsating electric field on ECR heating in the CERA-RX(C) X-ray generator

    Energy Technology Data Exchange (ETDEWEB)

    Balmashnov, A. A., E-mail: abalmashnov@sci.pfu.edu.ru; Kalashnikov, A. V.; Kalashnikov, V. V.; Stepina, S. P.; Umnov, A. M., E-mail: anumnov@yandex.ru [Peoples’ Friendship University of Russia (Russian Federation)

    2016-03-15

    3D particle-in-cell plasma simulations for the field configurations implemented in the CERA-RX(C) ECR X-ray generator (2.45 GHz) have been conducted. Dependences of the energy spectra of electrons incident on the target electrode on the amplitude and frequency of pulsations of the electric field in a megahertz range are derived. The simulation data are compared with the results of the full-scale experiment.

  3. Operation and machine studies

    International Nuclear Information System (INIS)

    1992-01-01

    This annual report describes the GANIL (Grand accelerateur national d'ions lourds, Caen, France) operation and the machine studies realized in 1992. Metallic ions have been accelerated during 36 pc of the time; some were produced for the first time at GANIL: 125 Te, 52 Cr with ECR3, 181 Ta with ECR4. The various machine studies are: comparison of lifetimes of carbon sheets, charge exchange of very heavy ions in carbon foils and in the residual gas of the Ganil cyclotrons, commissioning of the new high intensity axial injection system for Ganil, tantalum acceleration with the new injector, a cyclotron as a mass spectrometer; other studies concerned: implementing the new control system, gettering flux measurement, energy deposited by neutrons and gamma rays in the cryogenic system of SISSI; latest developments on multicharged ECR ion sources, and an on-line isotopic separator test bench at Ganil

  4. Radioactive ion beams and techniques for solid state research

    International Nuclear Information System (INIS)

    Correia, J.G.

    1998-01-01

    In this paper we review the most recent and new applications of solid state characterization techniques using radioactive ion beams. For such type ofresearch, high yields of chemically clean ion beams of radioactive isotopesare needed which are provided by the on-line coupling of high resolution isotope separators to particle accelerators, such as the isotope separator on-line (ISOLDE) facility at CERN. These new experiments are performed by an increasing number of solid state groups. They combine nuclear spectroscopic techniques such as Moessbauer, perturbed angular correlations (PAC) and emission channeling with the traditional non-radioactive techniques liked deep level transient spectroscopy (DLTS) and Hall effect measurements. Recently isotopes of elements, not available before, were successfully used in new PAC experiments, and the first photoluminescence (PL) measurements, where the element transmutation plays the essential role on the PL peak identification, have been performed. The scope of applications of radioactive ion beams for research in solid state physics will be enlarged in the near future, with the installation at ISOLDE of a post-accelerator device providing radioactive beams with energies ranging from a few keV up to a few MeV. (orig.)

  5. Control of trapped-ion quantum states with optical pulses

    International Nuclear Information System (INIS)

    Rangan, C.; Monroe, C.; Bucksbaum, P.H.; Bloch, A.M.

    2004-01-01

    We present new results on the quantum control of systems with infinitely large Hilbert spaces. A control-theoretic analysis of the control of trapped-ion quantum states via optical pulses is performed. We demonstrate how resonant bichromatic fields can be applied in two contrasting ways--one that makes the system completely uncontrollable and the other that makes the system controllable. In some interesting cases, the Hilbert space of the qubit-harmonic oscillator can be made finite, and the Schroedinger equation controllable via bichromatic resonant pulses. Extending this analysis to the quantum states of two ions, a new scheme for producing entangled qubits is discovered

  6. Ion bombardment effect on surface state of metal

    International Nuclear Information System (INIS)

    Vaulin, E.P.; Georgieva, N.E.; Martynenko, T.P.

    1990-01-01

    The effect of slow argon ion bombardment on the surface microstructure of polycrystalline copper as well as the effect of surface state on sputtering of D-16 polycrystalline alloy are experimentally studied. Reduction of copper surface roughness is observed. It is shown that the D-16 alloy sputtering coefficient is sensitive to the surface state within the limits of the destructed surface layer

  7. A state-space-based prognostics model for lithium-ion battery degradation

    International Nuclear Information System (INIS)

    Xu, Xin; Chen, Nan

    2017-01-01

    This paper proposes to analyze the degradation of lithium-ion batteries with the sequentially observed discharging profiles. A general state-space model is developed in which the observation model is used to approximate the discharging profile of each cycle, the corresponding parameter vector is treated as the hidden state, and the state-transition model is used to track the evolution of the parameter vector as the battery ages. The EM and EKF algorithms are adopted to estimate and update the model parameters and states jointly. Based on this model, we construct prediction on the end of discharge times for unobserved cycles and the remaining useful cycles before the battery failure. The effectiveness of the proposed model is demonstrated using a real lithium-ion battery degradation data set. - Highlights: • Unifying model for Li-Ion battery SOC and SOH estimation. • Extended Kalman filter based efficient inference algorithm. • Using voltage curves in discharging to have wide validity.

  8. Mass-spectrometer MASHA - testing results on heavy ion beam

    International Nuclear Information System (INIS)

    Rodin, A.M.; Belozerov, A.V.; Vanin, D.V.; Dmitriev, S.N.; Itkis, M.G.; Kliman, J.; Krupa, L.; Lebedev, A.N.; Oganesyan, Yu.Ts.; Salamatin, V.S.; Sivachek, I.; Chernysheva, E.V.; Yukhimchuk, S.A.

    2011-01-01

    Description of mass-spectrometer MASHA, developed for the mass identification of superheavy elements, is given. The efficiency and operation speed in the off-line mode were measured with four calibrated leakages of noble gases. The total efficiency and operation speed of mass-spectrometer with hot catcher and ECR ion source were determined using the 40 Ar beam. The test experiment was carried out by measuring the alpha decay of Hg and Rn isotopes, produced in fusion reactions 40 Ar+ nat Sm→ nat-xn Hg+xn and 40 Ar+ 166 Er→ 206-xn Rn+xn, in the focal plane of mass-spectrometer. The operation speed of the given technique and relative yields of isotopes in the test reactions were determined

  9. Investigation of particle reduction and its transport mechanism in UHF-ECR dielectric etching system

    International Nuclear Information System (INIS)

    Kobayashi, Hiroyuki; Yokogawa, Ken'etsu; Maeda, Kenji; Izawa, Masaru

    2008-01-01

    Control of particle transport was investigated by using a UHF-ECR etching apparatus with a laser particle monitor. The particles, which float at a plasma-sheath boundary, fall on a wafer when the plasma is turned off. These floating particles can be removed from the region above the wafer by changing the plasma distribution. We measured the distribution of the rotational temperature of nitrogen molecules across the wafer to investigate the effect of the thermophoretic force. We found that mechanisms of particle transport in directions parallel to the wafer surface can be explained by the balance between thermophoretic and gas viscous forces

  10. Steady state ion acceleration by a circularly polarized laser pulse

    International Nuclear Information System (INIS)

    Zhang Xiaomei; Shen Baifei; Cang Yu; Li Xuemei; Jin Zhangying; Wang Fengchao

    2007-01-01

    The steady state ion acceleration at the front of a cold solid target by a circularly polarized flat-top laser pulse is studied with one-dimensional particle-in-cell (PIC) simulation. A model that ions are reflected by a steady laser-driven piston is used by comparing with the electrostatic shock acceleration. A stable profile with a double-flat-top structure in phase space forms after ions enter the undisturbed region of the target with a constant velocity

  11. Chaotic Dynamics Mediates Brain State Transitions, Driven by Changes in Extracellular Ion Concentrations

    DEFF Research Database (Denmark)

    Rasmussen, Rune; H. Jensen, Mogens; L. Heltberg, Mathias

    2017-01-01

    Previous studies have suggested that changes in extracellular ion concentrations initiate the transition from an activity state that characterizes sleep in cortical neurons to states that characterize wakeful- ness. However, because neuronal activity and extra- cellular ion concentrations...... are interdependent, isolating their unique roles during sleep-wake transitions is not possible in vivo. Here, we extend the Averaged-Neuron model and demonstrate that, although changes in extracellular ion concentrations occur concurrently, decreasing the conductance of calcium-dependent potassium channels initiates...... the transition from sleep to wakefulness. We find that sleep is governed by stable, self-sustained oscillations in neuronal firing patterns, whereas the quiet awake state and active awake state are both governed by irregular oscillations and chaotic dynamics; transitions between these separable awake states...

  12. Experimental study of ion stopping power in warm dense matter: charge-state distribution measurements of ions leaving warm dense matter

    International Nuclear Information System (INIS)

    Gauthier, Maxence

    2013-01-01

    The determination if the ion slowing down process (or stopping power) in warm dense matter is essential especially in the frame of inertial confinement fusion. During my thesis, our interest was driven by the modification of the charge state of ion beam emerging from warm dense matter, this quantity playing a major role in ion stopping power calculation. We took advantage of the properties exhibited by ion beams produced by high intensity short pulse lasers to study during two experiments performed at ELFIE and TITAN facilities, the charge state modification of a carbon and helium ion beams emerging from an aluminum foil isochorically heated by an energetic proton beam. In the first two chapters are presented the major challenges regarding the subject from both a theoretical and experimental point of view. Here are exposed the different simulation tools used during the thesis. The third chapter is devoted to the study of the property of laser-produced ion beams in the scope of our experiments aiming at studying the stopping power. We have studied in particular ion beams generated using lower-than-solid density targets during two experiments: helium gas jet and laser-exploded target. In the last chapter are presented the set-ups and results of the two experiments on the charge state of ion beam emerging from warm dense matter. The data we measured in solid-density cold aluminum are successfully compared with the results already obtained in conventional accelerators. (author) [fr

  13. Development of a high intensity sup 4 sup 8 Ca ion beam for the heavy element program

    CERN Document Server

    Wutte, D C; Lyneis, C

    2002-01-01

    A high intensity sup 4 sup 8 Ca ion beam has been developed at the 88 Inch Cyclotron for the synthesis of sup 2 sup 8 sup 3 112 using the reaction sup 2 sup 3 sup 8 U( sup 4 sup 8 Ca, 3n). An ion beam intensity of approx 700 pnA was delivered on target, resulting in a total dose of 2 x 10 sup 1 sup 8 ions over a six day period. Since sup 4 sup 8 Ca is a very expensive and rare isotope minimal consumption is essential. Therefore a new oven [1] and special tantalum liner [2] have been developed for the AECR-U ion source during the last year to improve the metal ion beam efficiency. Both the LBL ECR and the AECR-U ion sources are built with radial access. Six radial slots between the sextupole magnet bars provide additional pumping and easy access to the plasma chamber for ovens and feedthroughs. Two types of radial ovens have been used at LBNL in the past, operating at temperatures up to 2100 C.

  14. Excited state fluorescence quenching of the U O2++ ion by monovalent anions

    International Nuclear Information System (INIS)

    Santarine, G.A.

    1987-01-01

    The reactions of the Uranyl ion U O 2 ++ in the excited state with the monovalent inorganic ions N O 3 - and I O 3 - in aqueous solutions at normal temperature were studied, using three techniques: Fluorescence in the steady state - Flash photolysis - Fluorescence decay after excitation. With increasing concentration of these ions it was observed a decrease in the normalized intensity and a decrease in the decay time of the fluorescence of the Uranyl ion in the solution and a corresponding appearance of the radicals N O 3 . or I O 3 . . In each case the radical was identified by its optical absorption spectrum. These results suggest that the quenching of fluorescence of the Uranyl ion in each case is owing to an electron transfer reaction. In the case of the Nitrate ion the transfer may occur after the formation of an ionic par (U O 2 + ...N O 3 ) in the ground state. Evidence for static quenching in the system Uranyl iodate was not forthcoming. A mechanism for the determination of the velocity constant (probability per ion pair per unit time) is proposed for each of the systems. (author)

  15. High intensity proton injector for facility of antiproton and ion research

    Energy Technology Data Exchange (ETDEWEB)

    Berezov, R., E-mail: r.berezov@gsi.de; Brodhage, R.; Fils, J.; Hollinger, R.; Ivanova, V. [GSI Helmholtzzentrum für Schwerionenforschung GmbH, Planckstr. 1, 64291 Darmstadt (Germany); Chauvin, N.; Delferriere, O.; Tuske, O. [Commissariat à l’Energie Atomique et aux Energies Alternatives, IRFU, F-91191 Gif-sur-Yvette (France); Ullmann, C. [GSI Helmholtzzentrum für Schwerionenforschung GmbH, Planckstr. 1, 64291 Darmstadt (Germany); Institut für Angewandte Physik, Goethe-Universität Frankfurt, Max-von-Laue-Str. 1, 60438 Frankfurt/Main (Germany)

    2016-02-15

    The high current ion source with the low energy beam transport (LEBT) will serve as injector into the proton LINAC to provide primary proton beam for the production of antiprotons. The pulsed ion source developed and built in CEA/Saclay operates with a frequency of 2.45 GHz based on ECR plasma production with two coils with 87.5 mT magnetic field necessary for the electron cyclotron resonance. The compact LEBT consists of two solenoids with a maximum magnetic field of 500 mT including two integrated magnetic steerers to adjust the horizontal and vertical beam positions. The total length of the compact LEBT is 2.3 m and was made as short as possible to reduced emittance growth along the beam line. To measure ion beam intensity behind the pentode extraction system, between solenoids and at the end of the beam line, two current transformers and a Faraday cup are installed. To get information about the beam quality and position, the diagnostic chamber with different equipment will be installed between the two solenoids. This article reports the current status of the proton injector for the facility of antiproton and ion research.

  16. Interaction of slow highly charged ions with hard dental tissue: studies of fluoride uptake and reminalization efficacy

    International Nuclear Information System (INIS)

    Daskalova, A; Kasperski, G; Rousseau, P; Domaracka, A; Lawicki, A

    2014-01-01

    TOF-SIMS mass spectroscopy data are presented on ion irradiation of hard dental tissue using a beam of 129 Xe 20+ (15 kV) ions delivered in the ARIBE facility by an ECR source. The investigation was focused on the mass distribution of the fragment ions. A comparison is made between the mass spectra from hard dental tissue treated by olaflur-(C 27 H 60 F 2 N 2 O 3 ) and untreated hard dental tissue obtained under irradiation by low-energy highly-charged ions (HCIs). We found significant differences between the mass spectra of enamel after introducing amine fluoride (olaflur) and the mass spectra of pure untreated enamel. Further, we separated out the effects caused by radiation induced in the tooth enamel from those induced in dentin, which has not been performed before. In order to conduct a further detailed analysis, it is necessary to extend the research scope to include the influence of fluorine compounds on enamel and dentin.

  17. Preparation and coherent manipulation of pure quantum states of a single molecular ion

    Science.gov (United States)

    Chou, Chin-Wen; Kurz, Christoph; Hume, David B.; Plessow, Philipp N.; Leibrandt, David R.; Leibfried, Dietrich

    2017-05-01

    Laser cooling and trapping of atoms and atomic ions has led to advances including the observation of exotic phases of matter, the development of precision sensors and state-of-the-art atomic clocks. The same level of control in molecules could also lead to important developments such as controlled chemical reactions and sensitive probes of fundamental theories, but the vibrational and rotational degrees of freedom in molecules pose a challenge for controlling their quantum mechanical states. Here we use quantum-logic spectroscopy, which maps quantum information between two ion species, to prepare and non-destructively detect quantum mechanical states in molecular ions. We develop a general technique for optical pumping and preparation of the molecule into a pure initial state. This enables us to observe high-resolution spectra in a single ion (CaH+) and coherent phenomena such as Rabi flopping and Ramsey fringes. The protocol requires a single, far-off-resonant laser that is not specific to the molecule, so many other molecular ions, including polyatomic species, could be treated using the same methods in the same apparatus by changing the molecular source. Combined with the long interrogation times afforded by ion traps, a broad range of molecular ions could be studied with unprecedented control and precision. Our technique thus represents a critical step towards applications such as precision molecular spectroscopy, stringent tests of fundamental physics, quantum computing and precision control of molecular dynamics.

  18. Splitting of the luminescent excited state of the uranyl ion

    International Nuclear Information System (INIS)

    Flint, C.D.; Sharma, P.; Tanner, P.A.

    1982-01-01

    The luminescence spectra of some uranyl compounds has been studied. It has been proposed that the splitting of the luminescent excited state of the uranyl ion is due to a descent in symmetry experienced by the uranyl ion when it is placed in a crystal field. In recent years there has been developed a highly successful model of the electronic structure of the uranyl ion. In this paper the authors use this model to interpret the luminescence spectra of a variety of uranyl compounds

  19. Adaptation of the IBM ECR [electric cantilever robot] robot to plutonium processing applications

    International Nuclear Information System (INIS)

    Armantrout, G.A.; Pedrotti, L.R.; Halter, E.A.; Crossfield, M.

    1990-12-01

    The changing regulatory climate in the US is adding increasing incentive to reduce operator dose and TRU waste for DOE plutonium processing operations. To help achieve that goal the authors have begun adapting a small commercial overhead gantry robot, the IBM electric cantilever robot (ECR), to plutonium processing applications. Steps are being taken to harden this robot to withstand the dry, often abrasive, environment within a plutonium glove box and to protect the electronic components against alpha radiation. A mock-up processing system for the reduction of the oxide to a metal was prepared and successfully demonstrated. Design of a working prototype is now underway using the results of this mock-up study. 7 figs., 4 tabs

  20. Operation status and upgrading of HIRFL

    International Nuclear Information System (INIS)

    Tang, J.Y.; Wang, Y.F.; Wei, B.W.

    2001-01-01

    The operation status and the undergoing upgrading at HIRFL machine are presented. The accelerated ion species with the machine have been expanding, including metallic ions and higher energy with the new ECR ion source. The upgrading of HIRFL as the pre-accelerator of CSR storage ring has been processing steadily. The new 14.5 GHz ECR ion source has been put in operation in early 1999. A full-superconducting ECR ion source of 18 GHz is under design. The manufacture of the new vacuum chamber for SFC is just finished and the installation is to be started. The construction of the new B1 buncher is nearly to be finished, and the off-line test and the installation will be started soon. Another two identical bunchers will be ordered after the test. The beam distribution system is under upgrading to make all experiment stations separate from the others and the time-sharing mode possible, and a new cancer-therapy station is also under construction. The other upgrading items include the yoke enlarging of SFC, beam diagnostics, computer control and beam distribution system